Skip to content
Snippets Groups Projects
Commit f375ad86 authored by BRONES Romain's avatar BRONES Romain
Browse files

fix: declare constant

parent 32f99162
No related branches found
No related tags found
No related merge requests found
......@@ -40,6 +40,7 @@ end entity corr_ll;
architecture rtl of corr_ll is
constant C_N_A_RND : natural := 8;
constant C_DELAY : natural := 5;
type arr_slv is array (natural range <>) of std_logic_vector;
signal delay_valid : std_logic_vector(C_DELAY-1 downto 0);
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment