diff --git a/hdl/corr_ll.vhd b/hdl/corr_ll.vhd
index eb4492e06975159feede9b52616945a4b04b93b5..c4ce13eb913651de9d1036ea9d51ebd72829d031 100644
--- a/hdl/corr_ll.vhd
+++ b/hdl/corr_ll.vhd
@@ -40,6 +40,7 @@ end entity corr_ll;
 
 architecture rtl of corr_ll is
 
+    constant C_N_A_RND  : natural := 8;
     constant C_DELAY    : natural := 5;
     type arr_slv is array (natural range <>) of std_logic_vector;
     signal delay_valid  : std_logic_vector(C_DELAY-1 downto 0);