From f375ad865b323191388d66678ba262a6e690dd36 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Romain=20Bron=C3=A8s?= <romain.brones@synchrotron-soleil.fr> Date: Thu, 4 Jul 2024 17:57:38 +0200 Subject: [PATCH] fix: declare constant --- hdl/corr_ll.vhd | 1 + 1 file changed, 1 insertion(+) diff --git a/hdl/corr_ll.vhd b/hdl/corr_ll.vhd index eb4492e..c4ce13e 100644 --- a/hdl/corr_ll.vhd +++ b/hdl/corr_ll.vhd @@ -40,6 +40,7 @@ end entity corr_ll; architecture rtl of corr_ll is + constant C_N_A_RND : natural := 8; constant C_DELAY : natural := 5; type arr_slv is array (natural range <>) of std_logic_vector; signal delay_valid : std_logic_vector(C_DELAY-1 downto 0); -- GitLab