Skip to content
Snippets Groups Projects
Commit b02e1334 authored by BRONES Romain's avatar BRONES Romain
Browse files

Increase seq offset to 16 bits

parent 52b790db
No related branches found
No related tags found
No related merge requests found
......@@ -26,7 +26,7 @@ entity combpm_protocol_electron is
-- Status and control interface
soft_reset : in std_logic; -- Reset all counters.
seq_offset : in signed(7 downto 0); -- Sequence numbering offset
seq_offset : in signed(15 downto 0); -- Sequence numbering offset
frame_seq_cnt : out std_logic_vector(15 downto 0); -- Number of frame in last sequence.
frame_valid_cnt : out std_logic_vector(31 downto 0); -- Count of valid frames.
frame_invalid_cnt : out std_logic_vector(31 downto 0); -- Count of invalid frames.
......
......@@ -106,8 +106,8 @@ addrmap combpm {
reg {
desc="Sequence numbering offset";
desyrdl_data_type="int8";
field {sw = rw; hw = r;} data[8]=0;
desyrdl_data_type="int16";
field {sw = rw; hw = r;} data[16]=0;
} SEQ_OFFSET;
};
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment