Skip to content
Snippets Groups Projects
Commit 52b790db authored by BRONES Romain's avatar BRONES Romain
Browse files

fix; Syntax fix

parent 77e3ffd4
No related branches found
No related tags found
No related merge requests found
library ieee;
use ieee.std_logic_1164.all;
USe ieee.std_logic_1164.all;
use ieee.numeric_std.all;
......@@ -7,6 +7,7 @@ library desy;
use desy.ram_tdp;
use work.pkg_combpm.C_W_BPMID;
use work.pkg_combpm.C_W_TDEST;
entity combpm_packet_filter is
generic(
......@@ -26,7 +27,7 @@ entity combpm_packet_filter is
-- AXIS MASTER INTERFACE
m_axis_tvalid : out std_logic;
m_axis_tdata : out std_logic_vector(G_W_TDATA-1 downto 0);
m_axis_tdest : out std_logic_vector(C_TDEST_W-1 downto 0);
m_axis_tdest : out std_logic_vector(C_W_TDEST-1 downto 0);
-- Table configuration interface
pi_table_en : in std_logic;
......@@ -51,12 +52,9 @@ architecture rtl of combpm_packet_filter is
signal table_data : std_logic_vector(7 downto 0);
signal tvalid_r : std_logic_vector(1 downto 0);
signal zero_packet : std_logic_vector(C_TDATA_W-1 downto 0);
begin
zero_packet <= (others => '0');
----------------------
-- STREAM REGISTERS --
----------------------
......@@ -86,7 +84,7 @@ begin
-----------------
-- AXIS OUTPUT --
-----------------
m_axis_tdest <= std_logic_vector(resize(unsigned(table_data(6 downto 0)), C_TDEST_W));
m_axis_tdest <= std_logic_vector(resize(unsigned(table_data(6 downto 0)), C_W_TDEST));
m_axis_tdata <= r2_tdata;
m_axis_tvalid <= tvalid_r(tvalid_r'left) and table_data(7);
......
......@@ -271,7 +271,7 @@ begin
mc_time => mc_time,
soft_reset => addrmap_r.RESET.SOFTRESET.data(0),
seq_offset => signed(addrmap_r.SEQ_OFFSET.data),
seq_offset => signed(addrmap_r.SEQ_OFFSET.data.data),
frame_seq_cnt => frame_seq_cnt,
frame_valid_cnt => frame_valid_cnt,
frame_invalid_cnt => frame_invalid_cnt,
......@@ -383,7 +383,7 @@ begin
-- AXIS SLAVE INTERFACE
m_axis_tdest => m_axis_tdest,
m_axis_tdata => m_axis_tdata_filt,
m_axis_tdata => m_axis_filt_tdata,
m_axis_tvalid => m_axis_tvalid,
-- Table configuration interface
......
......@@ -20,11 +20,11 @@ proc setSources {} {
# Generate VHDL package with modversion
genModVerFile VHDL ../hdl/pkg_combpm_version.vhd
lappend Vhdl ../hdl/pkg_combpm_version.vhd
lappend Vhdl ../hdl/pkg_combpm.vhd
lappend Vhdl ../hdl/combpm_protocol_electron.vhd
lappend Vhdl ../hdl/top_combpm_electron.vhd
lappend Vhdl ../hdl/combpm_packet_filter.vhd
lappend Vhdl {"../hdl/pkg_combpm_version.vhd" "VHDL 2008"}
lappend Vhdl {"../hdl/pkg_combpm.vhd" "VHDL 2008"}
lappend Vhdl {"../hdl/combpm_protocol_electron.vhd" "VHDL 2008"}
lappend Vhdl {"../hdl/top_combpm_electron.vhd" "VHDL 2008"}
lappend Vhdl {"../hdl/combpm_packet_filter.vhd" "VHDL 2008"}
}
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment