Skip to content
Snippets Groups Projects
Commit 77e3ffd4 authored by BRONES Romain's avatar BRONES Romain
Browse files

feat: Add sequence offset

Static numbering offset for the FA sequence.
parent 8d512dab
No related branches found
No related tags found
No related merge requests found
......@@ -26,6 +26,7 @@ entity combpm_protocol_electron is
-- Status and control interface
soft_reset : in std_logic; -- Reset all counters.
seq_offset : in signed(7 downto 0); -- Sequence numbering offset
frame_seq_cnt : out std_logic_vector(15 downto 0); -- Number of frame in last sequence.
frame_valid_cnt : out std_logic_vector(31 downto 0); -- Count of valid frames.
frame_invalid_cnt : out std_logic_vector(31 downto 0); -- Count of invalid frames.
......@@ -292,7 +293,7 @@ begin
m_axis_tdata_posy <= packet_ypos;
m_axis_tdata_bpmid <= "000000" & packet_bpmid;
m_axis_tuser_mcts <= mc_time;
m_axis_tdata_faseq <= packet_timestamp;
m_axis_tdata_faseq <= std_logic_vector(signed(packet_timestamp)+seq_offset);
-- AXIS TVALID
m_axis_tvalid <= not soft_reset;
......
......@@ -271,6 +271,7 @@ begin
mc_time => mc_time,
soft_reset => addrmap_r.RESET.SOFTRESET.data(0),
seq_offset => signed(addrmap_r.SEQ_OFFSET.data),
frame_seq_cnt => frame_seq_cnt,
frame_valid_cnt => frame_valid_cnt,
frame_invalid_cnt => frame_invalid_cnt,
......
......@@ -104,4 +104,10 @@ addrmap combpm {
mementries = 2**`C_W_ADDR_TABLE;
} FILTERTABLE;
reg {
desc="Sequence numbering offset";
desyrdl_data_type="int8";
field {sw = rw; hw = r;} data[8]=0;
} SEQ_OFFSET;
};
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment