From b02e1334ec5b4f656cafb3aef8d6e27de0b4a909 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Romain=20Bron=C3=A8s?= <romain.brones@synchrotron-soleil.fr> Date: Tue, 21 May 2024 16:34:13 +0200 Subject: [PATCH] Increase seq offset to 16 bits --- hdl/combpm_protocol_electron.vhd | 2 +- rdl/combpm.rdl | 4 ++-- 2 files changed, 3 insertions(+), 3 deletions(-) diff --git a/hdl/combpm_protocol_electron.vhd b/hdl/combpm_protocol_electron.vhd index 3376a49..a5bf604 100644 --- a/hdl/combpm_protocol_electron.vhd +++ b/hdl/combpm_protocol_electron.vhd @@ -26,7 +26,7 @@ entity combpm_protocol_electron is -- Status and control interface soft_reset : in std_logic; -- Reset all counters. - seq_offset : in signed(7 downto 0); -- Sequence numbering offset + seq_offset : in signed(15 downto 0); -- Sequence numbering offset frame_seq_cnt : out std_logic_vector(15 downto 0); -- Number of frame in last sequence. frame_valid_cnt : out std_logic_vector(31 downto 0); -- Count of valid frames. frame_invalid_cnt : out std_logic_vector(31 downto 0); -- Count of invalid frames. diff --git a/rdl/combpm.rdl b/rdl/combpm.rdl index f4ab94f..7322251 100644 --- a/rdl/combpm.rdl +++ b/rdl/combpm.rdl @@ -106,8 +106,8 @@ addrmap combpm { reg { desc="Sequence numbering offset"; - desyrdl_data_type="int8"; - field {sw = rw; hw = r;} data[8]=0; + desyrdl_data_type="int16"; + field {sw = rw; hw = r;} data[16]=0; } SEQ_OFFSET; }; -- GitLab