diff --git a/hdl/combpm_protocol_electron.vhd b/hdl/combpm_protocol_electron.vhd index 3376a49b8d4a5bb6a7634bf463f7e68249bd5b84..a5bf604c6a689f27699f417fe8030dbe4df71efc 100644 --- a/hdl/combpm_protocol_electron.vhd +++ b/hdl/combpm_protocol_electron.vhd @@ -26,7 +26,7 @@ entity combpm_protocol_electron is -- Status and control interface soft_reset : in std_logic; -- Reset all counters. - seq_offset : in signed(7 downto 0); -- Sequence numbering offset + seq_offset : in signed(15 downto 0); -- Sequence numbering offset frame_seq_cnt : out std_logic_vector(15 downto 0); -- Number of frame in last sequence. frame_valid_cnt : out std_logic_vector(31 downto 0); -- Count of valid frames. frame_invalid_cnt : out std_logic_vector(31 downto 0); -- Count of invalid frames. diff --git a/rdl/combpm.rdl b/rdl/combpm.rdl index f4ab94ff8f011a399a9b430b64e4e87a585ba0fa..7322251e1a00fa2c17580b2460c19474f55736ce 100644 --- a/rdl/combpm.rdl +++ b/rdl/combpm.rdl @@ -106,8 +106,8 @@ addrmap combpm { reg { desc="Sequence numbering offset"; - desyrdl_data_type="int8"; - field {sw = rw; hw = r;} data[8]=0; + desyrdl_data_type="int16"; + field {sw = rw; hw = r;} data[16]=0; } SEQ_OFFSET; };