Skip to content
Snippets Groups Projects
Commit c3c97e8b authored by BRONES Romain's avatar BRONES Romain
Browse files

fix:Remove unsused tlast input on packeter

parent faffd573
No related branches found
No related tags found
No related merge requests found
...@@ -34,7 +34,6 @@ entity ccn_pack is ...@@ -34,7 +34,6 @@ entity ccn_pack is
s_axis_tuser : in std_logic_vector(G_S_TUSER_W-1 downto 0); s_axis_tuser : in std_logic_vector(G_S_TUSER_W-1 downto 0);
s_axis_tvalid : in std_logic; s_axis_tvalid : in std_logic;
s_axis_tready : out std_logic; s_axis_tready : out std_logic;
s_axis_tlast : in std_logic;
-- AXIS Frame output -- AXIS Frame output
m_axis_clk : in std_logic; -- Only M_AXIS signals on this clk domain m_axis_clk : in std_logic; -- Only M_AXIS signals on this clk domain
......
...@@ -36,7 +36,6 @@ entity top_ccn_packeter is ...@@ -36,7 +36,6 @@ entity top_ccn_packeter is
s_axis_tuser : in std_logic_vector(G_S_TUSER_W-1 downto 0); s_axis_tuser : in std_logic_vector(G_S_TUSER_W-1 downto 0);
s_axis_tvalid : in std_logic; s_axis_tvalid : in std_logic;
s_axis_tready : out std_logic; s_axis_tready : out std_logic;
s_axis_tlast : in std_logic;
-- AXIS Frame output -- AXIS Frame output
m_axis_clk : in std_logic; -- Only M_AXIS signals on this clk domain m_axis_clk : in std_logic; -- Only M_AXIS signals on this clk domain
...@@ -126,7 +125,6 @@ begin ...@@ -126,7 +125,6 @@ begin
s_axis_tvalid => s_axis_tvalid, s_axis_tvalid => s_axis_tvalid,
s_axis_tready => s_axis_tready, s_axis_tready => s_axis_tready,
s_axis_tuser => s_axis_tuser, s_axis_tuser => s_axis_tuser,
s_axis_tlast => s_axis_tlast,
-- AXIS Frame output -- AXIS Frame output
m_axis_clk => m_axis_clk, m_axis_clk => m_axis_clk,
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment