Skip to content
Snippets Groups Projects
Commit 5957a17c authored by BRONES Romain's avatar BRONES Romain
Browse files

Debug and fixes

* Change the External data path on GT wizard settings
* tie the loopback to top level
* bring the usrclk2 out to the top level
parent 6585b386
No related branches found
No related tags found
No related merge requests found
......@@ -19,6 +19,9 @@ entity top_comcellnode is
rx_data_rst : in std_logic;
tx_rst : in std_logic;
rx_rst : in std_logic;
gt_loopback : in std_logic_vector(2 downto 0);
gt_rx_out_clk : out std_logic;
gt_tx_out_clk : out std_logic;
-- SFP signals
sfp_rxn : in std_logic;
......@@ -326,6 +329,9 @@ architecture struct of top_comcellnode is
begin
gt_rx_out_clk <= rxusrclk2;
gt_tx_out_clk <= main_clk;
rst <= not rst_n;
sfp_tx_disable <= '0';
......@@ -345,7 +351,7 @@ begin
gtwiz_reset_tx_done_out(0) => gtwiz_tx_rst_done,
gtwiz_reset_rx_done_out(0) => gtwiz_rx_rst_done,
gtwiz_reset_tx_datapath_in(0) => gtwiz_rst_tx_datapath,
gtwiz_reset_rx_datapath_in => gtwiz_rst_rx_datapath,
gtwiz_reset_rx_datapath_in(0) => gtwiz_rst_rx_datapath,
-- Clocks
gtwiz_userclk_rx_usrclk2_out(0) => rxusrclk2,
......@@ -376,9 +382,10 @@ begin
txheader_in => txheader,
-- GT
loopback_in(0) => '0',
loopback_in(1) => gtloopback,
loopback_in(2) => '0',
loopback_in => gt_loopback,
--loopback_in(0) => '0',
--loopback_in(1) => gtloopback,
--loopback_in(2) => '0',
-- Status
gtwiz_userclk_tx_active_out(0) => tx_clk_active,
......
......@@ -42,6 +42,8 @@ set_property -dict [list \
CONFIG.LOCATE_RX_USER_CLOCKING {CORE} \
CONFIG.LOCATE_RESET_CONTROLLER {CORE} \
CONFIG.LOCATE_USER_DATA_WIDTH_SIZING {EXAMPLE_DESIGN} \
CONFIG.RX_USER_DATA_WIDTH 64 \
CONFIG.TX_USER_DATA_WIDTH 64 \
CONFIG.ENABLE_OPTIONAL_PORTS {loopback_in} \
] [get_ips comcellnode_gtwizard]
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment