Skip to content
Snippets Groups Projects
Commit 725b1123 authored by BRONES Romain's avatar BRONES Romain
Browse files

fix:Fully remove Tready

* Remove undriven logic in protocol decoder.
parent 73ef0ccf
No related branches found
No related tags found
No related merge requests found
......@@ -18,7 +18,6 @@ entity combpm_protocol_electron is
-- AXIS interface
m_axis_m2s : out t_bpmpacket_axis_m2s;
m_axis_s2m : in t_bpmpacket_axis_s2m;
-- Status and control interface
soft_reset : in std_logic; -- Reset all counters.
......@@ -260,14 +259,8 @@ begin
-- AXIS TVALID
m_axi_tvalid <= '1';
else
-- Acknowledge transfer
if m_axis_s2m.tready = '1' then
m_axi_tvalid <= '0';
end if;
m_axi_tvalid <= '0';
end if;
end if;
end process p_axis;
......
......@@ -95,7 +95,6 @@ architecture struct of top_combpm_electron is
signal cdc_control_array_bpm : std_logic_vector(2 downto 0); -- CDC, clock bpm side
signal m_axis_decoded_m2s : t_bpmpacket_axis_m2s;
signal m_axis_decoded_s2m : t_bpmpacket_axis_s2m; -- warning: TREADY is ignored !
begin
......@@ -237,7 +236,6 @@ begin
gt_datarx => gt_datarx,
m_axis_m2s => m_axis_decoded_m2s,
m_axis_s2m => m_axis_decoded_s2m,
mc_time => mc_time,
soft_reset => addrmap_w.PROTOCOL.SOFTRESET.data(0),
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment