Skip to content
Snippets Groups Projects
Commit 6d30146e authored by BRONES Romain's avatar BRONES Romain
Browse files

feat: combpm seq num to 16 bits, with user offset

* User offset allows numbering alignement with LBP
parent 2956807a
No related branches found
No related tags found
No related merge requests found
......@@ -112,7 +112,11 @@ architecture struct of bsp_fmc2zup_payload is
-- COMBPM signals
signal clk_combpm : std_logic;
signal axis_combpm_tdata : std_logic_vector(127 downto 0);
signal axis_combpm_tdata_posx : std_logic_vector(31 downto 0);
signal axis_combpm_tdata_posy : std_logic_vector(31 downto 0);
signal axis_combpm_tdata_seq : std_logic_vector(15 downto 0);
signal axis_combpm_tdata_bpmid : std_logic_vector(15 downto 0);
signal axis_combpm_tdata : std_logic_vector(95 downto 0);
signal axis_combpm_tvalid : std_logic;
signal axis_combpm_sync_tvalid : std_logic;
signal axis_combpm_sync_tready : std_logic;
......@@ -413,7 +417,10 @@ begin
-- AXIS interface
m_axis_aclk => clk_combpm,
m_axis_tdest => open,
m_axis_tdata => axis_combpm_tdata,
m_axis_tdata_posx => axis_combpm_tdata_posx,
m_axis_tdata_posy => axis_combpm_tdata_posy,
m_axis_tdata_bpmid => axis_combpm_tdata_bpmid,
m_axis_tdata_seq => axis_combpm_tdata_seq,
m_axis_tvalid => axis_combpm_tvalid,
-- AXI bus interface
......@@ -422,6 +429,8 @@ begin
s_axi_s2m => addrmap_i.combpm_0
);
axis_combpm_tdata <= axis_combpm_tdata_seq & axis_combpm_tdata_bpmid & axis_combpm_tdata_posy & axis_combpm_tdata_posx;
inst_upstream_lbp_fifo : xpm_fifo_axis
generic map (
CLOCKING_MODE => "independent_clock",
......@@ -446,7 +455,7 @@ begin
s_aclk => clk_combpm,
s_axis_tvalid => axis_combpm_tvalid,
s_axis_tdata => x"00" & axis_combpm_tdata(127 downto 120) & axis_combpm_tdata(79 downto 0),
s_axis_tdata => axis_combpm_tdata,
s_axis_tready => open,
s_axis_tdest => (others => '0'),
s_axis_tid => (others => '0'),
......
Subproject commit 2b242b041bdc4ccbfced35389dc924d7d69796ef
Subproject commit b02e1334ec5b4f656cafb3aef8d6e27de0b4a909
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment