Skip to content
Snippets Groups Projects
Commit 093a023d authored by BRONES Romain's avatar BRONES Romain
Browse files

fix for build

parent b4b96ad1
No related branches found
No related tags found
No related merge requests found
...@@ -110,7 +110,10 @@ architecture struct of bsp_fmc2zup_payload is ...@@ -110,7 +110,10 @@ architecture struct of bsp_fmc2zup_payload is
-- COMLBP signals -- COMLBP signals
signal clk_comlbp : std_logic; signal clk_comlbp : std_logic;
signal axis_comlbp_tdata : std_logic_vector(31 downto 0); signal axis_comlbp_tdata_posx : std_logic_vector(31 downto 0);
signal axis_comlbp_tdata_posy : std_logic_vector(31 downto 0);
signal axis_comlbp_tdata_faseq : std_logic_vector(15 downto 0);
signal axis_comlbp_tdata_bpmid : std_logic_vector(15 downto 0);
signal axis_comlbp_tvalid : std_logic; signal axis_comlbp_tvalid : std_logic;
-- COMCELLNODE signals -- COMCELLNODE signals
...@@ -139,7 +142,7 @@ architecture struct of bsp_fmc2zup_payload is ...@@ -139,7 +142,7 @@ architecture struct of bsp_fmc2zup_payload is
signal axis_pkt_tx_tready : std_logic; signal axis_pkt_tx_tready : std_logic;
signal axis_pkt_tx_tvalid : std_logic; signal axis_pkt_tx_tvalid : std_logic;
signal axis_pkt_tx_tdata : std_logic_vector(85 downto 0); signal axis_pkt_tx_tdata : std_logic_vector(95 downto 0);
-- PSCGEN -- PSCGEN
signal axis_pscgen_tdata_value : std_logic_vector(15 downto 0); signal axis_pscgen_tdata_value : std_logic_vector(15 downto 0);
...@@ -434,10 +437,10 @@ begin ...@@ -434,10 +437,10 @@ begin
-- AXIS output -- AXIS output
m_axis_aclk => clk_comlbp, m_axis_aclk => clk_comlbp,
m_axis_tvalid => axis_comlbp_tvalid, m_axis_tvalid => axis_comlbp_tvalid,
m_axis_tdata_xpos => axis_comlbp_tdata_, m_axis_tdata_xpos => axis_comlbp_tdata_posx,
m_axis_tdata_ypos => axis_comlbp_tdata_, m_axis_tdata_ypos => axis_comlbp_tdata_posy,
m_axis_tdata_faseq => axis_comlbp_tdata_, m_axis_tdata_faseq => axis_comlbp_tdata_faseq,
m_axis_tdata_bpmid => axis_comlbp_tdata_, m_axis_tdata_bpmid => axis_comlbp_tdata_bpmid,
-- AXI-MM interface -- AXI-MM interface
s_axi_clk => pi_payload.m_axi4l_reg_aclk, s_axi_clk => pi_payload.m_axi4l_reg_aclk,
...@@ -451,29 +454,29 @@ begin ...@@ -451,29 +454,29 @@ begin
-------------------------------- --------------------------------
inst_rx_axis_ic: entity work.axis_com51_rx inst_rx_axis_ic: entity work.axis_com51_rx
port map ( port map (
aclk => axis_clk, aclk => pi_payload.m_axi4l_reg_aclk,
s00_axis_aclk => clk_combpm, s00_axis_aclk => clk_combpm,
s01_axis_aclk => clk_comlbp, s01_axis_aclk => clk_comlbp,
s02_axis_aclk => clk_comlbp, s02_axis_aclk => clk_comlbp,
s03_axis_aclk => clk_comlbp, s03_axis_aclk => clk_comlbp,
s04_axis_aclk => clk_comlbp, s04_axis_aclk => clk_comlbp,
m00_axis_aclk => axis_clk, m00_axis_aclk => pi_payload.m_axi4l_reg_aclk,
aresetn => axis_rstn,
s00_axis_aresetn => axis_rstn,
s01_axis_aresetn => axis_rstn,
s02_axis_aresetn => axis_rstn,
s03_axis_aresetn => axis_rstn,
s04_axis_aresetn => axis_rstn,
m00_axis_aresetn => axis_rstn,
s00_axis_tvalid => axis_combpm_tvalid aresetn => pi_payload.m_axi4l_reg_areset_n,
s00_axis_aresetn => pi_payload.m_axi4l_reg_areset_n,
s01_axis_aresetn => pi_payload.m_axi4l_reg_areset_n,
s02_axis_aresetn => pi_payload.m_axi4l_reg_areset_n,
s03_axis_aresetn => pi_payload.m_axi4l_reg_areset_n,
s04_axis_aresetn => pi_payload.m_axi4l_reg_areset_n,
m00_axis_aresetn => pi_payload.m_axi4l_reg_areset_n,
s00_axis_tvalid => axis_combpm_tvalid,
s00_axis_tready => open, s00_axis_tready => open,
s00_axis_tdata => x"0" & axis_combpm_tdata(127 downto 120) & axis_combpm_tdata(79 downto 0), s00_axis_tdata => x"0" & axis_combpm_tdata(127 downto 120) & x"0" & axis_combpm_tdata(79 downto 0),
s01_axis_tvalid => axis_comlbp_tvalid, s01_axis_tvalid => axis_comlbp_tvalid,
s01_axis_tready => open, s01_axis_tready => open,
s01_axis_tdata => axis_comlbp_tdata_faseq & axis_comlbp_tdata_bpmid & axis_comlbp_tdata_posy & axis_comlbp_tdata_posx; s01_axis_tdata => axis_comlbp_tdata_faseq & axis_comlbp_tdata_bpmid & axis_comlbp_tdata_posy & axis_comlbp_tdata_posx,
s02_axis_tvalid => '0', s02_axis_tvalid => '0',
s02_axis_tready => open, s02_axis_tready => open,
...@@ -523,7 +526,7 @@ begin ...@@ -523,7 +526,7 @@ begin
-- AXIS Packet input -- AXIS Packet input
s_axis_tdata => axis_pkt_tx_tdata(79 downto 0), s_axis_tdata => axis_pkt_tx_tdata(79 downto 0),
s_axis_tuser => axis_pkt_tx_tdata(88 downto 80), -- fa seq, cropped 8 bits s_axis_tuser => axis_pkt_tx_tdata(95 downto 88), -- fa seq, cropped 8 bits
s_axis_tvalid => axis_pkt_tx_tvalid, s_axis_tvalid => axis_pkt_tx_tvalid,
s_axis_tready => axis_pkt_tx_tready, s_axis_tready => axis_pkt_tx_tready,
......
Subproject commit cdd2c390bbeb21ded653271d6dd0ced85f399be4 Subproject commit e72c48d5075840900d0b9d3b4be616b79346cf0e
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment