Skip to content
Snippets Groups Projects
Commit 04d45d21 authored by BRONES Romain's avatar BRONES Romain
Browse files

chore(test): little improve on test, no behaviour change

parent 89d7b5dd
No related branches found
No related tags found
No related merge requests found
......@@ -18,13 +18,13 @@
</db_ref>
</db_ref_list>
<zoom_setting>
<ZoomStartTime time="8363800fs"></ZoomStartTime>
<ZoomEndTime time="8788800fs"></ZoomEndTime>
<Cursor1Time time="8653017fs"></Cursor1Time>
<ZoomStartTime time="8915817fs"></ZoomStartTime>
<ZoomEndTime time="9387318fs"></ZoomEndTime>
<Cursor1Time time="9240317fs"></Cursor1Time>
</zoom_setting>
<column_width_setting>
<NameColumnWidth column_width="328"></NameColumnWidth>
<ValueColumnWidth column_width="235"></ValueColumnWidth>
<ValueColumnWidth column_width="231"></ValueColumnWidth>
</column_width_setting>
<WVObjectSize size="13" />
<wvobject type="logic" fp_name="/tb_pscgen/tb_clk">
......@@ -46,6 +46,7 @@
<wvobject type="array" fp_name="/tb_pscgen/inst_dut/s_axis_tdata_value">
<obj_property name="ElementShortName">s_axis_tdata_value[15:0]</obj_property>
<obj_property name="ObjectShortName">s_axis_tdata_value[15:0]</obj_property>
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject type="array" fp_name="/tb_pscgen/tb_s_axis_tuser">
<obj_property name="ElementShortName">tb_s_axis_tuser[7:0]</obj_property>
......@@ -166,6 +167,7 @@
<wvobject type="group" fp_name="group41">
<obj_property name="label">DDS</obj_property>
<obj_property name="DisplayName">label</obj_property>
<obj_property name="isExpanded"></obj_property>
<wvobject type="array" fp_name="/tb_pscgen/inst_dut/inst_dds/m_axis_data_tdata">
<obj_property name="ElementShortName">m_axis_data_tdata[31:0]</obj_property>
<obj_property name="ObjectShortName">m_axis_data_tdata[31:0]</obj_property>
......@@ -183,6 +185,39 @@
<wvobject type="group" fp_name="group45">
<obj_property name="label">Scaler</obj_property>
<obj_property name="DisplayName">label</obj_property>
<obj_property name="isExpanded"></obj_property>
<wvobject type="array" fp_name="/tb_pscgen/inst_dut/inst_scaler/scale">
<obj_property name="ElementShortName">scale[15:0]</obj_property>
<obj_property name="ObjectShortName">scale[15:0]</obj_property>
</wvobject>
<wvobject type="array" fp_name="/tb_pscgen/inst_dut/inst_scaler/offset">
<obj_property name="ElementShortName">offset[15:0]</obj_property>
<obj_property name="ObjectShortName">offset[15:0]</obj_property>
</wvobject>
<wvobject type="array" fp_name="/tb_pscgen/inst_dut/inst_scaler/r_offset">
<obj_property name="ElementShortName">r_offset[15:0]</obj_property>
<obj_property name="ObjectShortName">r_offset[15:0]</obj_property>
</wvobject>
<wvobject type="array" fp_name="/tb_pscgen/inst_dut/inst_scaler/inst_multadd/A">
<obj_property name="ElementShortName">A[25:0]</obj_property>
<obj_property name="ObjectShortName">A[25:0]</obj_property>
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject type="array" fp_name="/tb_pscgen/inst_dut/inst_scaler/inst_multadd/B">
<obj_property name="ElementShortName">B[15:0]</obj_property>
<obj_property name="ObjectShortName">B[15:0]</obj_property>
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject type="array" fp_name="/tb_pscgen/inst_dut/inst_scaler/inst_multadd/C">
<obj_property name="ElementShortName">C[41:0]</obj_property>
<obj_property name="ObjectShortName">C[41:0]</obj_property>
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject type="array" fp_name="/tb_pscgen/inst_dut/inst_scaler/inst_multadd/P">
<obj_property name="ElementShortName">P[41:26]</obj_property>
<obj_property name="ObjectShortName">P[41:26]</obj_property>
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject type="array" fp_name="/tb_pscgen/inst_dut/inst_scaler/po_wave">
<obj_property name="ElementShortName">po_wave[15:0]</obj_property>
<obj_property name="ObjectShortName">po_wave[15:0]</obj_property>
......@@ -288,6 +323,7 @@
<wvobject type="array" fp_name="/tb_pscgen/tb_m_axis_tdata_value">
<obj_property name="ElementShortName">tb_m_axis_tdata_value[15:0]</obj_property>
<obj_property name="ObjectShortName">tb_m_axis_tdata_value[15:0]</obj_property>
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject type="array" fp_name="/tb_pscgen/tb_m_axis_tdata_pscid">
<obj_property name="ElementShortName">tb_m_axis_tdata_pscid[7:0]</obj_property>
......
......@@ -191,17 +191,46 @@ begin
wait for 3 us;
wait until rising_edge(tb_clk);
-- ====================================================================================================
-- Test with INPUT
v_axi4l_data := (1=> '1', others => '0');
write_axi4l(std_logic_vector(C_REGISTER_INFO(C_CONTROL_ID).address),
v_axi4l_data);
-- Scaler table parameters
for I in 0 to 7 loop
v_scale := std_logic_vector(to_unsigned(0, C_W_SCALE));
v_offset := std_logic_vector(to_signed(I, C_W_OFFSET));
v_axi4l_data := (others => '0');
v_axi4l_data(C_W_SCALE-1 downto 0) := v_scale;
v_axi4l_data(C_W_OFFSET+C_W_SCALE-1 downto C_W_SCALE) := v_offset;
write_axi4l(std_logic_vector(C_MEM_INFO(2).address+to_unsigned(I*4, C_AXI4L_ADDR_WIDTH)),
v_axi4l_data);
end loop;
v_offset := std_logic_vector(to_signed(66, C_W_OFFSET));
v_axi4l_data(C_W_OFFSET+C_W_SCALE-1 downto C_W_SCALE) := v_offset;
write_axi4l(std_logic_vector(C_MEM_INFO(2).address+to_unsigned(20*4, C_AXI4L_ADDR_WIDTH)),
v_axi4l_data);
-- Phase table parameters
for I in 0 to 6 loop
v_phase_offs := std_logic_vector(to_unsigned(0, C_W_PHASE));
v_axi4l_data := (others => '0');
v_axi4l_data(C_W_PHASE-1 downto 0) := v_phase_offs;
v_axi4l_data(C_W_PHASE) := '1'; -- At first reset all
write_axi4l(std_logic_vector(C_MEM_INFO(1).address+to_unsigned(I*4, C_AXI4L_ADDR_WIDTH)),
v_axi4l_data);
end loop;
wait for 1 us;
wait until rising_edge(tb_clk);
for I in 0 to 6 loop
for J in 1 to 20 loop
tb_s_axis_tdata_value <= std_logic_vector(to_signed(I*200 + J, C_W_PHASE));
tb_s_axis_tdata_value <= std_logic_vector(to_signed(0, C_W_PHASE));
--tb_s_axis_tdata_value <= std_logic_vector(to_signed(I*200 + J, C_W_PHASE));
tb_s_axis_tdata_pscid <= std_logic_vector(to_unsigned(J, C_W_TIDX));
tb_s_axis_tuser <= std_logic_vector(to_unsigned(100+J, 8));
tb_s_axis_tvalid <= '1';
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment