Skip to content
Snippets Groups Projects
Commit e72e385e authored by Aude Grabas's avatar Aude Grabas
Browse files

add pps to top

parent ad796d8e
No related branches found
No related tags found
No related merge requests found
......@@ -26,6 +26,9 @@ entity top_ccn_packeter is
-- Running timeref
timeref : in std_logic_vector(63 downto 0);
-- PPS input
pps : in std_logic;
-- AXI-MM Status and Config
aclk : in std_logic;
s_axi_m2s : in t_ccn_packeter_m2s;
......@@ -111,7 +114,7 @@ begin
port map(
aclk => aclk,
aresetn => aresetn,
pps => pps,
-- Control
packeter_run => addrmap_o.control.enable.data(0),
mac_dst => mac_dst,
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment