Skip to content
Snippets Groups Projects
Commit df91c441 authored by BRONES Romain's avatar BRONES Romain
Browse files

fix: remove version package from tracked files

parent 2ccc1872
No related branches found
No related tags found
No related merge requests found
-------------------------------------------------------------------------------
-- ____ _____________ __ --
-- / __ \/ ____/ ___/\ \/ / _ _ _ --
-- / / / / __/ \__ \ \ / / \ / \ / \ --
-- / /_/ / /___ ___/ / / / = ( M | S | K )= --
-- /_____/_____//____/ /_/ \_/ \_/ \_/ --
-- --
-------------------------------------------------------------------------------
-- Copyright (c) 2020 DESY
-------------------------------------------------------------------------------
--! @brief template for the version package for a particular module
--! @created 2020-01-30
-------------------------------------------------------------------------------
--! Description:
--! This template is used by fwk to inject Version and Timestamp information
--! in to the module's register map
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package pkg_ccn_packet_version is
constant C_VERSION : std_logic_vector(31 downto 0) := x"01030000";
constant C_TIMESTAMP : std_logic_vector(31 downto 0) := x"67a37dc6";
end pkg_ccn_packet_version ;
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment