Skip to content
Snippets Groups Projects
Commit d0e562f8 authored by BRONES Romain's avatar BRONES Romain
Browse files

feat: reset packet fifo on bad packet

* This should avoid sending bad ethernet frames
parent e7f50bf0
No related branches found
No related tags found
No related merge requests found
......@@ -112,6 +112,7 @@ architecture rtl of ccn_pack is
signal fifo_tlast : std_logic;
signal fifo_tuser : std_logic_vector(0 downto 0);
signal fifo_tuser_slv : std_logic_vector(7 downto 0);
signal fifo_err_rst : std_logic;
-- Some constant for mapping
constant C_TKEEP_S00 : std_logic_vector(23 downto 0) := (others => '1');
......@@ -291,10 +292,11 @@ begin
-- FIFO --
----------
fifo_tuser(0) <= or_reduce(fifo_tuser_slv);
fifo_err_rst <= (not fifo_tuser(0)) and aresetn;
inst_fifo: entity work.ccn_axis_fifo_pframe
port map(
s_axis_aresetn => aresetn,
s_axis_aresetn => fifo_err_rst,
s_axis_aclk => aclk,
m_axis_aclk => m_axis_clk,
s_axis_tvalid => fifo_tvalid,
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment