Skip to content
Snippets Groups Projects
Commit b03fedcb authored by BRONES Romain's avatar BRONES Romain
Browse files

Merge branch 'dev_rbs' into sim

parents f282adad 505a94ca
Branches sim
No related tags found
No related merge requests found
...@@ -148,7 +148,7 @@ architecture rtl of ccn_pack is ...@@ -148,7 +148,7 @@ architecture rtl of ccn_pack is
signal m_fifo_tdata : std_logic_vector(C_M_INT_TDATA_W-1 downto 0); signal m_fifo_tdata : std_logic_vector(C_M_INT_TDATA_W-1 downto 0);
signal m_fifo_tkeep : std_logic_vector(C_M_INT_TDATA_W/8-1 downto 0); signal m_fifo_tkeep : std_logic_vector(C_M_INT_TDATA_W/8-1 downto 0);
signal m_fifo_tlast : std_logic; signal m_fifo_tlast : std_logic;
signal m_fifo_tuser : std_logic_vector(C_M_INT_TDATA_W/8-1 downto 0); signal m_fifo_tuser : std_logic_vector(0 downto 0);
signal wconv_tuser : std_logic_vector(C_M_INT_TDATA_W/8-1 downto 0); signal wconv_tuser : std_logic_vector(C_M_INT_TDATA_W/8-1 downto 0);
begin begin
...@@ -420,7 +420,7 @@ begin ...@@ -420,7 +420,7 @@ begin
-- OUT WCONV --- -- OUT WCONV ---
------------------ ------------------
wconv_tuser <= "0000000" & m_fifo_tuser; wconv_tuser <= (others => m_fifo_tuser(0));
g_GENERATE_WCONV_64 : if G_M_TDATA_W = 64 generate g_GENERATE_WCONV_64 : if G_M_TDATA_W = 64 generate
inst_wconv: entity work.ccn_eth_axis_wconv inst_wconv: entity work.ccn_eth_axis_wconv
port map( port map(
...@@ -431,13 +431,12 @@ begin ...@@ -431,13 +431,12 @@ begin
s_axis_tdata => m_fifo_tdata, s_axis_tdata => m_fifo_tdata,
s_axis_tkeep => m_fifo_tkeep, s_axis_tkeep => m_fifo_tkeep,
s_axis_tlast => m_fifo_tlast, s_axis_tlast => m_fifo_tlast,
s_axis_tlast => m_fifo_tlast,
s_axis_tuser => wconv_tuser, s_axis_tuser => wconv_tuser,
m_axis_tvalid => m_axis_tvalid, m_axis_tvalid => m_axis_tvalid,
m_axis_tready => m_axis_tready, m_axis_tready => m_axis_tready,
m_axis_tdata => m_axis_tdata, m_axis_tdata => m_axis_tdata,
m_axis_tkeep => m_axis_tkeep, m_axis_tkeep => m_axis_tkeep,
s_axis_tuser => m_axis_tuser, m_axis_tuser => m_axis_tuser,
m_axis_tlast => m_axis_tlast m_axis_tlast => m_axis_tlast
); );
end generate; end generate;
......
...@@ -134,6 +134,7 @@ set ipProp [list \ ...@@ -134,6 +134,7 @@ set ipProp [list \
CONFIG.S_TDATA_NUM_BYTES [expr $INT_FRAME_W/8] \ CONFIG.S_TDATA_NUM_BYTES [expr $INT_FRAME_W/8] \
CONFIG.M_TDATA_NUM_BYTES [expr $CCN_FRAME_OUT_W/8] \ CONFIG.M_TDATA_NUM_BYTES [expr $CCN_FRAME_OUT_W/8] \
CONFIG.HAS_TLAST {1} \ CONFIG.HAS_TLAST {1} \
CONFIG.HAS_TKEEP {1} \
CONFIG.TUSER_BITS_PER_BYTE {1}\ CONFIG.TUSER_BITS_PER_BYTE {1}\
] ]
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment