Skip to content
Snippets Groups Projects
Commit 384ff89b authored by BRONES Romain's avatar BRONES Romain
Browse files

Fix Address Range on AXI-MM

parent afb65d16
No related branches found
No related tags found
No related merge requests found
...@@ -45,7 +45,7 @@ entity top_comcellnode is ...@@ -45,7 +45,7 @@ entity top_comcellnode is
tx_axis_tuser : IN STD_LOGIC; tx_axis_tuser : IN STD_LOGIC;
-- AXI MM -- AXI MM
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC; s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC; s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
...@@ -55,7 +55,7 @@ entity top_comcellnode is ...@@ -55,7 +55,7 @@ entity top_comcellnode is
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC; s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC; s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC; s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC; s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
...@@ -412,7 +412,8 @@ begin ...@@ -412,7 +412,8 @@ begin
-- AXI MM -- AXI MM
s_axi_aclk_0 => axi_clk, s_axi_aclk_0 => axi_clk,
s_axi_awaddr_0 => s_axi_awaddr, s_axi_awaddr_0(11 downto 0) => s_axi_awaddr,
s_axi_awaddr_0(31 downto 12) => (others => '0'),
s_axi_awvalid_0 => s_axi_awvalid, s_axi_awvalid_0 => s_axi_awvalid,
s_axi_awready_0 => s_axi_awready, s_axi_awready_0 => s_axi_awready,
s_axi_wdata_0 => s_axi_wdata, s_axi_wdata_0 => s_axi_wdata,
...@@ -422,7 +423,8 @@ begin ...@@ -422,7 +423,8 @@ begin
s_axi_bresp_0 => s_axi_bresp, s_axi_bresp_0 => s_axi_bresp,
s_axi_bvalid_0 => s_axi_bvalid, s_axi_bvalid_0 => s_axi_bvalid,
s_axi_bready_0 => s_axi_bready, s_axi_bready_0 => s_axi_bready,
s_axi_araddr_0 => s_axi_araddr, s_axi_araddr_0(11 downto 0) => s_axi_araddr,
s_axi_araddr_0(31 downto 12) => (others => '0'),
s_axi_arvalid_0 => s_axi_arvalid, s_axi_arvalid_0 => s_axi_arvalid,
s_axi_arready_0 => s_axi_arready, s_axi_arready_0 => s_axi_arready,
s_axi_rdata_0 => s_axi_rdata, s_axi_rdata_0 => s_axi_rdata,
......
...@@ -58,9 +58,9 @@ set_property -dict { \ ...@@ -58,9 +58,9 @@ set_property -dict { \
DESCRIPTION "Control and status registers" \ DESCRIPTION "Control and status registers" \
ENABLEMENT_PRESENCE required \ ENABLEMENT_PRESENCE required \
NAME "registers" \ NAME "registers" \
RANGE 256 \ RANGE 4096 \
USAGE "register" \ USAGE "register" \
WIDTH 8 \ WIDTH 11 \
} ${s_axi_blk} } ${s_axi_blk}
# Save IP and close project # Save IP and close project
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment