Skip to content
Snippets Groups Projects
Commit 63d616c4 authored by BRONES Romain's avatar BRONES Romain
Browse files

Add debug and connect to DAQ

*  Debug of comlbp is input to DAQ region 1
parent 2554b7b5
No related branches found
No related tags found
No related merge requests found
...@@ -121,6 +121,11 @@ architecture struct of bsp_fmc2zup_payload is ...@@ -121,6 +121,11 @@ architecture struct of bsp_fmc2zup_payload is
signal axis_comlbp_sync_tvalid : std_logic; signal axis_comlbp_sync_tvalid : std_logic;
signal axis_comlbp_sync_tdata : std_logic_vector(95 downto 0); signal axis_comlbp_sync_tdata : std_logic_vector(95 downto 0);
signal comlbp_dbg_tdata : std_logic_vector(31 downto 0);
signal comlbp_dbg_tvalid : std_logic;
signal comlbp_dbg_sdata : std_logic_vector(31 downto 0);
signal comlbp_dbg_svalid : std_logic;
-- COMCELLNODE signals -- COMCELLNODE signals
signal clk_comcellnode : std_logic; signal clk_comcellnode : std_logic;
...@@ -439,6 +444,9 @@ begin ...@@ -439,6 +444,9 @@ begin
sfp_tx_disable => fmc1_sfp_tx_disable(0), sfp_tx_disable => fmc1_sfp_tx_disable(0),
sfp_tx_fault => fmc1_sfp_tx_fault(0), sfp_tx_fault => fmc1_sfp_tx_fault(0),
dbg_data => comlbp_dbg_tdata,
dbg_valid => comlbp_dbg_tvalid,
-- AXIS output -- AXIS output
m_axis_aclk => clk_comlbp, m_axis_aclk => clk_comlbp,
m_axis_tvalid => axis_comlbp_tvalid, m_axis_tvalid => axis_comlbp_tvalid,
...@@ -453,6 +461,50 @@ begin ...@@ -453,6 +461,50 @@ begin
s_axi_s2m => addrmap_i.comlbp_0 s_axi_s2m => addrmap_i.comlbp_0
); );
inst_temp_lbp_fifo : xpm_fifo_axis
generic map (
CLOCKING_MODE => "independent_clock",
FIFO_DEPTH => 64,
RD_DATA_COUNT_WIDTH => 7,
WR_DATA_COUNT_WIDTH => 7,
RELATED_CLOCKS => 0,
TDATA_WIDTH => 32
)
port map (
s_aresetn => pi_payload.m_axi4l_reg_areset_n,
almost_empty_axis => open,
almost_full_axis => open,
dbiterr_axis => open,
sbiterr_axis => open,
prog_empty_axis => open,
prog_full_axis => open,
rd_data_count_axis => open,
wr_data_count_axis => open,
injectdbiterr_axis => '0',
injectsbiterr_axis => '0',
s_aclk => clk_comlbp,
s_axis_tvalid => comlbp_dbg_tvalid,
s_axis_tdata => comlbp_dbg_tdata,
s_axis_tready => open,
s_axis_tdest => (others => '0'),
s_axis_tid => (others => '0'),
s_axis_tkeep => (others => '0'),
s_axis_tlast => '0',
s_axis_tstrb => (others => '0'),
s_axis_tuser => (others => '0'),
m_aclk => pi_payload.m_axi4l_reg_aclk,
m_axis_tdata => comlbp_dbg_sdata,
m_axis_tready => '1',
m_axis_tvalid => comlbp_dbg_svalid
--m_axis_tdest => open,
--m_axis_tid => open,
--m_axis_tkeep => open,
--m_axis_tlast => open,
--m_axis_tstrb => open,
--m_axis_tuser => open
);
-------------------------------- --------------------------------
-- UPSTREAM AXIS INTERCONNECT -- -- UPSTREAM AXIS INTERCONNECT --
...@@ -817,13 +869,11 @@ begin ...@@ -817,13 +869,11 @@ begin
daq_data(0) <= axis_pkt_tx_tdata(31 downto 0); daq_data(0) <= axis_pkt_tx_tdata(31 downto 0);
daq_data(1) <= axis_pkt_tx_tdata(63 downto 32); daq_data(1) <= axis_pkt_tx_tdata(63 downto 32);
daq_data(2) <= axis_pkt_tx_tdata(95 downto 64); daq_data(2) <= axis_pkt_tx_tdata(95 downto 64);
daq_data(3)(15 downto 0) <= axis_comcorr_tdata(31 downto 16); daq_data(3) <= comlbp_dbg_sdata;
daq_data(3)(23 downto 16) <= axis_comcorr_tdata(7 downto 0);
daq_data(3)(31 downto 24) <= axis_comcorr_tuser(7 downto 0);
daq_data(4) <= timeref(31 downto 0); daq_data(4) <= timeref(31 downto 0);
daq_strobe(0) <= axis_pkt_tx_tvalid and axis_pkt_tx_tready; daq_strobe(0) <= axis_pkt_tx_tvalid and axis_pkt_tx_tready;
daq_strobe(1) <= axis_comcorr_tvalid; daq_strobe(1) <= comlbp_dbg_svalid;
daq_stop <= addrmap_o.daq_control.stop.data(0); daq_stop <= addrmap_o.daq_control.stop.data(0);
......
Subproject commit 1364b2a08eea89936a62fa50755a7e04983d6372 Subproject commit dcfe0a021027644849f79a425ac9564da8d0c687
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment