Skip to content
Snippets Groups Projects
Commit 4ecaf56a authored by BRONES Romain's avatar BRONES Romain
Browse files

fix: Multiple drive on QPLL reset

* also fix GT isntance numbering index
parent eb574290
No related branches found
No related tags found
No related merge requests found
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.or_reduce;
library unisim;
use unisim.vcomponents.all;
......@@ -118,6 +119,7 @@ architecture struct of bsp_fmc2zup_payload is
signal comlbp_am2s : t_a_comlbp_m2s;
signal comlbp_as2m : t_a_comlbp_s2m;
signal comlbp_qpllreset : std_logic_vector(3 downto 0);
signal axis_comlbp_sync_tready : std_logic_vector(3 downto 0);
signal axis_comlbp_sync_tvalid : std_logic_vector(3 downto 0);
signal axis_comlbp_sync_tdata : arr_slv(0 to 3)(95 downto 0);
......@@ -339,6 +341,7 @@ begin
qpll1reflost => gth_qpll1reflost
);
------------------------
-- GTYE COMMON (QPLL) --
------------------------
......@@ -371,6 +374,8 @@ begin
qpll1reflost => gty_qpll1reflost
);
gty_qpll1reset <= not or_reduce(comlbp_qpllreset);
------------
-- COMBPM --
------------
......@@ -443,7 +448,7 @@ begin
qpll_clk_in => gty_qpll1outclk,
qpll_refclk_in => gty_qpll1refclk,
qpll_lock_in => gty_qpll1lock,
qpll_reset_out => gty_qpll1reset,
qpll_reset_out => comlbp_qpllreset(I),
qpll_fblost_in => gty_qpll1fblost,
qpll_reflost_in => gty_qpll1reflost,
......
Subproject commit 4153751ac20bfe69e19cd12dc9a0b25030fa783d
Subproject commit 78e8c66cb87c551a78b673345699d9bc49136dd9
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment