diff --git a/hdl/corr_pi.vhd b/hdl/corr_pi.vhd index 02266f03d2fd9121ce1b32c55af8b76e4aa150cd..70aa76a032576b52004a5f63cec9f6df87a57b87 100644 --- a/hdl/corr_pi.vhd +++ b/hdl/corr_pi.vhd @@ -22,6 +22,7 @@ entity corr_pi is corr_ki : std_logic_vector(C_W_COR_KI-1 downto 0); reset_accu : in std_logic; + enable_accu : in std_logic; enable_corr : in std_logic; -- Corr output @@ -84,6 +85,7 @@ begin signal ki_mult_rnd : signed(C_W_COR_MI-C_N_COR_MIRND-1 downto 0); signal corr_sum : signed(C_W_COR_SUM-1 downto 0); signal corr_sum_rnd : signed(C_W_COR_SUM-C_N_COR_RND-1 downto 0); + signal corr : signed(C_W_COR-1 downto 0); begin @@ -94,7 +96,7 @@ begin accu_post <= (others => '0'); ki_mult <= (others => '0'); kp_mult <= (others => '0'); - corrout <= (others => (others => '0')); + corr <= (others => '0'); elsif rising_edge(clk) then ----------------- @@ -107,7 +109,7 @@ begin if reset_accu = '1' then accu_post <= (others => '0'); else - if enable_corr = '1' then + if enable_accu = '1' and matmult_valid = '1' then accu_post <= f_sum_sat(f_sum_sat(accu_post, matmult(I)), accu_pre); end if; end if; @@ -121,10 +123,14 @@ begin ki_mult <= accu_post * signed('0'&corr_ki); kp_mult <= r_matmult * signed('0'&corr_kp); - ------------------------ - -- FINAL MAP REGISTER -- - ------------------------ - corrout(I) <= f_resize_sat(corr_sum_rnd, C_W_COR); + ---------------------- + -- FINAL SATURATION -- + ---------------------- + if enable_corr = '1' then + corr <= f_resize_sat(corr_sum_rnd, C_W_COR); + else + corr <= (others => '0'); + end if; end if; @@ -146,8 +152,13 @@ begin -------------------- -- FINAL ROUNDING -- -------------------- - corr_sum_rnd <= corr_sum(C_W_COR_SUM-1 downto C_N_COR_RND) when corr_sum(C_N_COR_RND-1) = '1' else - f_sum_sat(corr_sum(C_W_COR_SUM-1 downto C_N_COR_RND), to_signed(1,1)); + corr_sum_rnd <= f_resize_lsb(corr_sum, C_W_COR_SUM-C_N_COR_RND) when corr_sum(C_N_COR_RND-1) = '0' else + f_sum_sat(f_resize_lsb(corr_sum, C_W_COR_SUM-C_N_COR_RND), to_signed(1,C_W_COR_SUM-C_N_COR_RND)); + + ------------- + -- MAPPING -- + ------------- + corrout(I) <= corr; end generate; diff --git a/hdl/data_serializer.vhd b/hdl/data_serializer.vhd index b3f4924d7bf26b3245d6984a398e203741826675..040fe00d2440b407e1b5b841578d06004ad5d072 100644 --- a/hdl/data_serializer.vhd +++ b/hdl/data_serializer.vhd @@ -101,7 +101,7 @@ begin elsif rising_edge(clk) then if run_serial = '1' then if m_axis_tready = '1' then - for I in 0 to C_N_MM_PSC-1 loop + for I in 0 to C_N_MM_PSC-2 loop r_corr(I) <= r_corr(I+1); end loop; end if; diff --git a/hdl/matrix_mul.vhd b/hdl/matrix_mul.vhd index 47f2076d85dd9ede867bac33ae90fda4cd401034..e29edbb5639fb9591c4e37901cc151cf8037a726 100644 --- a/hdl/matrix_mul.vhd +++ b/hdl/matrix_mul.vhd @@ -46,7 +46,7 @@ architecture rtl of matrix_mul is -- delay registers signal r_pos_x : signed(pos_x'left downto 0); signal r_pos_y : signed(pos_y'left downto 0); - signal r_seq : arr_slv(0 to 3)(C_W_BPMSEQ-1 downto 0); + signal r_seq : std_logic_vector(C_W_BPMSEQ-1 downto 0); signal r_tvalid : std_logic_vector(3 downto 0); @@ -57,6 +57,7 @@ architecture rtl of matrix_mul is signal id_cnt : unsigned(C_W_MM_IDCNT-1 downto 0); signal new_seq : std_logic; + signal mul_done : std_logic; begin @@ -70,16 +71,15 @@ begin if rst_n = '0' then r_pos_x <= (others => '0'); r_pos_y <= (others => '0'); - r_seq <= (others => (others => '0')); + r_seq <= (others => '1'); r_tvalid <= (others => '0'); elsif rising_edge(clk) then r_pos_x <= pos_x; r_pos_y <= pos_y; - r_seq(0) <= pos_seq; - for I in 1 to r_seq'right loop - r_seq(I) <= r_seq(I-1); - end loop; + if pos_tvalid = '1' then + r_seq <= pos_seq; + end if; r_tvalid <= r_tvalid(r_tvalid'left-1 downto 0) & pos_tvalid; end if; @@ -90,7 +90,8 @@ begin ---------------- -- SEQ DETECT -- ---------------- - new_seq <= '1' when pos_seq /= r_seq(0) else '0'; + new_seq <= pos_tvalid when pos_seq /= r_seq else '0'; + rst_accu <= new_seq; ---------------- -- ID COUNTER -- @@ -99,10 +100,13 @@ begin begin if rst_n = '0' then id_cnt <= (others => '1'); + mul_done <= '0'; elsif rising_edge(clk) then if id_cnt = 0 then id_cnt <= unsigned(id_cnt_load); + mul_done <= '1'; else + mul_done <= '0'; if new_seq= '1' then id_cnt <= unsigned(id_cnt_load); else @@ -213,7 +217,7 @@ begin -------------------- -- OUTPUT CONNECT -- -------------------- - matmult_tvalid <= r_tvalid(3); - matmult_seq <= r_seq(3); + matmult_tvalid <= mul_done; + matmult_seq <= r_seq; end architecture; diff --git a/hdl/orbit_error.vhd b/hdl/orbit_error.vhd index d61278276f9d8e4ef8cb8077a05e4cc0e854d9ac..dccb51a08e487fab4be73851ba4101f477093e81 100644 --- a/hdl/orbit_error.vhd +++ b/hdl/orbit_error.vhd @@ -130,6 +130,8 @@ begin r_bpm_id <= (others => (others => '0')); r_bpm_seq <= (others => (others => '0')); r_tvalid <= (others => '0'); + r_bpm_x <= (others => '0'); + r_bpm_y <= (others => '0'); elsif rising_edge(clk) then r_bpm_id(0) <= bpm_id; for I in 1 to r_bpm_id'right loop @@ -141,6 +143,9 @@ begin r_bpm_seq(I) <= r_bpm_seq(I-1); end loop; + r_bpm_x <= bpm_x; + r_bpm_y <= bpm_y; + r_tvalid <= r_tvalid(r_tvalid'left-1 downto 0) & bpm_tvalid; end if; end process; diff --git a/hdl/pkg_corrmatrixpi.vhd b/hdl/pkg_corrmatrixpi.vhd index 79988bfc32f9e863f30becaf8706aa7e9feca52e..076fc67f56234e6546b9304278049dfc88735e00 100644 --- a/hdl/pkg_corrmatrixpi.vhd +++ b/hdl/pkg_corrmatrixpi.vhd @@ -37,18 +37,18 @@ package pkg_corr_matrixpi is constant C_W_MM : natural := 32; --C_W_MM_ACCU-C_N_MM_SAT-C_N_MM_RND -- PI corrector - constant C_W_COR_KP : natural := 18; - constant C_W_COR_KI : natural := 18; - constant C_W_COR_ACCU : natural := 40; --C_W_MM+...; + constant C_W_COR_KP : natural := 17; + constant C_W_COR_KI : natural := 17; + constant C_W_COR_ACCU : natural := 40; --C_W_MM+ ?to choose? - constant C_W_COR_MP : natural := 40; --C_W_MM+C_W_COR_KP - constant C_W_COR_MI : natural := 58; --C_W_COR_ACCU+C_W_COR_KI + constant C_W_COR_MP : natural := 50; --C_W_MM+C_W_COR_KP+1 + constant C_W_COR_MI : natural := 58; --C_W_COR_ACCU+C_W_COR_KI+1 - constant C_N_COR_MIRND : natural := 18; - constant C_W_COR_SUM : natural := 40; -- max(C_W_COR_MP,C_W_COR_MI-C_N_COR_MIRND) + constant C_N_COR_MIRND : natural := 8; + constant C_W_COR_SUM : natural := 50; -- max(C_W_COR_MP,C_W_COR_MI-C_N_COR_MIRND) constant C_N_COR_SAT : natural := 0; - constant C_N_COR_RND : natural := 24; + constant C_N_COR_RND : natural := 34; constant C_W_COR : natural := 16; -- C_W_COR_SUM-C_N_COR_SAT-C_N_COR_RND diff --git a/hdl/top_corr_matrixpi.vhd b/hdl/top_corr_matrixpi.vhd index 029cdf558773a5979167669a475a719a161b6277..b6d2e17b59dc471ba021ca59dd63bd3bcc1e99c3 100644 --- a/hdl/top_corr_matrixpi.vhd +++ b/hdl/top_corr_matrixpi.vhd @@ -169,7 +169,8 @@ begin corr_ki => mm_a2l.CORR_KI.data.data, reset_accu => mm_a2l.CONTROL.RST_ACC.data(0), - enable_corr => mm_a2l.CONTROL.ENABLE.data(0), + enable_accu => mm_a2l.CONTROL.ENABLE_ACCU.data(0), + enable_corr => mm_a2l.CONTROL.ENABLE_CORR.data(0), -- Corr output corrout_valid => corrout_valid, diff --git a/rdl/corr_matrixpi.rdl b/rdl/corr_matrixpi.rdl index 67803fb1ec59e261187bedf8a6d923820ecdc2fa..21aee76d3832b9ac8e5dfee4126560bb8549bcac 100644 --- a/rdl/corr_matrixpi.rdl +++ b/rdl/corr_matrixpi.rdl @@ -29,8 +29,9 @@ addrmap corr_matrixpi { reg { desc="Global control of the corrector."; - field {sw = rw; hw = r;} ENABLE; + field {sw = rw; hw = r;} ENABLE_CORR; field {sw = rw; hw = r;} RST_ACC; + field {sw = rw; hw = r;} ENABLE_ACCU; } CONTROL; reg { @@ -45,19 +46,19 @@ addrmap corr_matrixpi { reg { desc="Number of ID to count for matrix multiplication."; - field {sw = rw; hw = r;} data[`C_W_MM_IDCNT] = `C_N_MM_BPM-1; + field {sw = rw; hw = r;} data[`C_W_MM_IDCNT] = `C_N_MM_BPM; } MM_ID_CNT; mem { desc = "X Reference orbit."; memwidth = `C_W_BPMPOS; - mementries = 2**`C_W_BPMID-1; + mementries = 2**`C_W_BPMID; } external REFORBITX; mem { desc = "Y Reference orbit."; memwidth = `C_W_BPMPOS; - mementries = 2**`C_W_BPMID-1; + mementries = 2**`C_W_BPMID; } external REFORBITY; mem { @@ -70,7 +71,7 @@ addrmap corr_matrixpi { mem { desc = "Matrix multiplication coefficients."; memwidth = `C_W_MM_COEF; - mementries = `C_N_MM_BPM; + mementries = 2**`C_W_MM_IDCNT; } external MATRIXCOEF[`C_N_MM_PSC]; }; diff --git a/sim/tb_corr_matrixpi.vhd b/sim/tb_corr_matrixpi.vhd index 83dbbe0b4f6cd733636e6f07bcfe352f09e250cc..17bee1269d012418f64832c3d92973384fe08358 100644 --- a/sim/tb_corr_matrixpi.vhd +++ b/sim/tb_corr_matrixpi.vhd @@ -20,7 +20,7 @@ end entity tb_corr_matrixpi; architecture TestHarness of tb_corr_matrixpi is - constant TPERIOD_CLK : time := 4 ns ; + constant TPERIOD_CLK : time := 10 ns ; constant TPD : time := 1 ns ; @@ -210,6 +210,7 @@ begin -- Testbench Transaction Interface TransRec => StreamTxRec ); + tb_axis_tx_tready <= '1'; -- We do not have a TREADY on DUT -- Axi-Stream Verification Manager vc_axis_receiver : AxiStreamReceiver diff --git a/sim/tc_basic.vhd b/sim/tc_basic.vhd index 15ac58650a4445f6cc3dce68dbf1de21dcfc4d49..d0281b923dc765e7c9d24b456af49dc59de8b540 100644 --- a/sim/tc_basic.vhd +++ b/sim/tc_basic.vhd @@ -22,6 +22,11 @@ architecture basic of TestCtrl is return std_logic_vector(to_signed(data, AXI_DATA_WIDTH)); end function; + function f_data(data:natural) return std_logic_vector is + begin + return std_logic_vector(to_unsigned(data, AXI_DATA_WIDTH)); + end function; + function f_bpmpkt(id:natural; x:integer; y:integer) return std_logic_vector is begin return std_logic_vector(to_unsigned(id, C_W_BPMID)) & std_logic_vector(to_signed(x, C_W_BPMPOS)) & std_logic_vector(to_signed(y, C_W_BPMPOS)); @@ -74,18 +79,33 @@ begin WaitForClock(ManagerRec, 2); log("Read version", INFO) ; - Read(ManagerRec, f_addr(0), Data) ; + Read(ManagerRec, f_addr(4), Data) ; AffirmIfEqual(Data, C_VERSION, "Manager Read Data: ") ; log("==--- Configure the DUT ---==", INFO); log("+-- Global Config", INFO); -- Correction coefficients - Write(ManagerRec, f_addr(12), f_sdata(2387)); - Write(ManagerRec, f_addr(16), f_sdata(7353)); + Write(ManagerRec, f_addr(12), f_data(16#0000E641#)); + Write(ManagerRec, f_addr(16), f_data(16#000083B2#)); + + -- Enable + Write(ManagerRec, f_addr(8), f_sdata(5)); -- Set all orbit reference to 0 for I in 0 to C_N_MM_BPM-1 loop - Write(ManagerRec, f_addr(20+I*4), f_sdata(0)); + --Write(ManagerRec, f_addr(16#400#+I*4), f_sdata(0)); + --Write(ManagerRec, f_addr(16#800#+I*4), f_sdata(0)); + end loop; + + -- Set Matrix Coefs, diagonal + for I in 0 to C_N_MM_BPM-1 loop + for J in 0 to C_N_MM_PSC-1 loop + if I = J then + Write(ManagerRec, f_addr(16#E00#+I*512+J*4), f_sdata(32768)); + else + --Write(ManagerRec, f_addr(16#E00#+I*512+J*4), f_sdata(0)); + end if; + end loop; end loop; WaitForBarrier(ConfigDone); @@ -94,9 +114,6 @@ begin WaitForClock(ManagerRec, 10) ; --Write(ManagerRec, std_logic_vector(C_REGISTER_INFO(C_CONFIG_ID).address), X"00000001") ; - -- Wait for outputs to propagate and signal TestDone - WaitForClock(ManagerRec, 2000) ; - WaitForBarrier(TestDone) ; wait ; end process ManagerProc ; @@ -119,12 +136,15 @@ begin WaitForBarrier(ConfigDone) ; log("Sending bpm packets", INFO); - for I in 3 to 124 loop - Send(StreamTxRec, f_bpmpkt(I, -7874+333*I, 5679-1098*I)); + for NTURN in 0 to 3 loop + for I in 3 to 124 loop + Send(StreamTxRec, f_bpmpkt(I, -457874+3833*I, 125679-81098*I), std_logic_vector(to_unsigned(NTURN,8)&'0')); + end loop; + + -- Simulate interpacket delay + WaitForClock(StreamTxRec, 150) ; end loop; - -- Wait for outputs to propagate and signal TestDone - WaitForClock(StreamTxRec, 2) ; WaitForBarrier(TestDone) ; wait ; end process TransmitterProc ; diff --git a/sim/view1.gtkw b/sim/view1.gtkw new file mode 100644 index 0000000000000000000000000000000000000000..827a3c225aabfbef884f7f2477c94305764709f0 --- /dev/null +++ b/sim/view1.gtkw @@ -0,0 +1,92 @@ +[*] +[*] GTKWave Analyzer v3.4.0 (w)1999-2022 BSI +[*] Fri Apr 28 16:49:11 2023 +[*] +[dumpfile] "/home/broucquart/Projects/CellNode/fwk_sim_corr/prj/sim_corr_matrixpi_default/tc_basic.ghw" +[dumpfile_mtime] "Fri Apr 28 16:48:07 2023" +[dumpfile_size] 3005681 +[savefile] "/home/broucquart/Projects/CellNode/fwk_sim_corr/src/corr_matrixpi/sim/view1.gtkw" +[timestart] 3981000000 +[size] 1880 1016 +[pos] -1 -1 +*-30.403778 8630000000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] top. +[treeopen] top.tb_corr_matrixpi. +[treeopen] top.tb_corr_matrixpi.dut. +[treeopen] top.tb_corr_matrixpi.dut.inst_corr_pi.g_corr[99]. +[treeopen] top.tb_corr_matrixpi.dut.inst_data_serializer. +[treeopen] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].inst_coefx_table.memory. +[treeopen] top.tb_corr_matrixpi.dut.inst_orbit_error.inst_refy_table.memory. +[sst_width] 273 +[signals_width] 377 +[sst_expanded] 1 +[sst_vpaned_height] 293 +@28 +top.tb_corr_matrixpi.tb_rst_n +@200 +-AXIS Input +@28 +top.tb_corr_matrixpi.tb_axis_tx_tvalid +@22 +#{top.tb_corr_matrixpi.tb_axis_tx_tdata[71:0]} top.tb_corr_matrixpi.tb_axis_tx_tdata[71] top.tb_corr_matrixpi.tb_axis_tx_tdata[70] top.tb_corr_matrixpi.tb_axis_tx_tdata[69] top.tb_corr_matrixpi.tb_axis_tx_tdata[68] top.tb_corr_matrixpi.tb_axis_tx_tdata[67] top.tb_corr_matrixpi.tb_axis_tx_tdata[66] top.tb_corr_matrixpi.tb_axis_tx_tdata[65] top.tb_corr_matrixpi.tb_axis_tx_tdata[64] top.tb_corr_matrixpi.tb_axis_tx_tdata[63] top.tb_corr_matrixpi.tb_axis_tx_tdata[62] top.tb_corr_matrixpi.tb_axis_tx_tdata[61] top.tb_corr_matrixpi.tb_axis_tx_tdata[60] top.tb_corr_matrixpi.tb_axis_tx_tdata[59] top.tb_corr_matrixpi.tb_axis_tx_tdata[58] top.tb_corr_matrixpi.tb_axis_tx_tdata[57] top.tb_corr_matrixpi.tb_axis_tx_tdata[56] top.tb_corr_matrixpi.tb_axis_tx_tdata[55] top.tb_corr_matrixpi.tb_axis_tx_tdata[54] top.tb_corr_matrixpi.tb_axis_tx_tdata[53] top.tb_corr_matrixpi.tb_axis_tx_tdata[52] top.tb_corr_matrixpi.tb_axis_tx_tdata[51] top.tb_corr_matrixpi.tb_axis_tx_tdata[50] top.tb_corr_matrixpi.tb_axis_tx_tdata[49] top.tb_corr_matrixpi.tb_axis_tx_tdata[48] top.tb_corr_matrixpi.tb_axis_tx_tdata[47] top.tb_corr_matrixpi.tb_axis_tx_tdata[46] top.tb_corr_matrixpi.tb_axis_tx_tdata[45] top.tb_corr_matrixpi.tb_axis_tx_tdata[44] top.tb_corr_matrixpi.tb_axis_tx_tdata[43] top.tb_corr_matrixpi.tb_axis_tx_tdata[42] top.tb_corr_matrixpi.tb_axis_tx_tdata[41] top.tb_corr_matrixpi.tb_axis_tx_tdata[40] top.tb_corr_matrixpi.tb_axis_tx_tdata[39] top.tb_corr_matrixpi.tb_axis_tx_tdata[38] top.tb_corr_matrixpi.tb_axis_tx_tdata[37] top.tb_corr_matrixpi.tb_axis_tx_tdata[36] top.tb_corr_matrixpi.tb_axis_tx_tdata[35] top.tb_corr_matrixpi.tb_axis_tx_tdata[34] top.tb_corr_matrixpi.tb_axis_tx_tdata[33] top.tb_corr_matrixpi.tb_axis_tx_tdata[32] top.tb_corr_matrixpi.tb_axis_tx_tdata[31] top.tb_corr_matrixpi.tb_axis_tx_tdata[30] top.tb_corr_matrixpi.tb_axis_tx_tdata[29] top.tb_corr_matrixpi.tb_axis_tx_tdata[28] top.tb_corr_matrixpi.tb_axis_tx_tdata[27] top.tb_corr_matrixpi.tb_axis_tx_tdata[26] top.tb_corr_matrixpi.tb_axis_tx_tdata[25] top.tb_corr_matrixpi.tb_axis_tx_tdata[24] top.tb_corr_matrixpi.tb_axis_tx_tdata[23] top.tb_corr_matrixpi.tb_axis_tx_tdata[22] top.tb_corr_matrixpi.tb_axis_tx_tdata[21] top.tb_corr_matrixpi.tb_axis_tx_tdata[20] top.tb_corr_matrixpi.tb_axis_tx_tdata[19] top.tb_corr_matrixpi.tb_axis_tx_tdata[18] top.tb_corr_matrixpi.tb_axis_tx_tdata[17] top.tb_corr_matrixpi.tb_axis_tx_tdata[16] top.tb_corr_matrixpi.tb_axis_tx_tdata[15] top.tb_corr_matrixpi.tb_axis_tx_tdata[14] top.tb_corr_matrixpi.tb_axis_tx_tdata[13] top.tb_corr_matrixpi.tb_axis_tx_tdata[12] top.tb_corr_matrixpi.tb_axis_tx_tdata[11] top.tb_corr_matrixpi.tb_axis_tx_tdata[10] top.tb_corr_matrixpi.tb_axis_tx_tdata[9] top.tb_corr_matrixpi.tb_axis_tx_tdata[8] top.tb_corr_matrixpi.tb_axis_tx_tdata[7] top.tb_corr_matrixpi.tb_axis_tx_tdata[6] top.tb_corr_matrixpi.tb_axis_tx_tdata[5] top.tb_corr_matrixpi.tb_axis_tx_tdata[4] top.tb_corr_matrixpi.tb_axis_tx_tdata[3] top.tb_corr_matrixpi.tb_axis_tx_tdata[2] top.tb_corr_matrixpi.tb_axis_tx_tdata[1] top.tb_corr_matrixpi.tb_axis_tx_tdata[0] +@200 +-ORBIT ERROR +@420 +#{top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[31:0]} top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[31] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[30] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[29] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[28] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[27] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[26] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[25] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[24] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[23] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[22] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[21] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[20] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[19] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[18] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[17] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[16] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[15] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[14] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[13] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[12] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[11] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[10] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[9] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[8] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[7] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[6] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[5] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[4] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[3] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[2] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[1] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_x[0] +#{top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[31:0]} top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[31] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[30] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[29] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[28] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[27] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[26] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[25] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[24] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[23] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[22] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[21] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[20] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[19] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[18] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[17] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[16] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[15] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[14] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[13] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[12] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[11] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[10] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[9] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[8] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[7] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[6] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[5] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[4] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[3] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[2] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[1] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_y[0] +@22 +#{top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_id[7:0]} top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_id[7] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_id[6] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_id[5] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_id[4] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_id[3] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_id[2] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_id[1] top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_id[0] +@28 +top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_tvalid +@22 +#{top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[31:0]} top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[31] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[30] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[29] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[28] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[27] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[26] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[25] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[24] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[23] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[22] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[21] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[20] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[19] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[18] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[17] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[16] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[15] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[14] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[13] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[12] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[11] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[10] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[9] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[8] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[7] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[6] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[5] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[4] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[3] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[2] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[1] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refx[0] +#{top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[31:0]} top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[31] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[30] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[29] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[28] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[27] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[26] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[25] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[24] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[23] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[22] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[21] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[20] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[19] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[18] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[17] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[16] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[15] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[14] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[13] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[12] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[11] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[10] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[9] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[8] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[7] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[6] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[5] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[4] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[3] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[2] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[1] top.tb_corr_matrixpi.dut.inst_orbit_error.table_refy[0] +@420 +#{top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[23:0]} top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[23] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[22] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[21] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[20] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[19] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[18] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[17] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[16] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[15] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[14] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[13] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[12] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[11] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[10] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[9] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[8] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[7] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[6] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[5] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[4] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[3] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[2] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[1] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[0] +#{top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[23:0]} top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[23] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[22] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[21] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[20] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[19] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[18] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[17] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[16] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[15] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[14] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[13] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[12] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[11] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[10] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[9] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[8] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[7] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[6] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[5] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[4] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[3] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[2] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[1] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[0] +@22 +#{top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[7:0]} top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[7] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[6] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[5] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[4] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[3] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[2] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[1] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[0] +@28 +top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_tvalid +@200 +-Matrix Mul +@420 +#{top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[48:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[48] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[47] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[46] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[45] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[44] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[43] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[42] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[41] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[40] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[39] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[38] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[37] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[36] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[35] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[34] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[33] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[32] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[31] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[30] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[29] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[28] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[27] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[26] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[25] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[24] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[23] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[22] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[21] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[20] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[19] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[18] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[17] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[16] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[15] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[14] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[13] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[12] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[11] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[10] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[9] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[8] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[7] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[6] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[5] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[4] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[3] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[2] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[1] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[0] +#{top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[48:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[48] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[47] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[46] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[45] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[44] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[43] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[42] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[41] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[40] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[39] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[38] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[37] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[36] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[35] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[34] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[33] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[32] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[31] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[30] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[29] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[28] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[27] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[26] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[25] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[24] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[23] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[22] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[21] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[20] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[19] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[18] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[17] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[16] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[15] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[14] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[13] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[12] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[11] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[10] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[9] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[8] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[7] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[6] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[5] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[4] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[3] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[2] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[1] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[0] +#{top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[48:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[48] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[47] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[46] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[45] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[44] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[43] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[42] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[41] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[40] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[39] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[38] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[37] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[36] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[35] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[34] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[33] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[32] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[31] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[30] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[29] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[28] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[27] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[26] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[25] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[24] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[23] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[22] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[21] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[20] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[19] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[18] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[17] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[16] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[15] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[14] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[13] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[12] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[11] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[10] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[9] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[8] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[7] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[6] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[5] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[4] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[3] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[2] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[1] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[0] +#{top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[48:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[48] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[47] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[46] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[45] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[44] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[43] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[42] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[41] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[40] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[39] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[38] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[37] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[36] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[35] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[34] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[33] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[32] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[31] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[30] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[29] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[28] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[27] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[26] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[25] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[24] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[23] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[22] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[21] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[20] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[19] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[18] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[17] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[16] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[15] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[14] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[13] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[12] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[11] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[10] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[9] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[8] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[7] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[6] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[5] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[4] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[3] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[2] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[1] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[0] +#{top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][31:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][31] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][30] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][29] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][28] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][27] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][26] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][25] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][24] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][23] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][22] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][21] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][20] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][19] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][18] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][17] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][16] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][15] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][14] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][13] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][12] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][11] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][10] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][9] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][8] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][7] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][6] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][5] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][4] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][3] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][2] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][1] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][0] +#{top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][31:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][31] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][30] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][29] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][28] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][27] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][26] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][25] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][24] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][23] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][22] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][21] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][20] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][19] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][18] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][17] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][16] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][15] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][14] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][13] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][12] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][11] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][10] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][9] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][8] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][7] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][6] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][5] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][4] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][3] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][2] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][1] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][0] +#{top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][31:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][31] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][30] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][29] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][28] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][27] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][26] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][25] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][24] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][23] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][22] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][21] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][20] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][19] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][18] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][17] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][16] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][15] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][14] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][13] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][12] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][11] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][10] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][9] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][8] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][7] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][6] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][5] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][4] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][3] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][2] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][1] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][0] +#{top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][31:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][31] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][30] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][29] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][28] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][27] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][26] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][25] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][24] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][23] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][22] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][21] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][20] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][19] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][18] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][17] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][16] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][15] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][14] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][13] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][12] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][11] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][10] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][9] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][8] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][7] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][6] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][5] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][4] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][3] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][2] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][1] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[97][0] +#{top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][31:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][31] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][30] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][29] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][28] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][27] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][26] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][25] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][24] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][23] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][22] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][21] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][20] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][19] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][18] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][17] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][16] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][15] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][14] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][13] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][12] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][11] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][10] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][9] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][8] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][7] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][6] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][5] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][4] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][3] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][2] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][1] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[98][0] +#{top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][31:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][31] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][30] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][29] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][28] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][27] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][26] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][25] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][24] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][23] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][22] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][21] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][20] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][19] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][18] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][17] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][16] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][15] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][14] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][13] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][12] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][11] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][10] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][9] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][8] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][7] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][6] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][5] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][4] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][3] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][2] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][1] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[99][0] +@28 +top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult_tvalid +top.tb_corr_matrixpi.dut.inst_matrix_mul.ena_accu +top.tb_corr_matrixpi.dut.inst_matrix_mul.new_seq +@24 +#{top.tb_corr_matrixpi.dut.inst_matrix_mul.id_cnt[6:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.id_cnt[6] top.tb_corr_matrixpi.dut.inst_matrix_mul.id_cnt[5] top.tb_corr_matrixpi.dut.inst_matrix_mul.id_cnt[4] top.tb_corr_matrixpi.dut.inst_matrix_mul.id_cnt[3] top.tb_corr_matrixpi.dut.inst_matrix_mul.id_cnt[2] top.tb_corr_matrixpi.dut.inst_matrix_mul.id_cnt[1] top.tb_corr_matrixpi.dut.inst_matrix_mul.id_cnt[0] +@200 +-Corr PI +@28 +top.tb_corr_matrixpi.dut.inst_corr_pi.reset_accu +top.tb_corr_matrixpi.dut.inst_corr_pi.enable_corr +@420 +#{top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][15:0]} top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][15] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][14] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][13] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][12] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][11] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][10] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][9] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][8] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][7] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][6] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][5] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][4] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][3] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][2] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][1] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[0][0] +#{top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][15:0]} top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][15] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][14] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][13] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][12] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][11] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][10] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][9] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][8] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][7] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][6] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][5] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][4] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][3] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][2] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][1] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[1][0] +#{top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][15:0]} top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][15] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][14] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][13] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][12] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][11] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][10] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][9] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][8] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][7] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][6] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][5] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][4] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][3] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][2] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][1] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[2][0] +#{top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][15:0]} top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][15] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][14] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][13] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][12] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][11] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][10] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][9] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][8] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][7] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][6] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][5] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][4] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][3] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][2] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][1] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[97][0] +#{top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][15:0]} top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][15] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][14] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][13] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][12] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][11] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][10] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][9] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][8] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][7] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][6] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][5] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][4] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][3] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][2] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][1] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[98][0] +#{top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][15:0]} top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][15] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][14] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][13] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][12] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][11] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][10] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][9] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][8] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][7] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][6] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][5] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][4] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][3] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][2] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][1] top.tb_corr_matrixpi.dut.inst_corr_pi.corrout[99][0] +@28 +top.tb_corr_matrixpi.dut.inst_corr_pi.corrout_valid +@200 +-SERIALIZER +@28 +top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tvalid +top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tready +@23 +#{top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[23:0]} top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[23] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[22] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[21] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[20] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[19] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[18] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[17] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[16] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[15] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[14] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[13] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[12] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[11] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[10] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[9] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[8] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[7] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[6] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[5] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[4] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[3] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[2] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[1] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[0] +[pattern_trace] 1 +[pattern_trace] 0