diff --git a/sim/bpmdata.txt b/sim/bpmdata.txt new file mode 100644 index 0000000000000000000000000000000000000000..063b3870f64d7dd95f83d0eb15ea3bbae89624cd --- /dev/null +++ b/sim/bpmdata.txt @@ -0,0 +1,200 @@ +294077 110959 -103942 -248978 900617 994154 709662 56258 523391 -424485 171600 -403169 295912 -296827 -6609 -986670 408302 870973 875824 -800392 551325 -487657 -746324 959654 479605 -402647 -120903 26269 -729581 596857 -167494 -728206 537688 -751368 -72460 -570439 -117601 294609 932397 -506957 -118160 891820 496835 1093020 354672 -478634 802764 -648956 142665 -66228 529116 777370 -201614 900314 721069 -850260 -97731 615107 930702 -746060 -975446 -154213 61646 372908 177149 65003 551022 -690024 -676106 -911799 -741133 748792 -383079 -679619 -35523 211588 -955624 -144154 -921818 44249 -743205 410677 -375520 -172546 -946624 -864769 -544996 -477828 -267568 -387396 56714 -539347 -800927 548819 26429 516921 -256627 739605 283653 -190732 509562 -888986 -1047331 -813468 -429360 -285931 -105486 -39146 646817 -157499 -736427 -720994 -514780 96086 28155 576020 466412 465203 865772 -1056805 -764271 -557279 83463 767597 -261872 364431 790253 -545798 349443 -650064 344539 -417839 -1010686 -666229 -1044657 492914 -373914 5728 -821092 -118970 805571 -406867 -311406 -430324 -550055 900631 -454253 284923 -697033 355186 -857567 -520400 583009 -599884 -21819 66710 -874837 -790859 -460368 -566064 -329406 -239004 -507538 523027 -945043 81225 675343 584 -345083 55355 -265848 886822 918353 -763782 695209 -655309 569595 721448 -399165 407750 331171 63710 833187 978040 -397131 595645 804620 -847626 109826 901275 -695182 -822152 -468755 154682 277060 729412 -623101 -1057182 -684275 -1554 401913 200558 -10870 35602 -56708 -581654 257231 -193581 55502 -609691 794642 -486581 290669 939820 -544412 916630 -122375 -915190 241780 311934 297352 317006 -588759 975632 -499418 721592 -12122 -107088 84168 575789 528467 -745150 696463 20517 -487855 395404 1029342 331444 668162 449170 742210 299385 821415 132310 +300723 158270 -82432 -208179 906372 1022963 693367 -22850 502329 -368484 171496 -557720 257375 -290723 317 -995942 369287 811022 872142 -631414 519953 -474791 -739128 940588 496155 -339704 -158871 -4693 -657343 612661 -96573 -807071 542076 -764647 -27612 -699552 -154955 325649 922359 -554875 -103253 804747 537234 961793 357990 -511879 803641 -551301 139749 -26215 540667 629200 -335045 900622 676409 -845771 -217635 622331 1002273 -771993 -773102 -208855 115397 398751 162296 130592 531022 -649252 -638766 -911171 -598899 862073 -356207 -694575 -9599 193854 -917038 -145109 -911100 -7612 -725546 429037 -298226 -133274 -928204 -729686 -551989 -460977 -267087 -451601 80851 -652272 -832826 446801 28356 440803 -405552 706034 270277 -195252 568040 -864611 -953591 -810657 -426386 -403705 -68233 11204 677778 -233536 -733367 -705956 -632409 101091 -73446 599470 473060 475983 827333 -1006220 -841683 -682712 146559 792805 -227867 342995 641653 -591588 186912 -781995 434171 -575968 -990305 -657195 -865984 376955 -411629 65181 -823455 -78085 780130 -480294 -233784 -414538 -556878 930728 -460690 268603 -703904 454606 -792486 -510031 478668 -621028 -76028 135730 -902528 -782613 -465491 -486864 -343012 -245705 -514216 491035 -868275 8424 608057 69300 -260389 246900 -301740 738767 893068 -709497 671360 -696445 650958 698432 -249830 428990 371556 46890 808615 924618 -346882 588577 806764 -878963 63448 857459 -706139 -821487 -454302 123354 259815 715201 -570031 -961864 -621223 -108867 404448 239234 -62112 -45233 -60874 -618963 95627 -201642 114762 -620009 820460 -513909 243580 914605 -453797 1003421 31762 -1003324 145054 401564 266882 338365 -583756 931987 -486455 781000 -101986 -61515 108833 573070 621946 -736264 669548 -41271 -509274 353935 1075854 354802 666928 402288 654316 308545 738055 153087 +295497 119413 -65725 -125777 901815 1042894 699847 -39283 488324 -393896 222376 -629673 308700 -310172 -6150 -964580 425381 835978 877743 -513058 505614 -462795 -761377 853637 530028 -394580 -228963 -5413 -594192 596429 -56543 -832691 502988 -773896 -44456 -750188 -152856 274103 926974 -584852 -134409 661033 572377 859162 516075 -509134 741050 -457692 135380 -33856 541736 589147 -463670 866621 661216 -835322 -296302 632845 1048595 -761117 -592538 -304505 126390 405580 112954 192563 510937 -648681 -602596 -889765 -613175 978825 -316626 -672344 -36274 155178 -865000 -241434 -898147 -10923 -704826 370392 -263711 -39137 -930735 -618553 -526969 -484665 -244848 -495120 53931 -788281 -896590 368386 112018 460045 -423068 677634 239907 -196804 551128 -864713 -861337 -795240 -429682 -496660 -91957 33174 711190 -289126 -729560 -688697 -728048 84664 -87792 588253 501119 488905 850769 -949679 -880862 -801231 184228 838168 -204458 383979 513834 -615534 152189 -922484 499128 -657038 -998752 -697654 -823407 392887 -484046 7002 -818602 -162581 753655 -464174 -104919 -419803 -405451 949927 -448663 278470 -690259 566582 -694788 -479806 476426 -621898 -102199 124461 -953229 -804637 -492299 -339935 -354776 -241462 -529017 447577 -829675 -150189 656494 89872 -237969 386572 -285321 641732 896524 -578896 692499 -676812 639388 688659 -250890 448545 369439 25663 824132 863432 -292504 524243 736405 -882689 -5614 910589 -693850 -826193 -453478 103441 211407 652569 -526304 -880085 -613164 -76222 409726 287660 -104312 -122228 -62017 -609408 -1185 -153102 141682 -670186 754121 -511352 174708 851062 -356261 1011833 106673 -1030937 81594 431055 245983 170269 -582284 831902 -496200 805822 -91423 -66073 138015 555717 534650 -694989 607666 1109 -551448 296708 985860 414507 663303 383112 604390 405902 665058 46399 +296355 73612 -66322 -130709 906272 1015473 650700 -2465 487317 -462702 257561 -556146 311101 -275966 -24421 -950027 382520 784325 876973 -575623 488562 -456331 -769909 741125 499397 -479360 -298384 13002 -582346 532181 -73142 -778477 491716 -748133 -99895 -746575 -175346 165810 950181 -575231 -83937 639035 584629 762203 569369 -471022 749745 -395459 137883 -43157 527835 694170 -290325 824851 622249 -840766 -285918 628638 987242 -769862 -686307 -393898 181286 404827 42198 174376 490760 -608739 -573016 -898534 -754938 1022677 -264786 -663812 -21510 125772 -834247 -331156 -883297 -24249 -669480 362472 -256901 70117 -940246 -621724 -539492 -452985 -214203 -529270 46566 -785933 -922055 354831 176611 493397 -274799 675357 253365 -187409 606814 -856944 -887565 -768333 -425052 -457705 -19578 -5207 698855 -340502 -776404 -653142 -774529 91165 -87400 592706 540121 495661 837208 -888128 -844113 -779837 228705 878619 -190399 363733 550906 -599550 239205 -985437 461400 -578328 -959914 -714565 -973660 529857 -469968 -56371 -821004 -257801 788544 -389738 -54866 -421524 -212456 964543 -503982 269972 -611996 606694 -725643 -501077 553157 -642925 -147871 33812 -932979 -863016 -491449 -239433 -357347 -246189 -562070 405965 -851076 -181273 710467 83949 -351550 301805 -225491 697060 886993 -502606 710488 -669448 676135 667717 -447849 469074 337194 20365 846209 801469 -255597 485635 681582 -888296 -67670 1047122 -681277 -859535 -458934 69054 146750 563134 -424602 -814674 -566965 34463 407195 357228 -17276 -178055 -114533 -612118 57072 -190430 242598 -683900 668390 -479924 130255 744568 -313435 967587 38706 -1021540 93294 409396 188819 99005 -631004 732527 -505487 771907 5216 -70579 161763 559981 414508 -665280 533468 8107 -614528 238078 853377 443625 663833 482559 548845 510776 639893 70602 +292165 55986 -77980 -191543 910128 995363 650116 77540 494516 -574488 255761 -357519 387982 -238280 -44358 -925770 372446 842281 876806 -739144 500403 -459055 -759651 680683 497187 -536538 -345095 29544 -629108 466688 -134835 -736082 490022 -773171 -151943 -658439 -195135 63227 934409 -529450 -100700 752269 641844 761638 457676 -399476 748007 -396017 131904 -49188 529642 853020 -108439 808847 557240 -829406 -190957 638314 916573 -750637 -899670 -367638 194569 428481 -28932 89868 471506 -585382 -556067 -904627 -922437 941059 -209957 -655061 8877 121170 -859455 -272499 -874214 30747 -622545 374113 -333598 107911 -956320 -759023 -530090 -469660 -173180 -471227 18505 -626205 -871404 404312 200981 474677 -171203 682482 254379 -174928 603781 -894842 -998165 -713989 -431470 -356388 -47513 -28493 660768 -373058 -756387 -610075 -750883 94007 -27853 571203 584182 495398 899292 -831650 -746612 -649386 280932 904640 -185219 380331 674452 -553618 405702 -951002 390218 -466055 -991053 -742124 -1133122 644432 -388286 -93449 -815051 -360433 772847 -330799 -133138 -419179 -287219 962171 -475427 264266 -609233 554943 -837300 -496976 677283 -656161 -165724 -45705 -907980 -944035 -505099 -244091 -355629 -242160 -572601 369027 -919422 -69097 808842 103831 -433985 93651 -160509 840919 881129 -486025 784381 -655276 698804 641261 -596004 489616 314120 7420 877541 758234 -259685 444384 697775 -905578 -95704 1121156 -658168 -842084 -500770 47373 100390 510899 -359388 -843935 -532043 183528 410657 380957 80734 -181765 -75956 -555420 186858 -160322 304729 -712331 606261 -466312 84626 795558 -329177 835010 -88640 -915695 179549 326875 128196 165379 -592999 850578 -486474 768030 118222 -47891 189141 565511 356326 -629309 482152 51988 -661660 204729 785636 411184 667144 507102 554400 542896 672334 92353 +290001 114372 -90083 -238162 896878 980483 646487 151923 506781 -648154 215627 -392150 374955 -191154 -75833 -902135 392417 812123 876525 -798459 525584 -451196 -767565 702443 495586 -540213 -374842 20360 -703605 445068 -204799 -724787 515952 -781090 -150226 -563700 -214203 43803 962365 -485457 -62039 881134 685639 872804 405105 -320134 738250 -482034 131548 -87941 544309 945281 -109521 833400 566848 -833036 -71523 643965 862271 -720049 -1002670 -288280 191703 436210 -80840 58850 452970 -548856 -554508 -877957 -1019817 815865 -161231 -646249 25021 150438 -898117 -175417 -858846 47881 -625168 350363 -362115 87070 -951707 -884592 -535918 -428543 -165904 -373918 -16059 -530295 -819242 467473 121166 487620 -243688 688555 241372 -183670 607895 -870619 -1011769 -705347 -433369 -276470 -64347 -36248 602201 -331120 -785571 -593620 -664409 98444 42452 585798 630820 486633 925575 -788564 -684979 -546416 315473 917956 -185306 365797 835802 -496855 479273 -827437 298938 -355509 -1004587 -778552 -1093483 630972 -341085 -116162 -807826 -350545 758413 -313777 -265063 -413192 -468127 946379 -519430 248585 -579392 439218 -910086 -537258 806619 -673259 -192977 -49938 -870990 -1029797 -495316 -363733 -351140 -240285 -596223 352411 -999997 102033 892183 84184 -419848 14045 -133273 931503 867254 -586989 798859 -621123 729233 665933 -547166 471808 330441 -1515 840154 744816 -317669 436051 776932 -897653 -78231 990943 -669749 -826190 -528703 22006 88985 524185 -315765 -942184 -489420 216852 409311 367815 139726 -137275 -41802 -495804 292709 -189955 343786 -773951 672296 -466803 100214 886976 -415563 740327 -196985 -863564 281041 280520 90155 330949 -569071 969729 -464873 720286 110271 -28029 213687 565938 434074 -669936 467350 71104 -638344 220828 865416 350762 676377 446091 516810 476723 734683 137934 +276441 155402 -97469 -198409 898817 958586 646220 212571 519525 -665291 169309 -550720 356339 -157817 -52795 -863398 394511 834534 875525 -640452 559552 -466817 -757218 778777 517679 -478471 -381436 35634 -762847 459934 -237776 -773610 511178 -767265 -109429 -552681 -227821 107555 948998 -478625 -115831 868782 725097 1031317 355152 -245129 768751 -578943 126271 -100931 539124 889109 -228167 864155 546930 -793055 -4996 640822 799045 -689878 -831407 -198568 158232 418960 -99461 124202 436630 -517488 -568326 -916745 -883183 746333 -119758 -641330 7209 190325 -952198 -133904 -856403 8622 -644552 341057 -348025 5226 -936187 -928445 -525342 -425787 -165700 -404950 -99071 -614153 -808224 524509 29523 503963 -397754 711953 245683 -166757 632156 -899037 -950084 -675533 -419486 -328794 -49765 6397 547010 -262553 -796027 -568328 -574172 92375 85390 582726 665900 497636 939641 -782911 -680046 -577721 330969 905943 -198390 351801 797545 -452311 416375 -641007 274837 -328709 -965981 -845761 -926707 543780 -301825 -97542 -812014 -295738 763892 -369029 -313086 -428598 -595184 921436 -555674 227752 -553219 349875 -870965 -566393 864377 -666754 -195834 29352 -896985 -1110483 -497733 -497196 -340813 -240236 -575257 347400 -1056320 123544 906087 59339 -287368 141254 -158848 886866 878982 -695199 790242 -629525 737010 687341 -378004 496321 362315 -9306 864719 808450 -368380 416433 818338 -883084 -22442 841622 -647802 -817445 -518525 -259 111906 646555 -299285 -1041704 -509918 178039 406373 327946 107311 -45055 -79686 -442686 351719 -117779 361137 -779975 790992 -505003 113593 944332 -520941 662413 -78513 -823256 363649 307726 60236 344490 -560655 939823 -475095 773953 41321 -5469 239094 588120 589264 -740262 508588 49650 -617865 277947 988581 331457 673152 383569 557984 362267 813524 125894 +293094 106130 -91440 -130488 911067 961081 656578 207370 507570 -600971 170896 -643761 357654 -125321 -103329 -855799 406635 800516 873887 -515991 598544 -469139 -772051 868456 516825 -383084 -375375 36245 -769559 521748 -214060 -857131 527312 -775482 -51558 -619870 -247130 212134 947571 -509807 -101875 734996 765525 1097230 461187 -197404 802460 -657997 124291 -120476 540796 723269 -393035 903021 583640 -813859 -29984 642164 770636 -690272 -619833 -146721 127970 424721 -78350 196583 422433 -514570 -596243 -921691 -728568 789029 -90786 -644334 30407 214369 -958499 -225264 -845566 -14471 -670225 353194 -324105 -99537 -920599 -831644 -534437 -432714 -183154 -487324 -122217 -767119 -857943 629400 7982 466737 -435253 755405 242407 -162188 639311 -843380 -830429 -669126 -438907 -436895 -46682 30496 480214 -170550 -683184 -542777 -443255 91160 60052 581883 683834 492282 955608 -776966 -758391 -715435 325970 854830 -219895 329937 642108 -421736 256036 -666527 321326 -439281 -998461 -863941 -807108 395774 -255289 -54537 -791385 -166211 764242 -451633 -227911 -452552 -484468 892912 -550832 226552 -549682 341581 -756099 -511839 801500 -628758 -200627 122607 -909173 -1151751 -509793 -578344 -336123 -244351 -556179 360560 -1060375 -9629 848611 6917 -278870 325951 -222331 737267 861963 -740440 697708 -627014 701752 695134 -223340 504526 368801 -10741 814364 880726 -419751 417504 767167 -859124 47426 870170 -636480 -827242 -515230 -15683 174380 723529 -326001 -1126614 -488298 79999 412004 254265 35774 17671 -87493 -366032 258984 -138253 342094 -842628 809347 -512867 162084 890617 -582901 628709 54606 -845632 413305 376266 18920 176949 -577540 846902 -493188 751267 -95328 -12104 262228 633457 607244 -718948 579172 15297 -550196 346275 1091946 370527 674833 373736 604266 293643 877611 75040 +293844 112513 -70046 -124604 910317 980577 665762 132680 508686 -491072 218045 -530238 316184 -45236 -79639 -842950 386263 841508 875008 -536102 645388 -490729 -714593 956684 554163 -359811 -342617 41293 -718131 578534 -147994 -827766 524604 -756973 -29781 -735519 -257443 304412 917753 -558655 -85952 639514 762630 1057907 556034 -160645 776453 -676059 112732 -119297 543697 594870 -391065 912046 598246 -774566 -133461 645775 818938 -676239 -632508 -211865 108516 438970 -24745 169100 410729 -493464 -632697 -891389 -581493 923850 -82165 -627685 34538 215413 -932058 -294717 -838223 -8153 -710924 335211 -229507 -170255 -936206 -704730 -528592 -429243 -202726 -545865 -206901 -803241 -915806 622514 84381 441262 -312976 797744 247395 -173058 640135 -884235 -876938 -674364 -434699 -510584 -40099 33963 455982 -114838 -718333 -554758 -362126 107119 23814 558034 682466 487007 965717 -817035 -850093 -811952 310105 814228 -247953 328099 504733 -434784 165002 -732457 424434 -563711 -1015144 -845652 -954560 387275 -256138 28625 -788848 -117292 825178 -460323 -100640 -442059 -253314 861201 -585989 216961 -575096 425288 -743909 -530643 709961 -610745 -197705 141314 -949648 -1156274 -502726 -535286 -332474 -237930 -548148 392856 -1005121 -129288 755319 -11523 -312744 364586 -283079 642407 859865 -728716 670641 -635393 677538 724423 -260190 505155 335693 -3491 796740 959837 -394546 426847 692163 -836632 101824 971495 -627733 -853411 -482544 -36019 231153 741572 -359044 -1140337 -544326 -77552 408045 213663 -37457 127297 -89851 -347454 101846 -145470 274542 -870459 734700 -503177 222989 799717 -548721 715837 85230 -930215 437534 424080 -24315 75555 -585862 789785 -499550 790206 -99985 -6523 282907 647569 493064 -720822 661171 -9229 -524939 372624 1003097 423843 677721 422448 646404 322455 894586 57095 +290201 81548 -105192 -187722 912910 972397 617377 53168 510613 -402764 263560 -409902 311491 -16305 -128778 -826607 428691 833591 877732 -724903 688456 -505018 -758272 941371 554822 -416746 -286314 65726 -642910 624514 -80601 -763935 505814 -755218 -68625 -762920 -278950 321635 941402 -585655 -122224 683152 760924 925113 526170 -163002 778391 -627279 121025 -117073 555099 608583 -175795 873757 608868 -804884 -248966 647444 879967 -631897 -846927 -324707 62845 380695 47446 96217 402039 -501609 -669137 -910490 -625957 999039 -83109 -625879 3446 182946 -883316 -309822 -835401 -34757 -740114 382545 -258608 -150859 -957081 -604332 -545631 -449497 -241794 -447813 -206323 -637570 -912565 558405 163041 476437 -172235 840446 279309 -177116 647403 -885743 -992118 -744349 -430725 -447311 -23794 -9006 436848 -109268 -789715 -577110 -419704 85846 -44232 542932 655566 471028 959693 -865431 -881419 -758340 278017 767655 -276157 341644 524348 -475425 194579 -878756 488147 -658594 -1009169 -777534 -1119347 498005 -299832 41989 -786622 -141550 743097 -439266 -58673 -441527 -203267 832506 -586712 220849 -633415 542443 -705548 -500177 570594 -606549 -155174 69590 -929844 -1122272 -518551 -409373 -326128 -236754 -541158 433673 -922673 -186242 693270 -12194 -403775 177616 -303182 692253 859113 -620465 675616 -648862 706082 713595 -443451 503908 313694 1341 855845 974682 -344773 425061 684600 -809099 118028 1065024 -644038 -862853 -466561 -46580 266218 665156 -425832 -1089174 -589034 -71288 402863 221864 -62775 176755 -63860 -327640 -1923 -165044 223985 -912919 651811 -482914 309026 766289 -468894 747020 -14094 -998503 383390 413083 -23762 175725 -573682 804558 -497069 751106 -42563 9971 304706 671149 361470 -665776 713972 25618 -493431 383826 844882 436194 677242 477644 741610 425989 853856 134180 +290761 93085 -92620 -234083 917017 1003164 640391 -17285 502120 -372944 261328 -384765 301839 31215 -140750 -832888 367757 811631 871580 -826220 732460 -519429 -767247 889812 534832 -478582 -222476 58945 -588091 607316 -55526 -722863 515923 -785104 -125995 -701913 -290180 255636 919935 -572200 -151482 824853 754260 805457 391676 -209662 725304 -530749 108838 -116582 556925 749615 -100556 812881 705970 -813385 -303106 652200 978219 -592185 -1008224 -395264 23562 364805 116978 64421 396469 -507146 -701708 -908103 -763704 1006831 -112342 -650766 -516 143659 -835910 -218019 -831827 35283 -742856 429308 -342622 -54248 -962141 -654107 -546681 -452485 -265035 -362395 -262146 -532303 -861057 490942 193577 485429 -201719 890701 306432 -195925 610860 -858479 -1042110 -775478 -437743 -333540 -7984 -37187 434247 -141375 -779353 -594059 -450499 90056 -52325 529863 617962 461228 931783 -922886 -836570 -612478 226463 768694 -317831 330289 655498 -531305 345132 -990371 485256 -635411 -981718 -742829 -1108293 625159 -327599 48714 -783528 -197036 773240 -400780 -144993 -469283 -342292 813401 -632766 195705 -672746 604423 -795176 -495257 490066 -587684 -129195 -17428 -890977 -1055401 -514102 -270982 -295187 -235644 -508131 477534 -853845 -53683 658929 -65370 -447895 29815 -267719 825210 863189 -522811 700120 -707668 658502 724274 -584987 508324 330548 7247 875952 958390 -298787 462521 757674 -796290 87733 1043478 -637499 -867018 -453306 -53824 267976 588573 -523000 -1007667 -611832 3013 404523 271479 -39332 124252 -43723 -347336 44532 -151608 134201 -911611 632211 -472061 323560 835287 -366969 902635 -124164 -1027801 269694 341475 -6343 338456 -586327 961476 -509530 780841 93020 -7232 318577 681438 340171 -633390 659421 15580 -495468 349887 765733 395860 674348 482885 800612 525117 763546 115123 +294357 125421 -94564 -203183 922115 1016444 688755 -35907 493571 -410068 208534 -497379 309955 63110 -180654 -829281 390442 839641 877926 -670915 767562 -539101 -742897 799579 543750 -576384 -149717 44483 -582486 542109 -85643 -752697 555573 -741896 -164886 -593826 -294947 142654 950739 -529496 -108186 890928 703346 714760 367724 -270756 764774 -441381 114483 -92439 546111 894471 -234736 803833 714776 -759367 -263920 643893 1010234 -548170 -856314 -366104 8123 373599 164488 121877 394330 -505422 -725076 -899741 -936388 896524 -149265 -657719 -592 123999 -841035 -128610 -835264 -11367 -699083 438395 -388003 30239 -944092 -777860 -552161 -459090 -264925 -380421 -251938 -599339 -809503 411773 134621 453528 -354025 943654 331861 -199013 636310 -879806 -962539 -788993 -436259 -261554 -22869 -27330 476553 -209504 -788606 -631239 -605240 84424 -87581 523202 570696 445695 912978 -983631 -756053 -540068 177547 772645 -354298 274101 811653 -584834 446987 -964272 415505 -481473 -990595 -659905 -949984 645406 -379427 6734 -783373 -316801 774743 -298494 -269513 -419777 -531841 807591 -595569 212024 -695590 576935 -898323 -474689 483456 -592684 -82138 -58128 -874465 -967476 -520406 -230557 -291789 -234419 -508536 513911 -829876 84167 642170 -91294 -367658 73366 -201608 929219 866132 -489004 769459 -681262 626234 685894 -544632 522465 363449 24390 870886 891520 -245560 508335 808084 -755344 25793 886637 -655946 -828886 -446920 -51783 225840 504096 -570671 -886243 -656890 168389 406570 329965 50278 93696 -74737 -394202 190942 -129557 65595 -926770 689265 -478310 404118 968879 -324856 967701 -159914 -995479 170635 292341 40598 333778 -567771 969017 -499092 766781 128226 -7792 333397 679615 528894 -663239 618386 57670 -521020 296047 866821 343553 674675 403961 867868 539874 704832 162196 +283815 142167 -102227 -130992 921443 1041667 714960 -4504 492896 -495835 172840 -621092 314099 46033 -162085 -831411 414600 813137 879531 -528451 798557 -550857 -768766 711079 538776 -526860 -98224 37623 -640586 488104 -154842 -836258 523199 -751212 -144869 -541539 -302614 55630 935608 -487800 -123070 807634 644416 770685 476021 -343739 745547 -397461 110019 -56959 553407 935592 -386688 820710 726275 -764243 -154826 659123 1011216 -563977 -634067 -252629 26561 350677 177121 187832 395380 -514840 -731702 -909074 -972806 768857 -201555 -664779 8847 124498 -865806 -137405 -842121 -7452 -665023 431583 -355270 107131 -927970 -902458 -568844 -486436 -267823 -460583 -221320 -737930 -814272 349837 37132 452046 -446748 981751 346523 -194528 598227 -845163 -880295 -817717 -441365 -322136 -31406 16651 538983 -294805 -707368 -674672 -682111 104917 -54557 494875 521944 432587 872973 -1040661 -692889 -609767 125080 811700 -386733 276736 842979 -609152 445866 -873933 325606 -336900 -963781 -662617 -819872 543182 -449167 -56055 -772317 -340507 783598 -331003 -311707 -409796 -574272 814371 -666727 200198 -728990 478379 -875658 -472089 565142 -619604 -55288 -6946 -882730 -877945 -518649 -304804 -284026 -233072 -569306 538673 -864601 89860 675760 -86406 -240834 257556 -145510 884495 878876 -547218 826767 -652832 569461 661959 -367492 514382 373521 41450 859758 815439 -256659 517693 789872 -743932 -42622 816423 -643560 -809948 -473701 -58309 164842 534047 -635353 -840520 -719961 233174 403212 385339 137299 23874 -85840 -468552 275742 -133870 49779 -922052 800271 -492286 451889 878202 -326504 1003368 -3039 -951095 99038 317774 69926 185876 -576184 863143 -505282 757762 49600 3624 340359 721528 633290 -719621 574055 73215 -580455 229557 1009191 335981 673782 388086 893246 454807 658967 103412 +295305 104784 -74440 -133726 926659 1039407 725848 76840 493600 -600960 183921 -612652 382754 45587 -150739 -865699 392137 854225 876676 -560932 813640 -573375 -789705 679684 527504 -471932 -45948 26927 -714295 451351 -217992 -830639 532134 -771191 -77720 -579228 -292315 49511 928510 -478844 -159018 671913 598671 938873 559982 -420484 811087 -414144 115952 -54211 555661 834799 -415656 871228 743288 -773339 -40441 645366 967579 -557155 -599564 -178784 31072 341533 150311 174044 399982 -559650 -723123 -916157 -892503 739029 -252244 -671191 -29228 154267 -927931 -248646 -835601 -42907 -624181 434475 -291959 108273 -933624 -905050 -577050 -446362 -224347 -527257 -205322 -800448 -874989 377095 6559 446206 -338600 1011037 371566 -186568 546658 -883100 -870080 -821608 -439926 -466326 -14811 40213 600689 -348080 -695630 -692391 -764166 92377 13217 483152 487286 415097 794819 -1075730 -669806 -747944 83655 859061 -417549 302093 661714 -604905 342698 -676186 280355 -312998 -1001619 -639152 -935530 412765 -469381 -140568 -774588 -281222 750339 -377688 -225261 -428728 -306136 831327 -675594 210272 -728581 365909 -808698 -518925 699961 -630161 157 89318 -929690 -815255 -494171 -444668 -290631 -229131 -555283 550340 -943125 -33971 775251 -75858 -254966 383551 -135143 736836 885992 -669389 784570 -629372 575585 638946 -228142 527730 340011 54712 817734 759844 -308143 546522 710724 -705361 -89152 924549 -659609 -820564 -497116 -57650 110784 569898 -649214 -806660 -736202 192370 396419 384332 112595 -68407 -58754 -507433 369561 -110122 14059 -907784 814271 -517724 476833 799758 -412888 1004506 101788 -857660 71053 370332 116738 71315 -574601 776528 -480657 745666 -29390 3219 342172 705123 598175 -739551 509355 54092 -614088 189758 1053597 383530 679134 417331 905090 342698 637879 79692 +298204 62787 -116834 -199891 912578 1024888 751418 165386 488698 -660750 231460 -437671 407734 11608 -157941 -878141 385939 886561 878385 -699596 805863 -599919 -756057 729077 555978 -399593 -31169 10053 -770376 459762 -237398 -783896 506690 -778949 -41749 -671388 -298405 125068 922154 -511067 -126573 642274 551491 1049988 537253 -481021 774579 -501159 101260 -55208 553841 681754 -260562 899294 709669 -747267 -2472 647318 907874 -582840 -782066 -163835 68941 312117 92743 95123 407409 -560740 -701575 -922869 -718391 828502 -305538 -680530 -15837 189133 -955753 -344097 -848169 -24303 -622446 431791 -256856 15447 -949228 -817358 -581793 -463030 -181415 -505964 -170979 -673919 -923409 408119 48495 498914 -192893 1037742 381699 -173400 559869 -878853 -995876 -837766 -449671 -525681 -37316 9409 659236 -377468 -761675 -708825 -762748 110185 71124 489551 466093 400760 835331 -1106382 -753110 -808528 50868 897771 -442234 257419 515144 -568941 187904 -645224 300154 -406536 -974847 -689078 -1097550 366724 -444562 -98048 -750681 -168710 763012 -428498 -95183 -440532 -179922 858154 -671548 187028 -692523 332858 -723709 -516244 802602 -647910 21390 144568 -938979 -777639 -526368 -563051 -276015 -229569 -546481 541326 -1019409 -156010 876403 -71392 -347179 286426 -178387 647925 895974 -745224 734203 -603238 496727 638243 -280725 526774 317417 71815 797599 755307 -377200 574657 680528 -716723 -92204 1044596 -678819 -841013 -522984 -48421 90311 674684 -649023 -908649 -778425 81457 399496 332606 79900 -164105 -75601 -571098 277353 -99690 56008 -906827 730151 -504335 426668 739270 -528178 899985 26840 -818128 137348 418703 196481 163443 -596412 803146 -469224 742238 -58442 -11458 346245 708596 411396 -713267 469044 16128 -627448 217168 1007244 433352 678793 465621 920288 293381 699142 87796 +309043 83201 -110018 -229854 912078 1002118 790289 213025 499191 -642764 261216 -389744 376530 -7692 -167878 -891451 393632 868451 878746 -784293 795602 -609292 -768514 803426 592720 -382019 -11591 -10585 -767360 513114 -200136 -733303 528187 -764932 -37506 -757750 -265607 235701 956166 -560391 -81878 747006 555838 1123969 448974 -499615 780344 -602313 101138 -13609 553401 585376 -95808 898787 688878 -779189 -54469 645238 831629 -566662 -989002 -222524 103071 315466 19765 67011 418327 -593309 -667642 -877941 -602668 954315 -345895 -671861 -11155 217686 -953623 -250526 -859376 -17851 -633398 394999 -269738 -90512 -957137 -679412 -597309 -460845 -163365 -366408 -117400 -548072 -908838 538754 119766 462276 -180337 1040168 374778 -170945 534759 -899777 -1040405 -805932 -445414 -451834 810 -21976 707455 -368620 -770127 -721219 -691562 91011 110504 451176 467923 386706 811193 -1107168 -837454 -730285 30484 909960 -460130 272770 525817 -512641 190494 -691446 384635 -594677 -977925 -727670 -1139592 478048 -417558 -112518 -755417 -93563 762775 -493370 -55937 -429301 -255899 889812 -673910 183028 -671947 397005 -723397 -542526 842574 -667767 28843 105022 -916637 -791172 -521270 -554258 -277460 -228894 -599160 517000 -1063003 -165074 916672 -51039 -446164 77738 -245614 687765 894166 -741052 669188 -597494 499327 645714 -477407 508151 328366 83358 806418 787218 -412448 587707 735060 -722383 -51043 1093271 -701582 -855242 -516739 -52047 106827 731414 -608676 -1021978 -818297 -9924 397851 250131 -25964 -210773 -73134 -599904 113410 -93149 69355 -866367 639992 -483276 406486 751939 -582941 805690 -80893 -841881 215562 415557 236712 326976 -556613 935031 -463111 751867 22901 -27635 342614 698288 347077 -656182 488539 -21377 -637162 270264 860316 429919 675084 450545 891183 335324 790287 106194 +285294 123784 -78837 -202392 907481 994712 827575 198605 501904 -583714 258548 -494162 357719 -55903 -162032 -925188 344170 918143 874110 -718452 765871 -626647 -772745 906240 564167 -396232 -35255 -2875 -708448 573565 -129520 -717626 496667 -788275 -73905 -750836 -271240 319476 922351 -585431 -121739 872330 477098 988958 333060 -511552 760803 -662708 100375 -44510 547815 642249 -118038 876736 650359 -773441 -169802 642340 772052 -579629 -920238 -324411 128949 295332 -47738 114055 432167 -626152 -628826 -913199 -586658 1027152 -379039 -692794 -25798 211555 -905811 -166035 -863050 39908 -670518 381683 -355730 -144242 -950474 -601663 -593366 -526248 -168559 -384225 -79373 -593066 -846668 629615 195169 471259 -333679 1036263 400886 -162456 517252 -861535 -973583 -768336 -444980 -305156 30345 -44425 710771 -267820 -778614 -695994 -616965 99747 77458 432594 487646 366544 864680 -1067509 -874230 -589992 30529 903949 -465711 293380 660973 -457509 288831 -824475 475099 -704907 -984324 -822652 -961924 615010 -341528 -47771 -751131 -116583 727021 -444484 -148296 -436837 -493876 919515 -632138 183699 -647848 512471 -823259 -547012 799198 -673373 68319 9512 -893082 -827397 -533987 -450728 -272875 -225471 -589899 478040 -1050343 -73528 921082 -2310 -423388 21161 -295071 820771 903969 -655337 673349 -630599 463188 692710 -584988 506454 361989 79940 844596 861135 -410990 665448 806508 -721971 16285 938724 -708718 -849838 -501755 -34444 151858 731830 -558664 -1100617 -848023 -74341 397958 212777 -80365 -163598 -67196 -614014 1566 -110425 141888 -849876 628602 -460497 319485 856824 -559213 674891 -160946 -928065 314250 342329 262806 336848 -569698 972829 -466486 770303 55830 -51183 337111 683252 454127 -655678 545178 6996 -614176 348185 767669 377179 670104 374593 844997 449577 842429 111503 +297633 151491 -112298 -136297 911757 949584 810517 139051 514016 -471609 214499 -590509 328811 -104964 -158328 -960493 383279 879415 875746 -532530 730655 -625577 -762708 941891 544729 -492468 -67820 14743 -627296 623025 -69391 -793256 530781 -766688 -135255 -660299 -257151 313868 925854 -572728 -140292 874712 469749 820135 407133 -462901 738999 -675908 102163 -57084 553285 798969 -290773 829344 616732 -733925 -272342 635921 782459 -619805 -701080 -385315 169926 305423 -90639 186133 447917 -690890 -594686 -892031 -749037 954268 -392270 -683493 28508 183702 -851215 -144766 -882907 23757 -714917 344049 -380873 -158117 -934831 -677730 -607536 -527105 -173516 -467147 -19008 -718972 -801844 663689 142547 428557 -442103 1024790 366600 -169838 518859 -877661 -866277 -773770 -435505 -277387 -3185 -13300 695186 -205580 -728861 -687582 -512494 101152 48631 421077 525423 361906 892326 -1027150 -846032 -543139 38431 882320 -468545 257587 812258 -425142 406350 -967627 498224 -632662 -1014617 -820967 -827961 656556 -293399 41753 -762379 -195798 768393 -396902 -275391 -439426 -553091 945287 -644169 170960 -620021 599863 -881334 -506767 687204 -671758 39392 -58903 -884983 -905038 -508380 -305620 -283010 -225226 -555215 435019 -984788 74999 831355 15381 -348809 169379 -296038 926704 927003 -548867 741266 -673534 485382 705403 -522983 498092 372146 72450 869296 933042 -361777 690757 810464 -729222 81276 839797 -694029 -841892 -478636 -22507 219355 643623 -470344 -1148580 -865838 -23425 398852 207517 -21423 -122313 -60513 -601127 28615 -91120 233384 -809150 698047 -473479 263877 936667 -446315 633121 -78840 -978974 408859 299349 248447 177723 -578850 890523 -491828 766716 162776 -50710 324624 665024 586342 -665229 631469 -1953 -578432 391961 856020 333721 673326 371735 760177 536334 868061 71609 +286034 121098 -113105 -133428 906327 952899 810826 53315 518587 -381366 170865 -607050 292236 -201506 -118640 -966671 381852 918447 874390 -513208 683791 -642184 -740530 921496 538201 -525624 -119022 43618 -581844 600946 -58345 -857921 518712 -751355 -167512 -563731 -235513 232184 956899 -527751 -78114 736011 422683 765802 562157 -406325 778145 -609332 95295 -79150 546561 923467 -464517 809677 605400 -741091 -302326 625185 840924 -656064 -592022 -347803 191901 281429 -97141 188234 465525 -681372 -567078 -900062 -922084 860351 -382205 -677498 22483 144985 -825959 -200401 -891640 2838 -736261 365299 -304097 -83017 -927020 -798361 -615143 -520876 -209425 -534284 6790 -801890 -826650 628490 58928 448248 -361267 1012772 343210 -173178 509070 -874521 -881888 -735336 -445437 -344997 -11408 32463 665155 -152296 -698520 -654760 -398392 96094 -57702 406187 568414 355045 913300 -963480 -782631 -641840 71383 829952 -453050 233517 775160 -429246 463912 -979027 446146 -457972 -981574 -798346 -884829 572077 -270534 75226 -746401 -261391 766441 -340518 -305322 -425851 -436597 960997 -668592 184869 -599989 593325 -884101 -541358 563873 -634604 31772 -30590 -896286 -993217 -514627 -233197 -306658 -226418 -524096 393881 -899241 114766 730446 52116 -248939 353600 -247523 886561 930113 -492454 784500 -699504 532297 718746 -345189 483372 338968 69316 866470 963762 -293688 739482 733472 -756255 116826 923420 -704950 -820762 -446379 8992 260902 600688 -398575 -1104117 -849163 134050 397053 262912 63576 -40518 -102870 -571202 134879 -91837 252984 -765576 794922 -498277 153760 909600 -373534 679275 79446 -1037218 456376 292137 266704 87139 -569098 784697 -498573 764833 34208 -69958 313294 646092 646792 -702748 659972 42624 -527448 374078 988844 344874 668112 457090 699130 531038 865447 60386 +291670 78485 -87405 -192226 915551 953906 830675 -22619 526729 -376700 174815 -452687 283849 -223598 -138945 -992537 350897 924585 875818 -648896 633743 -640710 -758562 856714 553089 -543573 -176708 37471 -590768 562611 -101435 -843572 521224 -774441 -116691 -540323 -220027 121092 921200 -488616 -113270 639759 486649 747909 558688 -336900 762226 -508483 111177 -88769 554300 917024 -318914 815189 567387 -736577 -235041 631583 912434 -689124 -766320 -271349 193076 288207 -65066 95258 484757 -705252 -553960 -889715 -988159 747356 -362069 -681180 36565 117857 -858962 -308778 -903554 -53496 -726622 360279 -277197 2279 -939429 -907380 -609020 -486000 -239231 -481439 42081 -668792 -884074 522396 22003 465271 -217047 965795 323257 -179038 522498 -895169 -969091 -682628 -440059 -454422 -2406 29133 591007 -117520 -759319 -600538 -382231 126686 -85758 386618 619642 344406 938451 -902322 -679507 -781564 135226 807940 -437780 234892 659545 -464530 384526 -841321 351717 -333216 -979176 -850246 -1085154 433611 -243866 13198 -732317 -356319 755114 -335361 -217274 -477867 -193310 963407 -628836 197909 -568084 503677 -847851 -522036 477968 -637742 -17730 62236 -925505 -1085300 -518550 -266644 -308365 -221281 -552526 366445 -841704 -25208 666408 50520 -265025 360370 -179182 739728 935773 -523062 805872 -718040 571324 709125 -204993 474217 314023 41776 851606 962194 -255887 761585 683134 -772736 107216 1033741 -700267 -836631 -456267 29370 273416 520231 -322323 -1035061 -831186 226262 401865 318357 135213 63749 -45537 -519169 276901 -115030 319462 -725049 804550 -521764 115837 800813 -283097 764306 99519 -1004642 401926 346450 254690 166491 -557725 757593 -493303 755708 -63138 -103813 298397 635791 490920 -757544 693684 81001 -487478 339781 1066247 399970 664912 487256 629543 435443 797126 79342 +287858 75139 -102622 -238144 905617 975413 837686 -44285 522781 -428570 219346 -381463 260774 -281015 -128172 -992514 392115 915646 883384 -801497 596342 -629424 -744403 753501 533161 -467856 -243160 52913 -652893 492032 -172202 -750938 520157 -774794 -68638 -621473 -198145 45045 949926 -479680 -106817 688337 472765 871151 450433 -265572 784729 -425487 99727 -101232 540286 784024 -128021 859674 536578 -729364 -116480 614556 972336 -727770 -960553 -160082 176436 273997 -3622 62006 504754 -667667 -557665 -910376 -877062 764707 -323114 -669604 32510 131756 -904137 -289754 -918710 8955 -699544 350300 -233735 86994 -955082 -907121 -599589 -526305 -263736 -407226 69509 -548063 -931945 469156 19703 507859 -163098 922946 331953 -190446 490781 -942579 -1031215 -709844 -446717 -508576 -13256 -5444 539899 -123234 -759192 -596849 -482277 99136 -76991 341968 654143 346270 936650 -848418 -684026 -805023 186096 762255 -412716 204545 535595 -517870 276739 -698395 289689 -292549 -987867 -820305 -1147546 375027 -288940 -24227 -732986 -323994 754909 -371278 -94450 -436690 -221336 954173 -639703 196928 -563644 390474 -729442 -476541 498167 -581390 -25627 140667 -934751 -1135528 -512095 -401829 -308632 -224350 -511739 346566 -831840 -130044 602509 85997 -356744 159424 -136240 645196 951431 -630447 792373 -655219 575238 684431 -277007 485094 329178 35271 806990 911666 -264430 775301 713526 -806004 56040 1088074 -702766 -812126 -453751 43494 247512 534253 -305414 -919925 -783633 228730 400204 378078 125392 119648 -58382 -443374 336071 -86025 373646 -712700 717452 -500211 104295 742546 -323186 814817 16861 -944293 330028 412027 188200 340702 -587653 911460 -508944 722762 -77255 -137770 273724 587152 403665 -723161 628913 64123 -473395 278040 1001853 440721 669925 443750 582711 327823 741017 97257 +297658 123854 -135788 -194043 895101 978835 807083 4291 510720 -528022 264472 -447688 319101 -273337 -79837 -1002593 410332 921805 876551 -705106 549840 -631318 -770151 695049 542956 -393452 -302658 45562 -727760 447956 -227687 -721382 492679 -753808 -31560 -723637 -183097 55771 967180 -510957 -74582 831771 516403 1014142 319792 -198561 801382 -394172 105044 -111550 539710 630376 -113094 916509 551597 -712748 -22460 620446 1030824 -735763 -948998 -166205 170537 286914 69412 119431 524964 -714314 -574698 -893494 -715754 883118 -274230 -658059 51849 157760 -933948 -198251 -932447 34291 -659922 360797 -320162 119461 -956182 -791748 -599243 -581489 -264176 -366174 73380 -580930 -885247 364048 123324 471408 -295214 877855 282146 -190293 510644 -893216 -971103 -673243 -435097 -431416 -54961 -37657 477103 -188106 -776746 -568896 -585676 99997 -14250 346426 679549 347318 978899 -800061 -744827 -694215 223481 764671 -376616 211211 526860 -579049 137763 -622997 293720 -415336 -1002561 -752096 -1000656 431409 -319690 -95235 -735576 -231882 762338 -390968 -64631 -455570 -382581 931369 -638269 191816 -594707 334502 -689508 -503563 575377 -590902 -69608 126300 -899869 -1159081 -508230 -527646 -330876 -223389 -521341 353004 -882848 -191007 652360 85170 -469236 19303 -144926 699236 955940 -726214 737551 -625941 580031 683931 -504251 459365 362428 16598 809992 824576 -309165 777855 796712 -832383 -13644 984326 -669748 -864161 -468222 79462 185673 598026 -321051 -838835 -707659 124192 400023 385597 65068 164445 -67689 -393221 277068 -81711 392624 -671880 638205 -478405 84365 796784 -425871 946861 -125490 -878230 220274 442502 175466 326594 -557113 999628 -494775 757291 -27376 -102389 254298 583934 415432 -672663 567206 19233 -525972 215731 853227 419154 677141 381983 560157 291639 672884 149687 +288755 164019 -125310 -123658 886942 1014966 828137 81717 503666 -629181 250653 -610317 356926 -292823 -75398 -987107 378841 968609 879304 -542726 518689 -615083 -745769 698604 562681 -374187 -345940 65543 -772332 454385 -232736 -726077 549442 -785714 -41972 -779094 -160553 148345 928868 -559474 -128008 896459 559763 1081392 404066 -177793 771986 -427862 108472 -107928 542048 587171 -259420 901064 635624 -710715 -8937 616192 1025241 -743296 -754100 -251260 116512 301157 134456 175283 544934 -678086 -604723 -888576 -595106 990872 -217262 -638431 43976 194435 -965520 -138776 -940564 48654 -637910 372795 -381520 41228 -937820 -673514 -593284 -563699 -252348 -449033 65627 -705663 -821632 367651 186408 475555 -432254 830833 268748 -196736 540261 -846980 -871772 -709379 -448332 -282505 -8282 -28683 442875 -259592 -738597 -560534 -686676 106077 35075 324039 684302 349378 986331 -776332 -831173 -559585 276231 794508 -341370 192454 641794 -609639 224820 -662240 362476 -577100 -1017965 -659002 -840552 589545 -369570 -112467 -728929 -153758 743178 -436110 -156571 -405963 -578265 903698 -575384 212766 -633172 365662 -795831 -489049 711186 -596999 -115942 51148 -882994 -1146371 -511983 -577451 -332407 -216978 -514655 372849 -965043 -53641 725818 82546 -380496 73189 -199933 838499 934654 -734494 683455 -607508 623156 670922 -578976 439318 373576 10004 848245 767927 -361785 828084 821291 -857002 -72387 854892 -680614 -850503 -504919 95565 119821 685332 -342444 -840575 -668860 -19980 398588 350576 -22231 141489 -78724 -353750 135555 -108702 312358 -629054 619395 -461680 101192 892905 -540454 992059 -148728 -816529 115150 369695 108689 188857 -537845 918546 -476504 754899 67687 -171649 232977 586120 511786 -633029 509400 -27520 -557802 201826 772651 363127 678957 389147 518176 355708 634192 116709 +284971 107464 -66354 -127303 894900 1014007 797064 165348 504258 -669330 213397 -632744 365858 -298014 -64669 -977346 370622 978130 877804 -490010 498962 -605245 -809050 765332 561933 -404633 -377680 22333 -762172 493392 -183981 -793589 526782 -769505 -106697 -725138 -134157 258457 930107 -585454 -91904 810773 615497 1054125 559360 -166038 755570 -519046 101907 -106758 542303 680219 -418616 853540 647707 -722093 -83376 606644 960723 -765398 -605013 -350350 79078 301596 172493 177434 564082 -643635 -642660 -904207 -624514 993490 -164412 -636504 34653 218749 -920427 -168858 -944240 23960 -618082 379001 -365463 -57611 -923719 -625455 -579251 -557256 -221294 -505297 23572 -779678 -804100 383938 189999 452719 -395763 791497 276933 -193324 532659 -883262 -836375 -716601 -445667 -292137 -32152 6690 424287 -325609 -728754 -552735 -756827 99079 86188 310100 666898 357797 918469 -771431 -877215 -555890 312669 840879 -302117 199038 803351 -607530 402067 -814755 456261 -660742 -976011 -642098 -839815 641955 -442182 -118275 -708004 -91310 765098 -440368 -277221 -420384 -529170 872630 -605794 221379 -651405 479849 -893537 -503779 807259 -616750 -158419 -42484 -877063 -1089222 -518132 -498807 -343361 -219384 -568497 406047 -1037528 88493 832498 53528 -306934 276200 -266475 935053 953103 -695537 598459 -633433 687881 664440 -512729 428561 345745 -7040 871292 752218 -408354 788534 760982 -877664 -96867 825358 -665924 -842904 -507388 136295 86490 746925 -404226 -862747 -612213 -89394 400432 275844 -57393 64845 -107326 -344454 6191 -81085 285724 -617867 716437 -473448 141232 882435 -579837 1011877 -32938 -847353 64850 312047 20723 66707 -556356 791609 -481407 771457 114826 -190397 205359 539641 599924 -643308 455694 -23714 -613136 221020 838635 333405 673668 414130 537526 468777 670380 92304 +301287 65364 -81307 -194082 891806 1013588 808006 207955 491973 -626228 169569 -497163 383246 -318731 -39301 -1001838 399456 977581 872499 -662752 489419 -587923 -777188 858418 576146 -484518 -384452 41506 -694212 550398 -111309 -825583 518785 -740986 -159379 -618744 -125511 320710 938481 -572321 -107467 675637 626916 912360 528735 -197784 745828 -613919 110383 -95996 532455 855059 -412069 819865 660148 -665450 -204277 605673 862303 -795595 -715978 -395126 59097 308850 172743 100220 582028 -626313 -678427 -872608 -789069 931784 -129266 -629385 677 203294 -885366 -251491 -962472 13824 -645068 426937 -326734 -155938 -931591 -687320 -577181 -586562 -194022 -531774 -15552 -729480 -843003 489534 74954 490075 -236485 754915 261251 -183025 570780 -873478 -979279 -751804 -449649 -365889 -445 9692 445456 -356393 -728647 -574819 -804587 136350 99790 296179 631561 369138 889379 -807734 -858796 -675441 320120 882082 -272172 165580 827860 -580059 470633 -967222 505405 -636962 -988269 -677665 -1030152 613135 -456204 -100910 -714052 -148177 737508 -359076 -306348 -422721 -316505 843830 -567522 207150 -680365 576609 -898800 -532134 856823 -628796 -175955 -57817 -898067 -1010066 -505154 -357527 -348201 -217109 -579223 445841 -1066656 115008 910735 -2334 -236785 367088 -302324 884488 934002 -559139 690125 -634067 663006 660930 -324008 417417 309967 -13963 872634 796499 -411503 762320 685601 -901832 -73278 968179 -631915 -843361 -518475 157010 91349 712504 -450516 -968927 -564090 -44933 407094 230975 -28150 -2689 -66970 -349608 50763 -103301 177365 -602755 802560 -497741 192216 855536 -565482 937921 93306 -906892 116885 297884 27276 172238 -574694 750444 -468878 774343 78665 -214522 179489 557172 571766 -710186 469915 24316 -629241 286529 975946 354036 673682 479368 568495 542596 735892 105981 +294222 86347 -55340 -241883 886130 1022798 784330 196433 499047 -540713 176757 -386527 373533 -246140 -19436 -956552 390038 1003302 876148 -765290 496451 -572318 -758042 938251 570925 -564805 -368333 29445 -620449 606210 -61171 -774770 502139 -752205 -161618 -545546 -110021 298915 932917 -526279 -140929 625927 691401 798406 463290 -252989 794021 -677131 106847 -74696 520008 934899 -230059 820115 701607 -703524 -291048 601289 814221 -748738 -953310 -336409 5644 317592 135690 61701 597851 -591604 -709004 -889170 -927848 800225 -94863 -621648 -7052 177539 -827716 -303169 -968995 -40242 -664264 420868 -225616 -149009 -945436 -822265 -559246 -602191 -162899 -382548 -78676 -549857 -904212 582547 2231 479084 -166290 713855 249178 -161070 599437 -864719 -1062447 -797024 -457183 -488354 -19648 4452 496102 -348012 -755233 -600139 -711702 130607 58655 283887 584008 378057 872904 -851715 -773833 -794325 332410 904665 -239985 159829 701257 -519550 410399 -1010926 452899 -477296 -1011626 -693847 -1139085 460809 -445350 -4043 -711602 -237655 741753 -343441 -215723 -416469 -189288 819921 -532431 219041 -697799 606553 -805550 -524139 779311 -656351 -205215 20823 -952847 -913161 -497844 -248669 -346500 -218120 -614233 490685 -1035483 -13203 907990 -8316 -334760 267323 -283610 738984 943846 -523042 745128 -651822 696548 663620 -217484 391286 327350 -14035 846230 834024 -363709 751423 690080 -891776 -15400 1101658 -658681 -818374 -500144 182041 135800 621060 -535593 -1055588 -510505 74286 406189 203721 56725 -85177 -58424 -372423 124267 -95001 83675 -594153 799677 -516187 273492 727993 -452020 845883 93678 -993589 194624 343847 -38484 342484 -535472 902292 -482843 802215 -48447 -197453 155552 546164 417183 -741445 551717 59172 -648652 357085 1065633 418341 671518 478393 613210 518457 807017 79402 +302666 134153 -79263 -200954 873470 1011543 755275 135912 499144 -442181 227557 -451111 301108 -203466 13270 -914148 419389 1057893 871979 -728901 523484 -548497 -805835 955392 514538 -533758 -324479 5099 -579199 614051 -64134 -732579 507555 -752404 -100603 -585404 -106105 212340 928994 -483426 -121403 747894 723188 721332 334111 -334881 790868 -670369 97796 -41845 529264 891535 -68302 816347 734959 -675257 -291476 601560 760245 -779064 -982190 -222768 11060 337088 71515 107341 611177 -569937 -728870 -888907 -977507 751036 -78052 -635534 -17619 140826 -841248 -255654 -979886 -22198 -711998 411185 -204365 -108323 -961364 -910327 -562109 -607171 -165323 -347238 -112398 -566171 -921444 643180 16781 499194 -275636 690731 231286 -161826 596995 -879090 -969783 -797898 -446711 -512373 -70722 -30398 548735 -284261 -793958 -647493 -629454 114589 -21839 285859 538701 390555 856277 -907456 -684545 -789099 306073 904009 -211070 139986 534811 -471614 251062 -906672 373563 -321864 -997680 -762340 -1018114 370299 -402877 42265 -711231 -326400 770283 -329297 -86829 -434905 -239609 808877 -530728 225519 -720663 537866 -708422 -531238 660404 -652904 -188543 112737 -934867 -839239 -499735 -243018 -361188 -204749 -569736 528002 -963578 -172390 876114 -21806 -392437 74125 -224134 642376 944574 -521840 834299 -700239 727606 694985 -314368 362653 363876 -6918 823758 895881 -299469 723542 766255 -885962 54555 1059650 -643454 -838001 -480141 199124 200692 527736 -594992 -1133856 -477045 222673 408144 241093 127459 -159952 -60454 -446742 244951 -96575 33033 -617611 703442 -498450 351933 803986 -332440 755527 -62134 -1030577 295059 403559 -1388 335654 -583522 983472 -470544 778398 -106553 -240716 126700 573115 371111 -731717 601664 78271 -602299 393478 1011816 437320 670037 373653 686926 413375 843852 131953 +292430 166682 -64339 -129398 876152 986119 752317 47861 512117 -383632 253788 -606424 303813 -152753 -18639 -923869 441748 1017086 873767 -605050 555026 -528826 -796163 895264 532919 -450360 -275686 -1720 -601871 561812 -118532 -721841 534816 -745698 -36157 -659150 -95966 99797 969567 -481389 -102053 870570 751843 765890 363678 -413133 784413 -594825 102365 -22524 535521 730593 -142895 855517 761433 -693724 -202496 594613 813006 -762947 -788326 -181795 28640 366440 -2349 190910 621989 -526519 -731048 -900586 -884719 794512 -83556 -639688 -9465 118031 -883739 -142207 -982185 29984 -726942 395414 -344902 9006 -948644 -875480 -557934 -595855 -181365 -430279 -155475 -706984 -869075 626376 143224 490106 -412115 684106 237251 -163952 641031 -845763 -862683 -818067 -452926 -402432 -11900 -38854 609312 -242139 -756586 -666438 -506331 106458 -49819 260237 502026 410774 797172 -967911 -683839 -657465 259308 887441 -192458 131224 517999 -434004 169216 -768177 290285 -338011 -996514 -797661 -846942 416562 -357673 51229 -710204 -320301 742167 -392543 -65357 -457428 -507926 809688 -517391 228987 -674380 420326 -702997 -551044 541099 -669188 -195970 146770 -902841 -790598 -476416 -349978 -350977 -210486 -561012 545503 -881423 -174680 781939 -65635 -439044 24777 -160207 687356 935774 -591203 818895 -661938 704972 706585 -520026 367030 376635 6431 788856 954455 -261140 729427 815070 -886489 105905 874250 -645165 -832785 -445167 233796 247965 499580 -623271 -1137704 -502924 275555 410185 295824 123431 -198455 -82370 -499750 364683 -106212 65724 -613026 627939 -477910 394403 874233 -276239 646965 -156236 -1033364 382335 424536 -2528 178380 -565018 921098 -501498 740366 -22449 -276021 101576 576783 443445 -670306 649663 42151 -587015 378997 855733 407555 665623 391433 768024 314749 869760 59434 +299268 105106 -115691 -129377 869591 984169 702890 -20074 518617 -379908 253701 -617984 261702 -85641 -1690 -877220 369701 1045725 874367 -521637 584871 -509087 -768193 795725 523979 -389630 -213618 -1272 -664583 506358 -190973 -776360 534330 -737197 -38550 -762040 -96165 42412 949737 -515214 -138886 870740 757053 943087 496639 -473053 799497 -488071 107032 -55201 531935 598303 -339400 898481 713317 -690004 -83731 598379 862707 -725606 -599052 -185147 49319 379386 -63797 182315 630272 -543360 -718810 -902721 -718726 921519 -105282 -657842 -25752 130600 -939072 -146842 -974660 57443 -729569 399025 -375741 83831 -929590 -766835 -535985 -619249 -211603 -487067 -206233 -800764 -819047 586904 172439 464711 -421233 681139 273082 -169600 626947 -862588 -878027 -826178 -454249 -271829 -47394 -14003 669950 -147551 -717308 -694140 -369385 103510 -97676 255541 470929 422489 842158 -1027221 -740564 -550499 236105 851840 -183484 139650 633521 -423153 186653 -627759 274572 -415679 -1001305 -840819 -811717 559543 -284399 15124 -702100 -258547 738527 -474937 -156298 -446156 -602211 821491 -479746 232714 -665407 339249 -776705 -485560 481423 -670797 -182435 83695 -883037 -789359 -482472 -481575 -363976 -214285 -537669 551010 -833519 -48028 705362 -84313 -365105 185692 -132302 821139 918866 -721199 704123 -680962 693546 731875 -591343 337040 343708 21459 819134 967520 -256722 700074 788265 -879830 117293 858384 -661751 -872483 -442095 247800 270881 549932 -685659 -1075800 -489069 151022 405574 355925 65261 -178428 -63652 -546947 304524 -131589 -8549 -627396 636966 -463587 477778 919318 -347261 649643 -107754 -948425 425653 374748 38986 71675 -570987 769894 -506995 738692 63936 -269915 73517 612694 553074 -637851 668688 -31039 -499908 341073 748981 352359 674547 428452 818288 297601 853892 51567 +299079 74936 -93456 -197568 867787 973723 681371 -35426 519356 -447296 211086 -486780 295929 -40727 29910 -849104 405939 1071291 874068 -606096 637278 -498464 -776888 709762 502161 -376837 -146294 -17497 -739371 451216 -235541 -827917 519031 -771028 -76857 -745053 -102363 68250 928958 -559758 -140854 739882 770666 1077606 567396 -503073 754966 -415172 110830 -38827 527258 604806 -425094 912942 677240 -664950 -6666 599214 945738 -692726 -669560 -283735 74119 404422 -96882 101653 634596 -496038 -693449 -872718 -610809 1007183 -144036 -665314 -13557 159489 -965929 -246370 -981148 37636 -707444 379344 -359118 115857 -926384 -643015 -526508 -653362 -238323 -519052 -232675 -762244 -795529 472014 202584 435613 -273484 687496 267257 -177454 647108 -867394 -959402 -810459 -455138 -267599 -46669 20115 691904 -120023 -719338 -724042 -388808 103911 -67609 269957 464624 441223 832356 -1069887 -832244 -575361 183016 807088 -183024 119762 784682 -450623 338196 -644822 324932 -571777 -1003827 -826085 -998302 666599 -267990 -37728 -688304 -152383 712006 -467263 -279573 -460211 -442845 845672 -489083 256090 -630372 346629 -859105 -487982 495101 -655500 -168554 -15757 -892110 -818927 -479545 -572598 -351813 -208481 -475563 532570 -843092 80315 632679 -101302 -270625 372827 -159529 927376 898625 -764773 670722 -654076 694789 710288 -489412 342786 319092 33635 868369 921360 -293310 643329 708133 -859602 82336 910408 -674179 -858486 -456994 263036 249244 652312 -625079 -982816 -496766 41514 408270 374312 -4192 -103883 -79057 -600102 147651 -76083 93565 -670630 715825 -474922 451033 873579 -429012 687279 23367 -886371 425558 312287 79217 165714 -566134 749141 -499404 727901 105668 -305388 56502 646396 636125 -661717 671017 4968 -493164 269781 836932 334328 670392 514526 874986 372607 765311 100290 +290932 92741 -101349 -236428 868827 936997 671486 506 524652 -560062 173180 -367626 326315 -9929 20148 -836191 406433 1076481 872679 -767481 687194 -478684 -755284 683713 531731 -436589 -88153 -5185 -775268 434423 -225250 -810952 506522 -783731 -133649 -663129 -89631 164318 953720 -587991 -117512 631582 756576 1124021 487905 -502460 707710 -397594 109731 -67816 525297 741373 -332082 856288 664674 -691330 -21435 598310 987906 -638111 -908656 -354374 124841 390602 -91334 61956 635971 -491337 -657627 -875864 -629491 996144 -191668 -670098 19092 195480 -932930 -316639 -981950 -18641 -662051 369808 -254460 52815 -939702 -620593 -516216 -675669 -271122 -426336 -253714 -575202 -854225 412204 109399 481258 -160774 716272 276764 -190474 632120 -938556 -1024401 -760410 -462030 -390971 -82186 30174 706887 -119977 -762335 -735396 -415912 117162 -24127 253287 478035 450414 881658 -1091221 -882341 -705153 128105 766219 -190122 96135 835682 -500748 471886 -789491 425539 -681195 -989192 -840782 -1150080 606830 -253655 -105883 -693405 -109760 741711 -413752 -307547 -448090 -209222 875835 -473188 279014 -622467 441665 -884819 -482952 581581 -626433 -110321 -67652 -934613 -878257 -474312 -536809 -334010 -206223 -489536 504914 -904386 105262 619111 -93728 -274535 346943 -223171 879369 888694 -697153 657623 -642084 681653 683110 -312571 325331 325172 46243 867766 848657 -361527 609246 678063 -843838 18108 1069903 -657584 -846697 -477736 277355 197391 730911 -578191 -844227 -541843 -51524 407874 358056 -58135 -15162 -41314 -630676 40068 -107691 124651 -707220 804136 -503373 441674 850261 -512985 811468 116750 -818292 365017 296916 144953 335475 -565604 843268 -487651 762677 79795 -316106 36206 661223 513870 -705917 600829 -18890 -487621 208812 984329 372779 668458 475410 914142 488468 699100 100575 +310148 103384 -99627 -203467 862424 985507 669693 80419 509984 -639325 179039 -407148 369653 46014 11984 -835900 434586 1048860 870686 -782422 728670 -467960 -806495 713465 529525 -497147 -41735 14807 -753186 483762 -165773 -762426 517389 -746639 -157671 -552697 -104639 272854 926107 -567796 -132657 684306 731883 989074 344291 -470405 757616 -443246 111277 -82867 538678 899649 -122790 828687 642272 -654929 -120159 598600 1029112 -630389 -1005308 -401489 156594 419563 -49066 109246 634134 -491438 -619729 -861137 -777859 889247 -249353 -668426 16060 212543 -898184 -277194 -968946 13012 -635629 353257 -206265 -35255 -958049 -712093 -527862 -650118 -263095 -352997 -260154 -527164 -914510 354584 17190 492798 -249602 749803 290156 -196942 608857 -888807 -962931 -748553 -458324 -465189 -54218 -6931 686313 -172897 -822244 -693750 -526984 94079 71978 236866 510420 462785 919784 -1105776 -862041 -806972 82095 761057 -215726 106354 711860 -565392 459239 -912568 487795 -615093 -968810 -770419 -1075646 483830 -292095 -145131 -683407 -135888 692148 -333241 -210792 -464873 -210966 907735 -440775 273458 -580979 553021 -788507 -496666 710937 -597548 -84284 -14215 -945127 -964449 -459881 -405981 -350105 -210947 -541645 468588 -989119 -25344 669702 -59629 -363109 143651 -284020 738941 896420 -612010 700919 -607194 644877 661661 -205351 309099 359812 52136 860271 790304 -408428 569870 747903 -812226 -49649 1059938 -672541 -811714 -503833 303192 138899 773637 -535918 -839288 -585701 -72632 409112 300783 -67756 79589 -70189 -602018 -7449 -111961 173198 -738560 794587 -512212 392519 734519 -575808 894803 32766 -840233 263707 345018 161239 338103 -543145 956641 -486328 749626 -29164 -335600 17826 677426 365136 -731368 513776 36417 -507684 201044 1060525 428809 674641 371149 918450 544134 648408 146068 +294490 164365 -101131 -133170 865221 974533 646832 160033 506817 -663585 226464 -561131 380940 62100 12671 -808421 376791 1057510 877579 -620718 767941 -462992 -786456 821266 506267 -564164 -22182 28247 -679901 548006 -94008 -717234 497335 -768511 -144739 -566832 -112218 327348 906217 -523106 -107753 814330 690950 858225 343033 -414072 767651 -543899 112608 -99132 537235 936838 -55702 797208 607957 -655255 -238213 595182 980244 -609969 -817839 -319379 174360 424129 18060 178681 628432 -526559 -586381 -875923 -926965 775039 -302287 -683127 13951 203856 -849681 -158764 -967977 5193 -624159 299939 -276199 -111769 -954181 -863812 -533263 -671575 -261327 -452711 -232289 -674923 -908921 367442 4969 490561 -399894 796762 349940 -195613 614520 -861028 -879898 -707710 -467528 -471213 -68197 -37514 640476 -229194 -779658 -691102 -651236 108922 106153 237895 556698 482264 968569 -1084046 -784590 -765780 58573 783214 -239301 41838 543776 -601937 343999 -990653 484358 -469762 -980008 -781921 -862848 357580 -343794 -132787 -674432 -219988 744753 -310273 -89242 -436077 -393021 935956 -428380 265375 -552961 607102 -736046 -515242 824183 -611067 -55018 78506 -942538 -1048529 -443798 -275164 -313116 -204490 -490684 422370 -1049301 -133752 784542 -66828 -443533 10115 -301862 641040 867996 -497661 741114 -621864 606981 632430 -338378 298872 375204 62709 835976 733142 -403807 515230 815676 -784766 -91314 943190 -684790 -814083 -510718 304563 88913 660786 -462158 -855981 -630288 28798 409489 242086 25428 131785 -61833 -553230 122867 -106929 230162 -792500 703762 -500952 333294 773152 -539118 985247 -75763 -898641 164005 410939 210810 192102 -583532 955227 -484316 794666 -70680 -352824 5281 714041 358769 -741051 464695 73562 -547226 242777 1016480 435853 669938 380313 885034 502432 638500 102643 +293379 129103 -69045 -127066 869638 978641 640948 206954 495139 -607501 264611 -614631 382444 57695 24943 -823809 391653 1086120 867242 -488710 792780 -458861 -794459 920989 494374 -539487 -17506 50667 -609272 601670 -55890 -754412 529538 -745748 -83868 -612676 -130639 291695 950726 -482471 -94428 887773 629478 715271 459140 -347215 798750 -637443 115919 -116036 545128 841333 -296798 818909 545574 -680529 -301788 604793 921273 -597630 -633175 -207955 192107 418103 90984 187744 619494 -499872 -562755 -875079 -970622 735661 -341127 -698259 40942 168185 -824752 -138976 -962732 -2603 -636731 333051 -403318 -162425 -934701 -921507 -518846 -676168 -229225 -480789 -178001 -789427 -863782 402204 81657 468517 -426158 836890 339592 -184930 598177 -856293 -897569 -711997 -455083 -373048 -84421 -43636 588664 -317527 -745126 -676427 -770309 122302 136530 281454 603238 492439 956925 -1036289 -688558 -622817 14337 820484 -274934 81184 512611 -617672 213497 -927288 392231 -324175 -1009095 -681780 -798951 395626 -414387 -38923 -666681 -314985 752371 -340065 -63826 -415614 -611509 955512 -405022 263755 -599469 560760 -695226 -552473 839151 -604625 -17238 150270 -903721 -1111529 -452880 -232675 -304695 -200263 -538088 383084 -1064490 -199007 883776 11480 -400177 98607 -266620 686386 878918 -494244 806666 -612374 586612 646097 -512105 278877 348460 72642 799688 771159 -371356 505694 797815 -750476 -89008 834527 -703204 -834517 -528638 307529 87376 575977 -373362 -934632 -703704 197780 405563 200263 92905 143017 -71059 -501312 249804 -113031 330646 -814312 620468 -471813 266883 930222 -477539 1005356 -156205 -970052 96575 422854 272403 80318 -550379 815556 -484381 719030 -58948 -345302 -6747 701546 482645 -683880 465428 37203 -615209 295881 852625 393613 665270 425102 856139 393735 689010 70998 +289772 112199 -101953 -197663 865629 1026760 668658 201532 497331 -512358 254748 -551404 329010 53868 24494 -832049 370991 1080919 871287 -587697 804160 -452783 -776945 967850 494691 -434724 -37251 53689 -580131 614110 -75003 -804259 506488 -768043 -38469 -716504 -150071 190390 936354 -482167 -117735 805404 565847 768696 567504 -269115 799277 -682075 112975 -116143 552055 676597 -415387 866166 596701 -695633 -272007 606913 835067 -553922 -608256 -156382 168118 429467 149347 113856 608234 -553028 -554215 -835464 -889627 834000 -371459 -685114 15005 137129 -864344 -178301 -947896 21053 -673262 341166 -393741 -102514 -923606 -869373 -537953 -666094 -190184 -496616 -152287 -744058 -796017 536739 156911 477537 -303515 877510 346258 -179995 589483 -891534 -974100 -683293 -454499 -292345 -90480 5733 518904 -366019 -678819 -637021 -807711 102688 46209 266384 644655 497840 953751 -985719 -670350 -538911 42951 857629 -305598 79152 621438 -588772 188672 -774569 313605 -304395 -995442 -672655 -968093 533122 -475541 -2608 -663190 -346263 749819 -417335 -164513 -421561 -523114 962648 -362229 259945 -577598 456131 -761568 -536115 788659 -601811 19598 118680 -886371 -1152285 -434964 -296179 -295630 -196405 -577798 358110 -1017341 -45034 903269 -7768 -308253 311086 -200765 809892 858265 -563182 820178 -654617 566723 658112 -611948 277601 311628 65294 794627 839319 -304607 452254 729430 -728822 -44585 866158 -711702 -858209 -501731 306940 124027 525033 -335415 -1060780 -714878 234619 408149 215655 121753 162084 -92515 -450873 339330 -145219 346875 -854141 630205 -465080 220827 930271 -372996 972093 -65003 -1043591 85167 399463 277479 168654 -584393 756089 -488446 741630 77433 -359794 -13093 729348 609960 -643215 502293 31011 -638119 370863 772265 342903 671688 489969 813329 303010 778550 69346 +290416 49677 -101466 -241762 867295 1044675 627919 137590 480170 -414047 207186 -382529 343862 12721 22912 -854150 383542 1084841 871564 -733059 807488 -459643 -788864 928704 478339 -378925 -82415 24492 -608720 577257 -137145 -830487 519990 -742168 -42189 -766637 -159104 81470 955353 -517964 -120277 674725 549358 876405 477839 -200992 775925 -654923 128154 -102375 547137 582577 -369257 916464 589250 -672323 -167132 613022 772190 -549353 -868659 -186734 151351 436236 176916 57849 593857 -587938 -560663 -842974 -713091 951087 -390051 -668994 34223 119984 -916630 -265872 -939142 22664 -699565 351103 -318039 -17834 -933251 -741353 -540743 -681123 -169971 -413009 -99087 -597673 -812559 597019 176610 465888 -173124 933760 378146 -172005 576435 -933940 -1014034 -674219 -449198 -286212 -88954 29599 458656 -382374 -744728 -594063 -749628 84166 -35231 287649 673760 500694 937478 -924932 -736605 -602915 37011 899891 -339950 39104 793687 -540500 284527 -644815 275201 -415948 -970391 -687551 -1118691 646307 -509189 21354 -658023 -275730 777862 -450152 -282660 -429801 -292845 958262 -422242 297124 -622572 356932 -834072 -527245 665618 -631661 33855 17330 -896487 -1154034 -432527 -444085 -301919 -193275 -584774 348641 -938950 102740 858169 44596 -260753 392475 -144496 927716 857344 -697517 779820 -697975 550653 705580 -494039 259818 325120 73876 851154 887759 -256351 451295 683293 -727053 24146 1034166 -709553 -864673 -480882 313315 179034 566695 -302081 -1137816 -794105 193003 404711 279180 113844 94727 -57703 -387781 303089 -137888 328940 -882158 734607 -473830 169037 861543 -300339 900579 52127 -1023498 116775 327626 237104 318441 -570657 850828 -489487 740551 158811 -345576 -14785 731372 607332 -649782 568870 -5683 -658037 399073 820508 334558 669359 482203 757817 305324 842145 70451 +288034 99379 -97944 -200469 867345 1030719 635093 48377 485545 -367683 173781 -394955 265857 -20898 -35036 -868018 378968 1073170 868225 -769367 795352 -469595 -813925 849189 473186 -379645 -145994 47396 -680707 514663 -206172 -782788 534721 -744284 -92272 -710649 -183613 41931 924080 -562773 -145149 640068 491567 1011892 390454 -165494 738426 -563612 123775 -106884 542377 644136 -181959 906197 609467 -671102 -52679 601728 771678 -550828 -983907 -290133 116512 424646 165246 98439 577145 -595328 -582688 -862066 -602167 1024120 -385451 -668667 38411 133536 -961272 -318675 -919147 5827 -733070 393832 -222801 72330 -954165 -617174 -548896 -695787 -158314 -342298 -22173 -512090 -870236 621767 115187 496296 -222430 969145 382355 -158113 574634 -896194 -979776 -691440 -456267 -411544 -113230 5534 432971 -327766 -737008 -557780 -657594 129279 -37491 284887 685837 505640 944718 -861582 -825693 -742168 74090 912223 -378751 62179 831910 -483179 364714 -651099 309231 -595805 -1018287 -678705 -1106575 631313 -440326 42075 -664691 -194882 759238 -445523 -301803 -454351 -211584 942956 -395787 303367 -651849 339858 -881825 -524846 534550 -659133 40733 -54436 -920112 -1114303 -443805 -552884 -289591 -194732 -581434 354496 -862510 100815 829432 30490 -313019 254902 -135962 892707 857129 -754016 696563 -657457 503021 718819 -291126 245529 358685 63023 847772 950558 -260665 455094 713579 -712240 86980 1082971 -704855 -857005 -451136 302076 241514 581534 -320753 -1143039 -826011 74883 400608 348849 173 -842 -57023 -333863 176284 -148266 355950 -894159 820289 -506551 117825 807109 -324404 791500 91292 -961297 218216 284028 229364 343688 -542529 954438 -489612 767801 105765 -371019 -18080 685596 474552 -704581 649609 -35533 -625049 385063 993335 384061 663726 366045 679645 392889 876273 132873 +292387 125661 -107799 -137159 872034 1027189 632284 -9895 481554 -401280 181753 -539035 301109 -39482 -35479 -879137 401359 1106271 872184 -638324 766247 -477257 -813860 745181 487448 -420027 -192980 34237 -748652 459073 -237648 -732601 511323 -781649 -153341 -616517 -185866 84823 955081 -585144 -117406 747067 486203 1087312 334343 -172218 758693 -470468 125817 -102264 567549 786127 -69248 861869 635831 -684175 -863 621110 824235 -569478 -856812 -381922 100089 389543 118413 172797 559168 -627660 -614917 -890756 -634138 969147 -360966 -652321 -10208 170819 -958761 -233514 -911227 -31763 -724847 391957 -262356 125728 -958679 -632634 -555554 -661499 -173818 -383905 22362 -668037 -931552 598198 42268 499296 -377846 1004602 386074 -156884 519508 -898270 -894591 -740226 -471930 -512438 -90538 -27950 427539 -251055 -817773 -552893 -519780 87128 -92730 281243 676905 496333 876584 -809357 -872184 -812893 108948 907316 -413403 36761 722380 -439434 484129 -740822 400651 -698999 -985180 -740728 -925580 511866 -411680 15874 -643214 -80287 764850 -397892 -201808 -439068 -305235 916677 -357729 326153 -699189 409628 -817383 -529186 481882 -664880 32683 -40583 -942877 -1040786 -409964 -564904 -279121 -197163 -553134 379631 -831533 -23982 717290 90458 -377340 52466 -179149 745180 863456 -730315 619734 -673622 484126 710476 -224117 233129 373985 34769 869878 973994 -299617 386090 796412 -714879 118020 1004287 -700568 -832341 -442729 285938 270623 645497 -341690 -1120496 -836125 -35192 399200 377577 -69165 -111121 -77312 -341649 56558 -145203 263762 -936664 783754 -513302 85243 763420 -436331 680728 -21884 -861805 355600 315337 228352 189451 -546105 1017750 -489079 805978 -861 -387361 -10139 711724 364243 -736480 669180 -23455 -568158 334026 1068160 434433 671626 350484 617632 505071 873170 122697 +302898 127041 -100828 -137071 876441 1019615 683322 -40495 486187 -483281 229064 -642980 258390 -111920 -39990 -923368 362806 1082130 866796 -504986 735582 -496762 -774056 680652 494882 -516041 -265086 15587 -773846 441143 -213262 -721853 518259 -739064 -163694 -546904 -223876 186724 963824 -566941 -147189 880780 444763 1075914 455231 -202735 766930 -397725 122651 -88107 549534 920008 -146049 815830 722325 -682061 -45337 614824 887149 -581276 -656085 -395320 62618 390894 49253 178966 539816 -620860 -651545 -859854 -775405 834796 -325207 -645736 -36035 205980 -922657 -140518 -901254 -41722 -712514 428699 -297109 76841 -940097 -754964 -568213 -662069 -199240 -505587 46342 -758118 -896927 519386 38409 444463 -440128 1034350 353702 -172468 517196 -889466 -860438 -747431 -472309 -478334 -50464 -43612 456756 -175893 -706222 -566167 -419495 96703 -38239 310017 645018 488453 832319 -777966 -856432 -732757 173853 880099 -438243 33195 549557 -424854 402716 -905005 473596 -604643 -978878 -782522 -821464 406609 -335703 -68418 -661190 -104521 728075 -346694 -79349 -498681 -493956 884722 -393399 306410 -722463 524833 -752068 -491901 497825 -663089 8240 49566 -931931 -954209 -412786 -460479 -290832 -197569 -532829 417941 -854805 -159504 643477 77898 -459804 28587 -246552 647121 858771 -641303 630971 -627880 494260 689571 -368377 228045 347623 32701 845722 951735 -362049 409229 815714 -729098 103014 899550 -676614 -812921 -470228 280542 263754 724030 -397709 -1023064 -852591 -92064 398296 377315 -73979 -157247 -116528 -347340 -10012 -148644 227906 -925052 683435 -503801 111562 875002 -534416 628179 -149608 -829022 406378 389957 129040 79003 -534811 842111 -496796 766328 -111485 -354156 -3551 679062 406060 -730903 689954 7824 -529182 263118 992909 430997 671708 453938 548067 547069 806580 61401 +293765 138930 -82407 -197184 865826 984043 688082 -3708 492941 -586740 261245 -551773 294472 -167446 -51272 -959759 379654 1073640 871963 -564371 688119 -511690 -786358 689954 458443 -541748 -327952 685 -737215 472944 -146906 -795355 509574 -773151 -119129 -572801 -239577 287472 952153 -519696 -123367 877300 476605 903793 559987 -258947 795873 -397281 142481 -62021 556461 916396 -422373 808787 694289 -671434 -155896 615009 943710 -601169 -606439 -301362 37909 369396 -22942 113754 519890 -664823 -686272 -865768 -932665 751590 -277613 -649986 2355 216217 -863536 -162824 -891563 38872 -658130 400096 -384252 -14620 -930205 -872201 -591397 -656725 -234009 -501201 72473 -758684 -842856 402852 52524 440078 -336547 1045189 353351 -185985 500446 -858490 -977353 -823257 -462511 -345053 -51708 -16340 508006 -95891 -709036 -565205 -369539 98385 25869 312400 601510 479300 832248 -775118 -788498 -596548 212481 830901 -456622 65851 515119 -443173 240772 -984755 479809 -494010 -1004095 -821602 -919221 400690 -288440 -116989 -655156 -208547 720403 -290344 -65343 -425363 -599160 851046 -381540 303611 -720086 601588 -696522 -493328 597231 -644302 -14771 131811 -878758 -876765 -385900 -314984 -289119 -190111 -521759 460777 -924563 -175647 608515 103298 -404547 208535 -296043 684714 864021 -523540 731046 -604023 511133 680640 -544503 238154 316715 3595 833373 863494 -407546 406960 757215 -734841 48485 821797 -655526 -849746 -478437 266170 219245 737775 -497070 -888281 -844266 25572 398653 329424 1110 -184269 -99546 -401140 69141 -142757 143599 -926388 622631 -472205 130443 939500 -588005 658594 -131742 -833550 420208 428058 116165 173956 -535439 753245 -500904 746153 -74748 -343789 7934 644860 542310 -694378 632124 42684 -487907 211574 860974 377019 669194 489767 547997 486086 733175 60388 +304895 74830 -54622 -244009 871442 945003 701671 79366 508776 -653715 253518 -409519 322049 -228836 -104442 -967730 414087 1091888 863003 -749336 637055 -522993 -755129 767289 462973 -508289 -354884 18904 -668615 531906 -80881 -830471 520891 -782142 -57091 -662394 -248499 329772 956686 -482346 -119633 737014 438649 776296 546624 -330570 828920 -456112 141690 -25096 560926 795844 -444328 809047 754086 -682365 -264650 624758 1008422 -643795 -803694 -217657 -5975 365881 -77314 61229 499566 -699031 -714082 -886188 -998221 772841 -222162 -629499 -3318 195754 -841589 -230605 -876339 26560 -639387 439340 -342614 -92365 -932833 -926291 -589254 -690343 -256059 -461231 84776 -574088 -804296 338471 172478 500059 -195657 1044764 357408 -188020 499761 -893699 -1047195 -845709 -470216 -265905 -66212 14120 556421 -122007 -738710 -589914 -392027 106082 48132 323325 554243 466415 850257 -794785 -685485 -538055 289246 785199 -467806 57021 622225 -489733 174219 -938894 428687 -316085 -997792 -807302 -1103740 487920 -250691 -119149 -639867 -275586 742268 -348471 -172416 -437847 -402524 828784 -380396 301599 -702777 582553 -754737 -498565 722436 -630486 -49663 141400 -869689 -809286 -386525 -224736 -291484 -192668 -556608 499196 -1006450 -58376 656896 47990 -311150 372676 -296038 823780 873576 -490047 777758 -605013 557434 636244 -589423 218284 322278 1587 801890 794683 -413216 436121 685029 -747982 -20475 981402 -666937 -851254 -492263 240860 155994 644440 -564471 -826873 -829377 141008 397997 251812 87709 -164644 -36036 -441782 243962 -187371 69483 -926097 636941 -458320 188088 898835 -547441 748630 8973 -886822 394613 402543 67625 334017 -538353 797365 -508341 777013 31841 -345917 25162 631028 641208 -643700 576723 103820 -475368 206811 788156 332601 666438 471394 537737 372759 686469 131472 +299014 85149 -116223 -199908 865592 971600 724856 163279 518852 -662272 201219 -381953 385608 -265099 -93491 -980588 432539 1064052 867406 -791718 597579 -546339 -775288 853807 445548 -435601 -379162 -2938 -602273 589973 -55638 -825938 508389 -771847 -23007 -750662 -263635 274258 915654 -480959 -108608 638804 508862 745050 366916 -406134 791318 -567910 132596 -37195 568455 637094 -279903 876689 760862 -692657 -304665 619960 1036402 -674207 -968228 -159453 19454 337386 -98917 96295 479995 -689697 -729679 -868343 -875081 880921 -173677 -632104 -29169 168862 -844831 -318785 -864955 23368 -616811 401361 -345892 -147170 -952826 -842479 -599946 -628760 -268583 -349509 59936 -521111 -835843 340614 203163 536948 -182828 1031579 320447 -196962 505435 -871558 -995276 -828550 -468612 -350067 -69013 31340 628927 -164781 -786970 -627040 -464729 90185 95153 343181 509063 459340 823043 -830389 -681163 -632836 300253 767720 -467925 19586 791646 -545914 223805 -761175 339016 -300658 -996466 -836939 -1143397 618963 -257466 -89969 -638263 -331225 750007 -425433 -292334 -435867 -206284 811257 -396027 314733 -692155 489079 -869838 -516278 828488 -625767 -60526 58229 -872484 -771405 -385623 -265985 -297520 -188471 -527208 532055 -1060154 91715 753685 16790 -234643 336425 -245781 930112 887941 -543404 818584 -647028 559870 638221 -442207 203984 354104 -8561 830908 753357 -374168 464700 701037 -779407 -77723 1092455 -661577 -852195 -522414 214488 101820 567579 -616046 -836320 -779118 244491 395561 208476 137839 -93601 -46226 -505056 321354 -208979 37681 -905240 739754 -475205 244817 783554 -446931 851029 98833 -973958 321076 342961 3201 332533 -560150 940912 -487753 745459 132096 -319963 41546 607538 560687 -630925 484719 94603 -500647 238770 821212 345865 680194 396379 541911 294691 637103 123868 +290509 99507 -103419 -128835 880224 942738 734529 206776 519528 -583673 169659 -548606 386615 -294147 -131955 -988839 440525 1051571 861624 -699184 554196 -573065 -770743 937298 478487 -390909 -378553 -1239 -581106 620652 -86311 -745775 518784 -751815 -56105 -757809 -286994 170267 919060 -517301 -136834 684476 560233 763310 311447 -472219 783040 -653688 134293 -33267 554134 582696 -127777 893898 698123 -685808 -245680 634550 992423 -722258 -898277 -191398 31090 320683 -81718 170336 461036 -703681 -729972 -851092 -693885 987398 -132103 -628962 -13450 135723 -882108 -250249 -858559 -28218 -637846 385698 -206332 -113547 -961682 -700757 -589640 -673818 -240051 -413858 28830 -643089 -898725 403749 153098 480033 -340015 1006695 318233 -201906 509064 -836065 -858863 -816574 -479443 -409782 -23779 -2764 673255 -208702 -800168 -652707 -594317 79803 73861 369627 478716 439068 816695 -885016 -719001 -772722 311855 771899 -459921 15485 825286 -593468 347740 -655260 279648 -404785 -1004187 -789242 -961859 660425 -305730 -25695 -631869 -344647 759657 -465406 -303623 -426253 -203268 808600 -466733 335196 -640479 377256 -889508 -529085 832300 -599944 -115481 -38787 -930853 -800855 -354059 -388609 -310546 -187493 -549105 547267 -1057742 105437 854398 -30596 -330566 121458 -177951 879146 909914 -650079 777337 -662927 569399 652645 -280325 213388 370048 -24860 848399 746039 -315803 505640 774059 -798044 -95747 1053106 -636636 -855689 -535567 201645 82701 477156 -671157 -905022 -725169 174324 396190 207480 113636 17889 -59342 -556993 287864 -175546 -8877 -867894 822464 -498876 354259 754406 -365727 957056 61721 -1030611 214434 291980 -14483 187484 -547364 972818 -491527 715462 93833 -339418 62422 569401 404951 -687201 474782 25283 -556233 298030 986664 397606 674432 392977 585058 317907 670555 121340 +311791 133386 -109594 -117660 870723 980303 761546 197617 507914 -482020 180522 -626238 367503 -335086 -152074 -1003575 408412 1071566 866350 -530557 523060 -584437 -795717 959984 445214 -356675 -360003 -3824 -617539 600891 -156262 -702191 499437 -757579 -115164 -662330 -297695 71911 956131 -563422 -83200 811747 576947 922203 438154 -498778 719381 -677382 136533 -39700 551484 676684 -105773 914605 706880 -727422 -131250 638211 883095 -738964 -697462 -297460 67597 316534 -30695 189178 443589 -672935 -712937 -878957 -561425 1008396 -97350 -638508 -4002 126846 -938387 -183523 -853597 -23284 -660523 394230 -244546 -34052 -950127 -612576 -607918 -599570 -216513 -471056 14399 -774917 -926529 503767 66581 431083 -436956 977395 318711 -183430 533794 -829135 -885943 -790239 -466557 -508296 -35340 -44423 709817 -285609 -751670 -687313 -735401 94140 -6272 361626 464817 424933 907609 -951174 -834176 -810033 328007 797706 -441030 -5268 742950 -615482 465787 -636582 288681 -553626 -990341 -744896 -808706 539236 -350256 23378 -636435 -230531 725938 -425042 -197091 -448435 -411459 816116 -414134 317054 -613006 329842 -877058 -516274 768588 -601256 -128296 -54242 -948219 -847214 -353291 -520303 -307130 -186801 -567943 550124 -998852 -32081 900119 -55605 -430671 14946 -135897 743985 898016 -749670 717534 -665235 615737 688515 -229746 215289 350485 -12540 879239 809787 -269306 525107 819128 -829111 -68681 944203 -672275 -828469 -489038 170037 112017 568300 -656060 -990514 -653338 115571 392733 256699 25638 120685 -119325 -615493 208796 -182562 857 -852216 785225 -525883 389749 787923 -305797 1021973 -95518 -1028324 123078 294567 -5255 74193 -555723 911250 -473166 752000 -2413 -292844 88182 553275 355336 -719727 497346 -4724 -620800 371132 1063646 439260 677404 425217 664514 415903 735950 67383 +290974 88438 -114705 -189542 892968 999140 796613 145450 504103 -391949 226703 -588107 323297 -291849 -148213 -1005424 356971 993579 866920 -549108 499807 -608296 -784007 904438 453292 -447111 -312624 25240 -691482 538191 -219709 -753485 515424 -777594 -155767 -576668 -289886 49124 925256 -586683 -115161 897897 603374 1019009 564720 -506482 735842 -636932 152413 -78326 548409 842909 -289629 851276 631450 -698574 -28187 636246 818166 -767553 -603528 -399794 104659 288301 40436 119469 428325 -671462 -683879 -882855 -624048 923056 -82867 -640517 12409 141785 -967044 -166120 -841452 652 -715690 360143 -334732 41525 -931146 -644043 -600842 -630267 -181562 -546802 -44752 -758094 -887344 569347 20757 442452 -372826 941872 281161 -181328 534553 -891631 -961894 -775104 -477360 -464570 -48667 -45276 715215 -364529 -715609 -724232 -777474 91097 -56194 403618 474706 409591 918434 -1012285 -871352 -704164 321461 847119 -414843 21191 573455 -597533 422934 -725710 383866 -653161 -994091 -744572 -912261 410040 -418032 52529 -627585 -150033 760797 -373405 -79376 -415785 -608576 835351 -459448 316350 -588390 381614 -739126 -540636 654658 -608067 -178706 15196 -914993 -925059 -356744 -573757 -322238 -182535 -543385 527870 -915507 -138189 937640 -86829 -457325 118893 -146944 656751 920929 -760204 653185 -695611 646193 702611 -384767 213913 317351 2475 864194 877517 -261933 576845 772259 -861834 -7349 885886 -663361 -836616 -461037 145782 161352 629877 -614374 -1086809 -638463 -56642 391865 317177 -54532 156124 -74798 -623365 73321 -203807 39455 -800886 683137 -503664 423742 909530 -342146 995632 -168696 -960966 87315 379008 -46215 166631 -542949 778264 -482223 759189 -85484 -283883 110029 559847 469280 -738384 554973 -30781 -662450 397200 1001772 419170 677356 504611 725187 520993 819659 77054 +285182 51228 -76564 -231536 891303 1021346 812962 58527 497014 -367668 261516 -427946 283381 -307213 -146285 -1013927 418009 1030642 866573 -707687 494214 -613793 -785801 793914 429049 -540388 -251992 30696 -755618 465887 -237036 -801027 522519 -780655 -145531 -551907 -305485 101519 923579 -566636 -119099 818240 682571 1093768 539641 -479176 766727 -549737 147102 -87205 557350 939346 -428830 813833 651521 -678793 -4781 645866 756597 -774100 -736979 -369686 133782 280767 111248 68474 415538 -659155 -647365 -851282 -781621 798166 -86625 -653468 24875 179152 -932564 -205583 -839433 47951 -741981 359573 -374469 124605 -928474 -761734 -599341 -630024 -160048 -480927 -105834 -617593 -822746 642636 94633 467638 -197342 889129 286179 -165558 543068 -898125 -1024503 -721021 -471340 -310113 -37938 -1392 679172 -368809 -763171 -729500 -772338 102583 -100606 405373 499071 393857 942060 -1056209 -867666 -571852 276649 889654 -383789 3237 483793 -546862 291286 -882349 472366 -623574 -987482 -666831 -1077456 381846 -447700 14250 -629931 -104479 763643 -298831 -70138 -455915 -496246 864228 -495955 302218 -576862 493469 -695873 -526318 531886 -646570 -201432 106745 -883854 -1015553 -347502 -504649 -328701 -180404 -568646 492919 -846774 -158540 857785 -69789 -339661 327959 -200806 687480 914348 -640576 659168 -671054 685412 703046 -557011 220919 315595 5493 841355 948480 -286073 645657 698927 -858143 61577 929526 -636439 -834013 -434839 122374 224676 681124 -572521 -1152512 -574644 -101035 398769 370721 -60881 168511 -82924 -617220 9613 -233207 154222 -765703 617762 -468447 467238 918800 -443659 913334 -73579 -875683 97549 423679 3481 311807 -514582 816513 -475908 813899 -78471 -255109 140900 548481 574335 -693675 625914 25920 -659523 375638 852503 360249 673480 462244 802809 542228 883194 106152 +292212 94461 -83695 -198543 891032 1031574 802795 -16076 482171 -419146 252188 -380560 259472 -260413 -180256 -979432 370643 1036589 863259 -819978 494894 -618517 -748270 709819 432303 -552015 -192106 34563 -773370 433321 -198379 -829072 527847 -784810 -90412 -613569 -296746 211135 929897 -520418 -86456 673056 714151 1011317 400892 -427586 782343 -447889 145270 -118780 549705 886541 -334699 802018 598822 -715345 -73042 652369 791010 -806774 -970523 -282711 146835 276941 161544 95824 405576 -629646 -609831 -903532 -926394 740630 -103831 -652742 36707 206191 -895957 -303822 -834404 33829 -720427 346538 -322703 93092 -940601 -884052 -584215 -613974 -161793 -382595 -176096 -538927 -800429 639799 111045 483123 -177068 839775 283032 -163241 584539 -896573 -1003719 -691089 -473795 -242240 -29138 30401 639211 -349701 -805649 -729622 -665838 90698 -69055 441393 541038 379172 967714 -1095703 -802654 -552007 248321 917202 -349855 -8523 600622 -494395 187803 -990343 497337 -471352 -1010132 -646840 -1147687 483042 -461157 -9067 -626722 -144373 717607 -299841 -175654 -434788 -286911 895862 -464661 312030 -560874 587536 -697589 -519547 474443 -648269 -217231 148823 -861170 -1092312 -314948 -364159 -338070 -182839 -574887 450377 -831528 -34807 762930 -95115 -264662 389049 -268007 830544 920705 -546442 710627 -646738 708208 726409 -602619 226816 355521 24127 814558 982128 -348166 634072 672339 -878080 108747 1057859 -674454 -829887 -454787 98006 265428 737610 -496085 -1112655 -543767 -40441 396622 385193 -24643 131708 -69211 -581107 53909 -196629 213321 -711033 657232 -460629 459447 820418 -531815 805245 102579 -830299 162076 407950 85850 339547 -554512 911615 -492534 796771 -9934 -256426 165688 538175 577319 -642499 691479 66959 -629594 317251 797006 328798 682278 404271 857524 465226 895849 132631 +306764 140113 -58455 -130656 887818 1035737 832412 -33564 503160 -507685 204482 -493126 324891 -236094 -165673 -973407 391484 1042369 867912 -710585 518789 -629000 -799730 686030 455888 -500938 -128376 70716 -728138 457823 -127693 -802591 495101 -781035 -39229 -712597 -294108 306291 915427 -481325 -112791 635442 745464 877127 333920 -352479 796739 -386983 159818 -112454 542024 733036 -176281 817296 606005 -712707 -192337 643518 831221 -790798 -931998 -187315 179796 283323 177198 170892 398431 -620815 -578200 -875903 -993433 813917 -140340 -681579 41716 215365 -850710 -300479 -833200 42548 -692903 334714 -262356 16050 -957265 -910657 -592713 -607810 -169948 -405422 -213687 -585310 -846665 546852 200338 500119 -315391 789799 255280 -164290 593426 -868662 -917833 -683511 -475246 -306736 -6725 10654 566344 -287166 -756310 -701065 -560548 100293 -39106 444420 588666 365605 954854 -1095455 -686222 -661884 172456 913998 -312682 -26199 738705 -446086 174540 -1004485 453356 -336983 -998490 -656962 -995730 608875 -450198 -63232 -619668 -233802 730936 -355997 -290757 -444165 -193257 925229 -527761 310802 -582433 601129 -858377 -470260 508439 -647405 -197617 85315 -914134 -1139617 -310300 -247430 -333453 -176323 -563317 409877 -870727 95982 677731 -117814 -280456 223472 -302125 919609 950715 -477979 773324 -638110 706081 700209 -447339 223480 375993 35052 810264 915698 -406237 678359 750468 -891698 115487 1075945 -703237 -880674 -466228 70124 267698 661778 -421143 -1048461 -492249 72549 401830 346267 87044 46715 -84262 -512413 205414 -240644 277727 -685420 747953 -480704 435910 733839 -588715 745553 97855 -826244 289719 348012 113309 187900 -523529 976950 -494959 793907 124714 -231946 190714 583716 492124 -634446 675115 99396 -586650 258196 824573 359038 678433 375884 905635 352820 858479 95859 +291830 162888 -101065 -119727 897127 1048802 802850 -4281 500807 -608607 166172 -617225 307328 -172913 -183335 -952335 484422 959278 863195 -525161 550330 -637844 -771075 718239 498128 -434744 -72412 37179 -654921 512614 -69163 -719377 529414 -752801 -28733 -767224 -267168 321847 917266 -480635 -109289 744474 775433 763743 419546 -275365 780160 -405859 150769 -91318 536981 602193 -86651 869021 559386 -711035 -284970 656636 919302 -755777 -743817 -157367 203887 279358 154277 186938 394532 -564855 -559028 -898611 -893825 928276 -190914 -681915 30309 193245 -832898 -227361 -832786 13929 -672825 368449 -231280 -103864 -952582 -833202 -579475 -574596 -212850 -479691 -238844 -766422 -906241 481691 163128 479672 -434599 749039 247776 -165769 589572 -860157 -858174 -694731 -471497 -472783 -17912 -7206 520554 -214354 -740172 -663772 -463256 104643 65580 475432 636612 357245 956915 -1094516 -674041 -790346 130547 887162 -274666 -13870 833749 -427350 309418 -842094 365106 -291159 -996125 -735263 -816878 672623 -382750 -120274 -627437 -339819 757045 -405458 -303802 -458457 -324608 950803 -523912 304007 -591097 520652 -883896 -490662 605391 -672564 -183549 -3091 -922260 -1154584 -296428 -236397 -366063 -176386 -541597 371168 -950090 105997 627227 -58036 -380590 28329 -283454 884135 936643 -532173 815941 -612297 694270 682467 -252750 233996 355024 48707 835002 890162 -417530 754441 819985 -909950 76591 937154 -691937 -882249 -506123 43363 228798 583853 -373497 -938918 -494059 220627 403194 288700 184294 -62072 -66335 -437625 365385 -193354 335207 -663248 824979 -500203 373752 739037 -558225 667222 -27197 -905462 372442 300503 187703 78983 -565193 875938 -495152 784860 119049 -197878 215558 619526 358605 -669406 632115 71945 -550288 202859 987179 417387 669738 450544 914616 290535 786133 103380 +283830 100405 -111059 -198762 892798 1015766 845589 72178 493816 -656247 186369 -595976 345228 -101953 -165629 -923705 376205 975905 859408 -518833 582192 -638774 -793317 817270 522897 -365797 -27183 30998 -591516 564845 -58143 -714512 535193 -785375 -76982 -731602 -266400 256406 923443 -518789 -111543 882903 796039 727053 544827 -208608 764514 -484713 154355 -129878 543593 608073 -230123 906446 583944 -706506 -296676 638118 970509 -761455 -592443 -212916 171782 280649 99308 125984 394362 -519070 -553047 -874790 -686816 1005036 -244394 -683983 16280 156995 -854158 -141848 -845382 -3258 -634450 383220 -312004 -147855 -937318 -684146 -580772 -597504 -248891 -511473 -247611 -778821 -921125 354559 59723 434233 -399986 717929 221875 -179184 622777 -918476 -925629 -686498 -482642 -529681 31451 -45300 458649 -141393 -708843 -642161 -408629 88948 71124 494356 667527 342366 901356 -1058871 -720630 -789948 104062 855808 -246121 -13480 764374 -437703 459480 -717307 284284 -432601 -1014014 -770783 -828224 574547 -345589 -118086 -616336 -312614 707080 -470891 -191701 -441617 -532638 963369 -568175 309150 -657754 404580 -873748 -489729 734819 -663797 -144822 -61497 -946323 -1127864 -313220 -334352 -348280 -171651 -513172 352006 -1027712 -22671 638809 -54235 -471198 47213 -222466 744826 947931 -630783 813900 -654373 712037 683625 -245998 246944 320683 66612 845846 820112 -374732 782539 794896 -891104 10218 861401 -702639 -851662 -517715 20863 165979 504749 -326972 -862270 -481235 223983 405415 233662 132208 -140472 -71826 -389666 290585 -213252 384580 -628730 782727 -517959 302554 848511 -448850 646430 -139013 -961160 431064 294765 216648 164146 -567793 778796 -487355 732314 22376 -164695 242909 603261 398600 -717828 570843 19822 -480153 203487 1061937 440420 665245 474032 901792 327847 694991 64739 +287098 93393 -96509 -234869 902056 969538 819626 158968 495647 -644231 227433 -425580 374758 -61609 -168807 -890643 416855 961559 859111 -705304 628114 -624339 -797635 906329 497031 -372889 -20715 23023 -581320 618809 -102902 -771127 524097 -768309 -140449 -604536 -248208 146570 946306 -565783 -103820 875004 740686 861383 559845 -172138 774413 -588292 159543 -90347 543201 734252 -402079 892523 597177 -771245 -214801 657614 1024741 -750003 -722035 -321565 128279 293771 26836 63127 397696 -512426 -564034 -878908 -607869 1005018 -293921 -690877 14264 126971 -921973 -140413 -844651 -23240 -614212 389952 -383301 -132450 -924636 -605938 -580617 -575520 -260523 -497582 -255750 -672053 -867769 345620 37682 478639 -231343 690568 239789 -193220 621743 -896057 -1043233 -687410 -484195 -425991 -19249 -30695 428290 -87274 -704131 -581641 -379204 113572 92634 489527 685169 341626 893357 -1005610 -810510 -667418 46239 794675 -214982 1756 578598 -477294 438933 -649024 290675 -575377 -1018256 -808973 -1051867 448745 -267948 -110229 -605441 -261072 769245 -452426 -77708 -442591 -550173 963179 -555640 298544 -678669 331031 -768878 -496763 832017 -644195 -102678 -24683 -909837 -1080140 -291768 -472911 -358009 -173492 -498815 345807 -1065181 -136421 700086 -32160 -450677 234985 -158763 643420 954901 -725442 717682 -667009 707568 642339 -395328 259162 321730 72344 873349 764522 -325030 780610 712515 -876973 -55428 897033 -706063 -813394 -514525 66 110684 492394 -313297 -811017 -479569 158041 406438 199735 53093 -180589 -65379 -348294 231024 -221681 340785 -618209 685815 -499324 225810 947412 -349542 707161 -181535 -1029984 443093 360932 232823 326597 -543737 737215 -497761 742617 -67871 -148987 265310 608064 532359 -741670 491641 -3885 -499331 255836 998420 405525 675819 492608 881112 435858 646821 89179 +297918 63476 -90465 -196561 913554 950169 795890 208983 509872 -563756 263728 -340683 394059 -9104 -160417 -873448 366582 904670 862581 -759476 675494 -617562 -789132 956586 494466 -445746 -17265 18358 -631673 599971 -174196 -812224 511346 -759723 -167362 -540659 -240457 54192 983926 -585741 -118736 738406 730055 973048 455242 -157824 789283 -666600 147055 -68498 547120 889079 -420531 870953 652724 -748437 -96070 639309 997163 -701700 -928850 -397067 117876 281015 -42049 94784 404023 -484492 -588612 -870009 -635015 866245 -341511 -680901 -13203 125909 -964962 -249317 -857769 54549 -633440 410772 -386291 -56706 -930618 -667263 -561442 -570908 -255592 -377884 -235670 -535287 -808665 382289 38784 502875 -175535 669328 273114 -202754 643115 -855987 -993937 -759422 -480072 -283010 5126 12178 437989 -109092 -741222 -576512 -486890 108944 32507 507064 678544 342202 855379 -934315 -871781 -553843 34617 769463 -197184 -19799 478471 -539721 364902 -709533 349603 -664758 -980127 -870216 -1165501 376245 -266610 -18658 -608386 -122895 737306 -387677 -68500 -414752 -355963 948860 -581064 295403 -715453 361223 -719928 -490146 849924 -634932 -73812 76991 -877556 -986008 -284009 -565262 -358901 -173516 -557718 361886 -1044237 -171592 809202 25466 -279145 384351 -133305 682895 953938 -744935 651902 -692964 689642 674168 -566756 265282 351363 74079 845785 760346 -259249 809541 677089 -837728 -92726 1040350 -717227 -825407 -522347 -29343 89037 621461 -321450 -882492 -524759 -11485 410293 230587 -20245 -179942 -63572 -322164 69999 -223416 313613 -583728 616761 -475869 163573 895602 -322681 802205 1891 -1038601 355309 417470 270172 333909 -548696 859723 -488053 728382 -59401 -151256 286676 638714 613390 -706673 483521 -2906 -499755 319799 849754 352832 675183 388639 855412 530006 654140 141888 +296360 97448 -101041 -138991 907309 972894 771720 206380 514607 -457761 254158 -493739 364393 32750 -136196 -856598 394376 920208 862743 -728221 719511 -604366 -798295 929883 511520 -517761 -53578 9530 -710232 546192 -228659 -808163 535195 -756165 -135257 -568752 -215012 48304 953781 -566190 -84729 647050 698840 1110320 390271 -197382 839775 -681227 147873 -53704 544631 939562 -241015 819551 672237 -755861 -10226 640361 937669 -685394 -955783 -379489 79119 324641 -88338 166558 413145 -496473 -624281 -891977 -769693 753608 -368749 -659457 -27203 149925 -966377 -311293 -864600 48211 -672915 402397 -311568 40187 -949538 -803444 -548622 -550773 -248251 -384391 -206368 -585630 -798215 432207 114696 506077 -289125 670295 301948 -191754 627599 -867587 -857855 -779485 -498890 -254191 -13969 23586 471555 -208532 -793921 -558018 -595728 87205 -35413 540542 656954 345189 841183 -879065 -856807 -569901 22621 766520 -187916 -35448 569578 -585240 206869 -845554 448783 -603293 -968908 -840131 -1049059 443245 -255822 34979 -610412 -51633 753927 -346976 -182654 -429823 -214408 926924 -599040 285990 -747893 459575 -744927 -545750 771560 -601706 -48755 141463 -866648 -920796 -261375 -538280 -352873 -170864 -523640 389248 -977214 -53894 890424 71357 -244645 301856 -160488 826886 953433 -686428 649794 -678432 651742 643484 -568715 285978 375477 81937 840496 789592 -250978 803387 727033 -825830 -86384 1074246 -693590 -853016 -486603 -35207 98534 675882 -370771 -960976 -563318 -93954 408849 291539 -61326 -139218 -98818 -335769 15854 -241546 286361 -606933 654525 -455426 115457 788854 -326687 937642 89181 -961463 243736 416473 275669 197600 -560011 976284 -489946 777054 -9815 -113661 303899 693525 567305 -655362 483702 13997 -568145 369574 774461 330066 661696 389391 786895 536669 685862 121858 +289243 123695 -78876 -130221 904227 968275 788741 137242 524795 -381106 205136 -612517 316616 28760 -137657 -833697 358136 945142 855959 -533954 766078 -592282 -800122 857258 546964 -571596 -84295 4330 -764449 482800 -231480 -768712 527387 -787221 -78870 -659177 -189522 120729 933964 -518160 -108069 675562 652098 1073990 390397 -245350 811432 -619966 151488 -29238 524412 843042 -71702 802501 705354 -755983 -15689 644710 864741 -637403 -779103 -250142 48664 316515 -98008 194471 425851 -474485 -662037 -890402 -925112 779606 -385720 -665445 -35617 180108 -920020 -269572 -875938 -3160 -707853 417651 -255648 97389 -960756 -896888 -535925 -511759 -226330 -454430 -148842 -747275 -865225 507535 157984 482046 -427348 689335 304011 -175873 621304 -851520 -861875 -764116 -482008 -347002 -32762 12196 511025 -267160 -771657 -561781 -708597 98805 -49755 538716 617638 345950 821971 -823436 -802575 -704823 32133 787209 -182616 -21617 751657 -611116 150298 -983172 488078 -462325 -998878 -814541 -844597 605477 -323356 52557 -607784 -104575 776019 -332478 -296189 -447217 -251725 895922 -613614 271167 -728732 569136 -856820 -527934 636901 -619279 -948 122806 -910878 -843004 -270221 -423555 -331474 -161831 -546259 426115 -893187 105131 913042 71765 -324225 108308 -224222 921994 938883 -576295 714699 -675185 605886 697370 -422417 277193 357429 68298 798207 860053 -290320 814609 802340 -808049 -37830 1047464 -716108 -846422 -451662 -47000 146382 753783 -447268 -1070745 -617156 -87295 405851 357983 -17035 -43877 -63121 -393875 83386 -251645 148999 -600186 757095 -474134 84079 734868 -442073 1004773 38240 -891237 159024 354321 241884 84043 -525991 931167 -470323 765290 89179 -99189 321031 704241 413602 -631314 529698 44852 -594699 399643 824349 373215 677465 429877 694984 446664 775541 85868 +295199 144609 -72845 -179238 918906 987897 752604 55605 514069 -377502 166087 -612059 326813 34242 -119211 -832277 389550 890819 862267 -460425 787754 -574746 -801856 743076 541233 -499537 -145008 -3497 -768532 449061 -182378 -717439 496652 -745211 -32043 -750895 -171295 229698 948745 -482890 -110916 817801 570659 946768 528213 -326391 790123 -526714 156740 -30987 530256 680617 -153252 815841 759351 -774653 -106295 649261 782392 -597841 -608999 -197823 16847 360278 -69418 133189 440746 -510780 -696607 -920175 -975274 861652 -382919 -654400 -19812 209005 -848220 -160676 -884557 6887 -732279 419137 -251756 101755 -949749 -898448 -545571 -508181 -193085 -503388 -87912 -785048 -922992 640233 191524 463897 -414930 708660 305406 -177463 625550 -847161 -923593 -812638 -483430 -468204 -6488 -29295 580254 -338595 -743974 -561136 -778802 111906 -75487 541026 572492 354788 843386 -795173 -705620 -808100 53089 821952 -189395 -23144 829937 -605811 293324 -1009179 472334 -316657 -988588 -781293 -833224 668476 -348609 33710 -606842 -240232 740147 -373064 -297924 -408461 -451473 864255 -635145 266239 -680319 603798 -897548 -510204 518757 -604398 24033 31222 -927264 -792402 -250989 -280489 -324503 -164515 -579078 475461 -838228 110790 916195 92262 -400825 8565 -285390 886063 928589 -479556 771755 -664420 587906 719797 -234335 292782 323851 43979 841517 946101 -344023 810402 809062 -769105 31766 850289 -693390 -856206 -449494 -61124 203381 707871 -514506 -1137231 -659037 79560 407900 386289 41726 36500 -86046 -444044 160453 -262420 113019 -620374 818584 -504238 91783 819223 -522795 1014128 -45754 -831352 87918 290450 225472 168098 -582681 770989 -477211 751090 96321 -84374 332922 723102 346153 -662423 602583 101728 -621658 371062 964223 430121 677881 452033 645748 337570 849402 114342 +298366 121489 -63962 -235164 925049 994894 708803 -13205 497152 -438771 182012 -472632 295311 43355 -83639 -824227 401211 921066 856193 -654223 805406 -557693 -814004 680780 555454 -393790 -209061 15610 -716267 460955 -109803 -754290 525690 -769638 -49132 -752393 -149800 316406 936024 -483177 -131071 895962 563682 792830 586984 -395987 769959 -432139 155291 -36091 534343 588823 -334146 868760 722355 -775008 -225359 638318 769371 -562284 -674832 -154135 4048 372780 -10207 65252 457792 -516500 -719441 -894741 -867587 965316 -371357 -651931 -22822 209530 -835615 -111667 -896816 -3492 -733935 400891 -306650 28858 -928599 -800319 -532406 -507813 -166622 -471491 -54764 -682685 -903538 642900 93980 465440 -254255 747374 348078 -161882 623894 -916270 -991515 -858596 -486495 -496589 19191 -44415 630872 -375924 -722339 -592404 -760202 104744 -34844 554704 525274 369165 850073 -776170 -685828 -771101 112476 868766 -207791 -16361 765036 -566482 425403 -861718 388522 -283821 -977934 -715736 -991889 581769 -410912 -47938 -594267 -281735 772290 -441612 -188496 -405861 -599120 835137 -637462 259604 -662843 547044 -874618 -485645 478846 -605851 38345 -48724 -930508 -782796 -257499 -225848 -311890 -166789 -579236 510688 -836803 -19179 802860 55513 -460104 143703 -301828 752344 918623 -488000 828104 -651364 531435 746142 -254724 316849 314686 21564 830213 986351 -404463 787666 735025 -742737 92275 878782 -686930 -858659 -451529 -70039 263377 638784 -592321 -1149138 -720945 192689 409102 365822 142384 122979 -62166 -499904 294326 -258779 52274 -642333 772251 -515955 139483 900870 -577580 936444 -159470 -827484 70525 297933 172402 333736 -544023 731162 -479741 737514 19555 -43452 339546 736670 439895 -723064 689328 39123 -643426 311204 1074248 435134 670160 448482 562585 290213 899506 108378 +296985 98321 -86835 -199826 915513 1019460 707530 -33471 504109 -549867 232770 -348162 272730 38008 -77051 -826565 364103 894334 856509 -805276 811132 -533700 -780044 703360 544788 -373593 -273456 16932 -640987 508486 -60769 -819981 496737 -758754 -98414 -660373 -136965 322368 930675 -519721 -107670 816843 533947 737470 464044 -472708 741079 -396352 141246 -66884 521733 625910 -410988 894903 725544 -763766 -297268 627779 811942 -603856 -912314 -222784 30709 381166 62414 82333 476115 -548539 -731438 -897989 -697261 1032344 -329836 -640600 -18793 190297 -842341 -193140 -912614 -23300 -709105 384032 -335675 -61553 -926684 -666925 -529053 -520594 -156662 -371050 -16046 -551923 -852034 568048 25958 493444 -168462 781095 378533 -161965 596441 -887769 -1000731 -798663 -499335 -375052 -18976 -3583 691354 -372331 -726342 -624943 -702990 115971 14895 575981 486225 377919 886673 -780413 -720904 -629664 142120 899988 -235629 -7600 616669 -508138 482220 -745614 313433 -417369 -1009041 -657522 -1149630 456645 -470720 -73716 -601749 -361921 771204 -456873 -70828 -426501 -482760 817190 -632572 251417 -627517 435122 -764292 -509776 517755 -625572 52555 -46984 -910998 -822826 -248508 -297710 -291918 -158217 -567196 537539 -890826 -194973 704740 59661 -387284 321579 -266310 642097 915067 -585651 794949 -607634 505981 693792 -425056 334587 353056 24569 871118 957194 -414714 747603 678751 -729837 117905 964746 -683201 -821973 -467731 -58678 269989 544089 -629426 -1076478 -772425 239504 410039 297959 176297 147107 -29298 -554794 317065 -270241 15447 -676890 675708 -496640 188416 924064 -559718 838194 -115021 -902871 145712 358886 115246 351021 -540972 861460 -492400 714216 -47917 -39764 344691 724533 582932 -747433 684625 48247 -645146 248772 1005031 391366 681421 399571 548442 348847 880877 112057 +296461 103062 -114852 -136718 917530 1018441 689751 2632 496970 -624755 263679 -468002 309469 -5933 -55680 -841067 380207 870848 854205 -746175 800812 -518738 -792527 771474 551966 -387172 -331228 21094 -585885 571621 -65283 -836038 529889 -777943 -144631 -580845 -122700 241381 930703 -566086 -127375 679363 480431 754608 350116 -506565 780448 -419234 156545 -54519 531649 794038 -349396 908946 726399 -769357 -281317 622815 873016 -550141 -998353 -332709 50862 379331 129294 161313 495741 -562795 -726930 -909149 -606637 940285 -281397 -642318 37909 153113 -898520 -311374 -924911 54855 -664721 389641 -366810 -127973 -946199 -603922 -520528 -479808 -177819 -362283 29542 -567523 -797441 502913 17431 474998 -248743 828331 340737 -161475 567843 -861197 -895359 -772560 -499819 -278781 -5803 28627 702633 -293699 -794680 -653234 -598938 110199 63534 585650 467916 394373 909709 -812805 -831225 -538448 225581 911159 -267231 5372 481522 -456332 418571 -659166 260347 -576914 -967620 -644525 -1072665 368211 -464391 -127471 -586912 -293349 717795 -466759 -71855 -441695 -259702 807665 -659506 265427 -576792 345203 -717492 -469536 617199 -635748 33436 37694 -882942 -880582 -232410 -426091 -289350 -160534 -580000 550052 -973138 -174387 621128 50655 -260211 367476 -199200 688217 917082 -708745 736813 -627737 501745 683345 -561345 366209 375498 3751 886610 907336 -382279 697824 702993 -717508 98713 1080168 -668344 -821327 -511543 -64617 242358 535162 -648706 -982798 -798326 186306 411494 239821 55489 164344 -73576 -605811 235424 -293093 40643 -707604 617792 -475100 232393 881311 -421433 786739 47884 -973852 245129 410972 54392 193304 -534668 1004525 -504033 744553 -111747 -38527 346676 730096 628134 -720001 660307 -6078 -597305 206958 854169 342590 676654 378246 541607 458634 811954 140606 +297133 158565 -99822 -130741 920958 1018399 649576 78320 493546 -663806 247078 -599181 282996 -30521 -33214 -853045 424388 839257 853935 -570625 770646 -500106 -790037 869897 527937 -466014 -366491 51941 -587313 611130 -119884 -796244 509594 -760975 -166892 -532177 -106882 126392 949651 -582821 -124816 640493 456997 899602 384762 -502581 791864 -515202 143396 -79421 527068 925522 -138904 853201 669250 -790745 -179999 615823 950650 -566368 -829198 -397793 103854 422678 170580 196279 516172 -596193 -706379 -898999 -627509 820133 -229299 -630612 14829 123799 -950823 -286359 -931593 20923 -638735 335360 -262017 -154584 -960964 -674974 -518385 -476168 -203881 -446632 71944 -751765 -820750 386725 95506 468144 -409094 869522 393112 -184682 569818 -858474 -900888 -779794 -497006 -295006 -32749 17271 711231 -228747 -814741 -686290 -491037 104535 85802 566110 467143 412161 968869 -873830 -878622 -597502 264064 906832 -297065 -6832 583401 -428345 245483 -661253 326095 -666230 -979006 -660435 -876863 444602 -448209 -133342 -586667 -197097 756218 -362770 -184300 -460901 -189051 812082 -695683 247935 -568707 343379 -702092 -513454 752694 -643047 24274 120663 -875453 -970272 -212088 -551965 -300976 -159810 -523569 543210 -1043102 -39764 634450 20724 -262172 203816 -144413 828155 898885 -762569 648846 -625445 492779 674535 -558863 365253 355591 -7817 845192 829650 -323239 711026 779509 -703318 42414 1061698 -638666 -804380 -525810 -45375 195688 517843 -652247 -877624 -839266 28654 409608 212082 -15473 117827 -96233 -629968 103666 -259382 38900 -737394 658180 -463424 292616 758013 -357307 697022 97293 -1037386 326451 424477 15667 72048 -544043 939694 -493540 727786 -20241 -13258 341413 686580 474869 -665456 572121 -34207 -560151 203934 791175 335350 662946 440563 531395 538720 736826 57806 +304832 129360 -107075 -192307 899660 1014597 681861 157708 495215 -624506 206406 -631854 388015 -92047 -24167 -889795 407692 857603 852566 -503572 736663 -492803 -767795 942568 550775 -527712 -391348 48215 -648708 614106 -192488 -748139 511941 -775382 -107772 -597513 -86638 48201 922478 -562122 -121111 751112 462514 1071346 502244 -485678 794098 -608698 162987 -101318 529021 927060 -67012 819582 621806 -794293 -64015 609822 1013947 -565232 -610469 -351559 110155 397577 174254 136909 536324 -598669 -675478 -908782 -803525 740396 -178972 -633418 46447 127413 -975499 -208272 -945369 -9539 -616342 369951 -209663 -69146 -954306 -823458 -533380 -462388 -237827 -514912 63624 -825724 -880859 343350 166763 460827 -429641 926510 360347 -184406 546377 -865003 -942006 -704485 -488399 -347725 -58550 -6328 666788 -172589 -788434 -727375 -394815 102220 79983 590425 489745 427265 940450 -925694 -878087 -732529 306990 866835 -335372 3235 744384 -432397 152164 -822131 407307 -608415 -983788 -697495 -836107 576485 -413426 -52554 -580123 -98468 751085 -338622 -299467 -461855 -327176 827775 -633755 250844 -550804 430471 -826105 -507721 834285 -668377 5788 138072 -899317 -1061818 -200677 -570549 -290983 -159787 -533819 518058 -1066882 113401 668211 -17567 -390798 40219 -136113 924794 896591 -721843 638582 -653415 482794 636311 -381107 375959 320946 -5279 810151 776755 -276296 622070 820010 -712571 -28462 914098 -648688 -834497 -526650 -42423 121848 614371 -598107 -797992 -858589 -73266 402826 226340 -74205 43741 -125294 -604740 3979 -231653 108787 -778820 761649 -479943 359622 794130 -300153 620399 1737 -1042330 400097 357902 -1852 165290 -557837 802811 -504874 734797 88370 -9773 335635 688045 371893 -622723 531784 45393 -491844 271954 844182 386080 664067 490807 563487 524575 667008 74423 +292461 90466 -87004 -237870 916125 1035852 654823 206598 508938 -534444 170940 -512546 359722 -150625 13055 -911256 385908 866770 849066 -628375 696878 -475676 -761288 953008 530711 -536493 -375734 59648 -720585 559678 -236168 -718307 491852 -779270 -57587 -704430 -82784 52234 925921 -516071 -107723 869382 501001 1101734 543360 -425422 804410 -667365 152571 -106457 543041 792740 -254114 805491 579964 -789715 -2289 603955 1015510 -592892 -640344 -273193 151998 390630 140651 62912 555741 -673333 -637125 -870357 -935336 777557 -131974 -636466 23886 143801 -931571 -131092 -960242 -7777 -641216 330151 -306968 15347 -936540 -925137 -533951 -436019 -261403 -522817 44968 -705578 -914648 347903 176024 477962 -293881 961910 360936 -199145 525578 -919432 -1050623 -680566 -493226 -493088 -17939 -41209 614842 -126374 -737118 -705265 -392615 116545 8856 587600 526386 446403 982044 -984805 -800974 -811822 314381 820975 -374580 7205 853570 -468372 221419 -943648 482719 -449827 -1023049 -742451 -977153 658400 -316356 10536 -591463 -120889 750709 -330199 -297436 -475220 -552018 853406 -660752 214877 -554364 541576 -858351 -495851 836767 -648492 -40089 71657 -929405 -1118898 -189656 -469692 -270512 -155905 -496613 482815 -1030301 104181 784042 -46997 -437794 54321 -180141 886902 881165 -602808 710771 -701437 501499 663509 -229344 386236 319951 -6122 832750 752872 -264376 619283 772896 -718543 -81399 849478 -637356 -869415 -502309 -33253 95582 717518 -541271 -832314 -834541 -70511 402954 270804 -86294 -47468 -98066 -567312 60826 -271042 166842 -829405 812432 -507298 407634 851769 -323848 689523 -123800 -967928 429848 304616 -18152 320046 -552507 750172 -485629 803627 127640 14839 324801 651087 402363 -657917 495267 59814 -494994 321258 974422 435026 670180 504686 613027 427658 628934 99742 +292807 80203 -65867 -198368 912224 1004046 655599 196102 506562 -432661 184981 -354794 355180 -223771 -31757 -936524 397317 834142 855217 -772919 649191 -459646 -791315 918734 562507 -506252 -346369 33821 -767721 498814 -224112 -787309 496961 -788460 -33942 -761490 -85572 137446 930537 -477785 -140520 870491 469953 1022717 478522 -354684 760294 -673244 146198 -111269 547561 636117 -432773 819302 554882 -830757 -35949 609793 1000155 -583235 -862351 -160840 178559 429573 78179 84231 574099 -648563 -601990 -905389 -991112 898091 -97265 -651627 37712 186464 -884059 -162557 -960727 -19708 -664609 335315 -344512 107692 -922702 -894648 -545316 -448202 -259886 -437642 31411 -560152 -904333 401509 121355 457696 -172081 998578 379653 -196722 512135 -887266 -978325 -685836 -497994 -497678 -76243 -37145 563402 -130558 -748020 -712332 -424106 96845 -33195 592410 573035 460730 888057 -1043732 -720378 -743452 335392 783938 -404119 -16636 762832 -521744 370923 -985088 479773 -347412 -1011468 -793985 -1136776 617770 -283485 27341 -592731 -181155 735577 -362709 -184123 -444831 -542221 882187 -633185 225459 -594403 606209 -895439 -519008 760386 -644872 -65988 -40063 -929720 -1153295 -199986 -331008 -302829 -152786 -532614 439065 -953958 -54346 838241 -90321 -417219 247076 -247742 747446 868549 -517216 750802 -656172 567860 675290 -274790 428551 348401 -14800 839453 785634 -282438 573044 688200 -733605 -94977 911699 -645423 -836423 -477511 -15507 92313 740803 -461673 -917552 -804559 36269 403972 339661 37181 -136686 -108653 -546432 189049 -247201 267951 -855412 757761 -504735 462496 961258 -436207 798427 -152588 -893854 390383 298687 625 332575 -571342 816539 -478166 737532 76610 11525 312692 606448 539992 -713104 470080 61599 -505879 383964 1073684 432692 672780 425317 678188 323014 677163 165696 +293165 88951 -74047 -131365 912561 1000480 674236 135594 528126 -376780 232345 -414683 350755 -253207 -8626 -931959 405571 812279 845824 -773929 600485 -456110 -779283 803505 571048 -383125 -301564 20410 -761793 456609 -164347 -864053 510925 -762245 -63107 -725544 -91195 252908 929482 -482651 -148098 745437 530538 883636 343727 -290743 747680 -605317 143701 -129653 536354 574881 -413448 872471 569356 -819638 -142973 594883 926728 -626259 -996390 -158016 189519 412972 4454 156564 591110 -685503 -573065 -914826 -867941 1009383 -82689 -660831 36261 212225 -842151 -255713 -974595 24976 -711347 368100 -374569 122217 -932386 -753250 -557547 -450310 -255002 -379263 -5257 -555861 -848340 531760 19405 515992 -234336 1031813 358411 -194043 497641 -864657 -896562 -683878 -502743 -372826 -42547 5559 508450 -173224 -774066 -705848 -549569 114742 -94834 585758 618869 472962 919257 -1084853 -673268 -602166 327676 772280 -432058 39907 583573 -577696 451913 -912929 433183 -298063 -990774 -818009 -1085424 472291 -237361 50689 -585563 -302386 737459 -436901 -73294 -427614 -386864 915612 -646021 215657 -644419 570845 -788338 -543949 624013 -635936 -125455 -56965 -897954 -1147788 -186384 -235404 -286032 -154725 -516049 394965 -875238 -178619 929273 -71057 -333171 380218 -296319 650675 864067 -491085 830748 -677693 547088 669868 -433493 431796 377235 -3922 873648 854641 -345203 514731 679280 -762294 -63548 1067660 -652170 -830388 -460098 -2769 131102 686397 -389130 -1027876 -793107 149211 401105 391827 122054 -173939 -58470 -449928 303348 -240183 315008 -880348 656041 -504804 453562 903228 -541111 883505 -44254 -831429 287935 334699 11455 182430 -524356 958716 -468316 716068 -73914 4869 292559 586500 596769 -742964 498212 34713 -543991 389085 1021269 378272 668858 374459 763165 294027 734473 169707 +285282 136773 -73045 -120254 929381 952017 692959 54118 524615 -388215 263861 -582417 306149 -270521 19606 -959204 406423 837996 846817 -616300 561203 -456453 -762957 723139 553469 -342216 -245900 37230 -703895 441544 -93656 -819676 524472 -782473 -122596 -622648 -95641 319171 954494 -523173 -128477 635397 571614 761316 356656 -218166 748007 -509051 141855 -103814 542378 679878 -189938 912731 563163 -801699 -255217 596823 839667 -652258 -894112 -262329 196081 437577 -58969 200190 605590 -693637 -555627 -894692 -711302 1007779 -81285 -659309 -13869 214343 -828732 -308186 -975074 64741 -732311 364855 -322587 45401 -957687 -643648 -556588 -407290 -226741 -422798 -50652 -724202 -800279 576151 17010 491498 -378087 1048737 323983 -176133 517766 -860954 -867332 -720694 -502265 -265593 -20841 23266 461613 -262203 -773811 -663893 -666957 120280 -78602 566660 659454 482002 847852 -1101009 -705785 -540916 300764 778919 -456557 24806 497891 -608543 433032 -770643 321817 -448416 -1008914 -848030 -928973 373781 -240743 11606 -573743 -301995 766788 -439142 -72672 -425131 -184790 942143 -623664 211233 -676788 463711 -700652 -536142 509894 -621949 -165840 -3169 -869795 -1111079 -166817 -246922 -285191 -154146 -614179 366124 -831184 -171230 902302 -118563 -215837 291223 -295524 685485 874032 -560663 829043 -627238 612592 696086 -588292 446326 358125 22473 885066 923977 -389473 473078 760734 -781553 -150 1098714 -682562 -815680 -447364 27343 190802 571362 -344078 -1123330 -752558 245989 396907 372380 135989 -178863 -74370 -404950 333583 -261396 385398 -911454 626054 -473022 427664 828125 -565841 965065 82809 -812037 190000 419498 29526 82213 -532191 936723 -476775 717857 -95544 15823 273999 591787 610252 -716052 581194 8500 -608352 364650 870837 332656 673397 405660 811152 366225 821691 113494 +296303 127785 -99323 -191356 916544 954744 605572 -17160 515898 -462247 250263 -603298 250748 -299475 23631 -1000456 422593 808765 850790 -501558 526656 -453967 -763528 678804 578477 -389910 -177876 10724 -629460 494692 -55731 -745125 490929 -751224 -161900 -555527 -108158 309946 945894 -568579 -126884 676296 646760 739716 499950 -171173 782062 -416823 132693 -85624 544842 840883 -97326 895393 596498 -838436 -305103 603854 783112 -672528 -629745 -357029 148711 395921 -94957 144829 617876 -694142 -554093 -877044 -590867 910863 -100324 -679240 31502 178432 -888456 -278032 -978186 63759 -732787 412647 -258805 -74789 -957891 -613344 -571155 -427430 -197686 -506973 -98112 -820704 -836594 647409 91702 459905 -439795 1048914 303438 -176001 523550 -884125 -941887 -716729 -503549 -315046 -28578 15327 432991 -318875 -769639 -634757 -788524 126318 -43810 576514 680067 491685 876773 -1094447 -790844 -623895 240971 801427 -468609 -7380 536550 -606042 272865 -653996 271893 -586180 -995201 -848165 -837873 425029 -306058 -49589 -572351 -289111 748982 -447254 -190460 -400890 -241857 957656 -619285 215991 -704691 362785 -738808 -547838 471325 -603528 -179714 96811 -886189 -1033171 -172736 -369776 -299727 -156303 -573509 348002 -845368 -11511 841848 -110028 -300422 95667 -245093 822235 854726 -657349 735989 -627869 628485 713436 -561116 459888 322984 33854 866296 947929 -422463 466586 822176 -804050 68129 948893 -660207 -818702 -461064 56581 245767 549429 -303801 -1139749 -746698 242412 393800 313786 85024 -103633 -97616 -354077 257037 -233103 355780 -928611 670621 -455389 370454 756047 -556353 1009649 88707 -890374 114877 418006 125361 162642 -529141 865883 -480387 742855 -47248 9445 253905 542129 467798 -678541 657319 -21840 -632429 306769 768381 348894 669952 479553 883007 478806 884834 91509 +300216 92104 -72030 -236824 906436 963366 672852 -38416 510625 -570263 197548 -484087 283738 -304527 9183 -992926 405741 837525 843370 -565552 500979 -462705 -766794 717772 595801 -485141 -118320 -5421 -578680 566476 -74730 -711840 506608 -773239 -140127 -559047 -108845 216733 948652 -583822 -74793 806966 681528 816109 551158 -166764 813862 -384238 147406 -41762 553971 939220 -161883 845726 659095 -815746 -255449 597907 775630 -712046 -633969 -387954 134383 397082 -93441 75220 626794 -677907 -569372 -883964 -630009 785950 -137175 -663391 18643 150563 -915638 -153485 -980504 -9171 -710757 388047 -239943 -111245 -939745 -707117 -571258 -439893 -174517 -522555 -149169 -713704 -899963 652075 155408 413062 -329336 1031260 288773 -162076 517325 -902236 -999806 -769146 -509177 -383948 -65751 -17918 426537 -353380 -719367 -631635 -807727 89640 10384 581041 684895 497954 797081 -1069486 -866807 -764715 187931 843756 -467798 34214 731987 -576596 163201 -632646 300907 -655394 -976622 -784925 -944374 529527 -366672 -96967 -580569 -261663 750106 -375122 -300371 -432957 -444962 964592 -594484 203627 -692217 333549 -773194 -536535 533386 -588789 -184594 143748 -912525 -944053 -149166 -516830 -305379 -156628 -578216 348363 -913117 110194 735563 -82828 -376403 19357 -176965 923176 864812 -757565 673349 -597767 635222 695388 -357912 489868 318644 49998 838063 972379 -385481 397448 791561 -836752 112259 829270 -694056 -808268 -471466 77073 275692 493263 -314840 -1124096 -649042 83063 396395 262353 -32994 -49368 -59884 -324054 102847 -243051 352339 -915179 785120 -476670 319246 781670 -457211 964748 -42970 -960178 81301 378001 171902 321574 -521653 736225 -477969 749880 72535 -2814 227640 528795 371526 -652945 692120 -4092 -657602 230543 826762 401792 669330 490909 906598 541946 887024 79519 +291135 79466 -101736 -205432 908419 974801 672214 512 504108 -642473 169118 -377116 291432 -296015 25123 -1011019 438269 822002 846266 -769438 492284 -482133 -795789 809754 584798 -557163 -62612 -7616 -589651 608070 -138614 -748549 536756 -779880 -80757 -645932 -122203 110086 955722 -562087 -146952 896479 705279 978325 498474 -194546 815389 -437949 142268 -38455 545239 893314 -368327 815401 690804 -808873 -143214 589147 827560 -756761 -808208 -349724 90768 397101 -54236 72853 632985 -683209 -596826 -910684 -778907 744864 -182894 -676851 -17128 127293 -953975 -128760 -985475 -6229 -655482 410112 -314925 -149891 -924853 -841994 -586542 -445986 -154461 -438828 -222188 -598640 -922719 561982 197850 473139 -183547 1014044 294272 -171378 520402 -880899 -1015230 -771354 -507713 -506282 -62071 -37141 467914 -362135 -679257 -574161 -719341 88083 79840 570702 663746 502242 818515 -1014028 -866567 -806925 169840 889290 -464075 31607 842542 -524314 173968 -820651 380223 -595070 -1006811 -694899 -1102003 645058 -428201 -132952 -578544 -134792 757351 -321404 -290364 -436056 -572973 956475 -581374 201876 -738061 393606 -881486 -512648 649742 -597917 -201645 101538 -964523 -853026 -163498 -573155 -303549 -157625 -558024 368893 -995421 83075 663721 -65196 -438768 155122 -135701 879079 874858 -740413 617647 -624771 674054 700175 -219357 468740 342574 49521 801663 920471 -331355 401211 712341 -855883 113463 874219 -682390 -824015 -517926 101354 251143 556343 -348301 -1002929 -650705 -68988 394510 209318 -71057 44259 -35857 -352935 26444 -210352 256567 -897602 820875 -499428 248312 887144 -350662 901818 -144848 -1014432 116588 308643 205692 323620 -564135 811691 -494268 719453 101336 646 204937 539984 397871 -659189 658447 -25091 -646100 211961 968755 438545 671099 416663 914557 512331 845844 132967 +300808 90697 -99911 -122967 907068 1008373 674332 79886 492559 -657122 184951 -390161 293139 -278277 20353 -1005112 373281 820877 845499 -773699 493951 -491099 -848942 896342 570955 -529386 -29975 22423 -657859 609734 -207182 -801377 488507 -735373 -28948 -740643 -146110 46457 954925 -517452 -111941 823686 732937 1124928 373976 -250741 747590 -531260 142985 -29977 548098 737476 -423526 792066 724174 -859416 -36135 593450 871682 -754628 -981838 -220470 59785 383871 11235 159949 635890 -646222 -633954 -895683 -965664 802249 -232347 -691122 -22350 127997 -953431 -223060 -970635 -15908 -639115 436436 -374915 -100806 -930470 -924435 -598433 -434740 -178522 -367342 -245350 -547262 -885945 405522 143895 453510 -196965 982841 263372 -168714 542062 -883632 -876945 -793521 -503912 -471898 -70724 -11250 521340 -331952 -738095 -551972 -647816 106789 87506 532201 631948 498318 825825 -962844 -804067 -710330 105029 908887 -443323 49351 775171 -467774 326088 -937650 476360 -454781 -1022327 -663858 -1130175 635277 -451997 -109273 -579353 -83202 731726 -338234 -175192 -454865 -409934 936449 -588847 203866 -716378 515090 -867425 -497926 769500 -629512 -171794 2397 -927782 -799033 -164333 -517695 -333070 -151262 -573268 404006 -1055889 -31001 612040 -10687 -425765 331605 -146064 744207 868201 -648193 700563 -662296 719875 659594 -285840 488912 372690 77681 795914 855057 -274153 424361 674497 -887420 70173 1045941 -697807 -863388 -531545 134813 206962 666538 -391129 -902215 -554278 -87219 402289 208651 -56096 127726 -55816 -389193 31839 -207735 260190 -920075 737062 -507495 168838 942893 -295234 790828 -132366 -1023572 190713 285224 265052 201189 -526911 931168 -489753 784497 61394 -41829 174578 561565 571579 -708117 601235 85098 -579165 221689 1068590 417958 665365 369555 917428 406578 802567 117394 +299921 91718 -88944 -126981 904566 1031326 702467 159305 487948 -604213 230489 -550749 335115 -218130 4413 -990091 400880 823367 842698 -674106 515040 -509101 -774680 963313 554543 -479424 -14413 13274 -727591 577281 -237907 -832557 489230 -754524 -32805 -762384 -171371 69519 944473 -480065 -139467 674095 775796 1085355 359213 -318206 773351 -622768 127110 -37876 567018 600265 -316657 805247 730441 -837998 -1921 599305 978667 -794140 -910541 -156915 45730 351047 84677 190130 635196 -612416 -670697 -898758 -969097 958896 -286707 -668997 -40896 156604 -905985 -311883 -960559 -6088 -626749 405060 -369235 -1528 -949090 -877586 -588045 -402510 -207920 -395441 -217517 -668064 -816630 388653 51105 486408 -350074 946473 251781 -176192 571504 -887533 -854803 -819378 -506924 -355004 -65382 22134 593235 -256702 -765860 -559418 -495040 94136 58633 527654 585278 501281 843744 -897455 -706574 -571839 38384 911410 -420943 40182 639018 -430134 422428 -1003879 493662 -329551 -976994 -647413 -970502 510419 -449894 -43297 -578682 -147192 775941 -418594 -70356 -463743 -273480 909295 -544935 176346 -682769 599446 -823571 -497289 828501 -655655 -179715 -61959 -881203 -782774 -134011 -377031 -329673 -150423 -536723 441603 -1062179 -171726 621047 23540 -311984 366017 -201770 644518 875558 -536815 714398 -683173 725032 654587 -457809 495195 362078 78577 835577 785036 -254278 437401 734317 -890515 2689 1084148 -700625 -814954 -516309 159947 142454 719345 -486295 -827992 -506155 5442 395621 249453 9418 162563 -67046 -448805 153097 -233695 131459 -901893 654349 -495428 135355 878557 -329110 689949 -6536 -984156 298488 342312 293139 86557 -547413 990726 -510082 772466 -42470 -37227 152168 597672 596070 -743950 533203 55918 -513266 265634 1000239 361605 672082 453161 863467 309391 694510 96055 +291717 158997 -116321 -191898 904814 1050818 725468 209842 480423 -508735 265568 -625120 355253 -176313 31081 -986907 405433 829266 843112 -489081 539919 -525502 -781753 925453 547830 -384662 -28746 21282 -775034 508140 -211533 -787505 511661 -771803 -92819 -683959 -182820 158972 966732 -485043 -110445 633858 760907 959412 465444 -395854 744590 -680687 130255 -30049 540647 596149 -129792 882518 759582 -860012 -62938 590630 1023484 -770694 -677091 -176135 23227 347452 145208 155351 630763 -590135 -703386 -895670 -856899 1016197 -337397 -669002 -14596 193808 -852166 -306933 -962288 30006 -630138 398365 -272733 87505 -961541 -743543 -596575 -444593 -238545 -518046 -249868 -781444 -804000 360589 -19970 462429 -450226 901784 255300 -193325 578072 -862816 -947410 -846664 -515909 -265759 -72945 5122 653412 -166297 -777018 -560225 -406867 87658 -18819 515986 539767 489503 900089 -835771 -670605 -547938 43698 884807 -393308 67919 487900 -425701 484698 -910666 432795 -308007 -991967 -650785 -831607 372919 -441991 12803 -581633 -222917 787978 -440137 -80964 -474327 -203949 876888 -520205 208010 -620833 593175 -712462 -527794 832282 -653629 -149601 -35559 -881574 -798537 -142304 -253773 -336581 -149353 -493526 486115 -1010523 -156812 702612 62822 -256302 186895 -267868 684799 884533 -476819 789162 -694795 726338 664381 -613332 505596 326277 89265 873197 740213 -275932 432365 811968 -898023 -60909 993564 -693720 -806503 -501983 178819 95895 740265 -553013 -828391 -483072 119183 393174 318745 105869 160209 -75569 -504039 268400 -229323 88831 -874270 605841 -468298 111235 774162 -422153 638836 108320 -899207 380895 413645 288611 170696 -539481 871728 -497622 749023 -114812 -41640 120658 550763 536325 -730378 485404 52698 -495227 337502 877179 331009 666404 479621 805716 300819 641407 57132 +296854 91465 -71716 -234130 899092 1053946 769582 198647 477729 -408712 243388 -552479 409940 -112217 -39371 -951812 365101 853631 835429 -572068 578358 -539430 -778533 829031 576937 -355740 -54544 19633 -755702 462649 -144977 -725562 487229 -790555 -144937 -572019 -199767 278358 947987 -525221 -105586 746938 755102 811154 563556 -458991 745764 -664081 130741 -52460 555467 729124 -115359 904745 743663 -835178 -177350 598608 1035354 -772149 -605350 -263092 15860 340465 175871 70345 623806 -574697 -724228 -896779 -687953 997403 -368084 -661136 26753 211899 -824941 -210699 -948516 22429 -661057 363618 -249158 105320 -954223 -632496 -607727 -437661 -263924 -527317 -229875 -692530 -848670 343922 43219 421224 -350312 848123 267136 -204534 618104 -881253 -1008927 -799422 -505649 -311728 -53141 -19481 684566 -93612 -746647 -587313 -396968 99460 -63664 515584 496980 476628 929423 -797830 -715947 -662199 21994 847031 -362087 78249 571144 -454788 357083 -789129 352655 -415027 -999521 -693310 -904397 398037 -378614 44568 -574535 -298649 763586 -448521 -192749 -417115 -359223 846787 -528628 190951 -599579 499409 -682523 -515747 749697 -651263 -120639 55164 -895354 -847792 -143797 -229153 -344910 -144395 -525393 520584 -930296 -14074 808673 93607 -283212 17481 -301823 832433 901133 -525803 804879 -660360 704590 643636 -520425 510178 314508 68299 870705 779880 -336036 451141 807235 -909785 -94473 870070 -722784 -819603 -467930 215113 89694 648749 -592348 -898852 -504910 250737 396711 376300 167184 119143 -53082 -545846 350632 -212552 -14779 -819260 678162 -463073 102714 731493 -530719 665627 56463 -836100 424301 434320 260394 324995 -541261 777934 -491496 728373 -47452 -58561 100423 613207 373108 -675140 478282 10140 -451214 397480 780063 360523 674827 450529 734970 383452 652898 103272 +295663 79496 -103795 -196850 890107 979286 752136 139433 508853 -371379 191084 -384804 347069 -72543 -52946 -924916 414700 845647 836486 -768577 619307 -564828 -806543 752493 558229 -388541 -101594 28484 -687847 443699 -79225 -742510 529610 -765508 -167236 -547985 -225610 329457 953001 -569536 -123703 863431 730275 744623 501826 -501778 765817 -584174 124094 -89759 551491 885910 -253443 904807 689553 -849849 -281811 600201 974254 -759119 -778005 -367498 43559 326078 167994 75091 613412 -543874 -731113 -896805 -598045 878545 -387039 -646032 4447 206411 -842678 -133068 -944004 -20987 -712252 369534 -288920 66348 -931603 -622264 -598600 -463537 -280343 -420844 -203118 -578546 -909975 491820 135799 472788 -191981 801072 233231 -194622 622593 -916092 -1007712 -809389 -514619 -410196 -54544 -34552 718561 -125317 -720440 -618837 -428626 90528 -83383 480401 473691 466381 975542 -771087 -811016 -790846 34075 796915 -321271 76820 710287 -503268 208275 -661925 280414 -575628 -963253 -712717 -1084260 517082 -325850 43535 -572595 -333909 744803 -434663 -303305 -457008 -579539 822136 -490971 172171 -559662 387039 -785904 -564896 609921 -675460 -84269 132183 -946873 -936488 -132872 -318590 -355220 -148878 -537070 545986 -856322 110770 883708 93300 -430136 69746 -282956 925184 907713 -643400 734862 -682617 706300 693342 -351967 509259 347418 61151 855848 832598 -401297 453802 736093 -899648 -83152 814074 -693750 -822025 -446104 233337 121933 579028 -640198 -991379 -502919 249352 397728 379792 112159 -9018 -53820 -602301 259566 -225954 1070 -795394 780461 -483772 105206 812453 -570209 743931 -106769 -821833 413291 388803 224264 352404 -494947 786047 -494133 727334 17135 -84520 72713 642241 360264 -625031 516457 -30372 -489521 395915 811961 414212 684723 414092 680329 495764 706375 136399 +307207 98413 -127025 -133760 885310 1009027 815328 50179 513361 -397963 169951 -389450 309835 -46564 -56294 -906397 413110 803056 831372 -781410 669528 -574664 -795463 688234 544619 -483434 -161192 65844 -617114 474662 -55288 -794056 499034 -746050 -125351 -592777 -247492 299925 948559 -585820 -111892 874014 686411 771440 383996 -520388 827007 -480758 114103 -101621 546642 947896 -463529 880870 655470 -857329 -298511 600503 894128 -734955 -978890 -382792 72570 306027 123895 155654 600435 -498635 -722919 -910026 -628186 748675 -387620 -637120 25858 176026 -907369 -195601 -931351 -46935 -739600 365396 -392316 -30581 -924545 -752858 -587061 -470289 -249344 -335824 -206400 -541822 -920932 568794 180982 468598 -180232 765305 240556 -195027 614896 -881501 -896664 -760153 -519316 -530989 -90584 -40588 709469 -160508 -707717 -646444 -504183 85798 -83149 473545 464010 455754 951512 -775503 -862332 -799121 69343 772423 -281749 102058 847848 -562371 135587 -632918 282497 -640410 -982867 -804597 -1154079 640156 -269431 6055 -573909 -251634 721909 -371626 -290938 -436695 -526879 809373 -495826 193863 -560755 332749 -826052 -522564 503065 -648350 -58471 127963 -940942 -1011556 -136053 -460159 -346760 -140356 -510199 551384 -828577 96710 898372 72505 -444962 273332 -221099 891461 920867 -731641 668731 -613679 653402 724327 -199428 533537 375161 45566 802093 914137 -422054 520688 681470 -871331 -31713 961057 -691140 -839252 -432519 251963 187041 500353 -654276 -1079778 -483443 126688 404624 334933 13897 -60775 -57849 -589697 143524 -217156 24291 -768039 818100 -498551 149927 919247 -547387 842861 -169959 -881609 318824 324505 219892 197429 -557492 909964 -471640 787408 136606 -102140 50780 676010 492098 -645379 568122 -38891 -539999 358151 984318 442037 678181 379978 623572 546655 763090 134389 +288789 124086 -126601 -127715 878395 997389 788179 -20210 517964 -491325 185093 -523017 288539 23996 -63714 -889379 400416 901098 839138 -694630 718925 -599638 -788221 691249 555380 -536265 -226182 31081 -580661 534677 -88332 -837062 465952 -757734 -60779 -706986 -265316 192724 948470 -561008 -105394 748176 631807 898764 359226 -489131 782511 -408828 115294 -118591 541226 847389 -360475 809270 630325 -854523 -226139 613987 815391 -735210 -955279 -321963 108844 308300 55905 196111 584957 -513140 -698134 -901337 -793001 762693 -369601 -633707 51371 139020 -939148 -273307 -918464 -20723 -734039 336356 -368089 -144577 -938267 -857787 -580983 -483597 -220138 -386918 -116640 -675283 -865025 611951 162152 515774 -306997 725846 273414 -184571 657201 -855712 -864820 -731494 -526036 -451558 -64579 -1800 662379 -209479 -790807 -683880 -645561 69150 -13786 454038 477790 436382 924970 -807760 -856022 -675304 97428 776902 -250865 109828 776817 -605523 233636 -764325 361873 -640027 -986138 -824865 -994654 644818 -276002 -50959 -568055 -187931 758547 -311934 -173046 -448608 -323041 808176 -481832 193959 -546966 372431 -855407 -532864 486926 -629987 -26 37605 -922298 -1095470 -143427 -565022 -361955 -145832 -561865 539189 -860387 -24349 853722 51347 -371410 380199 -158895 752108 939001 -756937 660729 -624332 645670 722783 -268690 526782 362626 33253 801363 950903 -383339 539819 710932 -861378 38929 1111787 -677163 -871815 -453012 266834 235222 562203 -636263 -1156797 -559677 -18583 404736 281520 -72874 -132048 -84112 -615319 30121 -203115 102567 -713900 738419 -513466 221835 910436 -454337 941208 -77586 -942624 239520 282430 112957 67961 -538369 981830 -484215 779792 90349 -115586 33160 648581 595518 -714989 641304 22105 -591199 291233 1048714 403971 672261 429101 578871 496716 841580 130469 +299682 154300 -92662 -178240 889671 967604 835494 -41778 515016 -600362 232564 -648529 278602 41728 -102446 -880024 399333 888813 834275 -509147 759344 -611346 -753835 755727 545147 -521372 -297626 47389 -603410 599462 -157873 -818523 529225 -765228 -26085 -768869 -274712 86589 941306 -515093 -132640 641400 609847 1029918 440797 -436316 728327 -399809 117560 -137985 554148 688501 -174915 804539 543158 -828901 -108817 606909 783653 -696977 -732457 -217151 148135 283637 -16610 155534 567270 -498034 -665066 -909055 -959822 867997 -337299 -633093 28108 124612 -962124 -310214 -902683 11436 -710392 313842 -330242 -171874 -960187 -919217 -584762 -479331 -193332 -514777 -54802 -811837 -813865 631869 70944 477159 -439344 701079 287916 -186823 629191 -910451 -912527 -706086 -530583 -323589 -75298 30532 613082 -305736 -762935 -730380 -741806 87214 54375 429298 513352 419988 947418 -849030 -825999 -550728 164597 776068 -226051 120571 613962 -616463 392237 -911035 454200 -450571 -1015697 -848815 -830801 544127 -256238 -122560 -561621 -79810 765862 -351338 -65212 -420097 -188197 820195 -419746 199607 -583905 483476 -806344 -510064 522361 -617235 29725 -49736 -871402 -1144516 -123177 -553364 -358794 -140233 -563511 506297 -935153 -179788 775066 43141 -284571 285262 -132854 639864 932421 -687229 683787 -618198 617526 705755 -481702 511022 326276 13602 831073 960806 -337404 550745 791397 -827893 97028 1049900 -686859 -840259 -494622 281327 269632 612423 -573184 -1110808 -596282 -113142 404686 224618 -48607 -207291 -119918 -577469 24809 -198778 186028 -656609 645840 -499063 271261 822118 -371922 985598 74890 -1018766 135845 321431 58467 170197 -576623 887232 -468669 779198 -16483 -166462 17090 673717 602681 -736203 679255 67983 -618834 218057 1023895 348948 666319 474343 543194 384309 901203 51849 +297094 125387 -99498 -236406 883364 966052 825838 -2093 523127 -652121 266221 -572691 308239 42092 -100144 -844131 372031 904083 839486 -546184 786773 -622351 -771389 871390 532088 -469312 -347634 42977 -669361 621232 -220632 -780796 524702 -768392 -49927 -729351 -283459 44740 979671 -477959 -134519 670587 583484 1115373 572213 -354222 744505 -456637 117499 -131356 557829 588969 -96625 816992 595314 -854275 -17447 610115 784348 -647938 -584063 -160737 180332 276665 -73590 74417 548389 -505854 -628065 -910596 -984130 983664 -289299 -624733 33518 133240 -935729 -257174 -896881 21749 -667203 345884 -283762 -99821 -955527 -857852 -566680 -503860 -161258 -524752 -36736 -707184 -817750 600142 -9367 457629 -394141 683806 320407 -167104 614042 -895272 -1064578 -688797 -520843 -243033 -70787 11290 540595 -354675 -739451 -742613 -799926 93957 92367 416418 557701 402747 923909 -908362 -738220 -566640 207234 825044 -200082 157612 497384 -591478 455046 -976562 504243 -322065 -1006001 -831707 -857994 415948 -308343 -105392 -573066 -89683 740870 -397325 -89574 -406126 -274125 842864 -432082 195830 -649202 582878 -737719 -503206 650816 -597997 42805 -58015 -883615 -1158876 -132562 -433238 -347994 -146607 -598022 468800 -1014781 -166650 675185 8013 -254993 81726 -161707 676018 943579 -556205 744674 -673400 582658 694721 -590905 513151 315398 4796 857001 924984 -276067 610159 816279 -796889 118578 910859 -658340 -825940 -512679 289365 261629 711002 -489547 -1061085 -659780 -54356 400999 206985 17894 -168304 -63535 -529255 134351 -172261 237365 -642691 616981 -468427 311606 711248 -313007 1007686 75712 -1030007 111958 387100 51241 321170 -525272 770930 -471304 749581 -53701 -174399 2819 724942 447623 -728680 685969 57299 -634317 194259 874553 331580 688163 478093 535026 299865 870521 96915 +290782 56959 -79033 -209642 882937 944284 845524 86184 491814 -663206 246141 -413345 304738 52650 -121001 -818790 387573 882090 833599 -698489 806134 -633099 -783734 946579 506185 -365015 -374668 32615 -737474 588194 -236662 -713488 520679 -759634 -105540 -629922 -288336 77240 946176 -482716 -134332 809176 519841 1015510 547578 -288452 756465 -553744 110206 -121625 546160 628498 -200867 873187 589746 -832992 -12389 627311 830458 -634825 -748325 -199813 185811 295447 -98837 69911 528579 -506462 -592724 -944818 -866029 1025534 -234126 -635530 5066 160964 -880041 -150023 -878738 -1828 -632439 345931 -234939 -12022 -936570 -710968 -576556 -502927 -147944 -448214 33416 -571890 -870542 516112 24003 449109 -224201 672536 284584 -170211 617530 -916887 -1036254 -683412 -519004 -297495 -42671 -20831 486889 -354099 -707063 -721378 -768472 119928 88932 384505 603079 386977 858482 -966194 -676752 -696156 246401 870930 -187487 162378 525348 -536908 391793 -952514 474009 -305473 -1002820 -794389 -1049052 379019 -375283 -95740 -575754 -197484 773488 -449670 -200462 -416020 -481650 872324 -372281 203171 -634352 601927 -693158 -515698 777906 -592178 50503 23114 -900173 -1137177 -138418 -292016 -330356 -147540 -551484 421902 -1061573 -30885 652594 -5448 -379409 20834 -226570 819931 950064 -480266 820835 -666578 565291 667771 -516222 518575 340665 -2522 875608 872844 -262895 665848 754263 -765119 94305 828570 -635965 -826106 -528825 312212 223901 738776 -446954 -928911 -677316 102118 408975 229114 60820 -129935 -53897 -460191 243945 -176175 298029 -612428 698280 -453027 416005 809973 -305812 968306 -19675 -1001007 110097 438794 -39510 338013 -514352 781101 -476779 746210 -68265 -182731 -6673 717152 338841 -683352 622483 69973 -629486 214505 792247 373803 675098 418400 549822 305895 816517 120041 +293397 85283 -91390 -133036 872765 940064 779011 164807 494807 -581081 194985 -372552 360484 32817 -139470 -817722 359888 904961 834588 -814280 811663 -638165 -761845 949285 481522 -361048 -381174 5635 -776868 521961 -197884 -746175 518062 -789329 -155954 -547660 -297821 178913 938777 -525770 -141190 897036 500647 885081 390720 -217029 753487 -645505 111614 -66660 525842 778635 -381687 911367 634154 -845166 -95143 619264 925651 -590220 -947885 -263891 200243 282169 -85159 144752 508442 -520396 -567691 -909714 -689827 935043 -183138 -645048 5733 198666 -839348 -142480 -865251 -11003 -622387 381740 -291677 85907 -929324 -621527 -555258 -518961 -167925 -361980 66296 -552058 -916737 420015 98283 503125 -156423 690390 329072 -162627 615577 -866431 -895367 -700503 -522748 -439013 -62399 -37186 448746 -356340 -706616 -694965 -673001 100250 10907 381874 645516 378815 839520 -1021729 -699152 -805073 298321 911427 -182164 142446 707678 -480293 274023 -814987 371501 -395884 -986306 -726536 -1158840 484682 -424100 -16339 -573314 -308671 755629 -426298 -307522 -435660 -587090 905173 -396503 196487 -674629 531283 -753924 -502511 843916 -616765 39285 117581 -940494 -1069951 -134419 -225848 -328317 -149062 -551877 384064 -1053513 102304 621312 -79196 -456146 170034 -285180 928824 948066 -502240 822880 -696709 516520 651342 -330094 503134 374027 -6688 871629 805032 -271429 686675 684892 -746758 33958 923141 -620091 -826695 -521852 319379 161657 695441 -393576 -853937 -720182 230705 411775 295775 134793 -26954 -71665 -409533 359419 -159180 374125 -609178 781214 -479355 451940 887857 -438616 826994 -155273 -930143 157901 409189 -18391 195035 -504880 905363 -506318 734890 55587 -201631 -16578 713174 391357 -647827 568938 19047 -594051 264470 833083 428506 678418 353738 588975 405520 722357 93215 +296774 132424 -68726 -139013 870382 987852 801532 210558 490499 -475166 166003 -504498 363918 17599 -143245 -838791 358925 918610 829257 -710300 798826 -634502 -726795 886537 494591 -434418 -368791 5295 -742587 472820 -125721 -784459 514221 -796776 -149936 -569638 -291956 286942 923676 -571430 -117511 816960 495848 725728 373667 -184508 838993 -673054 118228 -76530 548228 922918 -405536 897260 614536 -820161 -214929 627904 991229 -557012 -963183 -373394 187011 273714 -36658 193094 488462 -542564 -553730 -917450 -611990 813038 -137319 -638167 14770 218203 -822278 -225030 -857519 -29841 -628583 416301 -392761 119795 -929740 -636020 -543663 -507145 -199162 -375579 78956 -704859 -906279 345333 162213 508008 -282440 702849 344125 -178392 588237 -827965 -848123 -719212 -526078 -515698 -57557 -7910 436325 -263896 -774954 -666509 -513080 94530 -44177 360941 676798 365319 838382 -1077231 -773138 -775211 313058 923175 -192265 192392 823658 -431264 198179 -660832 284573 -618847 -1004006 -687622 -1029016 608089 -442567 19600 -570677 -326454 754213 -409142 -287057 -467783 -481491 931837 -423708 224361 -712255 417472 -848952 -494560 828124 -649828 20610 139688 -959424 -988134 -129175 -275011 -316852 -144320 -516311 360404 -990017 102957 676307 -65200 -428185 352156 -301984 891285 955733 -623469 769974 -716005 486498 660880 -207986 495827 364466 -8433 831876 764474 -329940 733239 688490 -734539 -34777 1014710 -637285 -841715 -506580 316612 106336 641812 -321740 -832972 -820748 259394 410887 368480 128736 54787 -62705 -339908 256508 -184997 379184 -619304 814620 -496780 455660 950337 -528756 744621 -129971 -827929 245579 333351 -20539 87609 -529266 956535 -493562 762713 122366 -223104 -16021 709075 565187 -645902 483870 -29893 -523116 347146 959072 436858 668782 426492 619196 512597 666294 108476 +283020 167741 -74735 -183541 874093 1002767 795568 197159 494798 -392698 189559 -625878 379575 -40242 -170412 -819422 413136 939027 829861 -503199 773445 -634506 -796494 780055 521405 -502969 -335359 -8700 -676807 445368 -68747 -832096 508481 -783258 -101840 -653029 -299269 324073 941814 -585883 -93441 678866 443344 747639 431542 -162875 794675 -651991 99223 -31164 518196 926092 -253795 885211 687763 -827216 -296943 635370 1022715 -567044 -785159 -388068 132322 302292 33435 145092 469141 -578402 -557687 -907035 -629126 748078 -102135 -660049 -14672 204293 -891404 -318441 -843120 -15949 -663554 443464 -391818 64368 -952791 -759314 -540319 -516098 -237215 -465187 25947 -815389 -851520 374091 182199 472432 -419935 734542 333897 -176830 579243 -875967 -949886 -732746 -530521 -428317 -37918 18519 439894 -204440 -796048 -631364 -457100 109588 -48315 360343 689166 358147 864161 -1103469 -877691 -636834 319658 899486 -204723 162464 791512 -430540 208328 -639031 278266 -671258 -1017705 -640826 -851684 652334 -474714 47645 -578532 -283859 766561 -386897 -168927 -441546 -227711 953964 -372451 225956 -754764 335808 -925489 -530846 744804 -648612 -13905 73583 -912696 -900630 -134480 -414968 -299847 -145161 -528920 344980 -906514 -45801 792158 -104970 -372385 348601 -265051 745390 956738 -718511 673394 -695921 476208 665610 -309394 489535 327578 -1407 802450 755009 -390889 754712 771596 -720136 -84809 1102986 -659478 -882691 -469886 308230 82722 522291 -289159 -868747 -821596 149872 409663 391470 7751 141360 -121778 -340670 165097 -126259 353730 -613074 725353 -522172 413756 871341 -576580 674422 -748 -829551 349640 293843 -17594 162619 -579112 923291 -489500 740344 86533 -236885 -14534 688638 615138 -701262 479189 -13907 -511933 381971 1062742 391386 672577 473653 701072 543876 636777 117461 +289027 120448 -98446 -238387 873269 1023832 837141 139030 500841 -366806 237541 -569278 359510 -80009 -135680 -864334 390396 935488 826289 -525503 739564 -623392 -784406 698792 499650 -564188 -290968 8191 -607534 463319 -58629 -779450 533131 -764360 -39173 -736163 -283274 279897 926837 -558514 -144573 632214 462919 821278 569630 -181335 738312 -559884 97917 -18533 533866 801372 -94476 826154 689327 -844859 -286137 616964 1010833 -554521 -613746 -320412 113760 325984 105224 74914 450822 -609811 -576127 -920399 -802634 788255 -85010 -679662 -33050 169243 -933242 -271470 -850278 33335 -705716 426085 -324040 -41621 -963134 -880126 -531792 -518504 -264623 -529546 72942 -726298 -811888 447521 88182 465951 -410306 767815 402432 -203557 544247 -883113 -1015726 -794376 -531095 -325366 -24346 43722 466921 -135188 -736716 -592608 -407538 112565 -93510 318863 677037 348401 849493 -1101716 -891082 -545643 325213 873714 -226426 200679 658374 -444774 341717 -696881 346319 -625949 -963276 -653138 -831107 569964 -410877 32244 -574530 -212099 812417 -312615 -62859 -440879 -227155 964903 -424864 237651 -697854 352482 -827254 -496950 588138 -678920 -52464 -17944 -891153 -816984 -139326 -537452 -301573 -146889 -542793 354384 -846240 -181581 890519 -118366 -262204 153211 -197733 651795 949913 -762513 664833 -662189 490260 674348 -508075 483270 316259 -174 807922 815648 -412235 794026 819024 -707907 -94003 985010 -654127 -859436 -444448 304759 111165 512648 -319697 -959655 -863986 43041 407882 355042 -45353 174719 -85810 -337630 38464 -143282 303772 -622041 640792 -490256 386805 752368 -546521 655928 111389 -869720 416665 309283 30345 314624 -539583 780782 -482309 771662 -9143 -297274 -12942 680613 541921 -739162 494426 29969 -479482 380291 1029733 337647 675929 485392 791669 477188 675325 87283 +295862 103595 -113342 -200736 872190 1028774 747849 53957 489976 -417208 264839 -442584 319898 -149464 -139461 -888155 448742 969429 828139 -661658 704757 -611763 -793404 671955 466515 -523847 -218560 12896 -578958 531432 -105079 -760884 523227 -800673 -22252 -755640 -268570 172237 919186 -513768 -137996 746577 499552 996129 532510 -232846 753839 -460129 105637 -32346 539123 639016 -93340 796378 729510 -823204 -193315 639698 938317 -556960 -696378 -207917 86213 342033 157991 68137 434611 -636690 -606475 -932850 -944839 913763 -79831 -682978 -14572 136201 -968831 -180658 -835961 46458 -725326 427816 -231340 -110270 -949985 -903472 -538495 -554116 -275676 -500114 21194 -610199 -819647 521559 16584 439284 -244503 812777 357999 -201008 528116 -918413 -993043 -802775 -529973 -278964 -36977 195 543056 -112085 -678051 -578306 -421414 109860 -30692 302478 646012 336069 868670 -1078294 -817711 -587925 300191 815347 -257070 210020 488885 -491200 469566 -877544 419651 -478047 -998925 -666795 -1028524 417420 -388322 -35961 -585850 -146289 755108 -354044 -83624 -455453 -358939 959296 -402209 235175 -664827 452501 -777477 -520736 490919 -683418 -80126 -58887 -871951 -786259 -132475 -570665 -292045 -146543 -522447 378044 -831288 -168914 933459 -92717 -320102 22184 -143412 677799 934781 -702092 631971 -645838 529226 729893 -579786 484080 341890 22206 854952 873507 -396250 833351 784232 -711938 -57798 843797 -661334 -860751 -434418 295043 159795 589985 -348723 -1059130 -827957 -88013 407227 292171 -48746 133560 -45493 -379041 27018 -124931 220291 -637039 613553 -466413 342494 748404 -465696 704751 62130 -948811 433804 382443 80337 324550 -550461 734830 -488775 776819 -141757 -300852 -2569 667739 402136 -726145 549497 32543 -505674 346523 887741 338706 677098 427890 838619 363174 743077 100219 +289225 84668 -116033 -127779 862173 1021110 744483 -13524 482285 -521016 238400 -401821 273249 -191944 -187947 -892778 377056 965044 825284 -803113 650287 -603306 -787807 707909 494310 -459530 -160607 21208 -609637 589210 -175953 -732039 528258 -748978 -71594 -697899 -259502 72634 929004 -479024 -109029 876951 528283 1063378 431447 -312917 786220 -391745 107697 -41288 528410 584537 -287385 831556 742514 -820858 -73300 639404 870907 -580733 -905780 -152467 54427 345758 177472 142498 420490 -642925 -641998 -903429 -964405 1016516 -101754 -691122 -17198 123930 -941619 -129690 -830309 21871 -736262 395493 -231483 -173551 -929227 -816335 -532167 -556733 -256879 -385493 -23730 -527442 -877347 624732 15217 484141 -164469 861250 346348 -198907 503069 -871952 -901513 -819813 -535825 -348108 -14188 -38002 588872 -141019 -728632 -567783 -505838 99072 41295 309268 601144 340600 876855 -1042751 -710705 -726223 244846 778177 -294924 209194 532117 -549740 439599 -967568 486817 -328904 -979858 -754247 -1149553 377048 -315309 -88190 -569281 -61873 766401 -387739 -203938 -421474 -534981 945191 -393818 255409 -641442 557992 -666852 -521812 469337 -660750 -128772 -9231 -906743 -776893 -130222 -483276 -287439 -138291 -556719 411171 -878974 -25737 883309 -59561 -401168 88045 -135723 819677 940027 -592052 700582 -599565 545472 712154 -498393 445639 371237 30494 862944 951814 -337754 792725 707331 -721051 7477 882372 -688843 -811319 -455213 275099 222460 695084 -399003 -1136630 -871549 -82393 412119 241407 12685 69902 -76604 -436657 120098 -135524 178240 -647132 716059 -455907 249692 866429 -345292 788779 -65525 -1010019 376057 446103 148375 196442 -529001 878479 -492216 766050 -55967 -310126 9038 616407 370993 -696565 613142 75694 -556898 281447 775074 387721 677085 396315 905368 294947 810776 166284 +292678 89106 -90742 -134143 867169 1017948 703699 -43047 505341 -625766 195270 -480489 260900 -252022 -197123 -891892 412314 986566 824182 -713276 609571 -580169 -777771 823347 453691 -380332 -103443 20174 -678940 610337 -230061 -802698 520928 -755658 -128020 -568561 -252481 43644 940136 -484320 -124325 869556 573935 1067764 330471 -385979 746623 -403867 105861 -55534 526962 671037 -448181 841214 731801 -810138 -5060 644133 787658 -579718 -973807 -192366 17166 332659 157994 198824 409418 -663115 -681261 -887782 -858994 997524 -131780 -679131 7085 138075 -891438 -186513 -825812 -23271 -710084 400445 -334742 -125595 -929016 -695153 -527547 -558536 -227822 -392150 -93124 -659105 -922992 621120 84451 509671 -247077 915805 379677 -188692 514710 -883769 -866155 -840927 -540520 -450066 -1592 -33037 650023 -185700 -763107 -565166 -605735 95000 62943 300770 554193 344889 937860 -981960 -668855 -810933 219670 761377 -328582 210810 691071 -594158 301593 -965769 483298 -332652 -1006612 -783483 -1078744 446177 -260044 -105255 -576617 -145115 760517 -464787 -308763 -433945 -517751 919724 -367607 263581 -623584 609795 -771229 -539482 541969 -656813 -156784 89364 -957162 -825948 -120219 -340212 -288813 -143372 -566302 456825 -957390 91573 817792 -55008 -448007 279036 -180719 925713 930018 -492712 815353 -647389 550147 722481 -312447 447958 362649 43820 848654 977741 -284204 819477 675850 -739570 74321 983487 -715321 -803791 -492757 245893 265686 756326 -506510 -1132878 -825966 65790 406510 197378 112354 -8689 -95313 -509136 215693 -149457 72421 -667100 787240 -478773 196781 937884 -280009 887111 -200755 -1030060 287833 410937 215440 76347 -547736 974282 -481389 761096 244 -317234 25355 603220 476150 -631555 669473 73070 -590098 221335 828214 433126 668217 423470 912781 318313 870809 84551 +300758 102945 -85890 -188930 859402 994046 704254 3196 512265 -668669 160365 -619833 288787 -277043 -156372 -944243 413633 977216 824789 -573118 565940 -564609 -753154 919783 472648 -350830 -51851 36139 -752315 595061 -230977 -848943 541482 -761504 -164894 -538667 -229254 98781 925557 -528871 -108976 752661 600856 996120 397701 -454325 777427 -476331 107555 -78802 530072 836105 -328505 910910 736348 -816996 -27554 652367 777438 -616845 -830154 -299880 39385 367574 104954 162376 401027 -671504 -710307 -907915 -718456 899012 -180642 -675196 13261 170376 -859524 -297593 -833749 -57163 -665736 374761 -381304 -40360 -944675 -611689 -516694 -570583 -190158 -443347 -155851 -780213 -889385 614230 162520 477419 -417765 953714 349453 -181419 496100 -871898 -920107 -804700 -530572 -494236 20390 -4225 700139 -280106 -772724 -545350 -711638 126516 118384 289805 508407 353295 952955 -922616 -704960 -750210 162069 773083 -363154 215459 814732 -612556 180752 -817903 394927 -434622 -998412 -818643 -873699 596223 -279252 -86895 -573271 -256545 773844 -453702 -281919 -413849 -341296 887729 -428821 265199 -572591 559918 -852039 -508412 660917 -605307 -200413 146692 -949737 -893972 -126890 -237125 -282019 -145804 -583269 499168 -1031757 81038 725021 -2155 -438754 381504 -248666 883850 912479 -479997 836154 -671986 591212 708858 -237933 444485 328542 58329 832395 941611 -246481 803514 736228 -766709 114372 1095800 -668698 -842846 -516821 239432 272778 703771 -548845 -1089785 -790429 193234 403381 216276 134759 -119902 -75501 -558004 316314 -134895 32893 -712493 808053 -505934 164854 948555 -338845 974503 -95015 -981153 183214 335956 272516 152802 -574498 967721 -469989 772147 120072 -337398 46980 571399 611701 -636992 690434 15278 -616180 195629 974094 427293 670486 451201 930955 424615 891841 82283 +299906 130968 -63407 -229237 872119 987962 662466 84378 519053 -632077 184600 -654767 328834 -303373 -167513 -975353 430191 1048161 827498 -475590 528098 -541566 -773688 962825 470327 -427343 -28528 40714 -769854 540638 -180565 -806272 503099 -741299 -140569 -597917 -212525 204482 959966 -572485 -157542 637829 661058 801800 551517 -498987 805676 -579871 93717 -108536 527782 938381 -177977 891585 689213 -793058 -131267 650175 806693 -645684 -627268 -374553 26978 368387 33543 74812 395797 -688877 -727983 -899673 -584328 764709 -232658 -672848 -8928 201722 -834722 -302675 -831039 -22493 -632847 352865 -371489 59597 -963379 -665256 -531679 -570041 -168687 -526769 -194150 -770531 -838324 534250 199697 461020 -431512 996403 319884 -172563 511625 -899927 -1006124 -787152 -529679 -407354 2590 34157 710021 -341892 -795810 -611174 -763532 103009 65711 250494 476094 362557 957572 -860063 -782579 -603831 117432 809016 -393005 241282 810134 -596831 179194 -705358 306183 -590907 -963738 -826675 -818669 657708 -272451 -65901 -583788 -342515 756706 -407827 -160540 -422460 -202276 857213 -426145 265756 -528483 446824 -906989 -509519 790056 -573920 -207097 107269 -905597 -987039 -139233 -253151 -274839 -139227 -561455 530625 -1065038 -52442 629089 39293 -301943 261892 -296870 753783 906009 -581940 764916 -678567 609996 671516 -320388 415059 311276 65541 797246 879827 -273562 762733 806832 -790738 110330 1033043 -685623 -883831 -521201 208010 238479 684247 -632449 -985349 -715131 265180 405379 281021 130147 -165934 -111689 -606267 285756 -113086 8063 -745767 718342 -512819 115287 822141 -433523 1009048 73083 -910429 110155 301492 277752 331168 -535950 833119 -503172 733227 112844 -341977 68847 555201 624435 -686326 655276 -4161 -624468 227376 1070815 376179 665650 522399 903176 526005 854568 58822 +291660 115514 -89572 -208061 862757 976835 685343 161492 519306 -549444 235087 -491128 364991 -295837 -133719 -973839 410007 1038833 815465 -669383 504219 -522099 -770248 929384 471140 -524654 -9953 40376 -738285 482287 -108192 -793912 510973 -763533 -78988 -690350 -185694 301771 914871 -585671 -124042 667720 677757 748046 549842 -516512 789396 -658503 98404 -92040 535964 896061 -63430 863226 659542 -784407 -246449 638941 860316 -692645 -637363 -366943 61847 410863 -36204 74989 394171 -699582 -730301 -918258 -626905 761501 -284428 -670731 31327 213126 -874672 -243474 -845700 26394 -615872 320774 -271107 102697 -953060 -779188 -536661 -600745 -162442 -491014 -224307 -643339 -798927 439508 129862 479964 -307366 1025321 285891 -168616 511470 -884050 -1020682 -747614 -544333 -290065 -9076 8005 698841 -365292 -748550 -620335 -752506 104360 10847 260353 464443 373303 935749 -813398 -859932 -538196 64828 849180 -422930 247740 636168 -550872 279764 -609936 270131 -668579 -1011912 -807353 -988667 587270 -324777 -32444 -599968 -337775 736654 -341146 -60285 -426160 -280774 830892 -455660 268873 -567713 351744 -850686 -494521 844979 -608363 -199365 9003 -873843 -1059511 -139008 -363174 -302594 -139609 -553131 546946 -1041122 -166874 603978 67627 -254476 62566 -295101 643230 903228 -683619 742297 -700136 660015 656139 -511886 403213 343580 76149 801923 830726 -324368 749341 810898 -795076 64113 863214 -696119 -882657 -509578 197461 171444 598037 -648826 -871687 -653841 176460 400529 343981 27210 -185870 -62146 -625484 154825 -139648 -6893 -802351 626204 -498682 84976 747201 -541290 981142 104291 -852142 79694 299221 269878 347445 -554481 793576 -482272 746741 14442 -349094 88870 509035 460698 -730589 582893 2959 -616122 289007 1020767 331252 675027 422794 857452 537952 788068 118190 +311108 83917 -87685 -133806 875721 971348 620427 210898 501808 -446400 264125 -362512 359620 -306710 -113041 -1017999 411234 999587 816436 -767296 490139 -510356 -795999 832204 481154 -567832 -32650 38215 -662395 439875 -60228 -718513 526957 -783566 -21385 -755913 -173687 322349 940649 -555191 -117213 813652 729880 771717 436567 -485104 765120 -678936 96695 -127912 531598 740984 -196096 825655 619306 -789332 -302487 652950 973827 -706172 -858877 -282646 104048 414515 -84509 134933 395809 -639302 -717256 -915300 -808641 835687 -327973 -655338 29840 199595 -922460 -149024 -856827 8242 -630134 321564 -230420 90989 -933426 -894071 -555916 -601657 -167195 -385681 -230729 -551243 -842199 354789 52252 486831 -160588 1040131 292692 -165009 514329 -888842 -874100 -735361 -542828 -258637 4829 -13802 656096 -345460 -705087 -640349 -684695 83215 -54595 281189 473246 390673 918698 -787058 -883856 -613963 43393 882521 -448505 225708 530076 -492360 421730 -683301 319201 -626501 -1019400 -796564 -1129725 452907 -356222 25000 -591604 -204635 736384 -316814 -84633 -427155 -507223 812868 -455691 282162 -604120 336498 -730456 -503022 823538 -631023 -204835 -55013 -874992 -1122496 -140085 -503846 -302649 -139354 -554720 545346 -968278 -149915 631676 81569 -285939 28301 -243615 694145 885357 -759218 667457 -680604 682034 642637 -602374 396946 369506 72472 830343 772883 -376319 695616 720282 -829914 -5000 841965 -712694 -822848 -489429 167446 122613 517018 -641802 -828503 -624611 68279 403316 381026 -47868 -170378 -55769 -604601 65667 -121997 25557 -833998 623862 -472318 95217 791820 -581294 884110 22247 -815936 148448 364446 230919 199707 -539452 855377 -499831 754807 -68591 -353744 119005 546864 366354 -737165 520429 2652 -611290 358558 881188 347458 678233 365934 799353 457431 701303 145473 +302909 85636 -116223 -120023 873539 939917 655419 199406 501776 -381758 239231 -449078 426372 -282334 -100372 -1028361 437458 1051592 816764 -755672 491276 -494605 -798124 749124 498647 -515769 -62391 30401 -597052 456361 -66245 -759204 535541 -767754 -32942 -726289 -148941 257219 940682 -509544 -136655 891497 748467 887589 387278 -449938 748062 -631360 112052 -124625 533724 605681 -398829 799163 557642 -773654 -263825 651411 1010702 -731520 -996453 -187107 112661 418676 -98716 201025 400881 -666694 -690709 -877090 -968829 941193 -362227 -659653 42986 169670 -950904 -142808 -862609 -10277 -666000 320895 -283371 20124 -921130 -896096 -550137 -630663 -195207 -337953 -256658 -651427 -897981 331463 7941 478439 -228534 1046881 282134 -167525 524038 -910131 -840569 -724486 -552130 -391737 -9390 -47633 597790 -309417 -765793 -673256 -576115 106918 -71757 257448 500698 402751 929998 -768432 -819778 -758320 18774 922951 -462974 264841 521167 -444918 496922 -867980 403393 -466332 -1004484 -696062 -1079465 366122 -404069 41680 -591553 -144281 752125 -363736 -214626 -454956 -570218 806035 -484763 300665 -618511 419729 -712857 -518938 710992 -611463 -174691 -40122 -911388 -1158141 -154686 -573234 -303559 -140517 -530671 529903 -887724 -13872 752247 80001 -414379 182547 -176296 819594 884730 -733857 640259 -675546 712649 668348 -480959 377092 366250 64207 855754 762922 -421124 680789 673341 -868532 -66929 940424 -710329 -827236 -448820 135622 86603 562410 -619654 -842485 -571311 -67341 402975 356533 -88612 -64950 -103773 -573864 20539 -102000 107929 -865910 719414 -469133 126557 891651 -569627 760022 -114125 -870779 186983 427115 258494 97582 -583091 969849 -497595 803062 -79517 -370681 142206 567628 424336 -695089 464662 73900 -540885 398360 761781 400688 672390 403539 712579 344674 652650 119705 +295487 163270 -91682 -192371 871341 976288 625034 136223 495725 -391267 197689 -611492 366464 -234646 -102257 -983934 419353 1065812 813774 -586993 510859 -478998 -780078 681585 446751 -447190 -119065 14217 -579458 516012 -122311 -812456 541228 -756403 -92255 -642320 -121761 148998 948928 -476110 -117879 830752 772356 1055109 398830 -376238 751781 -540007 105852 -102789 549057 599418 -396123 814634 540152 -782793 -155691 636590 1011379 -750246 -853016 -160735 171585 422022 -73742 160510 408969 -653837 -656352 -903201 -972549 998162 -383276 -633952 24665 133376 -963052 -234736 -869463 -21166 -712809 355782 -336623 -79872 -936968 -799918 -565383 -643052 -229997 -420450 -244359 -796219 -928169 390364 78857 476497 -377646 1035042 249924 -180975 556308 -855067 -916478 -694674 -542162 -477188 14654 -17668 539441 -216401 -767816 -694547 -459274 108568 -68848 262741 542516 417349 856303 -791265 -729582 -810139 42318 907231 -468318 259482 664721 -424415 360365 -979686 468409 -325152 -968493 -668338 -884219 433497 -452734 17983 -590031 -107485 779027 -404021 -306711 -450425 -416486 815463 -501291 313901 -636559 531194 -749775 -519008 585592 -620723 -149369 48856 -919511 -1143563 -146063 -524603 -337145 -141028 -498202 495785 -834372 83693 835580 62251 -441348 360055 -135409 923839 876357 -642839 727054 -612954 730177 667811 -286045 363058 331619 50088 857438 803619 -390992 626922 717394 -868675 -95338 1059878 -677592 -810734 -444661 111185 99114 635961 -543297 -915163 -536087 -77582 396801 325661 -19136 -1375 -122192 -535876 95524 -111934 197791 -888487 792754 -479997 207865 964033 -475469 692048 -140258 -954273 313639 423488 176569 153641 -532620 970636 -485157 784436 21101 -367962 167604 576816 490915 -655482 499540 40802 -497641 383536 822661 438418 670368 490991 640780 290702 639417 80679 +307649 147322 -99284 -241498 878152 997386 659196 54955 485913 -448968 173103 -642646 289177 -188445 -77924 -987478 407422 1062291 817769 -550147 534022 -461271 -740316 699221 475713 -371290 -185048 13645 -623946 589261 -193399 -837760 532224 -794578 -147747 -558760 -123733 62312 940931 -486560 -129720 678049 735012 1092138 504283 -288584 764814 -441272 111368 -71799 546535 717495 -242738 865089 548358 -775504 -43978 639312 994360 -769922 -628859 -221545 176937 403378 -16882 89654 420113 -607025 -617457 -908572 -853583 974713 -388384 -627213 13458 121177 -911340 -308902 -882009 -28689 -732899 350832 -394555 -143988 -956053 -667935 -571168 -662048 -262733 -519002 -204172 -783496 -871206 459976 153188 474440 -429191 1020367 252529 -192843 584992 -919708 -1042390 -682934 -538709 -490483 -26715 12136 463394 -141080 -758609 -733967 -370587 122403 -29140 251903 588521 435687 819305 -838614 -671684 -720281 61491 877839 -464327 259418 800399 -438287 253444 -988075 487566 -340704 -965700 -632349 -818933 579365 -444643 -51995 -593089 -132285 783347 -498719 -278540 -447065 -217798 833482 -502029 316164 -679696 602883 -806299 -522384 486651 -651900 -130623 134766 -947641 -1099400 -153441 -385366 -320522 -154007 -505308 456138 -839657 82211 886606 51267 -329913 337842 -146692 888580 859369 -522337 807713 -599466 710984 705150 -230041 354651 312215 51315 857512 856876 -349403 578168 797802 -875801 -78612 1038211 -703502 -823047 -437870 86866 148494 725742 -483417 -1036983 -513180 49483 400085 256217 38351 102722 -70272 -464115 243321 -114418 248086 -912339 799938 -509179 258401 874170 -355122 620900 -4298 -1026152 407200 352914 125766 315421 -560319 837713 -491141 771662 107284 -359545 196265 590993 607830 -656084 536626 70036 -481324 338884 943360 418059 672078 484970 579426 334591 691677 82576 +290240 89292 -58158 -208416 881786 1049351 645621 -17442 479128 -547942 197374 -473502 308179 -122995 -64613 -979334 399194 1083284 816112 -629910 578898 -453396 -742977 776734 462536 -376364 -258722 -18257 -696177 623236 -235617 -791010 521079 -754197 -158596 -559138 -102036 45611 958923 -527315 -144490 641779 761638 1025131 574120 -229109 813691 -391789 93087 -64373 544878 880638 -91308 899735 564217 -765165 44 647446 904968 -791336 -617824 -324329 194684 425579 55600 71125 433907 -584697 -585489 -891739 -695240 848868 -370052 -641382 -23324 139083 -871140 -275049 -894185 22639 -745451 406031 -311920 -164388 -958912 -614344 -575107 -693069 -270175 -511575 -170402 -615184 -813747 549246 202030 458514 -321448 990544 220330 -199127 606058 -869697 -1030860 -700618 -540828 -381084 -21860 20076 456142 -116391 -759247 -715756 -394113 92318 48960 255596 632144 449311 799782 -887900 -713730 -580279 98007 835795 -451534 318863 805266 -479503 161965 -893330 415349 -417539 -1021674 -672978 -935949 650631 -412574 -96019 -601480 -227795 781565 -450916 -158141 -456502 -203684 859528 -513747 284616 -715571 579726 -913695 -537950 480576 -671789 -83665 128872 -914469 -1021916 -155652 -269148 -321834 -146406 -528632 413843 -897545 -66720 920159 -354 -258660 133653 -203058 751496 854529 -473817 820940 -642579 713735 721770 -326393 341514 337407 24202 827874 911450 -284027 572844 817576 -898876 -23911 915924 -660119 -837942 -472411 62443 204338 744056 -393001 -1118409 -518147 152812 400132 208417 130754 142871 -65604 -407560 347258 -63475 368180 -908731 717997 -507715 335225 761945 -284611 709613 106452 -1021872 423721 288986 70861 339051 -575868 747791 -480078 716308 112361 -345700 218546 613056 552866 -672174 601357 42559 -489982 268094 1064085 359480 667476 427718 561550 446536 758892 109486 +298915 76440 -83687 -124324 883129 1063692 643463 -37470 482616 -634648 238711 -372594 272460 -79138 -41893 -966352 336538 1087745 817883 -756372 622955 -454952 -786009 881339 445438 -430642 -301731 4361 -762949 607880 -223431 -753279 550046 -783683 -112832 -641857 -107227 116140 941201 -573441 -154242 741435 688605 896045 468923 -188374 807972 -414709 103358 -51516 539192 941610 -131219 908111 647658 -750937 -52086 632320 837576 -786822 -842017 -399631 171417 418826 123771 135719 449891 -543909 -562029 -865763 -594895 768568 -339879 -628421 -23246 176157 -830236 -198040 -909212 42944 -714989 420232 -271877 -72462 -941575 -679938 -582916 -636321 -256829 -407927 -134299 -522675 -808719 641669 150311 486006 -186633 945098 219925 -197451 607691 -868354 -892455 -697803 -545176 -285106 -8186 -4819 428813 -129899 -697438 -702918 -447589 97487 79065 275980 668756 466757 804046 -951827 -775236 -547429 118158 799132 -429327 306725 678554 -535915 240686 -770519 325288 -580855 -956287 -663440 -1121223 605345 -359263 -134435 -593303 -336384 771649 -397958 -64839 -438102 -414915 892679 -558549 314867 -712185 481541 -853856 -503539 546880 -666031 -43184 55156 -889997 -936252 -171677 -229897 -319919 -145866 -538152 374524 -982366 -186361 877129 13241 -251460 9018 -269358 642415 861331 -546451 792915 -640402 698021 723381 -549106 319598 371078 18634 814508 968403 -255901 542667 750314 -889914 46785 837749 -645632 -860974 -515161 40675 247440 702438 -353532 -1145340 -465954 206529 396378 220306 167643 163311 -47485 -361329 281526 -76380 342580 -932731 621249 -493116 401309 763000 -315903 734253 71330 -1006936 404488 288848 47752 204882 -541657 822292 -487683 719650 33224 -317818 245926 653071 414662 -731922 670659 -17452 -511480 220453 1028195 330580 666264 389404 532958 534289 837270 148788 +294221 71111 -63476 -122564 889725 1029064 695684 8082 508049 -662421 262110 -423694 293063 -40796 -21680 -949736 340665 1049451 811657 -794811 668148 -458703 -793768 937788 452290 -532042 -352301 -12829 -769353 558549 -162688 -723292 502028 -782124 -50391 -719590 -88246 230709 929853 -584298 -129586 870029 654863 763779 367359 -174962 796994 -496461 113272 -40625 544958 858630 -327839 869653 663082 -738074 -166552 637682 773795 -785921 -986026 -370979 154951 373631 167955 192820 467669 -517244 -552942 -870934 -650018 771174 -296863 -633395 -1048 207786 -848855 -133358 -924957 53457 -671166 416356 -229652 48494 -924889 -813644 -593902 -676150 -224544 -332518 -81176 -613740 -854753 624553 63645 476331 -207847 907159 233234 -191943 616305 -882584 -877937 -716987 -550450 -283747 -19254 -26363 448222 -171212 -694754 -671696 -564900 107513 94815 275344 681319 475563 867199 -1014017 -846436 -654285 179430 778767 -399107 320416 520892 -586461 378309 -654759 276956 -692232 -994162 -752511 -1142418 480695 -313697 -129568 -611073 -319257 786542 -341768 -88730 -456760 -580102 922445 -582321 317536 -726208 371436 -742985 -515456 674601 -654939 806 -39525 -890996 -850294 -180045 -309773 -350687 -140253 -557634 354253 -1047943 -166229 754651 -16658 -381525 102324 -302891 682802 855450 -662971 709659 -692800 680178 713223 -586244 309162 367304 -9166 821884 957476 -273860 494778 678521 -896728 100910 917246 -634339 -857366 -529086 15976 272699 582945 -310971 -1099217 -501879 190332 390761 246673 45728 140997 -41775 -350682 181322 -92270 349603 -896595 624612 -469195 439076 850410 -442333 842164 -47529 -904515 320534 368663 4866 72612 -568985 944606 -475142 758999 -71432 -336835 267850 672365 355705 -742523 675940 -24315 -570510 200893 881814 358577 664386 424295 526658 529592 884281 106258 +298236 146039 -109596 -193122 892472 1022056 635837 80415 514905 -617839 243158 -568557 313847 10461 11221 -911316 383455 1073318 805039 -604246 713828 -458740 -765053 952237 493927 -556447 -375296 939 -723768 476325 -92506 -769207 515794 -769781 -14252 -759702 -80667 314699 936718 -557715 -145393 879599 637275 702698 387373 -181224 771276 -598788 110352 -49485 550440 687819 -441980 820902 660474 -760932 -269853 616506 784751 -770542 -929709 -261926 131214 384370 175416 167309 486902 -504620 -560811 -869468 -781144 865240 -243017 -636860 -41006 216402 -893752 -205337 -925442 37650 -643693 408276 -302107 111036 -923812 -913489 -599304 -668492 -190458 -433360 -14342 -762980 -918298 531660 23032 509094 -338736 857025 242599 -177894 614418 -833862 -921496 -774798 -555048 -367770 -9622 -49723 488094 -236444 -781209 -654080 -702842 99555 23566 269287 678528 487296 858423 -1060200 -900313 -782286 247349 760883 -364514 297903 531814 -617183 476019 -672595 288100 -623489 -993397 -773711 -963642 375792 -237099 -54431 -610885 -257873 757412 -325640 -216461 -413641 -506615 945791 -593795 318959 -683390 328314 -686970 -505010 792346 -616896 12494 -57569 -922811 -790553 -172257 -456378 -358640 -143865 -577132 347908 -1063843 -9267 696190 -68209 -447151 300918 -281682 817912 859764 -754693 672231 -695177 634770 664959 -450417 295087 333505 -9364 830189 918791 -325905 471040 693453 -853518 118144 1048354 -645587 -814860 -512623 -9585 244966 556511 -307838 -1014119 -526704 115116 395314 326923 -55737 61096 -75126 -357592 51782 -75906 302241 -886551 718284 -461678 445856 924775 -535475 939663 -157422 -842718 217527 421238 -60735 156269 -553541 1006931 -484753 769784 -109174 -321292 289284 701382 432641 -697620 665911 -1613 -632138 244151 764971 415323 660915 474996 564257 436716 871595 83733 +282267 114953 -72775 -239550 889636 1005266 669129 163133 521501 -521746 192729 -632078 347020 62360 -9820 -895375 396160 1098668 810670 -487860 752454 -473217 -754370 893328 468841 -511391 -381917 31176 -645989 449999 -55361 -835746 541632 -773846 -54688 -690438 -95002 324923 916522 -509260 -134407 742538 548399 828617 499703 -228759 728428 -671914 109205 -42884 552732 583475 -323784 792867 718011 -749259 -302630 609088 824227 -729089 -678786 -159868 72128 373893 145394 91993 507050 -527825 -583275 -891223 -930297 997964 -189716 -658839 -7253 199176 -942732 -293648 -940161 -26288 -612328 410876 -392468 90683 -950487 -899264 -609365 -647008 -172116 -493940 17786 -811332 -921944 461685 71352 480416 -436774 809278 283357 -171169 648098 -843895 -1014186 -841112 -553814 -505217 -43675 -6083 541394 -319194 -804825 -607310 -767962 100114 -37565 290483 655878 492338 944683 -1094482 -831131 -798234 276759 796285 -330743 307471 658196 -604488 423721 -793186 381753 -466036 -975989 -820306 -834829 404231 -260736 2772 -621531 -207713 770661 -342903 -310404 -415018 -287220 962171 -623303 342076 -651450 386992 -740120 -476888 848038 -615361 35793 17922 -919817 -781309 -181917 -557734 -348198 -148335 -561023 363632 -1024362 115889 611602 -66202 -403663 373071 -220649 921768 886434 -739122 649278 -698922 611852 655233 -297434 268304 318916 -12761 874028 841374 -380245 453710 771956 -840714 88535 1091022 -636753 -825019 -516609 -21311 192617 565882 -345818 -915727 -560123 -44221 398369 362471 -55757 -21930 -70206 -373106 -10059 -86725 250577 -907198 807997 -484151 446506 955808 -568276 990692 -117059 -835363 132321 432395 -15345 307777 -551039 889341 -501399 764015 -9203 -322586 308205 711990 580796 -646456 608889 75183 -637333 292032 831487 440090 672813 495376 604874 329855 817069 122802 +293412 101646 -84683 -196739 888823 1013254 752391 209850 526720 -426402 168217 -477576 365141 68715 12319 -867155 383413 1115174 805133 -575626 783110 -487713 -757258 788722 510013 -427685 -360754 30889 -589211 451763 -76570 -805995 497750 -775231 -117809 -597467 -84347 242754 939088 -478032 -137928 631885 533007 937315 563798 -292208 745368 -674456 120235 -70843 545072 627802 -97215 814424 747012 -712395 -239229 613392 904213 -710903 -603596 -178971 42889 378736 84599 67222 527424 -520609 -616614 -863609 -987372 1018254 -142419 -673225 9924 160805 -960838 -316868 -953358 -31256 -628776 404146 -345211 5374 -956420 -782916 -599528 -657213 -165451 -530325 51758 -633688 -856165 408531 136898 438783 -345444 769159 300315 -162220 637827 -897485 -981460 -820048 -558297 -501534 -28949 27026 611035 -363480 -757072 -588330 -802454 101514 -88581 306113 616419 500353 907471 -1099561 -744417 -683338 320521 827053 -293798 351749 821651 -561760 276638 -944620 462541 -334465 -991030 -869344 -909083 542798 -270570 38005 -610577 -79946 744214 -425948 -278327 -379980 -177579 964707 -610187 322486 -631156 497382 -808183 -480627 803582 -600384 38550 117078 -929910 -798649 -185124 -558575 -335583 -146096 -565455 388173 -946497 76525 621183 -126730 -307905 228098 -157074 894682 873164 -656113 701543 -646144 577534 637486 -232931 265565 332462 -17384 880946 772748 -421324 416835 820022 -833819 27115 1009011 -641380 -827457 -498389 -34114 131748 542700 -388485 -838054 -632967 -110703 399177 369625 -44964 -125289 -36018 -425629 56144 -73654 143870 -853938 786396 -506173 389752 837873 -543303 982287 38223 -872979 99611 371569 9776 338634 -574722 772049 -508982 759381 68724 -302606 318369 731078 595893 -653333 535620 51719 -632735 360703 962386 405130 672576 447912 682863 292740 745101 112058 +291545 63424 -86893 -139696 891680 977297 728859 197461 512262 -374258 191473 -392011 369064 42221 -1069 -873456 384956 1091567 806360 -762933 803043 -492528 -735099 712851 470351 -364117 -323484 20969 -587062 499412 -141021 -771977 506983 -753827 -159387 -549462 -102627 136967 934902 -486153 -85152 665369 505831 1075096 505704 -369564 771524 -614363 126071 -94382 544254 775717 -112631 855346 748337 -705506 -119925 607705 978288 -660343 -782409 -251344 19071 348836 11733 127593 547162 -515304 -655030 -851311 -845178 927224 -105590 -669414 18061 128617 -950383 -226656 -958489 13606 -657956 393162 -302797 -67748 -946451 -649712 -601687 -688176 -179948 -417086 77368 -547097 -806435 348353 190257 450774 -187122 722013 301405 -174924 616633 -878191 -925882 -804088 -563759 -375874 -12376 19174 661668 -366144 -741737 -553140 -706988 90808 -64888 301808 572773 500797 967105 -1089398 -682719 -556496 324627 885464 -256163 331196 813686 -503015 200363 -999970 506112 -296463 -1004859 -831655 -1103052 652333 -296037 43979 -616323 -104022 792825 -476338 -151879 -429629 -295023 951751 -626316 324841 -596233 590911 -923683 -524567 700068 -610999 34217 136587 -881021 -853115 -191146 -441055 -339540 -144153 -521207 428356 -869246 -64786 699179 -88373 -262354 48251 -133142 759262 891320 -549214 777902 -653849 560403 655502 -373905 252145 368726 -4181 840247 758129 -392595 462277 767759 -808599 -41776 859086 -657852 -864544 -460619 -51220 100626 635311 -451703 -830940 -643277 -46145 398694 322851 74443 -163671 -57115 -496594 194594 -113861 103933 -803344 700705 -517340 370296 760493 -453425 919829 86932 -950324 103327 303168 59475 196034 -605431 769937 -523963 771841 113277 -273976 332426 716755 525408 -665071 468631 76955 -594915 390783 1082699 349941 668629 353395 730710 353322 657001 156852 +302264 84880 -87771 -126343 904896 957442 768096 138791 503395 -394391 239803 -432377 378166 47613 14347 -824737 397944 1085976 806690 -770300 808225 -520770 -732144 686197 481376 -361397 -279076 55076 -631419 575506 -208764 -716036 508647 -763237 -157773 -586925 -120068 54691 952438 -529851 -169003 806431 473237 1106704 361766 -450529 833709 -512600 121990 -93786 557027 924053 -268242 904806 758998 -705136 -21780 604409 1013258 -627352 -956084 -341986 15967 328821 -54049 194986 566472 -505280 -690325 -870328 -679027 812852 -85449 -676307 7346 129334 -888909 -157111 -969032 22919 -705706 371379 -229357 -149518 -929136 -622995 -614107 -677361 -199520 -383345 45378 -605082 -805994 383611 149734 445567 -180894 699272 336746 -176778 621084 -884167 -840783 -830128 -555341 -265269 -1754 -7398 704953 -328135 -724578 -575936 -602105 119401 -53138 333733 523013 499504 941218 -1052669 -684941 -558334 338023 905469 -232291 345836 690669 -452628 200566 -895569 451442 -397937 -998731 -780777 -1153731 631440 -374296 1919 -620595 -170951 797109 -443175 -61751 -438965 -493351 931567 -671027 303189 -566693 594976 -866623 -528089 588056 -595410 18133 90026 -869012 -936302 -198312 -301471 -338551 -147731 -524029 471184 -830880 -189463 779025 -88216 -322413 32518 -161160 655888 898695 -492254 844925 -632986 535171 656544 -530498 240810 367443 73 810572 787259 -347205 410900 695524 -764925 -88396 854884 -657918 -854042 -446583 -55249 89077 738281 -518399 -878657 -726663 97656 405012 277899 119443 -188696 -25067 -539997 333740 -112298 75110 -791520 628878 -490762 280309 800708 -333816 792301 27075 -1004509 172966 296724 144796 83701 -579700 922039 -497706 720332 64097 -281093 340120 719846 354349 -728873 467675 59509 -543027 389792 1037209 331079 662759 406587 802443 467249 647077 88289 +286431 129916 -35730 -193410 886039 972152 758919 53451 495039 -473419 267078 -569894 358709 34647 51496 -823029 418572 1089318 800360 -648991 803321 -538434 -777495 727568 535881 -446894 -204603 43010 -711631 611722 -238159 -769501 523974 -747319 -93031 -683867 -138892 53486 930749 -574296 -132055 893784 421016 959905 344025 -487324 813831 -432739 115638 -117040 553336 930891 -397098 918282 689440 -706141 -6614 602283 1007684 -615642 -939985 -385524 21163 302883 -93200 168635 583621 -522781 -717408 -872873 -606591 744895 -78575 -688088 17458 148479 -841661 -152653 -967537 2460 -728249 374268 -196539 -150410 -927628 -701800 -600792 -668290 -224699 -388011 41257 -733677 -871628 427310 55909 478458 -315664 683112 329013 -181068 616612 -875139 -885495 -813427 -561840 -304663 -32485 -41152 705944 -258476 -761567 -557022 -483032 113976 21421 366041 488481 495749 945417 -1000662 -760115 -687043 311750 917462 -205082 369985 531521 -426272 303331 -780158 355898 -565998 -997539 -746371 -968506 515895 -414469 -37116 -618613 -313126 809306 -398229 -92512 -437359 -595832 901766 -672389 312095 -545833 512418 -787489 -469618 479193 -617496 -16181 -6206 -900214 -1032525 -202904 -233126 -336923 -146253 -516227 511145 -851178 -146192 870196 -58018 -421105 219772 -227903 678382 907930 -521133 819163 -648186 503206 694264 -592771 235738 335322 17870 803621 833032 -281918 423932 682360 -745786 -91475 992238 -673765 -850379 -450530 -59566 123537 719153 -579870 -985851 -773645 212479 404183 227068 146014 -148669 -76102 -600582 328269 -97492 -8486 -730822 635836 -465719 211760 838285 -312161 708697 -96772 -1038862 254219 354517 149754 147533 -600160 983652 -494608 753753 -37537 -255715 344542 714060 363463 -755853 524607 7077 -494167 329672 849761 373736 668992 441993 841446 542081 656389 89609 +304492 142837 -73346 -232703 902148 969567 795771 -15202 492092 -580673 243077 -621279 295014 -45649 32765 -832360 414515 1042391 795215 -505738 776204 -547589 -739041 814357 493083 -521434 -150040 36181 -764672 607252 -210276 -817192 513792 -741843 -38118 -744110 -154229 138256 942426 -585406 -121616 826235 448185 811639 456402 -500791 793774 -390467 124131 -112280 547384 807942 -356923 866599 680168 -690449 -83340 590089 952117 -550409 -733620 -370826 42080 298370 -95097 96398 599429 -549287 -730150 -853296 -643087 803562 -93941 -672873 34359 180957 -840246 -242482 -980289 -6453 -730015 345278 -308163 -74444 -942888 -842765 -582714 -679930 -257767 -519622 5165 -780529 -919684 525608 11940 500880 -445725 679362 376363 -189376 576252 -890926 -1008370 -760728 -567520 -405510 -36271 -32475 689612 -164999 -743970 -580121 -426226 87432 57088 372857 468480 479787 911778 -931069 -854644 -798641 275947 897139 -192494 346513 503354 -427546 431582 -617241 281882 -643670 -1004559 -668677 -826101 403216 -483007 -121354 -631595 -355674 768670 -317492 -219144 -454714 -390768 870583 -625883 287569 -583142 398885 -677433 -529134 472501 -626958 -48008 -64283 -949341 -1104692 -215765 -262907 -329900 -148038 -516516 540658 -920109 20567 873014 -31670 -450234 375881 -285565 823714 916144 -623311 725826 -661421 465464 731090 -436540 235174 313860 35796 840620 897728 -260780 440860 750462 -730589 -51560 1076295 -685121 -799421 -469587 -66463 187527 630835 -659770 -1093696 -817970 233654 404358 203870 87812 -52069 -100876 -612874 198096 -98326 61208 -704122 726929 -467391 155602 949327 -334993 664239 -165594 -1001393 368366 413763 205070 315632 -586504 904872 -487930 752135 -111829 -238049 344442 704142 515885 -707381 587521 -25535 -456106 259636 771400 425490 672903 482122 895923 518370 716864 84725 +294258 114192 -75607 -200811 900140 980272 807064 -40584 472530 -650025 192328 -534344 269975 -108872 38754 -832835 418390 1032897 796630 -552620 748393 -572233 -752679 914472 528252 -549029 -81560 19966 -765671 561480 -143517 -814300 526924 -745670 -37944 -737283 -157460 252006 931418 -557047 -136206 686169 499758 735772 564321 -505820 742458 -432066 130779 -116168 543294 647336 -152146 828062 618769 -689191 -201836 603783 871861 -577941 -600182 -253452 76972 312155 -59198 63052 612858 -591281 -728591 -880299 -812544 919674 -129821 -678234 34898 208361 -861094 -290882 -984033 -36263 -711249 357721 -353641 31767 -956965 -915263 -572756 -656773 -268858 -534649 -20054 -673921 -908353 620503 37768 489854 -387828 675568 408922 -192710 585835 -899069 -1026770 -725440 -562357 -520455 -37702 13198 653699 -126374 -744869 -610767 -425755 68785 103688 374631 467947 478435 909687 -881470 -881836 -782593 213081 858661 -184232 350173 638884 -470887 440475 -678465 281555 -604967 -991219 -637579 -849703 402589 -442446 -124220 -633086 -309641 785668 -314087 -309754 -456650 -211271 840784 -658418 303917 -606964 334906 -722801 -529630 558056 -656369 -97912 -17234 -940860 -1154380 -226841 -403308 -324999 -152391 -523177 547270 -1001786 87642 894466 23170 -373859 327328 -302113 919616 920510 -738234 653073 -650875 516686 714727 -268054 224021 331203 64149 876465 942135 -272037 473182 820856 -709760 15388 1054048 -694884 -812102 -505357 -60168 239461 564736 -655240 -1150428 -846118 119461 408756 240412 -51689 44880 -59728 -607825 112327 -126925 29585 -685867 802009 -485651 97846 917556 -430805 656462 -46436 -926956 414703 429019 231688 339680 -592529 782309 -470152 747532 -21738 -215202 339601 658331 604192 -676241 650786 1093 -488697 217798 817026 434378 666445 454726 887533 413780 812383 93067 +301439 65980 -81673 -135754 911781 992830 852991 1534 496806 -669628 162948 -386266 301163 -116993 17208 -821651 400186 1067664 796598 -750294 701090 -589606 -771626 972430 516017 -505018 -38741 10572 -713272 496326 -78292 -772634 524670 -756388 -77884 -651228 -185775 318316 929518 -505899 -101061 634562 488243 788647 529237 -449704 759200 -521025 128075 -106933 537789 578588 -58792 800802 548071 -727238 -289768 610834 815017 -551686 -715378 -163977 108971 298732 4239 125403 623320 -605140 -712953 -868727 -932756 1014300 -174699 -682908 9835 214954 -912408 -262334 -983238 -4864 -675608 368598 -359433 123036 -953836 -875340 -565550 -659824 -260311 -452472 -71853 -532682 -839167 634184 112473 457751 -219337 705970 384990 -190549 548352 -883920 -921633 -686974 -561207 -459026 -44295 34068 589998 -124712 -730294 -634135 -450926 76173 49235 386049 489004 458171 874678 -828661 -834980 -646939 179127 810205 -187460 376023 806658 -525070 350485 -779815 340419 -456761 -988156 -654389 -1052622 517278 -411227 -81992 -627782 -213992 752487 -368712 -271908 -406722 -220066 818553 -631244 293498 -654034 362659 -858345 -507620 682074 -666327 -132299 79172 -918123 -1146506 -232584 -541682 -307477 -153166 -571057 540202 -1058597 71673 819228 16508 -267276 127903 -264301 892272 934980 -766854 648719 -694925 516000 689244 -246842 223488 368288 63043 886811 969360 -316313 501736 798828 -713605 80580 903223 -694781 -811156 -523227 -39175 274230 488934 -625185 -1109429 -864616 -38211 411037 318070 -76558 118838 -32175 -584283 10519 -100850 94229 -630545 799055 -505540 77069 778263 -524709 733393 60688 -857960 434135 386854 290500 203417 -603914 739513 -458356 778496 92514 -170461 334424 651110 583826 -632184 693574 46219 -504263 200034 947976 388184 680198 412028 902695 314644 863892 120266 +296569 99780 -112331 -125710 909202 1043942 856554 83159 493909 -600111 195100 -402332 281757 -204786 11495 -850702 375180 1041444 797907 -836279 663150 -609492 -738998 915937 530304 -423425 -28614 -9773 -637624 440614 -54839 -711506 508443 -777773 -140522 -553157 -207955 314588 952551 -476199 -141098 735988 594429 867277 376111 -386132 737530 -617161 130432 -95088 550243 665283 -191465 815266 565288 -688186 -292468 600031 762491 -561424 -950172 -177740 148581 288515 78215 195281 630972 -613478 -683272 -875565 -950684 1004241 -228033 -659525 5400 188269 -959038 -157103 -974131 -4090 -634223 345659 -268370 99748 -940905 -741671 -570387 -651986 -231627 -389728 -114492 -586550 -798109 649076 201696 421189 -184720 727791 364992 -191103 541038 -855454 -858749 -659440 -562207 -316114 -51279 15638 525029 -167900 -730711 -669478 -556597 87909 20416 399683 522789 442699 821822 -789043 -735346 -545067 123469 777562 -199079 386840 821985 -577128 182985 -944717 439655 -352686 -1007021 -667580 -1141347 650287 -377227 -16116 -628119 -116829 765950 -447575 -146349 -426548 -439704 808740 -650478 298814 -706529 465058 -877153 -507679 786875 -652822 -171160 140844 -886901 -1122741 -230596 -567943 -300236 -147640 -568520 521557 -1058976 -74785 714612 96211 -273956 11304 -197309 763222 954069 -700672 686289 -687513 536354 671425 -386840 208527 368687 74336 849961 900107 -378119 525092 707972 -702413 115778 823976 -703425 -841676 -531193 -40262 257642 519139 -574685 -1043347 -849372 -72002 403347 358353 -65592 162175 -72173 -514929 69001 -89332 178390 -612767 679370 -512918 126675 757072 -600201 839981 70331 -821121 366323 307408 295139 76452 -569225 899674 -472084 741175 124585 -169624 321371 633384 441464 -667951 687144 67682 -584170 237293 1043332 339643 675739 380582 875818 293758 898487 128119 +291617 110540 -126348 -195837 914635 1030247 824942 162833 503446 -489428 238884 -531703 380334 -242793 -36938 -862749 383483 1023029 796632 -657746 606139 -620021 -762531 838994 528750 -361341 -14791 9102 -586291 453951 -89776 -734061 505315 -773804 -171970 -550802 -217105 222484 932213 -490231 -113931 862928 621160 1082339 344168 -311857 775201 -674343 131985 -73032 539189 836591 -403690 865077 578601 -693584 -204992 598077 788894 -546894 -959998 -245039 175707 278519 140729 174868 635045 -670134 -645659 -879415 -850702 890065 -276895 -627887 -15106 157844 -961248 -145117 -964075 14874 -619681 383788 -196162 40615 -924663 -625964 -547205 -632673 -202623 -417436 -192008 -707462 -829651 519678 171269 485514 -293243 759242 349411 -189443 520927 -852206 -925372 -685835 -576126 -269852 -76363 -44325 472691 -196893 -731711 -703908 -636332 96744 -69535 430096 574629 434559 811975 -771066 -694680 -584214 88551 761380 -220801 366671 717873 -615743 167952 -983317 499299 -320480 -990078 -722555 -1008718 661217 -306278 9047 -642955 -118774 778645 -459809 -62126 -412942 -588344 809228 -648003 295729 -689567 576733 -870065 -476241 851277 -655972 -178060 119500 -852900 -1061116 -251221 -494182 -295329 -151784 -575123 480749 -1005258 -157911 648973 65834 -341865 119006 -143250 641470 947870 -568358 758380 -674137 539666 664112 -569728 217090 338734 69112 836369 850945 -423575 565753 676422 -732398 107151 925106 -724466 -850416 -504448 -28587 211651 610109 -511574 -946192 -823179 -48531 402853 391083 41705 178227 -75449 -463255 209890 -81635 253692 -621290 614615 -489218 121925 792102 -551595 909178 -15873 -858919 278768 278430 253701 144118 -589303 981698 -484889 753123 23547 -144714 310842 596962 323156 -729620 617065 69931 -612582 292252 1020779 336414 677888 478257 798421 373439 859657 88488 +298701 151033 -90883 -233682 917412 1043211 817960 212297 504812 -409315 264266 -625222 360125 -266357 -41057 -902979 397799 1050048 798059 -510951 571111 -623759 -758846 754790 557880 -365724 -44046 12333 -590028 486237 -159515 -765424 513566 -791533 -122730 -623608 -247996 111700 912372 -532251 -149303 873032 611085 1117127 427816 -236410 806772 -661152 137302 -46039 536956 942590 -425432 885266 610206 -686794 -85386 583667 846371 -581098 -763859 -336318 196964 258277 174357 92470 635822 -658357 -609175 -861178 -689915 749033 -324480 -651347 -3060 126740 -919339 -207950 -965851 20228 -632186 391262 -261486 -68226 -931018 -620640 -545092 -638463 -175144 -480649 -223067 -784926 -894767 386505 88593 489383 -419163 812855 379044 -161816 518808 -898750 -981747 -666168 -573193 -309960 -72454 -40166 437882 -274237 -785423 -712196 -749680 95597 -94011 451793 619969 413837 815728 -780277 -685508 -721355 43190 776426 -248080 374243 541157 -609991 292894 -934131 468101 -452661 -966654 -752221 -818221 517542 -283932 54188 -644756 -187362 798535 -438068 -96284 -434972 -501264 824588 -619301 280303 -729000 604339 -793099 -511108 810192 -630341 -205575 26356 -885639 -970247 -253109 -339312 -284009 -158344 -554590 440145 -921924 -148174 614100 75465 -449152 311360 -137907 673778 966836 -501876 824193 -648206 568954 633606 -571822 222382 312281 63860 789890 777663 -405549 609362 729249 -730005 57239 1063591 -711217 -852688 -470577 -3953 146038 703294 -451943 -859748 -784780 89577 408071 356167 101642 114252 -66766 -407837 300026 -124413 285784 -599100 651824 -474998 175161 892894 -428330 1020065 -169552 -954501 170546 328094 257640 327310 -594935 915881 -495438 754404 -37706 -88590 289260 590748 430324 -742698 555669 17112 -655355 369251 880429 391125 676338 502469 761804 486167 787211 75970 +288462 117593 -87499 -203119 918468 1006426 808595 198219 501499 -366863 236824 -512281 358395 -312750 -59501 -936716 388437 994986 796846 -551275 530522 -635660 -729671 666712 554059 -486554 -72750 -5553 -648685 556866 -222085 -827675 516156 -767963 -68974 -729922 -258066 46331 942902 -574427 -119631 746762 712669 1069931 544357 -185241 780368 -597019 139990 -25632 525756 903132 -302648 920845 583235 -689183 -8094 591242 938217 -621148 -596423 -395182 180045 293247 170062 61345 633591 -696907 -578028 -862464 -598180 750435 -363621 -641490 -41665 123584 -867334 -288136 -952213 -14174 -656241 426404 -349592 -138382 -955888 -723892 -533085 -617224 -160489 -552597 -235814 -690605 -931403 344336 29918 471205 -396326 859956 355779 -168504 506970 -899384 -1037458 -730015 -567410 -438174 -89385 -17421 434430 -336329 -781929 -704954 -793807 99665 -82092 469492 656090 397614 854337 -816780 -759573 -813475 46824 818550 -281169 377772 497662 -570687 420457 -801476 378121 -566050 -994456 -816252 -863142 406267 -258983 41406 -641816 -275343 775148 -350293 -222480 -414010 -247607 848858 -610805 279808 -726270 547971 -695457 -518376 705952 -608996 -196863 -49731 -918066 -888775 -273178 -239925 -278500 -156412 -557713 399652 -852326 -9752 655227 54737 -449985 372871 -181358 822862 950732 -490995 818859 -604213 629097 666476 -401632 219543 331821 73784 815243 771404 -345201 676118 797915 -758104 -12463 1095984 -669419 -823345 -462084 11672 95330 735157 -387340 -812839 -741732 178341 403219 302694 151752 33800 -104169 -368821 326047 -169527 351961 -628316 737320 -463429 243435 913474 -346028 964548 -139413 -1014370 97249 403307 199349 362251 -589941 798947 -508366 733764 -106002 -91483 275726 566822 580695 -716076 513789 7981 -658811 390769 763887 434155 676035 445592 683859 546150 694180 100216 +288317 109149 -43024 -134852 919189 995481 790690 137271 522715 -410115 189511 -369429 376408 -306798 -64463 -951802 401652 997022 786802 -716966 504590 -635682 -766956 695020 585475 -522344 -134328 22087 -721592 614155 -237600 -816426 501055 -788025 -29411 -756442 -284415 66287 932024 -584370 -126741 644419 728237 934297 524235 -165025 751815 -491281 142928 -15395 534847 754575 -102647 870406 648219 -667849 -19583 602365 986332 -618719 -682127 -339161 162615 281389 129303 118789 627221 -701842 -558002 -858883 -643159 826432 -381390 -638642 -10036 147555 -822136 -301664 -946795 -33243 -695832 419717 -373767 -144542 -960714 -855327 -528142 -601049 -165096 -460649 -264398 -562356 -900966 339775 27221 448402 -251342 902665 332780 -162072 500198 -900477 -946658 -728851 -579330 -493782 -90804 20613 452907 -364402 -716581 -710055 -739252 112501 -18643 488451 678823 390503 873167 -869739 -850516 -756515 34746 866699 -319252 380211 626805 -520301 466990 -656523 301090 -671279 -1001245 -835879 -1016823 382725 -292362 -4632 -648734 -317904 767320 -323379 -311507 -420067 -181313 878657 -611974 271366 -680285 429656 -683534 -533303 568259 -621193 -191768 -49760 -942870 -825409 -272029 -234608 -280483 -158540 -550377 368874 -829594 111769 762336 59081 -346185 237143 -250017 928907 943799 -585480 747609 -616461 641594 672371 -238082 228066 367572 49524 852381 782025 -294230 691662 809634 -784973 -71695 916631 -678273 -808474 -445021 35986 87516 740970 -318832 -852636 -711429 270095 405812 239685 78391 -45258 -76582 -342125 252288 -117217 381795 -596046 799620 -482670 307789 834625 -302600 977509 16156 -1035916 86281 432764 137025 204827 -582354 738871 -492457 747764 -46384 -81024 249286 556882 620417 -664842 455062 -1229 -600841 378525 822484 429517 680446 403788 631727 504381 654015 137813 +286573 76978 -78487 -119852 906143 970042 796699 52434 519153 -511570 161659 -394152 342290 -281411 -65539 -990357 409764 979651 794665 -803338 492122 -633552 -772556 767606 537356 -546918 -195000 42487 -772023 620105 -196018 -739978 511571 -763282 -51603 -705944 -285986 156934 938339 -554719 -145730 661793 767304 770409 399588 -183757 723141 -414546 137873 -52884 528253 611796 -97659 823256 687637 -687062 -117359 599337 1025936 -666021 -927334 -234672 138160 319617 63311 183619 618142 -695094 -554264 -876755 -802287 964314 -384675 -640991 -34454 181703 -847552 -207781 -929189 14221 -737218 457928 -331595 -94528 -945108 -918396 -528821 -606572 -195888 -364490 -265849 -583299 -829062 427216 101630 441830 -158279 952383 309386 -175776 508654 -867914 -822636 -774232 -583108 -450156 -28818 14691 503492 -330792 -706888 -698108 -653882 89279 57466 500287 684189 373415 922272 -933747 -874482 -615057 31834 896568 -354759 337294 789163 -466847 399289 -649898 282073 -606772 -1000931 -820773 -1128467 499034 -325117 -95517 -662773 -338661 826992 -323639 -271493 -406473 -302887 910569 -565417 254276 -658627 345265 -814978 -545548 480796 -603811 -176328 36263 -912230 -783641 -286518 -349985 -268894 -160215 -527633 348555 -864787 101867 844075 31903 -224302 47341 -297093 900802 943312 -714476 661149 -613949 702989 670723 -256192 224018 371154 32051 890238 811483 -266796 730538 739340 -810036 -96194 830154 -670977 -826934 -462386 51557 108283 620188 -311663 -944469 -641205 124402 401934 204011 8609 -108802 -40725 -332432 85166 -141698 365538 -633219 793271 -505095 362469 740805 -344408 885731 101292 -987126 113236 385831 92738 73609 -597995 854892 -502150 789726 81951 -53558 226150 572043 539074 -635620 495066 8999 -556074 314814 958667 373242 663433 411840 566373 394674 649315 132436 +302368 132049 -75968 -185769 922534 956924 772789 -18855 503999 -610423 191219 -515857 316610 -291129 -64782 -1000172 392242 909602 793388 -674895 492066 -625096 -712411 862564 547495 -474222 -265278 57859 -761141 580318 -124307 -709379 511807 -770862 -102350 -593105 -290357 268813 901860 -501554 -126886 809326 773832 703618 339837 -234021 749966 -392501 144118 -54697 515252 592446 -289475 808092 735153 -687377 -235927 601063 979387 -699629 -992118 -160218 109275 319945 -10274 177294 606714 -698304 -565400 -869514 -942775 1009717 -377571 -640772 -14740 208460 -893132 -135664 -929055 39041 -738938 397289 -255709 23352 -927053 -857324 -528404 -579919 -233067 -389363 -225776 -720153 -794575 482211 169877 478737 -251793 990033 282954 -185527 540785 -872507 -924980 -815452 -581488 -345633 -55781 -5308 565733 -258562 -714632 -672066 -491396 84719 82688 522136 663839 359413 915643 -991481 -803685 -540178 103308 919179 -389874 382249 806015 -435209 251198 -717023 318890 -446856 -981886 -814430 -1048616 617081 -375050 -125586 -662265 -252665 785290 -403256 -140255 -471111 -504951 938475 -569213 266483 -623383 344334 -880313 -522858 491819 -625098 -160372 128642 -878385 -790989 -307466 -495173 -281965 -160472 -531264 349552 -943189 -99111 898450 12248 -277270 55491 -294521 752568 938391 -747099 619377 -628945 739947 716863 -416341 236615 337483 33632 857789 886794 -262610 753691 681764 -839017 -74188 880723 -660020 -827327 -470040 78334 172452 577498 -311212 -1046613 -611730 24268 400157 225806 -53374 -162181 -98510 -377011 26394 -172611 316145 -642647 679583 -513928 417850 731770 -419738 783804 49936 -935917 223874 322300 30205 146261 -622965 996802 -500546 777852 90094 -28487 198954 575233 379948 -649212 561450 20663 -506595 257509 1073540 331467 676164 426584 551172 303978 699248 101252 +286751 142548 -117981 -231628 910271 952823 748557 -34811 489990 -664636 235576 -662712 276377 -262062 -114912 -1005048 391898 911912 788652 -543253 506477 -613360 -732164 941384 527490 -408485 -316975 52577 -700467 511475 -67810 -743555 522055 -761726 -155861 -542891 -300984 329409 935385 -476943 -119029 889401 768102 826307 399827 -287279 784650 -442481 135319 -86293 533229 723146 -440663 808654 718322 -673335 -302120 599892 931522 -720072 -818996 -185345 51611 351177 -69440 92642 591567 -649752 -589760 -864957 -979032 962056 -343329 -642032 -16844 215812 -939023 -153842 -904525 38965 -707975 406386 -207875 110589 -925870 -718529 -521216 -589624 -252336 -442551 -186905 -798653 -843064 602313 197554 468008 -415615 1020050 276375 -187342 539092 -854264 -1028535 -830272 -583059 -271929 -68533 -45004 625454 -206950 -759674 -621493 -391238 110597 94746 521946 630290 351601 955531 -1045171 -755866 -611893 123761 909763 -419048 372660 696173 -425394 162126 -895515 416485 -305392 -999274 -732851 -860346 670554 -455703 -123982 -665499 -148959 814485 -446879 -61382 -461521 -559316 955529 -568262 247687 -562261 437227 -881435 -510688 571949 -641221 -108146 139880 -872206 -829070 -312762 -573752 -297523 -161543 -508366 366496 -1021021 -190076 922254 -10225 -423504 236848 -242227 640093 928514 -679981 690766 -667768 721158 729429 -567519 219895 316831 9650 839744 944518 -317653 781582 699044 -858716 -16434 1054777 -632794 -835386 -519822 108623 227755 502476 -355289 -1140170 -553182 -85317 402751 279595 -78574 -183469 -114812 -417885 48226 -173697 238188 -704141 611837 -491180 459595 907293 -536050 669827 -95104 -842274 328821 278276 -21910 329752 -590969 943116 -493453 757103 76349 -27685 172263 560985 359446 -709188 619323 63145 -467584 203304 1014419 348883 672830 469830 512964 301113 778242 54764 +289400 96672 -112014 -214592 917240 944362 713821 4094 498421 -646991 260506 -574024 293260 -198692 -158567 -999180 385669 931313 789097 -525877 532879 -602122 -755011 953806 589689 -373841 -366866 46528 -623135 459327 -59519 -803022 496923 -764237 -148522 -584522 -295665 295892 950108 -485775 -86016 836790 732014 967019 552929 -367264 827936 -538286 154610 -102520 536824 878778 -368579 869432 754879 -681937 -273993 602098 854306 -775109 -613720 -272757 18962 358989 -98011 61011 575143 -626310 -625388 -889017 -859221 833204 -299535 -653022 32205 187254 -957765 -254230 -901164 3046 -669747 384972 -306242 103879 -947674 -636325 -523845 -535481 -266815 -531331 -149038 -707758 -908384 641720 143326 437538 -438005 1039623 280849 -194268 549103 -886927 -997174 -824992 -573644 -342077 -58696 -38997 668006 -122728 -759011 -591972 -380359 106047 64038 518991 583671 346113 951644 -1087075 -687309 -751514 175141 880073 -443978 356750 551939 -458428 246561 -976485 485242 -309880 -990583 -717667 -835560 551679 -463037 -66634 -664845 -89470 787327 -461947 -103101 -460569 -398860 965560 -561141 246453 -565916 548535 -800006 -510839 699574 -653696 -58427 62876 -897835 -897637 -321908 -541723 -322795 -160873 -518104 400780 -1064450 -170441 828096 -68500 -433199 371848 -175250 680958 921080 -593112 764050 -705866 733036 733766 -580335 250824 330045 8621 833515 978299 -381925 813847 785241 -871631 53508 1095907 -641213 -850091 -524247 135619 273293 555507 -397262 -1132751 -495421 -73009 399639 350338 38750 -143505 -74701 -497437 191200 -150044 136971 -724540 650217 -469573 454257 942994 -590890 661229 -154291 -814855 404652 331344 -30739 341368 -609410 839390 -473241 780938 481 -25216 146857 578246 517122 -734216 684210 50888 -492141 200175 874822 402210 681472 455867 536450 393738 836565 94721 +291476 79387 -74568 -133344 915368 990575 724584 79013 483756 -564361 237821 -420517 316500 -149266 -172738 -1011215 367416 921193 783895 -684246 567841 -585792 -721651 891776 573103 -382696 -385923 53301 -581960 438153 -106308 -841018 507371 -774150 -101997 -682430 -287321 198252 951822 -534982 -115834 681419 690599 1107573 538635 -431677 818242 -634193 149323 -101530 530130 943517 -175676 897777 749913 -683089 -170840 613505 774776 -775059 -655385 -376552 22700 380353 -88247 107687 556989 -589826 -663118 -885935 -675640 742230 -247172 -651267 30000 147032 -921526 -315540 -889803 27001 -635486 368839 -368323 65126 -954679 -637570 -549506 -559196 -256555 -476798 -85455 -573367 -915463 639990 34352 466738 -279698 1043607 224701 -197664 568268 -889794 -894541 -820584 -584077 -447259 -54047 13423 691445 -105237 -751418 -561190 -424004 78094 -25843 552599 541820 348539 955536 -1097394 -696600 -811711 213628 838005 -463463 360416 513653 -512198 365218 -903602 482961 -383987 -967307 -670836 -971363 425091 -452305 -7112 -678301 -156746 834252 -430513 -234196 -419347 -190265 955436 -502127 235642 -547638 610452 -709374 -504586 796071 -663117 -33238 -33946 -931790 -992414 -336802 -404446 -307959 -156698 -560735 439986 -1049432 21692 746494 -113754 -388650 305709 -135709 808804 911358 -517976 830001 -684617 727630 668061 -397569 247352 361770 387 821068 949090 -406165 783615 815375 -884629 105422 1022811 -643582 -859850 -527357 158514 264606 645166 -488022 -1081150 -503351 23733 398349 389550 115759 -47579 -61519 -540298 274276 -164282 59952 -771005 747199 -467319 418991 858446 -542651 671413 -71661 -888883 433257 406419 456 194609 -601019 759977 -499204 747024 -87529 -8886 119621 593029 611078 -729897 678580 66180 -513239 243884 763460 439843 683937 390490 566314 503387 897233 150308 +292060 75378 -122613 -122429 915196 994003 694970 161338 483263 -469422 186977 -365421 327978 -66805 -135260 -975699 371882 923537 783915 -773781 616173 -564310 -722363 797021 543259 -474239 -369287 19883 -593493 478647 -178149 -792598 517994 -775132 -46352 -765993 -277165 99112 947376 -577261 -137072 635077 656779 1099244 414832 -492106 786666 -676853 143830 -125058 532541 863074 -79804 908965 702128 -658039 -54727 622717 756929 -798279 -870175 -375795 27495 371235 -42178 179756 537338 -572648 -698834 -867694 -584743 765935 -196947 -670507 42497 126424 -878503 -255052 -879226 19685 -617133 343297 -377932 -42141 -957923 -741923 -534504 -525300 -230833 -388102 -29364 -574283 -873692 517681 16749 490037 -180770 1039177 242692 -178655 589798 -868350 -889384 -775643 -580239 -504729 -37867 31454 710442 -146504 -716862 -567877 -522541 96796 -63897 567710 500997 340817 939783 -1090245 -750887 -717481 259051 781029 -463987 373879 628816 -568068 486385 -838563 410192 -571297 -983222 -635012 -1150556 387785 -422633 22272 -685836 -217844 814956 -378584 -313545 -439571 -268205 940686 -504838 238286 -567045 568463 -725283 -513898 857583 -667105 -6869 -61660 -917899 -1066380 -345353 -271501 -324263 -157147 -561373 485172 -983183 120507 677508 -82504 -304197 106447 -147334 908510 901001 -488602 842178 -635580 681549 674201 -243382 277690 372939 -1313 835841 884151 -405314 791856 766455 -881919 116597 890274 -643771 -835189 -502428 191186 231195 727007 -549970 -985636 -481795 134289 398133 384432 164065 56648 -58915 -586178 332852 -172068 40843 -785494 821434 -491196 356351 789629 -474520 755155 50790 -956808 405473 440566 4009 91883 -568595 795582 -478996 761787 -47862 -7047 95738 603719 591115 -685626 643158 13666 -568673 317141 798082 415904 683194 395506 654824 544024 880646 151019 +306312 119557 -84620 -181247 904671 1019821 683564 216947 489589 -382164 164592 -492907 355989 -5709 -179475 -987016 346150 897611 776347 -713408 666104 -552113 -746423 691982 527545 -524977 -349449 4202 -658654 538970 -230407 -724731 531942 -807685 -21978 -742464 -269109 42120 939945 -582137 -121185 731573 623139 973161 333673 -507433 736880 -652923 152184 -120458 540283 694879 -237569 866660 645931 -663133 -2473 621776 817643 -785977 -989153 -333023 34541 412985 26703 177135 517034 -549394 -723511 -882166 -643467 872841 -144652 -666511 47896 124519 -842668 -166944 -862383 5387 -637468 348992 -335853 -130782 -929406 -885753 -555117 -504059 -202415 -354922 -12566 -659631 -810116 489069 72576 481101 -222844 1034646 254732 -183088 615541 -883328 -899031 -758378 -593526 -413893 -31182 12107 674332 -203821 -754474 -569303 -630413 122056 -73616 570711 470623 346416 881007 -1073356 -838304 -583783 318657 767150 -462569 370536 783259 -603549 448762 -671807 321636 -648372 -994893 -683776 -1085527 463142 -373915 52384 -676429 -325066 803452 -295798 -261851 -428527 -451130 914382 -496764 223022 -593499 458226 -795118 -509385 786670 -656823 22280 -380 -907822 -1130732 -329971 -229987 -321742 -161854 -575227 518962 -899220 75746 613708 -124389 -267790 15833 -203847 900356 888979 -549000 758080 -620724 643458 650005 -262099 296343 340984 -15588 845938 809285 -356766 779898 685429 -888193 83280 861512 -653872 -819805 -461274 200888 157489 750121 -608063 -884710 -478725 266250 394253 313576 112499 120860 -70127 -618241 270763 -156725 29751 -832115 776237 -506938 296303 757639 -339217 863280 103460 -1009318 309248 408577 54594 150403 -600384 957264 -492197 732608 37666 4777 70693 666255 451111 -651365 572081 1178 -624515 375264 962669 358732 676474 471863 685181 485546 815646 132847 +304304 120250 -77388 -233443 914935 1046789 662218 199143 498121 -373296 195901 -643412 367771 17624 -179988 -932053 379879 869229 780190 -528609 706487 -534760 -773296 671310 545111 -540645 -306487 -11544 -731802 604309 -231261 -745061 514690 -772662 -58052 -650646 -255805 78465 928772 -553452 -141868 868085 591086 831296 403827 -496419 725042 -568083 152631 -112271 531322 596399 -408632 814169 580476 -679030 -43060 626598 879470 -776816 -848176 -218732 62660 393668 98833 108959 497072 -487518 -733211 -890673 -787052 997495 -106102 -673927 48975 154968 -831702 -142650 -855402 29634 -664143 322432 -204204 -138255 -923671 -912773 -552791 -519852 -170197 -455819 16017 -794812 -805539 355185 178813 466285 -386815 993808 238962 -165742 619714 -850371 -1014324 -717722 -592180 -318273 -34167 -32997 641616 -288822 -758370 -568108 -717914 103499 -54857 584487 465047 354468 867721 -1015387 -864444 -544238 323957 765248 -453352 378229 828607 -610924 308687 -633545 272872 -625731 -1018313 -741341 -862608 607078 -318718 16463 -682948 -363043 827110 -298344 -137717 -411177 -581792 881480 -468298 204018 -638090 360509 -885356 -513973 680742 -654586 30194 93941 -865575 -1159686 -360978 -302901 -341132 -167738 -605996 545309 -841213 -82302 641372 -88826 -346918 139641 -270421 765423 878076 -700320 708357 -592181 630301 642933 -437836 307007 314676 -10164 884684 761276 -290046 778129 689335 -876047 19025 997999 -647909 -808991 -459920 238955 106158 651894 -649557 -814365 -531420 220216 404308 258478 26868 146865 -72907 -623773 96044 -171052 41887 -882914 677875 -521040 243010 826230 -315856 976030 17352 -1030092 193391 321412 82069 328667 -574338 985878 -500051 776009 134849 10688 51618 696329 340390 -664222 511739 -1601 -627523 384797 1054107 331959 683834 506918 752077 375152 761380 59154 +301038 123520 -88129 -205867 898709 1021053 632593 140838 514440 -434212 244226 -603177 366327 50988 -169405 -921277 379026 874450 779106 -477074 750252 -514762 -697611 718951 566793 -458122 -260419 -5664 -772862 607902 -179005 -768232 519068 -770377 -132903 -569336 -230724 174493 909351 -501964 -119449 879909 525276 743324 537345 -455904 779143 -459555 150205 -79237 544535 622562 -445060 795608 590352 -682972 -153680 622402 934989 -764654 -644641 -166244 84914 416501 154249 66904 477381 -524970 -726053 -895406 -947107 1009679 -83767 -687225 18190 191320 -862297 -224602 -847123 5829 -701846 339428 -256545 -100515 -935272 -830904 -574076 -497280 -163920 -541708 40044 -729016 -866462 341661 198451 454723 -433903 954421 239111 -174434 613362 -922779 -1010038 -678890 -588809 -287663 -17294 -46804 577116 -351185 -743667 -577751 -807906 101295 -18685 604083 479624 360132 848854 -954488 -841087 -646637 330791 793878 -434904 361246 722189 -589286 185207 -700449 290725 -494992 -1019604 -760207 -809856 661054 -249931 -28491 -697830 -270808 828659 -370257 -54644 -426130 -511647 849757 -434569 202289 -668799 338943 -930478 -520266 555255 -644581 30262 144233 -894316 -1146242 -373386 -444041 -360731 -173442 -558604 552470 -832289 -188369 693659 -57298 -428381 329665 -301921 643693 872565 -751070 670079 -612542 604603 654913 -576190 308117 328716 11625 847290 770679 -257020 741292 757877 -863868 -48511 1068909 -665331 -846427 -447139 252987 86125 578730 -649315 -826798 -543156 90578 396505 211402 -63525 149519 -52514 -582344 26201 -210713 84431 -897759 604628 -487413 177599 891141 -318558 1012592 -157346 -1004357 125153 297569 147405 346870 -601641 862197 -484824 776590 84258 12509 30161 736309 377393 -710750 473892 49915 -635134 381655 1027275 359059 670492 448583 840536 297704 683177 57382 +301451 116548 -107246 -134282 901317 1038359 653383 47541 526657 -528160 265381 -440773 345963 60911 -182530 -890803 381413 877305 775779 -650634 783984 -494541 -738969 812905 537445 -397195 -190037 -17304 -753966 603936 -106806 -827639 483687 -746016 -161284 -553008 -231305 283804 948094 -480091 -136911 748696 499301 753279 528560 -384569 808472 -401109 157431 -70748 541001 777389 -224893 809131 587762 -683855 -263324 633480 1015104 -726447 -609105 -178957 131723 435381 177183 116811 458725 -476335 -705486 -887020 -994045 919103 -78922 -677278 -17012 213435 -914321 -309803 -839519 18465 -719682 385977 -334656 -11452 -956131 -707233 -574133 -489552 -169915 -473470 70728 -557151 -915980 409887 120876 423649 -317788 918679 284910 -167992 655494 -929151 -970437 -695423 -582185 -391238 -2288 -13729 509538 -350331 -781566 -629371 -763164 98754 34257 574917 513261 373248 801949 -900095 -764300 -778418 314465 840049 -406811 327964 552043 -530521 169840 -888473 386058 -328499 -963068 -820554 -961134 585041 -252183 -70585 -699902 -154661 790720 -470269 -106977 -434860 -292646 826413 -425129 209048 -710169 404241 -808242 -536848 476082 -611814 22588 101450 -930365 -1085427 -375937 -557482 -358013 -171122 -556849 539012 -883313 -135462 814362 -34966 -440706 358749 -280536 670480 867812 -731417 685782 -643530 557257 691339 -555555 320878 367519 21019 812375 811033 -265483 718545 822287 -850367 -90679 1006296 -656111 -856043 -469129 271734 102651 501397 -610308 -925735 -599650 -61587 397883 217411 -64328 100715 -69664 -527789 74104 -183556 137387 -909932 668897 -464399 108953 906806 -434966 972661 -137440 -921649 94388 320999 158947 196636 -601893 753535 -485517 790046 7426 -4027 14698 712673 518130 -746811 483750 86230 -592665 317602 879139 418152 674164 421705 889836 313380 655378 104986 +301599 68782 -140632 -137984 898402 979791 671541 -16123 517896 -622264 233476 -357149 295186 57342 -159647 -880141 397043 838591 776648 -805059 798714 -483328 -734360 915579 558050 -362377 -127684 17198 -684719 522522 -59460 -836395 514649 -742954 -141714 -628838 -211656 327999 931835 -492593 -119425 642082 478436 907139 452628 -313416 807880 -395804 163952 -45486 543464 923613 -62045 870218 568703 -678388 -304513 639839 1019094 -700526 -840444 -307922 170145 413816 161413 181715 441588 -472000 -673835 -868982 -860794 788632 -93089 -670423 7782 210090 -970913 -287808 -840046 -14777 -738674 386394 -381418 67479 -956913 -616910 -586761 -504122 -187272 -412177 68972 -535952 -914199 458801 34418 437123 -175756 868028 308819 -165621 626163 -897695 -858369 -696166 -600222 -460339 -48709 27643 449390 -379858 -732569 -671729 -673731 108918 75290 590408 554474 395914 832472 -847142 -674225 -806231 270476 884694 -372331 353610 476703 -477677 312112 -978787 477723 -289874 -1003143 -800120 -1112059 442506 -284503 -123726 -712265 -46355 858720 -469634 -239268 -486676 -195986 811155 -427125 197038 -709473 520545 -721174 -522676 483203 -594201 17797 -1494 -947512 -1012098 -379610 -562836 -360451 -174560 -546061 508236 -965858 -15365 933746 9616 -376427 195643 -220338 806926 861337 -636352 762988 -654378 532830 720789 -371230 349797 371392 39770 807101 866635 -311796 675584 787926 -830578 -89680 898740 -699947 -850612 -492562 283921 158248 526326 -585776 -1018252 -669025 -71990 398033 265359 1386 9927 -64281 -466770 134048 -228301 209869 -927546 757759 -463005 71630 812276 -540215 893071 -50104 -862905 103894 386140 237313 87088 -643096 813751 -492872 765272 -65352 13031 2084 726080 602684 -730676 541001 92622 -576955 236924 774779 442363 674638 416723 899519 413945 655163 105147 +290175 91550 -100880 -181729 892823 970916 635795 -37559 516180 -661264 184818 -479113 242114 51056 -188164 -846344 406537 826524 778440 -739696 808940 -466572 -757208 957484 534586 -393185 -72899 35410 -613403 459215 -65951 -758401 530258 -741598 -81436 -717290 -176487 284773 904972 -535129 -158661 675135 481639 1038988 355315 -238604 744148 -460965 160306 -31937 553166 928548 -151128 901059 575331 -692890 -248012 635669 974344 -686586 -984520 -360437 178632 437523 111157 179862 426700 -495050 -636216 -881519 -681582 749299 -120961 -671955 -29394 182579 -959025 -198077 -836625 -34077 -710300 414668 -302778 95002 -942225 -648710 -592716 -493092 -219761 -408026 7115 -702049 -858594 536306 -4334 473022 -182123 821700 320065 -183659 626939 -858129 -915522 -706648 -602553 -511198 -26624 13737 427481 -309789 -689753 -691125 -545230 110030 87476 593784 605703 405305 858390 -803390 -686148 -693770 242492 910894 -343652 334498 604754 -439173 445090 -958367 498555 -423177 -1002958 -847369 -1085584 356305 -323030 -129077 -707703 -83004 792727 -438990 -312471 -463420 -324054 807213 -391444 206799 -704082 599964 -722835 -523820 577333 -596157 -20608 -64027 -926066 -924128 -385978 -454307 -358950 -173357 -549896 468719 -1039736 113648 904496 39911 -256938 30959 -157351 924118 860572 -534119 807801 -681345 532651 730300 -231779 369423 344366 58648 811485 934256 -372262 636704 704288 -795523 -44564 821647 -695023 -845250 -513237 301917 217831 658265 -495557 -1112984 -719157 5161 397980 318572 98166 -59112 -75841 -403687 292621 -225201 306381 -915655 821454 -483544 91641 747041 -578092 794978 95885 -840882 172211 428286 279497 170599 -609205 941102 -492951 776726 -104324 -10482 -10748 684646 541266 -674304 610619 70835 -515510 199187 805763 405170 664386 447836 911201 519581 721626 114027 +303747 143730 -93234 -242026 895924 958053 657178 4805 491724 -626528 165951 -609178 278818 29751 -147973 -835997 426921 834579 769925 -573471 801372 -459017 -729373 928932 547365 -462714 -33122 8810 -576824 440699 -122970 -729415 533429 -808343 -31530 -776079 -158151 177420 945222 -578255 -108520 800155 494401 1088867 398975 -195845 749023 -559024 153188 -26289 551010 804349 -344050 900169 605397 -687908 -131494 648937 892659 -686624 -900785 -360968 184944 418021 40870 108319 414095 -499031 -600769 -878675 -585345 815409 -164826 -674725 -10809 146038 -901647 -154684 -835644 -10516 -677317 420251 -286359 78611 -929488 -782149 -611202 -420870 -258616 -440877 -8045 -775453 -805278 627926 51859 514916 -357543 772344 352749 -195259 603092 -896134 -995228 -712175 -600797 -377250 1380 -2171 433791 -242474 -732496 -714500 -461952 94686 47315 582351 648206 419228 887630 -774825 -755738 -562044 184555 923521 -305421 330061 769785 -427532 432122 -839913 435803 -585478 -981731 -783660 -945689 443553 -375511 -69081 -713316 -195293 825483 -335370 -264870 -472267 -578071 816595 -385323 212823 -678356 592128 -751386 -556720 714986 -630887 -50615 -21253 -911930 -841613 -420944 -315933 -352402 -177059 -551368 430237 -1066034 82585 868499 74444 -270402 50105 -132545 893962 873505 -497171 830107 -682647 482546 740757 -268689 374467 316735 73089 826839 970955 -409115 613703 676786 -762795 23289 907587 -691402 -824014 -534556 296997 262912 670359 -418612 -1144875 -744196 132323 400828 373986 154740 -132146 -85715 -375357 348057 -205112 333449 -922199 777374 -509019 119304 744794 -550558 685592 87115 -829191 277065 409713 272590 324997 -655131 950637 -472782 765157 63125 -16380 -16506 707056 386759 -630324 672456 21218 -497280 211168 964797 346741 672652 493304 884934 541034 808360 72143 +302700 155873 -82003 -205523 893313 968843 638386 80041 498846 -538435 195177 -649087 339452 -19215 -126755 -830916 417465 851394 768914 -480233 776934 -454425 -754930 843609 551281 -559800 -13017 41439 -604414 465842 -195261 -739803 523178 -796155 -30113 -725403 -142948 73780 924492 -582572 -142391 893128 472716 1036697 505332 -164811 752251 -653435 154931 -25273 543086 643965 -434217 878902 677401 -703190 -31466 639791 819159 -612179 -658207 -322524 176935 403018 -30226 55086 404381 -533987 -571977 -907287 -632867 943585 -218034 -646432 -47546 126009 -860556 -172203 -836223 54187 -641599 392801 -226660 -18036 -937447 -908908 -601262 -453190 -281540 -517788 -46295 -724070 -811814 630546 170184 492238 -439345 730991 348464 -208087 605099 -867646 -1023026 -743603 -594697 -311664 20915 -49224 459957 -156431 -802376 -711237 -377473 111054 -12876 576958 676534 444073 914943 -778528 -829747 -557261 136625 898072 -266660 304071 840955 -451297 351058 -694036 344802 -659316 -1005237 -735490 -821338 565400 -420662 25136 -713943 -299636 783826 -297764 -135162 -437457 -563696 836295 -412777 187132 -658281 497986 -891637 -514743 809503 -632059 -87872 61901 -867713 -796524 -405090 -231355 -345600 -176619 -539608 387170 -1034349 -64243 777726 91585 -355027 243945 -163450 753851 864194 -538194 763561 -681158 496400 687174 -453991 394436 324943 69090 847510 929088 -409096 593983 743114 -730946 86549 1065881 -687964 -810267 -520620 308747 273168 718095 -367312 -1110767 -797860 245578 399442 373690 101233 -191238 -75659 -348082 287811 -232776 382229 -910404 671662 -517302 157464 853450 -451663 657180 -59088 -932513 381645 341323 269475 351513 -623295 866855 -473745 768263 113166 -23889 -17349 678132 367243 -642666 687702 -25475 -483365 261872 1067138 332669 682882 442776 877157 468132 878727 62586 +293761 96184 -63084 -131610 884400 995209 685319 159802 492794 -448890 241128 -477385 336984 -42173 -98101 -817519 384831 839224 768508 -628942 755456 -462520 -724026 734240 555309 -537241 -17578 41250 -670849 530001 -236095 -805136 473312 -764772 -84645 -608256 -130942 40251 934547 -550764 -121102 829289 467501 878406 569647 -174678 780398 -678362 158930 -48494 543376 578161 -328551 815114 694739 -704424 -3673 645585 777831 -601228 -600580 -202321 130055 395049 -81730 109763 398027 -555958 -557103 -888904 -827326 1020688 -271722 -645945 -3935 127968 -841274 -252457 -839308 15742 -622450 421781 -238381 -118667 -951236 -917008 -595886 -464497 -260865 -480628 -113864 -584499 -875790 615674 176177 483941 -342166 712196 379629 -185755 563787 -907974 -949713 -785710 -597391 -299963 -8716 -39498 511478 -121667 -793289 -710128 -399207 105585 -63845 554236 685257 451005 979689 -804943 -869277 -677872 96386 854032 -229425 309639 728192 -496622 212990 -624686 272009 -618503 -1010202 -694218 -906846 644689 -461176 47445 -728892 -356109 838725 -354959 -55069 -445537 -376015 866383 -391543 197409 -637157 382926 -902284 -487539 842835 -645057 -122728 136324 -879599 -784188 -437604 -263240 -341745 -182178 -549815 364531 -960878 -165424 710506 66375 -456818 386314 -227463 645370 857813 -649946 707295 -636626 506533 675646 -594833 395530 364583 82838 864146 895774 -354222 530426 809405 -738197 117451 1068359 -696927 -830571 -482219 307385 237668 717560 -318946 -1025566 -826602 237068 402310 339263 38397 -187612 -38049 -324404 121919 -220898 331568 -866775 601277 -487837 230123 959235 -366273 683575 -150959 -1004835 435272 289003 251075 203422 -636197 733927 -492999 794684 68260 -45764 -14381 667000 439003 -699437 636980 33163 -512365 333322 1011465 373909 673816 378536 792452 355837 895565 114753 +284465 74970 -70715 -128697 891029 957823 700516 216087 491266 -372768 267436 -381299 373342 -139973 -105308 -836626 381137 801451 768008 -746393 705668 -460487 -732165 688924 526088 -473410 -36889 30359 -744670 583978 -222505 -851093 513851 -794571 -155618 -535978 -102834 100848 930796 -503009 -144243 691388 537171 737432 486348 -216182 751549 -638222 157272 -64023 556144 658493 -156177 797218 734932 -693911 -71805 639641 790692 -573136 -768135 -151764 108880 389339 -99359 177583 394789 -569236 -555530 -917547 -953674 990289 -322041 -623695 21661 162136 -855408 -294505 -853726 19427 -623668 442065 -353089 -156059 -961083 -797334 -599752 -448195 -232745 -398102 -177860 -550929 -928650 508636 140558 437512 -182627 677185 365680 -176089 560955 -866626 -819175 -795084 -602161 -397732 2921 -6608 560965 -103687 -731027 -670015 -490046 154244 -82647 561343 672859 469457 986846 -855108 -854220 -797794 52924 810991 -208846 320415 559552 -550236 193043 -687489 280539 -492837 -1024664 -668443 -1101282 593082 -466916 34501 -727547 -331082 814170 -394187 -105941 -423782 -231429 897754 -387624 198624 -569919 330125 -809642 -502983 786919 -657089 -154847 123575 -931225 -811896 -425001 -391825 -318369 -184244 -553264 347155 -881746 -156965 604118 90301 -439498 303317 -286841 668841 855491 -760434 661288 -618068 528056 652854 -539042 429121 374945 70743 840035 835859 -299140 514509 802992 -720158 103505 940218 -678976 -860603 -467822 307915 179074 639912 -316984 -921771 -835545 108735 399498 256621 -72209 -100649 -35014 -366140 -6145 -241575 326127 -843763 666665 -464663 301083 876410 -317028 712110 -136394 -1042922 431187 301241 229127 90135 -620684 793267 -478971 778747 8753 -51663 -9611 678573 596832 -735924 586819 38341 -573394 379156 900718 426000 666248 407679 731866 290674 849405 157555 +288306 79309 -110882 -191904 879169 1001185 725897 195478 484744 -387615 229299 -425811 404270 -210073 -79206 -834415 378371 817465 771683 -749941 663090 -462828 -707177 692868 497946 -415959 -81500 55061 -774374 630564 -160365 -790637 530430 -749949 -156080 -555640 -104972 200723 940172 -477090 -169774 630936 612288 728125 347395 -296565 797665 -550388 153265 -100532 563927 833192 -47740 830866 723746 -742101 -191161 645389 840946 -565446 -969813 -202617 75570 350896 -77467 189733 394728 -597200 -571016 -898644 -957703 877136 -357837 -635620 25846 200420 -911353 -253426 -861539 18513 -653358 390173 -379684 -114986 -946396 -679949 -589799 -463658 -195912 -381124 -212373 -668547 -896676 405181 69176 489605 -187033 679167 367311 -184259 552508 -876586 -878215 -803747 -606338 -495316 -6848 31141 634661 -163375 -719853 -652077 -634130 115856 -52510 569330 640083 481824 951869 -909719 -749468 -783985 37635 772426 -192332 291857 481482 -598222 251252 -873419 357957 -333700 -982506 -648044 -1145252 473895 -413191 -4483 -742945 -187278 842102 -500097 -240128 -422813 -258975 928821 -377394 187828 -570593 375981 -727692 -518993 671537 -690137 -192560 32355 -948393 -861005 -431766 -523438 -321717 -179765 -553649 352141 -832579 -1576 629415 49832 -313440 78732 -301793 821966 894496 -739872 672545 -601979 526550 659837 -363537 427920 342985 59179 814894 755976 -258531 472345 730966 -720462 50185 839536 -677226 -867254 -448575 312241 118040 583338 -317228 -816997 -874482 -4384 405991 214866 -72267 -25397 -76101 -425081 50246 -255263 224015 -801782 764029 -465173 351296 784304 -356185 813459 13539 -1001630 352011 366058 134990 150400 -582373 912086 -485695 788033 -65157 -95205 -1233 607104 620173 -740945 521394 91871 -613253 386183 789874 437218 665658 464269 665811 330505 772071 116396 +284818 110239 -75881 -235737 875221 998049 727577 134862 491482 -447798 190069 -589713 376664 -219513 -67093 -839009 419282 797695 769412 -631431 616601 -473845 -715036 762709 511918 -354794 -157237 35055 -747435 599442 -89525 -724799 503617 -761560 -125248 -666280 -85673 302026 914472 -492184 -136173 727622 641772 794295 351721 -357613 816289 -453514 152291 -111422 553249 943906 -259545 865964 728815 -722287 -285882 645921 936596 -551152 -927059 -293061 37690 345776 -23208 105301 398125 -630726 -598487 -935655 -813084 763473 -382310 -630884 -8417 212462 -956983 -136425 -866965 -19678 -702540 391414 -317974 -34467 -930971 -619867 -587000 -449636 -167032 -453365 -238254 -803370 -842632 311586 1448 490491 -319414 672104 391740 -171582 507745 -866785 -1046129 -821696 -607947 -484448 34184 26294 678081 -255202 -704472 -619727 -675227 106087 45328 547301 598991 491889 952205 -976448 -689365 -658562 46387 755932 -187540 258045 592354 -616038 397993 -964911 449238 -311516 -983820 -667446 -992886 368246 -359551 -45989 -737541 -113900 837035 -455657 -314537 -447552 -463234 951833 -407774 177240 -564403 490059 -739437 -501176 543948 -651065 -194708 -42997 -926382 -942526 -443873 -569914 -304766 -183633 -575188 378547 -844539 122641 704873 19477 -249164 962 -263517 927677 892516 -673121 760435 -654480 586941 655936 -249063 458953 317847 49785 813003 753352 -261092 437890 675557 -707221 -19737 895014 -662807 -870385 -432982 286111 99199 517806 -354036 -805433 -836119 -98711 404297 205259 -16721 70352 -99559 -489523 144092 -239434 168088 -784287 829933 -484672 423956 759078 -458623 892613 115572 -940244 242165 422245 85422 319289 -609837 966036 -487672 775681 -81001 -110971 12744 575968 505830 -701408 489709 48558 -662391 370473 803859 387731 667326 493530 592584 434515 692251 86189 +299184 150840 -98703 -199903 876798 1024768 779880 51477 496454 -558382 170182 -627220 338279 -298559 -55304 -874071 416003 803485 760242 -498398 573424 -494059 -733758 874366 506077 -399530 -209126 19939 -671578 542888 -54712 -733598 530761 -772311 -49923 -749983 -76420 325981 913410 -538366 -96681 862271 676759 973230 480046 -431267 783395 -389703 143667 -109551 551697 903629 -385393 919375 723482 -716889 -295884 660098 990558 -538447 -731879 -378424 13988 333992 48862 68248 404858 -677068 -635160 -905532 -683292 745069 -386987 -643338 18300 206154 -958882 -161063 -881024 17199 -729633 358886 -220034 63023 -924938 -674181 -577125 -424960 -167297 -499059 -220427 -751670 -802358 337929 21766 486295 -445136 697407 364867 -157670 503102 -897176 -982929 -814761 -608632 -397541 11647 -20359 706919 -337565 -758022 -587554 -769124 92893 75849 537184 551826 499770 908445 -1031652 -677942 -545793 46314 777061 -181543 279118 758555 -590397 488437 -1012871 493439 -387825 -996703 -700278 -828138 417336 -313276 -121175 -750459 -104111 841613 -406102 -256297 -416422 -596885 963403 -399076 195743 -556472 585011 -749802 -540453 480180 -638653 -183263 -55859 -887417 -1035925 -456382 -502113 -315189 -182732 -560376 414568 -905227 94985 757734 3795 -305146 164113 -196011 897943 911183 -551595 808327 -642940 608317 682626 -295867 456470 323539 28761 831466 791970 -300947 444376 711907 -724517 -76828 1042958 -671225 -816000 -465575 274475 89383 576166 -419259 -874099 -797903 -49880 405591 237710 69372 119146 -81294 -542255 265882 -204906 90830 -725430 756412 -511653 464648 816010 -534136 1008344 13507 -845609 166026 429075 31281 334079 -635824 874245 -492457 728550 33996 -143864 28699 564308 372029 -638236 466433 48187 -634205 289937 932943 337832 669641 478181 551798 528516 661873 69503 +291273 102569 -102851 -132089 870943 1033134 781824 -20318 514803 -647273 201207 -488344 303816 -302407 -14073 -894221 459466 806254 759664 -583256 530851 -512851 -718892 958653 493964 -500400 -281465 30811 -603647 478646 -77238 -788852 510507 -777783 -28758 -749600 -83361 268369 932225 -578422 -163118 886999 719997 1064941 584317 -473488 724014 -399601 153132 -103735 551944 756455 -400371 917535 696493 -735822 -216577 653475 1005358 -572052 -584542 -395996 23450 305243 118199 104050 414904 -685769 -672384 -904524 -586220 855236 -377866 -646019 20151 173563 -922425 -242529 -897596 15556 -734975 374448 -229214 99733 -943163 -805989 -568266 -474867 -162507 -569109 -245539 -593278 -818088 448095 115709 438564 -369715 732555 359251 -157373 497458 -890950 -931010 -791757 -598189 -286342 -37823 -40517 696163 -377399 -799657 -562705 -767356 99222 104533 501817 510241 503711 921975 -1076139 -757785 -578815 79557 812034 -196135 251336 824383 -545899 417019 -878448 465229 -590230 -976094 -764431 -862116 549354 -255407 -137271 -743821 -180056 830324 -360524 -130219 -419428 -481744 960681 -447717 204381 -587261 602275 -885645 -525121 501399 -621985 -204177 36087 -863920 -1108460 -459079 -362656 -286546 -187036 -526312 452919 -988832 -99341 859532 -60334 -416248 345508 -142274 765445 918357 -479732 815690 -675415 638233 727183 -473594 464403 360619 17683 860895 868995 -354438 400436 797047 -739254 -95960 1110160 -650672 -795675 -501929 252755 139254 651606 -517117 -971376 -750336 106803 410049 306764 118497 174880 -20049 -589179 333704 -276637 37259 -708890 669219 -512683 477035 936857 -581371 1013019 -148997 -825048 100761 360278 -11805 203363 -647144 765731 -498060 750929 113789 -173861 49736 555969 395756 -647470 508009 -9237 -620469 219890 1059742 337257 671670 377437 529790 535585 653064 97472 +297996 86730 -90217 -115270 863364 1024650 794907 -39341 515327 -670691 245172 -373611 281234 -315875 3271 -936999 376420 817449 755291 -747168 510027 -521236 -729767 953699 482083 -539455 -330865 8095 -580728 442776 -142105 -843930 503449 -756210 -48871 -664991 -90442 153612 941410 -583003 -122955 758966 745221 1104861 504365 -506099 712881 -485277 146156 -107742 560986 608662 -214393 868113 664473 -753239 -98555 635359 1006276 -611888 -740666 -295281 25927 304998 164842 179971 427395 -693637 -704718 -886636 -665001 966369 -344186 -660449 26850 140159 -872513 -303474 -898074 17718 -708953 346767 -321462 78450 -959381 -911280 -572678 -428772 -191579 -435891 -230613 -560404 -892078 515524 181449 437675 -204608 755714 323905 -162414 507807 -880064 -855945 -759697 -612717 -280024 -18282 -15367 658259 -366141 -746695 -549243 -734230 111697 68057 513897 480038 501056 905965 -1094450 -834983 -712645 124580 866013 -214616 240461 741027 -486954 223452 -709046 364617 -655198 -973274 -801160 -1061215 651351 -244705 -95379 -750910 -287865 844344 -301135 -57429 -423427 -292860 948333 -433641 212247 -620205 527027 -927488 -508187 595124 -600985 -177197 117939 -896956 -1152106 -466752 -241698 -286505 -195451 -541752 493763 -1052650 -184489 912757 -63375 -434296 366750 -137911 651995 919531 -503067 789112 -677500 704473 712439 -604049 473960 376641 -9141 867356 928402 -409214 425204 818132 -760057 -69328 993825 -640177 -826397 -521804 224556 205989 721088 -592701 -1060357 -738868 233643 408898 361792 112973 156493 -71147 -620899 248299 -230868 42395 -645321 607298 -491794 438556 963019 -534971 943380 -135333 -850178 107578 296787 12758 87497 -602174 780331 -509587 773413 121008 -153402 68427 534972 516860 -696573 589541 -16520 -586261 201847 1028555 388595 672430 401371 519338 449810 710206 110649 +308355 119106 -66638 -188016 876357 1011150 811731 4212 513323 -619289 264727 -421629 283871 -275660 -15537 -933300 384140 832580 767490 -783446 491236 -548480 -737714 900780 516313 -563950 -371388 -18092 -611146 457155 -209773 -825128 510478 -790481 -100231 -569637 -107461 59726 943943 -548444 -118250 645344 800311 971474 381470 -499417 772921 -588850 136291 -99713 544816 598102 -84775 814981 621724 -744145 -13216 631313 957120 -621222 -940067 -192702 55112 288542 176596 194173 442666 -682950 -726696 -919758 -827829 1022733 -304581 -665555 15962 120059 -847200 -279086 -915942 8200 -676481 334695 -358540 -4839 -957791 -910786 -557560 -454506 -220681 -371148 -171993 -661403 -921793 599910 164715 473338 -169803 809561 352966 -182558 500114 -858372 -919476 -727518 -617589 -389399 -14029 1108 628988 -325071 -708493 -564614 -572127 88594 8283 492895 464408 492672 838678 -1104605 -872546 -807769 156013 899557 -245735 244256 589068 -444985 192091 -627084 293757 -610378 -1006370 -802874 -1143379 630734 -249311 -45965 -743182 -350076 814260 -343860 -112439 -456472 -160762 924748 -411366 213477 -668386 407827 -843215 -532891 719489 -598523 -134629 143971 -934743 -1151855 -467233 -238033 -288362 -191184 -510269 532141 -1064025 -140873 903105 -87668 -404297 179460 -183339 674060 918957 -596798 706417 -659710 700651 690442 -532323 494793 347701 -173 877729 980851 -408145 442586 753686 -781065 -8911 875336 -638318 -852943 -519169 214504 253654 746063 -618343 -1127837 -674975 207310 410591 381393 54077 85578 -95484 -609884 166840 -256761 -3187 -627104 663241 -463185 397267 857296 -470800 852791 -64486 -927558 125229 300368 -5298 145795 -638340 862346 -482833 780666 17223 -181131 94723 573361 618492 -726820 657316 -12692 -482148 219386 881307 437695 674451 425463 548911 337367 768753 95983 +297007 100305 -101127 -241918 869637 1014496 816129 80728 531002 -514787 233540 -608601 298934 -254732 35162 -963103 404175 819654 760276 -647180 497059 -563029 -720623 792764 497328 -447350 -384558 -5761 -687033 513634 -238693 -767228 522790 -745200 -158111 -544353 -108714 44964 960149 -497815 -140190 666666 770949 819845 387567 -461123 810894 -662876 135832 -76529 538725 710913 -176569 811844 584888 -752776 -15375 632049 868628 -637700 -960037 -159531 76754 281373 149341 123059 459934 -663009 -732151 -874977 -942294 928473 -252234 -675419 14188 133976 -829175 -180522 -923316 -26855 -647241 385350 -330191 -92548 -935779 -772967 -543780 -430215 -258102 -424808 -151366 -788541 -884422 657623 85431 479058 -292480 859396 307466 -181350 509623 -877691 -1020099 -701337 -618221 -483149 22408 25219 561518 -234897 -700929 -569355 -453919 113122 -58493 472583 474585 492964 804350 -1074180 -847490 -760516 218073 915953 -275827 219163 490679 -424957 217113 -679452 274553 -441062 -980540 -857294 -1014467 510815 -321102 15802 -761807 -327508 794205 -447293 -241992 -467596 -357890 895037 -442058 198854 -683449 339243 -691433 -491651 831986 -618293 -109549 74021 -938251 -1121291 -486844 -333664 -278310 -188827 -503541 548161 -1016468 -3365 806345 -96709 -292102 25058 -250700 797506 940106 -712274 659064 -650336 709613 683095 -325029 516405 313290 -6648 829890 976542 -364187 471239 683111 -812207 60427 847081 -646568 -852676 -506145 186834 274321 700845 -649422 -1130876 -588979 144622 407115 361278 -34204 2074 -89492 -580123 44820 -295540 49620 -612694 776325 -465175 325700 761327 -334919 748745 69000 -1009393 228156 356613 -13325 309552 -626191 980459 -479085 799622 -70736 -226269 115300 535816 556275 -733557 682652 39053 -474215 265443 765798 431352 676289 516053 608973 287247 837925 84551 +303347 140186 -91491 -199397 865989 962224 835894 166637 512301 -415042 189252 -636217 342679 -257438 -4576 -976216 375321 842230 757105 -470277 502764 -579051 -736220 703103 483155 -372134 -381861 20194 -757059 564431 -209790 -706169 523798 -781478 -156243 -621784 -117751 114151 937419 -474864 -146059 799211 744475 746431 480818 -407100 802787 -678827 144819 -64415 540723 874589 -371501 816140 554508 -751365 -104469 616914 796608 -662044 -768749 -212688 124043 282227 90943 59863 478535 -677223 -721546 -909336 -949044 821070 -199599 -678877 -12672 161459 -885129 -132150 -942003 -2185 -625705 397826 -272634 -146015 -920738 -659752 -547164 -477799 -271039 -509227 -119423 -752162 -832247 613229 13723 503306 -426211 894506 280024 -198271 541006 -878289 -1029577 -704441 -621995 -465172 -20225 -9211 498748 -161673 -762293 -602386 -403552 92026 -51622 460022 501317 484914 868986 -1044331 -762083 -621317 266205 906548 -310308 215612 557954 -441492 348023 -779499 322543 -324838 -997976 -828662 -832156 383219 -400793 51698 -762956 -234628 810962 -473579 -314949 -487657 -552887 863301 -465925 220205 -704354 355319 -744726 -495317 848003 -601157 -82664 -20056 -919852 -1055712 -495553 -478440 -279039 -197584 -554884 548544 -938398 111594 724301 -89002 -280929 67391 -297728 924094 958204 -763163 639860 -638227 723268 685219 -216934 517689 321964 -16867 802473 912687 -304303 449136 692347 -844988 108860 1009995 -632350 -859330 -503286 164847 251225 582647 -641489 -1066603 -558896 -37923 409040 293054 -110016 -90391 -83112 -531073 10862 -271986 93149 -624316 813675 -490455 266357 765450 -291496 658900 98000 -1040393 328563 424000 19760 352724 -608687 961242 -457959 762483 -43182 -229807 146416 524051 420406 -698022 670358 50344 -491838 318721 799137 373744 657448 467416 642803 343598 885360 89093 +299828 110948 -76851 -136578 872735 973840 827032 211622 496169 -369021 166585 -519915 366317 -203997 44963 -1019678 400687 823803 751843 -575231 530578 -597038 -713870 683078 482408 -363541 -346106 2451 -771449 619321 -141887 -752099 486806 -812330 -96332 -719418 -144705 221222 934287 -491054 -85978 901889 720139 754074 585884 -318881 797830 -622443 133660 -47538 540542 945977 -428219 864586 558463 -778355 -224976 624734 783190 -711515 -600324 -320611 153564 290460 18110 88336 498522 -651061 -697648 -910923 -828991 739283 -152187 -677985 6054 200263 -942679 -186818 -952876 -3905 -622218 420730 -185075 -132501 -932546 -621412 -529150 -463920 -262228 -525601 -45756 -639268 -799816 580375 25207 482597 -397062 939599 244985 -193379 541283 -868395 -952436 -683210 -611197 -350752 -49031 -46748 451913 -136762 -762694 -643076 -411369 100717 -61869 443881 540072 466289 846930 -977290 -688609 -538454 285262 868933 -344975 205505 734417 -480653 455775 -929184 424808 -301354 -1002518 -756143 -836398 371045 -469538 35394 -776038 -162589 830844 -467579 -252900 -442037 -546635 835545 -483128 219694 -726849 453851 -733292 -484483 785203 -673249 -25722 -62506 -870250 -965260 -489181 -566262 -273127 -193209 -571195 528290 -861773 62687 641443 -60952 -324729 271193 -294389 904013 958043 -711551 738559 -608862 694650 656981 -313316 509994 355965 -1196 818830 836022 -257454 488307 776184 -877981 115490 1093908 -652395 -836625 -468687 141189 190465 505647 -597382 -940984 -524664 -84730 404275 248245 -38767 -135276 -98505 -469647 112065 -225234 178780 -613452 746716 -516039 203252 838493 -334722 625692 -45692 -1018107 398220 427181 109190 195536 -620522 817175 -452777 755778 16460 -251814 168655 598974 351128 -648243 609487 51868 -504919 382765 937041 334410 662301 406539 714262 457299 877506 113163 +290663 66983 -100862 -119976 867440 982156 836130 196669 492496 -396143 193230 -377344 354123 -157192 9300 -1014527 386850 854557 748336 -757024 564476 -612380 -742057 727060 461055 -429608 -303283 30531 -734077 605380 -77135 -800706 554693 -772553 -47058 -760331 -151385 310712 945958 -539694 -151646 831027 709737 856871 537166 -247781 748974 -530500 138018 -33657 536203 861001 -293959 911186 583279 -782967 -297265 619144 786181 -736424 -690094 -397291 173474 279438 -48737 175595 518545 -618090 -663898 -929864 -668548 781273 -111933 -693884 -21680 218240 -957797 -283537 -953414 32031 -652156 428573 -251010 -47994 -953794 -699564 -531091 -466348 -230517 -437461 -15748 -546258 -843900 442479 107157 449123 -248833 982620 253990 -184275 550018 -895153 -824365 -693329 -616918 -274204 -51913 -40112 431026 -132401 -755487 -678965 -451354 90229 -6500 432467 591052 451802 905665 -922109 -681811 -604933 328088 822414 -384708 241050 857177 -542694 456385 -993544 494358 -409055 -1016616 -722505 -1022485 529078 -455640 -28629 -770998 -87189 822713 -394203 -122265 -454023 -329424 816105 -511075 222403 -673923 560594 -831071 -500585 654533 -652484 -6043 -7825 -880503 -878870 -510706 -541151 -293413 -192562 -546912 499074 -830333 -75889 622274 -41434 -440842 376059 -242351 762472 955759 -585489 793742 -603009 683467 668872 -482477 517642 371493 21762 844952 790476 -265151 531012 822109 -868847 77692 1076999 -664977 -801944 -445356 107209 139461 518423 -534858 -854592 -485584 -49011 404181 201520 55673 -200027 -54671 -433905 222203 -242310 273117 -598363 653050 -511626 125939 962400 -440579 708258 -163544 -942672 428868 362423 128447 86945 -621139 770340 -468159 746496 94743 -290511 199592 608876 412916 -654519 557285 50815 -554217 396222 1066865 348884 676305 382199 790192 538528 801629 115630 +302018 75576 -112867 -181195 860913 954342 804122 138998 496983 -488941 247884 -416252 396139 -90161 1497 -988362 401437 851136 755044 -766555 606448 -631629 -717760 829052 494805 -512549 -236340 41270 -661175 552362 -55634 -820610 502544 -774477 -32637 -713368 -170654 319495 958942 -580210 -148581 695459 654764 1048998 412403 -191230 744139 -431032 126015 -50875 520354 701376 -76343 898804 621582 -786590 -280968 612459 862702 -770197 -924776 -366237 184946 283813 -91173 188890 538985 -582449 -627229 -920689 -571427 887213 -85446 -668561 -32087 201778 -947865 -285384 -968160 28971 -690726 405013 -314977 50608 -956958 -845906 -519882 -465124 -202978 -379537 39693 -621875 -905457 382565 188468 454530 -162905 1011394 249146 -192707 578232 -868850 -901306 -751659 -612726 -305480 -24309 4618 429562 -151233 -753550 -707035 -587417 86129 30992 398714 632412 441334 904153 -849458 -746759 -745545 323039 788943 -415363 171175 722569 -594873 298078 -937835 473870 -573698 -1006738 -665531 -1154267 643023 -453211 -103911 -783226 -159879 803032 -309799 -55486 -430997 -222473 807068 -544421 246150 -671458 611617 -905702 -478133 525744 -662958 25398 88849 -889216 -805050 -508108 -420545 -305194 -197475 -563443 455947 -856235 -168377 640308 7490 -449783 266447 -174796 645243 957391 -491022 797983 -651117 697206 657849 -599605 523440 350658 12327 871999 752907 -292619 580653 782555 -901067 11235 908210 -664757 -806245 -453321 83730 94952 607555 -480624 -807219 -470598 61867 404730 233089 121581 -151533 -86100 -360702 330911 -276385 328219 -637050 607787 -491849 93184 857229 -551137 787521 -124239 -861485 417739 292823 213964 158463 -628382 864080 -491156 738452 127659 -290000 225117 646375 563006 -675681 489813 2228 -616083 359067 1024624 404146 670328 408674 863600 525321 724157 141964 +298377 106796 -98501 -238033 867054 972434 793414 46392 488886 -585423 265436 -582324 325369 -59838 21248 -980829 412201 904603 753250 -671299 656545 -633792 -698706 923262 483083 -554818 -178765 66891 -591086 495229 -91119 -780112 496482 -760612 -75321 -614243 -181966 252042 940726 -581464 -116015 628744 608648 1088851 375198 -165691 763196 -385450 121447 -46790 521838 585886 -123721 861185 678826 -812285 -181586 614436 933626 -758898 -981615 -288573 182892 294989 -96566 120591 558145 -560316 -591943 -894487 -620907 1006593 -79400 -674977 -5707 167805 -896910 -219473 -968331 16739 -733405 388786 -361897 110448 -942203 -927980 -526342 -458604 -172042 -437544 68486 -764751 -925428 346735 165302 453066 -255570 1034107 242648 -156577 611058 -870407 -980491 -730258 -629781 -452986 -57701 29935 471454 -227914 -674790 -719583 -693432 107432 94330 388217 667385 423531 934753 -808513 -818449 -811418 311690 765476 -437958 180339 579970 -609626 188765 -756173 397234 -643646 -1022586 -641075 -1053506 643246 -395333 -128396 -776523 -268251 851780 -306692 -121325 -429123 -262445 811703 -571326 241868 -635505 554066 -861114 -506355 468363 -672392 26704 146525 -929138 -789479 -516873 -280748 -310691 -197330 -583369 412605 -927379 -147646 715591 16576 -328545 79299 -135338 675018 954897 -492996 756596 -704366 653617 684463 -506829 535548 318869 26247 871075 781258 -357733 635972 701072 -892780 -54475 823726 -688641 -829898 -468041 60846 91231 706633 -406863 -860477 -489665 186559 405091 285585 130526 -89181 -88359 -331902 309283 -252073 335149 -619997 674703 -462741 81950 804354 -570463 877879 -12272 -823834 294700 297816 273899 331258 -613926 977630 -499805 725498 48099 -297982 248167 683003 625091 -722366 474973 -41089 -636877 283544 903816 438837 687866 459849 909416 427207 673562 87804 +292999 131754 -60924 -199617 867809 1016576 798178 -18845 484196 -657211 236771 -640121 330734 1660 8484 -966177 368932 889956 748986 -540677 705176 -635382 -709601 967352 440048 -512632 -109307 53668 -578009 444962 -160982 -721714 532528 -782439 -134631 -543115 -218559 135035 965720 -546361 -142731 729375 524760 1040460 452849 -177731 798049 -418099 130026 -65652 537099 619490 -305958 830490 718670 -816617 -65472 616272 998088 -780984 -804851 -171360 168419 327041 -63949 53835 576497 -521940 -565623 -921906 -824388 1029640 -89913 -667878 27080 138859 -861179 -133702 -978129 -17623 -728229 417658 -313482 103957 -929047 -868675 -533439 -488819 -164199 -525418 73458 -764879 -875097 375459 104586 480962 -410433 1044824 245878 -157058 636584 -889338 -983677 -789609 -620487 -524978 -41065 17024 509867 -326846 -727062 -723388 -804463 81403 88096 365421 687216 413503 969716 -779952 -866199 -727437 293751 772271 -458127 156091 513077 -606689 188162 -626795 312548 -636911 -991177 -652305 -862260 520528 -330477 -110853 -794637 -300322 858989 -349524 -253867 -455553 -516234 830223 -566316 259567 -566844 440859 -779626 -513274 486606 -672679 47760 110475 -932512 -784623 -508312 -223473 -315610 -203316 -534836 376116 -1007443 27776 807558 35770 -242014 26534 -147704 816749 949860 -566443 729730 -672476 652204 692274 -311557 506690 322628 43503 834639 805413 -402073 654202 674485 -886416 -92943 893327 -706152 -859156 -506381 33190 126944 759198 -352468 -940316 -522000 238874 401589 354258 66487 -4611 -88397 -346597 176200 -270875 375433 -679964 784793 -467890 86570 705769 -537073 966504 100491 -835490 194862 351939 247466 349088 -621453 925465 -496310 741968 -70954 -336621 270765 690982 531908 -723619 510202 -6887 -658962 228297 779460 416832 681674 454706 923499 323836 632871 50483 +290673 138036 -86559 -146303 874756 1006010 764628 -39408 506597 -655380 181962 -549247 288299 20002 -1019 -947299 397852 920796 747774 -504855 748592 -633195 -725080 923643 485225 -445447 -64194 50733 -625160 447485 -221847 -722362 511113 -779192 -165767 -574072 -226473 47547 968752 -497902 -118557 862645 498434 923999 576394 -218190 788482 -501898 125904 -88988 528529 767103 -462668 793047 734710 -794319 -1403 604422 1018946 -768274 -619525 -169698 121748 343855 -2308 94945 593142 -523636 -554373 -893134 -933426 910018 -120396 -668686 26592 120835 -829077 -153089 -979062 -5573 -718379 403485 -249932 -1230 -925034 -746733 -533257 -532487 -165181 -538593 54677 -668596 -807413 491153 41956 503957 -423974 1037839 241071 -171201 635267 -883199 -931105 -805921 -627455 -457165 -39556 -15932 593090 -362313 -790694 -708096 -773876 113361 43839 356344 681202 400823 995229 -776011 -863566 -590832 229134 800983 -466903 149461 583970 -564174 317628 -622675 273118 -475581 -1003691 -682732 -805250 408120 -285692 -79952 -783968 -332258 818919 -422463 -314043 -398936 -602262 854767 -617954 262440 -581830 346678 -710252 -570847 604651 -618126 34915 13514 -904617 -837468 -503843 -295649 -326642 -202931 -523048 352989 -1060226 115819 888372 55516 -329387 169104 -205355 924430 930101 -705562 654169 -712509 587883 707141 -219627 519024 354754 63838 822154 901153 -410152 712907 745118 -882113 -87169 1066107 -691719 -861237 -527573 9869 191252 721198 -314197 -1025021 -528840 157704 396983 399488 -16798 73041 -40624 -388227 37321 -248842 338153 -680729 812364 -497365 156504 776486 -449136 1022947 35872 -920104 107577 410165 273554 200496 -576692 792820 -502561 791256 -81372 -331804 289687 704433 355082 -699571 575973 20847 -637893 197958 781948 360065 691761 419747 901359 291532 666934 121408 +307629 73055 -64965 -118909 877144 1032241 753769 -1511 509979 -592032 168974 -386915 289462 46253 -47758 -925197 370903 922886 749492 -704987 780197 -631521 -710406 831304 466285 -356884 -27292 26858 -700470 499528 -235923 -788141 499072 -773863 -129485 -665059 -248501 50378 946403 -475448 -135557 875966 472863 781444 536495 -276586 796499 -602924 115595 -116287 535392 919445 -408430 812593 744018 -806277 -34101 607068 1003366 -770524 -627355 -221333 99416 341232 71131 181915 607208 -522371 -557814 -918286 -959517 775671 -160030 -655890 25062 140971 -855731 -237570 -978164 17959 -680945 391988 -239206 -102078 -946205 -632790 -541567 -503613 -186193 -471392 46134 -513244 -807857 563357 26218 438978 -273084 1031720 259030 -177229 646294 -864082 -864103 -819998 -638166 -305914 -68336 -45026 649366 -352710 -757556 -684149 -711956 96166 2241 342961 655589 377938 945055 -786068 -766277 -540869 177299 840549 -468841 162774 740527 -502062 448562 -753731 313202 -355917 -1023741 -742036 -998883 385712 -298166 -9912 -797701 -292285 851172 -448974 -247748 -436853 -465502 885956 -602017 267050 -556731 339666 -763423 -504899 721237 -608592 18016 -49478 -871804 -918202 -521137 -430383 -338334 -209877 -514878 348648 -1057035 62395 907763 98209 -386022 346875 -271286 894505 937247 -755131 628925 -651377 581382 721489 -327831 516078 375997 52793 802051 984267 -375260 756454 815710 -864442 -38574 1097683 -715412 -866977 -544497 -7751 243005 646452 -304175 -1117321 -579070 39312 397626 364998 -72291 163447 -50209 -424233 19131 -239617 305177 -735170 736740 -510127 197346 881033 -339554 950045 -87586 -1020199 76186 419516 252230 67042 -610893 747521 -494221 752485 -26410 -344523 309913 746909 365193 -641708 643834 48774 -596291 215252 933592 332329 678380 377784 894810 361722 718586 109909 +294195 84624 -80697 -178836 874032 1025288 699646 75341 502736 -486243 194905 -394601 299816 56085 -30414 -902834 375395 982866 745733 -802747 797413 -624902 -719945 756752 447064 -357752 -16028 21800 -760946 565383 -194702 -840986 521414 -761508 -74273 -743042 -266113 131176 965306 -495394 -160887 771342 490910 707213 398410 -351278 777293 -674994 110632 -131022 526019 934517 -204035 861339 722124 -824859 -143127 592686 927010 -737029 -876382 -326970 51385 349671 135711 187434 618990 -486879 -576766 -917978 -847115 742898 -212341 -651042 23851 169992 -907453 -302188 -979775 36559 -649276 379610 -309291 -159208 -960404 -631735 -545194 -531283 -225352 -383649 3577 -578835 -863286 648390 74751 456701 -158009 1004257 302976 -177260 642330 -889066 -866143 -827609 -641570 -255029 -69079 -32425 688832 -320608 -726116 -640974 -600935 107088 -60244 328643 615358 366880 900569 -836749 -686902 -638385 153200 891406 -460356 128936 830883 -448373 480846 -906283 405840 -296847 -1011030 -787526 -1150823 481807 -253476 33138 -804426 -193877 827451 -432063 -121521 -448748 -261905 916308 -595071 288950 -561049 419071 -823266 -516818 832466 -602525 -37013 -38278 -885259 -995383 -512132 -547808 -359273 -209322 -518526 360663 -996155 -77975 864364 99138 -470849 349491 -302778 758881 917366 -723577 684005 -599496 534170 712255 -500446 521862 349766 81167 823651 981235 -316740 750825 797946 -839581 30637 957597 -697711 -828089 -516128 -23272 274987 549277 -326730 -1145323 -627389 -67161 395486 314217 -41197 156759 -80624 -498048 119393 -218353 209069 -771313 646896 -513583 267593 903352 -309280 871480 -132474 -1022614 101545 368466 260514 159059 -634124 836755 -484537 743005 85958 -360437 323393 705122 512050 -627727 670029 99440 -526709 276896 1068287 360020 671645 436522 824015 475527 812574 161009 +283135 97705 -113721 -236610 885989 1036716 650645 159905 525869 -399134 252803 -539095 270547 27858 -53551 -887236 413044 965609 743134 -714837 806295 -609530 -754436 692520 436630 -426443 -28937 2093 -769826 610965 -122738 -843749 519874 -780038 -36712 -745513 -279286 246046 952062 -541250 -113185 645006 468360 823758 341736 -421198 726106 -670415 115400 -128358 521667 816442 -61225 918063 725441 -804280 -254464 592581 846018 -732215 -1010952 -388989 42469 368885 172812 120135 627907 -466513 -608812 -901170 -673859 810604 -266550 -635106 6963 207535 -950694 -275691 -977229 34282 -625981 344310 -370309 -143134 -951902 -709734 -549817 -535004 -251445 -384467 -60266 -766290 -916549 641864 141771 466099 -232369 967404 316831 -205090 634667 -871971 -1009596 -800083 -623614 -370784 -65633 19473 709443 -271807 -723517 -641506 -504982 93242 -89002 317088 572131 363570 898895 -892376 -677065 -769931 107103 911161 -437738 115850 776483 -427319 379777 -984616 474883 -434024 -998045 -859377 -1097210 628688 -298384 71149 -788200 -71335 836788 -398514 -56108 -454394 -198234 942942 -590677 273466 -596830 536240 -888588 -513369 826510 -585514 -50786 58214 -905235 -1081492 -517568 -563607 -346585 -211694 -492072 381564 -915435 -175106 752517 64051 -393071 158752 -280848 639132 908018 -629067 766090 -620256 510146 686359 -594984 512788 317074 70346 842390 936855 -263358 811617 718379 -818250 91284 831046 -700859 -801505 -494609 -36789 257784 502462 -389990 -1085436 -668744 -95468 399184 256455 47381 124766 -78103 -534039 240893 -262793 102324 -813217 617556 -487001 369073 876540 -369377 796103 -70701 -1011830 178684 295734 230921 322625 -625383 931780 -473851 769907 131229 -361797 334415 738231 611773 -675368 671446 48975 -480775 343296 1030407 418753 682741 514850 767947 543135 861890 88943 +293559 139415 -65263 -211584 883213 1005887 693136 203056 498949 -373786 263984 -649449 328102 19521 -112110 -859261 402085 972377 743207 -537912 804274 -598921 -740175 704093 471776 -510728 -58130 -13316 -721219 612029 -66309 -761061 511168 -775130 -47621 -656478 -289493 318149 901684 -579616 -178398 668348 443997 985661 452103 -473545 753195 -602365 114628 -95118 539416 645505 -227257 930261 660368 -800648 -303801 592860 780280 -708091 -868857 -350597 21899 371244 172650 66012 633491 -507444 -644905 -901968 -629533 941754 -316567 -635803 19916 218901 -963662 -175030 -962476 -222 -621278 352164 -349097 -102930 -936637 -851766 -556194 -549929 -267434 -489491 -80126 -796338 -912650 576355 198512 471809 -405109 928707 313621 -197152 633501 -857597 -1034486 -799667 -628805 -458213 -49929 21074 699004 -177034 -700434 -596032 -435002 102308 -39165 280842 521413 341963 856144 -951875 -731097 -806515 29644 915419 -411201 64527 589008 -433896 216425 -936456 481814 -569483 -1014994 -875758 -896317 658878 -329163 31840 -802938 -88655 880691 -331676 -122619 -460089 -369584 958671 -646881 293575 -642099 607341 -856886 -479276 762538 -589801 -87476 136685 -924935 -1141177 -505217 -467649 -357917 -210286 -545464 427298 -846776 -133731 715057 45154 -331314 11978 -218262 672629 898125 -540596 858425 -602991 483767 652100 -480349 486232 318495 67907 853378 862372 -245927 802365 667427 -796193 118214 903078 -699105 -839448 -454203 -42034 213446 547130 -467515 -1002361 -727873 33651 398803 204871 115004 53149 -95118 -588880 326542 -228345 39628 -827510 690850 -465465 385420 786037 -445650 670150 53742 -946074 297948 284318 134597 343711 -605301 978623 -475989 786045 88271 -380452 344554 679212 573737 -723812 654273 -9496 -487385 380822 894560 436988 676433 465198 690855 514115 884583 72613 +307088 126484 -139304 -138776 876168 963967 656270 196681 504464 -410850 228657 -588509 390110 -8222 -94703 -858632 429551 998062 740921 -512883 783576 -579521 -700487 770189 474714 -546430 -118270 7838 -642830 569180 -60080 -720173 510702 -761846 -91244 -582646 -295647 318315 963212 -579996 -102371 799757 479827 1083799 542491 -509901 752085 -503437 118188 -91076 530732 578812 -394382 878327 618585 -801518 -259575 589333 770426 -655653 -631314 -236990 20235 424021 134304 91906 635934 -525713 -682776 -915576 -633491 1019776 -357941 -635169 18282 198202 -940781 -118407 -958736 -32781 -660023 358459 -304679 25851 -926306 -938463 -571453 -550481 -248615 -548004 -157546 -667481 -854217 467188 145156 442450 -443461 875947 323168 -193500 599817 -870485 -921743 -726472 -635626 -500920 -88888 511 663295 -120861 -785032 -581870 -406016 102863 27196 279390 486915 347182 841229 -1015337 -824395 -700260 42828 885429 -374911 72685 505270 -473654 167362 -806413 427868 -654461 -970748 -794197 -817649 550482 -440589 -44456 -814641 -189358 856594 -340563 -256743 -476223 -565512 963323 -670043 297285 -647948 581146 -780810 -504934 649651 -588681 -118588 137075 -945008 -1163149 -508171 -314562 -347863 -219594 -548278 468949 -831555 6653 611905 46253 -244060 88637 -156251 814153 896126 -496349 756847 -598675 499006 669765 -297040 481391 356242 55973 874138 795783 -289476 811558 713004 -770897 98766 1028642 -675102 -837927 -442748 -51164 147762 647587 -528466 -901009 -739505 218111 398100 224226 122829 -28591 -50870 -606418 330424 -231192 10832 -879070 806531 -461869 449442 755097 -538574 629578 121813 -872938 384801 336075 120908 213268 -596451 854508 -471394 760798 -66796 -369056 342559 701990 412993 -742254 550984 28088 -509447 395092 765045 406534 670542 410756 609578 404957 856656 103757 +317280 84051 -83547 -116287 882015 968340 642238 138204 508494 -512679 179765 -410765 345588 -76479 -114517 -838868 409043 1006846 739894 -702685 753474 -560730 -706460 879083 486943 -541152 -159314 -14383 -590062 501124 -107294 -759997 541550 -756274 -145402 -533747 -291667 227232 950385 -543587 -149545 896861 540671 1090944 544040 -504082 780466 -419976 113576 -84282 551221 658752 -392045 828243 598316 -824410 -147100 584311 808396 -647642 -637955 -154531 34971 397813 70188 165858 634861 -552107 -711003 -924126 -803201 966698 -380209 -658400 5062 160575 -865088 -172330 -940805 -14054 -697305 347753 -242753 106575 -937614 -845458 -584577 -593675 -230552 -456718 -184237 -517121 -802911 394116 28819 429427 -292046 834233 347349 -191050 607378 -851176 -873590 -669918 -649657 -431079 -36640 -37786 605360 -112598 -775309 -563840 -457331 106900 56863 270601 463361 336365 823129 -1057025 -879686 -565295 45759 846051 -345184 101066 545041 -527197 248468 -660116 320306 -655468 -997905 -756905 -940774 430300 -433261 -76853 -814122 -269411 853829 -365814 -309145 -431615 -550461 956286 -687108 299572 -707426 475887 -712614 -532221 519394 -624936 -162456 41995 -883153 -1139917 -518066 -238251 -344451 -212410 -564557 508232 -873203 97697 611232 -19679 -328536 285746 -133556 919066 878181 -547821 698686 -671316 492810 666377 -218389 480765 376580 42795 823007 763886 -355099 808057 798281 -752733 42273 1083091 -680674 -864113 -475996 -58149 101889 709693 -598724 -810380 -803141 253310 397228 257763 83307 -103656 -77651 -639583 198349 -254134 4999 -903447 806335 -489174 461510 860510 -567691 690029 -31093 -810463 434316 416850 65341 83047 -618143 757699 -488395 759541 -120033 -350194 347517 650046 320850 -718603 496716 7761 -546705 342958 799864 346028 680272 352866 583148 310185 782406 146568 +292857 57065 -70310 -188332 890972 955053 652300 50632 471493 -600329 175029 -348884 376047 -132209 -100439 -822923 426182 1032388 740722 -784246 714954 -535050 -751111 938208 480471 -425971 -225770 2462 -587907 447725 -179839 -824791 524005 -773942 -157706 -603579 -301021 113504 935588 -498869 -147210 839149 529684 993829 434139 -466709 790367 -387855 115521 -53338 552787 820974 -270742 801559 589587 -848445 -38606 591176 892029 -609844 -811757 -170502 69025 414119 -3685 191835 630373 -561687 -728989 -941452 -956947 854599 -390185 -652771 -39642 131845 -837221 -271615 -940807 12210 -723444 402526 -266171 97384 -953359 -740381 -589811 -549970 -206439 -363332 -223293 -588642 -821330 329205 31162 443102 -167154 780915 368208 -179318 584483 -881432 -897497 -692484 -634082 -317160 -73617 -33566 543439 -146922 -741029 -566329 -527933 130411 94016 279234 470134 343771 822115 -1096479 -844509 -552814 29399 802742 -306330 67790 710266 -576613 373001 -614047 266243 -465879 -975142 -720456 -1152371 378501 -463263 -135815 -819311 -337793 854507 -433275 -247145 -434261 -343104 934956 -654366 306774 -729171 368885 -731492 -477896 475145 -655944 -187778 -44890 -870250 -1077159 -527393 -254019 -351001 -213158 -606294 535987 -950479 54544 676492 -62040 -419616 391913 -164020 894113 868206 -677561 672724 -700260 494069 668097 -352074 456545 350997 21563 818420 761349 -403370 791899 816229 -730047 -27206 974117 -651420 -846972 -481781 -56132 88214 742103 -644725 -829928 -845094 229273 399217 344075 -30373 -171600 -63541 -595421 87271 -232494 65666 -919862 731474 -506925 426803 923833 -546226 729556 -163370 -842985 450924 430555 -339 150116 -626821 810544 -497597 746913 -49414 -354051 341763 656532 398536 -650248 470278 -5101 -606251 276271 963163 333666 671456 454590 548034 298885 706565 140365 +299995 141801 -84368 -227130 892002 989832 615269 -16157 494472 -666705 199132 -495117 347896 -177550 -121323 -823693 403938 999094 731843 -707476 669743 -531515 -753044 957276 511888 -379840 -287196 35158 -631648 431266 -230840 -834085 493731 -780423 -107217 -717960 -278333 45449 941644 -477776 -159948 695249 614016 822321 324351 -400711 756016 -440344 102831 -20520 538155 934986 -82070 815487 562030 -842037 -1981 597027 965926 -556269 -986383 -248932 105687 425380 -64850 123814 622821 -608473 -730014 -918540 -956261 755237 -378133 -667121 -24767 125445 -844983 -309126 -919451 15226 -736006 396282 -318273 38397 -962466 -619148 -584811 -575533 -174996 -356655 -253443 -728967 -867031 341760 18416 470073 -210329 746102 356486 -171883 559154 -834999 -986748 -680641 -635091 -248185 -76783 -13074 498077 -222845 -701904 -589768 -658511 102177 56635 262738 490940 351942 866868 -1104566 -772332 -668345 87597 768218 -270676 73397 832643 -612822 480588 -747084 297911 -351598 -1031580 -658810 -1119272 458913 -456383 -109709 -840974 -296133 864531 -502739 -112859 -403945 -202346 907809 -652651 319508 -711780 334401 -820657 -495875 506889 -664417 -196798 -52864 -878538 -1000605 -514523 -381551 -353880 -213010 -556316 550523 -1026537 -85111 782435 -71482 -416571 246737 -229416 755039 871945 -738268 632986 -707367 556261 645279 -537235 446480 319653 8054 789026 803868 -418421 791659 743977 -717710 -80696 881618 -648441 -817869 -518731 -52057 119107 695080 -656378 -889197 -862066 79902 401980 381145 -92034 -182002 -79904 -527091 1278 -215506 84956 -913809 641394 -509679 377051 924843 -451783 859585 -139228 -903958 341607 389073 -20956 310107 -611188 929052 -504449 783740 90030 -343872 331003 588720 544072 -652378 512307 31892 -652606 223296 1046812 374863 674637 506645 511025 379044 668240 81423 +304158 141007 -53326 -209134 899088 973789 646846 -37303 484487 -647692 250451 -632997 300791 -227392 -185862 -836750 382893 1026570 735857 -533273 625577 -505055 -731447 892333 470783 -376238 -335858 40802 -712250 486770 -230189 -786217 492311 -805613 -50205 -762945 -274352 62478 948221 -495542 -132273 634141 660018 718301 405019 -332299 759934 -527340 96832 -41434 541913 907657 -156259 864349 554488 -814434 -60483 603672 1016698 -567455 -915288 -348319 156410 420487 -96848 67006 612135 -641414 -715989 -897223 -846230 757749 -351709 -670855 -10015 139686 -894876 -222510 -908577 11688 -718300 411281 -377686 -59368 -939624 -630869 -597483 -635423 -166036 -484053 -238965 -814592 -930238 434108 115325 471014 -354831 703867 395672 -169631 522388 -877028 -1052988 -674298 -640333 -346103 -26378 26070 446081 -277162 -717528 -592828 -757059 106501 14576 236580 526304 355811 879855 -1083710 -707436 -795456 110030 764327 -243354 47483 788947 -606814 380210 -879363 378258 -325208 -993522 -668152 -914862 608896 -404960 -74176 -832616 -200941 845292 -453695 -61023 -396173 -269849 876722 -653173 304481 -687639 395037 -871076 -552535 624054 -668747 -200035 19235 -913814 -921696 -506733 -519076 -335425 -214103 -520959 546857 -1064652 -187962 871399 -100124 -373747 59300 -287355 651980 874905 -733797 691857 -660257 557708 727255 -607837 441443 318268 -283 841962 900826 -378346 757929 681144 -719962 -95270 829196 -633572 -803444 -518038 -45026 167861 605694 -630284 -1004571 -828181 -46619 404944 381713 -62855 -150628 -121938 -477307 74655 -185996 139312 -928550 630728 -494073 353010 796099 -341838 933281 -15594 -990335 226420 318418 -40909 339039 -608170 983230 -501593 755622 87690 -353011 322698 616349 631645 -646089 550417 67142 -663255 212277 1034298 428096 679458 453151 549149 494769 676110 85082 +299334 135962 -91932 -132994 900978 1007683 634616 1849 492066 -568885 262817 -603823 252402 -276993 -144903 -838806 388326 999928 735832 -508744 574317 -491536 -713365 789536 472578 -427986 -372865 49299 -767413 551848 -177714 -719204 517813 -780689 -16219 -727776 -278952 151726 920015 -543293 -154683 725712 731188 735463 537491 -253920 745582 -621041 101035 -29467 527785 759688 -325898 903313 576094 -813029 -179221 602970 1006915 -571898 -656622 -400174 174465 427753 -90803 82670 598467 -641724 -690574 -892391 -682215 869927 -312272 -679953 -21846 176211 -936300 -136880 -901554 -66819 -676027 406963 -389725 -135292 -925665 -741376 -595088 -585320 -160723 -524510 -251817 -718188 -904121 539994 171063 514742 -429274 682460 375380 -169826 522523 -902186 -963189 -692525 -646265 -483564 -82724 33405 431932 -351489 -737443 -628232 -767200 116045 -39863 255381 575562 366921 903262 -1053947 -687554 -791010 146417 790210 -215723 15433 603474 -571791 284143 -1006665 461862 -419524 -985549 -660924 -808742 663637 -338358 -4554 -842930 -86970 861986 -355005 -127489 -407205 -471788 846290 -654435 325596 -672961 509491 -854828 -543723 743464 -649827 -206990 111696 -947859 -840789 -508680 -573306 -330269 -225273 -516205 523262 -1045470 -133283 887774 -82720 -244393 30844 -301050 675365 863046 -649061 766267 -648329 578835 716079 -456532 420656 353899 -1746 863637 936058 -309858 735873 695565 -723485 -64190 978552 -636231 -808545 -528948 -36443 223813 544448 -567019 -1126268 -830339 -80115 407515 332681 25286 -89315 -83135 -418212 216888 -178846 226511 -923947 698644 -465665 255103 714855 -282241 1003464 119442 -1030640 156153 294242 -26816 210531 -617438 893000 -502859 818456 49348 -325663 306672 596509 548655 -716633 637675 48397 -640346 229826 911024 435927 672707 418241 571787 546784 703866 72201 +286148 102034 -89487 -124131 906927 1038944 648012 82663 497308 -462144 229753 -422468 285733 -285445 -177205 -881980 466221 1026841 730680 -651537 536994 -478243 -701908 700351 502392 -508230 -392433 59850 -766129 602742 -104928 -739277 502735 -809104 -57517 -631349 -257260 261254 933724 -577781 -128393 865261 720021 874066 563310 -188292 762263 -678312 104187 -54354 552930 617627 -465680 913803 637560 -866325 -278721 604411 969344 -545028 -592056 -323628 182869 424286 -47713 169348 582888 -672145 -654140 -908904 -581194 980618 -259925 -682620 -6755 208610 -963887 -145812 -892322 212 -647524 431603 -283658 -155156 -929631 -873652 -605026 -614868 -188216 -523651 -209394 -548838 -838923 587261 133722 456988 -339575 678953 366635 -169248 511814 -918666 -851879 -711920 -648148 -492908 -34728 -12449 459822 -373125 -780284 -678274 -763679 129551 -84965 268152 618027 386492 959536 -994246 -715866 -660087 221035 823398 -198418 41291 475053 -518866 171541 -981490 497826 -598680 -963951 -698612 -918373 571405 -299173 32740 -839367 -102257 856575 -328299 -255819 -427171 -574555 822043 -662478 315068 -643654 592105 -791530 -520637 838754 -659314 -169940 140655 -935189 -798457 -516045 -509547 -319453 -219740 -509469 486906 -975283 17304 901550 -106816 -257330 181206 -262579 804264 851805 -526977 823346 -632003 610804 710630 -302935 399093 377169 -19166 866400 965343 -266797 687534 779547 -727021 -1223 1103522 -660586 -838671 -494327 -20594 263827 497696 -515059 -1129329 -777389 -14019 403710 269493 109571 24111 -56225 -365368 347334 -188788 287728 -884241 804603 -465565 218617 816067 -349319 983169 39769 -1007134 78933 334870 39583 79014 -661167 762753 -463380 721795 -52186 -310305 288569 557189 380081 -751456 676725 38317 -584673 288954 798042 388101 670752 391040 613422 498459 765324 110110 +305031 87124 -97030 -185575 907823 1029844 681895 161654 521611 -379639 182048 -367025 330715 -292234 -173892 -862954 386152 1078481 728177 -797706 514841 -466515 -710669 675658 526041 -539021 -363339 39019 -711963 618234 -59132 -794223 491354 -769839 -111013 -553794 -240851 324092 955067 -580828 -127302 880935 749005 1024108 437925 -172197 768289 -660593 106327 -72926 542659 597625 -337177 887316 676042 -862566 -298699 614551 908109 -559983 -770958 -230005 188021 401011 19679 186131 564861 -692218 -617651 -907610 -665712 1006264 -204567 -685492 10586 214102 -939135 -253791 -880445 -8764 -618483 435464 -188174 -82338 -945489 -917603 -607784 -636738 -221206 -404823 -164391 -587803 -799373 633822 60312 422150 -196122 681126 372706 -190156 481786 -886435 -875191 -754204 -642468 -387641 -9321 -31132 471610 -354647 -737009 -705488 -638364 119380 -86057 252982 661519 395182 958273 -936214 -810542 -549109 253501 873290 -188477 22711 530663 -466027 197492 -825909 443499 -642137 -1001675 -726675 -1108339 457122 -251934 48861 -844519 -150291 845515 -333985 -317734 -466221 -457779 807643 -646591 323875 -607764 596757 -713386 -523548 847242 -624666 -158718 84688 -886887 -794883 -520452 -375642 -292411 -222148 -546954 441238 -892214 130847 824199 -51904 -345877 379064 -194696 919759 849313 -499813 761758 -598982 681946 701077 -207902 396945 352230 -3683 868362 953755 -255328 663961 816824 -740749 66915 1111284 -672572 -847923 -464570 -6240 271966 571940 -436313 -1126069 -752337 133356 404794 213406 135755 90643 -62440 -326162 317946 -185311 349189 -870106 805496 -482060 135802 932629 -431844 928012 -81256 -950674 88942 393279 40200 154769 -610057 798429 -483936 701400 -112416 -304337 269255 538163 335106 -730981 685945 -27207 -522113 357481 816850 337485 668999 401171 686527 386870 851497 104502 +297407 88161 -92123 -231177 899506 1039927 697570 212201 530740 -372013 168173 -477930 342094 -315317 -172493 -907690 402626 1045494 732208 -732173 495729 -454078 -689942 718708 522883 -525768 -337817 40900 -629052 576958 -67166 -829227 520911 -787658 -157060 -566104 -229982 296742 956493 -542652 -122512 767142 762190 1115837 338791 -170659 814002 -584315 105543 -100995 546233 713447 -130515 820187 716541 -835310 -228678 610433 804295 -586277 -971736 -183680 181311 400384 92601 128656 546132 -690444 -583627 -913199 -814095 925111 -155816 -683847 -9602 191584 -898577 -327076 -864701 26759 -634811 398292 -254691 18033 -961197 -848152 -598902 -634088 -255623 -384914 -101975 -733729 -837941 622761 19150 454314 -181122 693368 316305 -175905 512199 -870824 -991963 -791940 -645557 -294218 -44071 -29299 525132 -305233 -711061 -699399 -514995 89998 -61998 256629 683659 411945 950905 -871063 -875164 -569981 295993 901035 -184726 44832 733128 -425923 344781 -658183 353270 -656260 -992402 -776849 -1131929 367564 -249526 21369 -844126 -250345 847825 -370605 -241067 -450843 -231585 808829 -615758 328631 -558534 509280 -726338 -498917 754333 -618450 -108260 -10780 -850045 -819127 -514860 -243689 -300770 -222164 -506148 402855 -837385 50128 725375 -39059 -461859 338895 -142272 894513 866017 -526630 746163 -618782 665887 667633 -357003 370903 319391 1370 827356 879803 -293490 595180 774331 -765253 111775 921648 -637300 -855865 -450636 11793 230171 678183 -352666 -1024472 -689016 232855 408353 209064 125838 154169 -98726 -342198 171766 -175065 345791 -852983 726028 -520372 111171 911452 -547369 830077 -168443 -869623 156803 428208 132133 309222 -633336 928309 -474002 744067 -50566 -284166 246124 531430 466448 -658013 651661 5322 -502749 384473 942423 338099 664623 479996 749078 300329 896361 107426 +302803 111048 -98947 -203004 910391 1033217 721425 198614 525072 -441260 201643 -585912 369004 -293288 -143590 -946407 390593 1060070 726489 -571866 491660 -459716 -709969 831205 518769 -418803 -288437 31625 -585355 522230 -124848 -806011 520991 -763216 -142594 -658300 -196769 202386 972273 -495712 -124293 641524 728541 1024568 407408 -203146 779623 -489386 93373 -105259 561198 872401 -88966 789415 736579 -872094 -108871 612845 782260 -636570 -940109 -181740 160236 399857 149972 64051 526295 -682232 -562639 -872391 -944550 819527 -118582 -682649 45487 155804 -847457 -279856 -856095 31027 -663389 375011 -365119 89328 -949307 -720734 -586895 -630092 -274293 -454913 -57256 -800606 -904012 525636 31574 492979 -331537 717086 306687 -190249 500849 -849484 -1035415 -819898 -646285 -270155 -54825 11240 597766 -214102 -711067 -718711 -436404 108047 50196 262377 682815 425905 946293 -822775 -854925 -706379 329629 916501 -192236 35049 812435 -429684 474037 -632205 284469 -442371 -988031 -867455 -985773 434803 -291115 -28378 -850665 -381885 870013 -439093 -109941 -435487 -216392 821622 -596833 321242 -558840 393855 -811943 -492803 628031 -584814 -62899 -68517 -890060 -873578 -497794 -232298 -288832 -226102 -511748 371426 -836765 -64143 634441 -22210 -409828 124824 -138021 755013 874969 -636404 691732 -645529 720529 666738 -546377 368645 316998 19466 790427 812121 -351274 575678 688047 -798881 112890 854839 -675154 -831313 -415346 36318 170384 718581 -332281 -930778 -649312 235897 411488 242660 315 162090 -115245 -369533 87492 -162453 409168 -810642 625657 -517576 87158 844528 -594726 690211 -114631 -827151 236502 385760 157700 342490 -633519 971007 -477604 729790 60796 -270026 220418 573007 612848 -654329 582137 40765 -511095 391092 1069630 390752 672177 450507 803807 307606 872803 101425 +298103 150254 -81307 -138855 908305 1001424 749043 133186 503500 -542705 254083 -606209 392054 -256902 -174532 -947961 395919 1085404 730601 -522579 499355 -458957 -745518 911866 565697 -359541 -221798 23191 -587318 455421 -195703 -766654 509681 -781434 -95179 -728765 -180375 98142 949580 -475666 -127131 657359 723712 922854 508521 -277750 769295 -403559 94583 -102601 547136 942030 -232962 806207 782778 -865396 -17390 616279 778160 -638437 -719408 -252050 111790 398301 177239 85252 505899 -690544 -553499 -933196 -974899 740881 -89495 -679627 25574 125045 -829213 -183610 -847197 22924 -694442 386445 -370903 116024 -929900 -615203 -575105 -654232 -255364 -542691 -1416 -693727 -917741 429567 140049 505904 -446666 750349 310123 -201034 482407 -875140 -958960 -828980 -659717 -389895 -22602 31995 651262 -133576 -692798 -711059 -365734 98362 73065 265042 663792 436357 927103 -791913 -789877 -808598 315720 897351 -209650 13211 784181 -457763 461828 -692190 269818 -331464 -1001300 -859444 -818990 566085 -333005 -91388 -863482 -337600 878099 -468515 -52470 -486196 -418935 843086 -581514 328728 -580129 330501 -877113 -493081 522655 -580585 -28349 -10870 -923153 -951069 -513009 -332552 -286420 -222319 -564779 350557 -890328 -184374 651348 36232 -344448 11095 -184023 648186 873914 -722496 666144 -697822 710504 653305 -595815 345966 352277 17960 812427 759481 -394382 518712 678889 -819611 71018 880615 -696686 -802670 -447387 69072 117268 726432 -310476 -837821 -591956 127315 409503 321111 -52226 115495 -65959 -409854 19147 -137527 282579 -763578 618393 -491299 129078 744589 -560552 660388 45330 -844982 348144 333738 237279 188237 -645220 903949 -477755 744098 122695 -244884 195604 563232 608595 -672974 519172 63689 -496340 332251 1047448 436933 668269 413207 891047 399435 812930 102463 +298436 127501 -70298 -119230 924948 958406 746823 55241 514749 -628029 263401 -440509 390291 -213037 -143943 -970758 415627 1050202 730418 -610408 525488 -461571 -692646 965680 517401 -369830 -156295 -572 -645576 437340 -236637 -726839 523580 -772963 -42058 -748812 -148711 42993 945699 -495224 -135716 800844 729271 775645 569529 -343030 766786 -395913 105141 -127534 554785 869793 -433259 862079 700418 -833406 -9280 627214 837317 -683634 -614829 -365365 71624 332040 164891 155968 485868 -661417 -562049 -894655 -871280 777426 -79549 -660351 38613 121460 -864591 -147592 -846077 -38754 -730344 363319 -314659 60238 -920848 -641025 -569378 -642534 -227961 -533415 36434 -544267 -881354 376832 176117 462908 -355721 791201 265482 -189834 511647 -891687 -837396 -855630 -647779 -481116 -8738 10813 693984 -127150 -788664 -701123 -412863 107878 72423 276683 628457 455623 889079 -771240 -706143 -768264 306755 871300 -237327 -2146 642524 -517027 309006 -855349 353166 -335240 -960721 -815858 -879680 671503 -387209 -110327 -860629 -212137 852714 -413090 -133465 -460204 -571717 873654 -591738 319193 -568186 367057 -843980 -515380 465544 -621390 -15164 76112 -955607 -1047877 -514923 -472894 -283514 -228421 -595908 349149 -973983 -120503 668854 73520 -244063 96451 -251550 676508 902478 -744478 672639 -670029 716595 676867 -459046 327184 376257 31962 849040 761580 -411270 486832 756165 -842837 3540 1060244 -706652 -826756 -492866 89515 91380 660131 -331276 -839635 -530377 -31439 411015 377819 -54414 44794 -75617 -466367 66092 -137717 244804 -743534 715229 -463609 133035 781501 -465619 634390 77691 -904876 416932 277292 296457 79567 -630818 791723 -491770 775830 53026 -205334 170443 621068 443725 -705063 474155 68326 -555237 262349 903501 428498 667178 392273 918242 512280 736252 159152 +292401 99132 -77662 -173241 923242 956743 785973 -17242 493378 -671145 229330 -357611 348400 -157359 -101694 -1011844 399812 1072248 728141 -781178 560028 -472717 -711362 942123 550915 -454085 -100672 -30855 -729255 473299 -221492 -776766 529648 -786212 -34388 -669705 -139449 76936 984808 -544800 -163101 888714 623964 730262 453758 -419079 741341 -450846 110630 -117207 545514 711358 -385435 908773 737519 -830222 -91915 637110 918088 -702258 -724620 -387775 22896 335049 116823 200486 466579 -641644 -584710 -897444 -671286 914576 -84053 -649887 48413 147600 -914701 -196438 -841640 -25577 -735524 343320 -227740 -55150 -947447 -763739 -556751 -684732 -201927 -402874 43868 -570009 -811917 362126 161592 447121 -196309 839790 240136 -175747 543203 -872878 -913225 -817065 -657131 -478889 -27040 -15798 712052 -134387 -759199 -658069 -508498 92228 61633 303110 587006 474101 834005 -783384 -682061 -624826 311907 828287 -270428 18246 483951 -566715 209720 -989605 440068 -428188 -952832 -771981 -1075502 610058 -434463 -108598 -866835 -134930 866831 -361722 -262156 -442015 -533946 906135 -543220 323427 -624783 473775 -795303 -548817 522533 -636456 20824 142013 -940958 -1116255 -498193 -566094 -300295 -230688 -577087 366156 -1042668 16133 724549 87823 -334519 303599 -298033 808867 900284 -685546 758088 -726784 708696 716436 -274131 316064 354475 53175 886022 794865 -384166 479422 818750 -870755 -60240 1067873 -692125 -842689 -512539 117831 100974 539526 -377278 -862052 -511609 -131791 408216 382044 8 -32057 -41939 -536364 187051 -157034 159847 -697789 792110 -464276 198927 838952 -350631 698058 -16192 -981568 432332 314353 303130 156599 -603251 741755 -486415 788879 -21834 -206133 142870 632146 350521 -746651 497084 80460 -588634 221680 783807 371784 678416 432219 910477 545590 682699 153253 +293350 83156 -79783 -236940 912965 938202 809934 -34424 494774 -624997 181124 -470134 348122 -113463 -112880 -998125 388604 1079556 722662 -754190 607091 -492847 -752434 849531 525762 -536542 -62923 -18681 -773339 530994 -158725 -814390 507366 -753329 -83403 -590056 -120129 175190 912777 -580887 -171082 838548 596925 794866 342476 -471382 783439 -549528 100676 -91105 555640 596503 -209387 917388 702537 -786609 -213225 628835 1000054 -723335 -951027 -317581 23537 323548 47505 143772 448830 -636250 -617350 -881274 -601606 999103 -114291 -643824 4227 183687 -952276 -290200 -840330 -13553 -713212 305973 -216241 -120984 -961792 -879652 -552478 -693163 -189613 -368293 82158 -740280 -801492 374559 75120 482128 -170501 896078 251904 -165972 593875 -846066 -993615 -766339 -663279 -376624 -17095 -36476 696448 -175644 -724358 -631056 -637222 101671 -9970 303399 536849 483810 847467 -812714 -719859 -542590 236473 782166 -306474 -368 532059 -608508 177973 -985510 492471 -575669 -1011521 -746606 -1146338 450678 -485333 -57414 -863501 -79438 880704 -314131 -309432 -411724 -308340 932973 -515378 302793 -643960 575970 -696384 -527534 626112 -671913 37534 113046 -903914 -1159225 -489096 -547169 -275184 -240186 -554608 395623 -1066250 103979 827612 79225 -388454 366115 -293285 918339 912397 -576893 809206 -644983 681976 713771 -233706 303942 322271 63565 866785 876598 -329639 446450 792908 -884279 -94792 966902 -695380 -829800 -527288 139774 158623 487148 -409337 -967144 -485786 -46890 409353 340014 92926 -114130 -93272 -603278 301988 -131966 122371 -653263 807482 -483610 267327 912366 -283766 789236 -139154 -1036620 389314 389302 281889 306485 -621329 921320 -509348 784837 -65401 -178575 117211 639270 399159 -731772 509581 28837 -647279 208423 798584 334078 674927 496763 898233 479808 658860 94423 +291693 119240 -125068 -205124 924465 952192 802510 -3122 476793 -534519 170590 -592458 267177 -39699 -81224 -1001323 390669 1116285 726763 -624974 647405 -506420 -710906 739200 532893 -551606 -24182 8209 -757811 595889 -90027 -840520 499730 -771009 -147586 -538587 -109900 282603 958747 -579835 -174499 693390 520193 957267 357475 -512980 764343 -635423 101789 -81377 548424 621480 -84070 858369 677205 -827084 -295199 633349 1005466 -743559 -941840 -207354 8747 299739 -24262 72636 432559 -598120 -655105 -888392 -650992 995719 -159477 -642263 16648 209635 -949192 -324484 -838727 41608 -678639 335605 -336305 -147701 -955466 -927507 -549146 -639835 -159849 -432146 76049 -817584 -846505 446390 20097 499557 -301035 932681 246945 -159215 605920 -868910 -1013091 -782569 -668262 -279248 -16411 -340 645681 -264510 -705288 -601014 -730327 104053 -48788 334299 498443 496398 851984 -866533 -794863 -598487 206212 762462 -339501 4364 697758 -614526 275008 -851532 453588 -666080 -971521 -679750 -1020415 382246 -468042 6304 -875187 -150330 834407 -357974 -232258 -412358 -188332 954932 -524498 298936 -709970 604706 -716094 -533932 747449 -672141 41239 17627 -864951 -1150890 -489580 -432471 -290912 -239507 -519470 434877 -1029056 76136 907211 69142 -451380 240955 -240458 893172 914779 -497370 779003 -658379 639961 728506 -343841 291563 317920 83813 828295 934279 -269048 429156 710592 -890128 -83620 817428 -691979 -857675 -517531 167596 212204 540206 -464077 -1074642 -516939 78143 407574 287087 143211 -167987 -131084 -617434 313909 -114358 22427 -640321 718337 -516868 341929 916781 -358635 926422 -159289 -1012173 307424 438434 249290 338438 -663171 980938 -488640 727345 -25885 -146414 92675 666472 512342 -662824 603126 -9894 -639406 239346 927047 351138 669608 475372 879058 365412 675102 90487 +288590 137230 -95819 -133605 912202 953169 851216 82619 494811 -432232 206474 -601731 285749 -8293 -108960 -1011936 403100 1045192 725373 -460905 695662 -522614 -700325 686532 553083 -507934 -12661 5832 -697344 614810 -55973 -785344 530017 -775930 -162179 -618235 -98914 326006 939387 -541532 -148066 627447 515352 1042243 467006 -508123 808707 -678685 101903 -48097 546314 762174 -159716 825587 614644 -840279 -286208 638947 1004253 -767401 -763153 -144607 20383 278578 -78156 82612 419124 -579618 -691491 -890684 -815535 890751 -209358 -631752 15013 212839 -914630 -222891 -839032 63353 -639398 373516 -355167 -94410 -932191 -820216 -534547 -663773 -173211 -513076 46132 -674710 -906363 573202 13038 485330 -426422 978312 244905 -173762 604810 -875501 -938306 -708356 -657944 -284343 3602 22321 602118 -325637 -669577 -570377 -757215 104971 -113777 348472 467498 493504 853145 -932829 -872795 -739275 142799 767795 -371661 -30266 813570 -581138 425209 -681898 379163 -616885 -1015408 -668215 -858845 432828 -376224 56242 -867968 -255461 876887 -419670 -103577 -415082 -275904 964013 -469701 284564 -730699 539301 -802165 -521137 838953 -663886 36486 -57267 -892147 -1111165 -477855 -289378 -300800 -232001 -530909 480009 -951980 -76860 892262 53227 -383793 48128 -172824 759842 935801 -497491 741151 -601466 649386 695149 -545378 271996 348796 84103 817304 983899 -256666 436733 680922 -904043 -31656 904088 -697411 -826403 -489505 190969 260803 607984 -550411 -1135672 -487413 204958 403789 227162 104969 -177213 -76333 -617901 208296 -136413 30391 -630482 624372 -509634 390800 746667 -439977 980110 -19281 -958849 191163 383899 215592 202045 -638831 900148 -495440 762862 31665 -119679 69921 712414 645317 -643777 649011 -28350 -636114 292398 1051813 403301 674981 391380 817143 292236 732758 67257 +301703 123168 -79911 -117809 913952 997655 822976 163715 502935 -368936 251049 -469935 316670 13898 -74957 -969602 418127 1076419 715514 -624140 739486 -536702 -721048 680675 579945 -419019 -28324 22821 -620485 586461 -78456 -717164 506825 -744757 -130876 -704987 -88892 286316 961068 -493925 -140003 718805 453869 1084439 555806 -471853 764479 -648008 107715 -51677 548774 909111 -368041 818493 592126 -798111 -194798 643203 914799 -759633 -621095 -178844 69030 277737 -98951 158708 408524 -516696 -718127 -895347 -950077 781420 -259547 -621101 -42593 187573 -873527 -139250 -844808 -17410 -621982 382469 -397512 -13668 -925334 -699800 -531047 -670799 -192729 -551398 22007 -575793 -919129 609541 122813 468610 -383162 1003425 266122 -173348 605793 -870886 -860218 -713617 -665129 -413923 -2289 40229 538730 -356009 -759829 -571817 -786539 109938 -67910 339884 463327 497226 888442 -1000281 -874939 -813091 104529 798469 -406573 -6708 769319 -534511 458382 -652573 298349 -458806 -995286 -642082 -848761 553131 -339678 19791 -867680 -298293 860048 -456290 -61098 -435726 -527568 959842 -473220 300079 -719138 428206 -885548 -490043 826837 -666785 23262 -41930 -926245 -1039523 -451797 -228869 -324545 -232548 -519568 520206 -873419 -182472 861760 39126 -304298 39507 -134696 652352 934609 -611581 712992 -616959 567510 678660 -570999 266649 374044 76119 826635 959284 -278140 386850 734045 -892032 38218 999084 -670371 -805572 -471584 218336 264839 719671 -617881 -1144710 -498717 246135 404711 202672 25140 -120319 -78488 -595592 98175 -129971 14418 -607514 630643 -482159 451097 706365 -541333 1049708 97093 -871468 100548 338683 145858 85598 -615178 792345 -507173 718410 109078 -110459 49614 706428 526679 -642854 682280 -1385 -595888 359203 1031606 439663 664377 377242 740045 321255 814570 104697 +305714 86860 -76872 -187683 908011 1019101 830590 212721 494069 -382668 261203 -393165 346110 50290 -43780 -986680 388121 1054919 729857 -759792 775808 -559972 -738271 760380 537286 -391989 -64891 37212 -579371 532968 -143298 -716556 514549 -782621 -66530 -759905 -94761 184563 931250 -473982 -143756 860902 450603 1015454 510004 -415739 731178 -559320 109196 -34334 536717 927595 -454367 806105 569598 -827285 -77420 636444 870629 -792636 -699465 -279030 91506 285977 -81146 192895 400502 -505056 -731117 -887128 -966108 725686 -312642 -639996 -30543 151703 -823972 -163913 -849586 -37478 -636887 397702 -292928 76474 -932000 -620683 -531667 -680754 -222955 -447692 -34949 -572937 -865842 588334 196629 428265 -228933 1030494 239847 -170542 631102 -872322 -889446 -679451 -656250 -490266 -29742 -8602 484341 -359943 -777614 -551286 -668498 98719 -5999 373124 481452 502404 889061 -1050566 -789521 -738692 66681 840078 -434787 2842 640566 -478591 343016 -664168 268815 -355689 -1019889 -674461 -1046513 660936 -277693 1656 -878273 -318019 859861 -480580 -133562 -455862 -575147 943580 -460105 266639 -720336 342608 -914111 -478433 759892 -643365 -19278 44001 -923352 -955093 -463687 -281246 -317994 -239599 -488354 541399 -832751 -127360 757535 20436 -249635 220550 -148611 673332 945722 -729605 666378 -632854 573878 674331 -429521 246697 356870 72560 841070 898507 -339639 422831 802237 -877655 96007 1078520 -663045 -805222 -461530 247147 239788 734929 -641787 -1070061 -571150 176331 403442 230417 -74151 -37077 -43545 -542197 39417 -117005 88639 -594308 714731 -466137 478090 792984 -590638 957306 72311 -834905 66127 282772 121614 135392 -611670 755211 -483006 763693 94931 -115300 25628 703934 411420 -711756 655758 59941 -548061 397269 894716 414536 678565 424532 678634 424237 866383 118088 +311156 99173 -65173 -240062 911783 1053333 824097 197757 524075 -468936 227745 -469732 378169 50623 -41556 -949412 386801 1063902 721039 -785700 800839 -578787 -718572 867350 544737 -351953 -117015 66931 -597742 468404 -211498 -784183 516534 -751443 -31236 -718940 -96724 83191 942746 -498809 -150043 887144 472931 842192 395511 -344531 736110 -468656 118967 -37903 532016 821044 -257095 857277 585572 -824945 -6249 643032 785122 -781300 -907538 -379685 119056 304016 -29285 139595 395296 -486143 -728106 -884188 -846043 839953 -351988 -648345 -23915 124376 -836068 -262782 -856558 -48049 -653226 423659 -252492 104197 -953597 -678767 -522869 -654890 -253911 -337964 -86758 -696894 -814305 574069 171898 464451 -160733 1053583 267184 -191894 658495 -848834 -976885 -708273 -666481 -461437 11421 -29844 450302 -325780 -766813 -585393 -571297 99327 54112 391128 514950 495078 905889 -1084594 -692369 -595631 45236 889632 -454884 -3222 531912 -435318 240181 -813889 320821 -289405 -988074 -751619 -1161281 625463 -248496 -74262 -872545 -281253 888567 -415966 -267550 -456210 -392217 918100 -418276 260591 -684211 345641 -808950 -516725 615117 -593104 -66099 130490 -917077 -864614 -470148 -418091 -313670 -236871 -504722 548941 -847155 3487 673506 -39190 -360614 368935 -206798 804857 950316 -742995 674647 -660785 550013 659802 -274716 243976 323206 58290 866987 823837 -390754 438214 813086 -868332 118320 991705 -668051 -827209 -448615 260117 183739 697097 -645919 -961760 -627403 26950 398094 297502 -66752 11237 -112483 -484797 78657 -97849 113147 -615334 809016 -464094 439452 934022 -537069 900063 -69378 -840465 103340 303499 52382 316499 -629665 888839 -468597 783181 -43379 -61089 9949 746322 386423 -746085 629384 84086 -493964 377177 771630 359944 663063 466455 602703 524951 880498 117940 +292155 126867 -78600 -216728 913348 1050952 802834 137212 520140 -567973 171133 -600874 385486 45381 -2119 -944366 410045 1063589 709564 -628613 810547 -593165 -728420 936681 572108 -447172 -176626 58470 -660906 437822 -237877 -835327 535734 -770986 -49750 -627084 -95203 39573 933017 -546381 -143348 766846 495034 736574 338537 -266751 773868 -401212 109998 -53388 536655 654763 -165545 899196 593005 -814696 -26890 651106 759987 -759935 -984786 -372981 147303 296157 41959 79339 394262 -477778 -711358 -892663 -647163 957373 -384362 -657772 -12292 124504 -902782 -300776 -856056 -11831 -694393 447565 -281119 77383 -959179 -797727 -520740 -659320 -270558 -387658 -136587 -779540 -811376 495122 84467 479571 -266918 1046231 303226 -205444 642513 -878595 -1021361 -700913 -673236 -353253 19690 -32653 433187 -225245 -704094 -579349 -432724 94586 90610 405977 557282 489129 958663 -1104417 -673933 -538832 32529 916919 -464637 -27062 523618 -425174 166020 -957906 417949 -451225 -988432 -805078 -1059011 481368 -271133 -85222 -878961 -177656 868178 -369043 -312691 -476857 -282686 888272 -378118 260156 -666743 438364 -739218 -473453 510227 -621322 -114771 137038 -878151 -812304 -454376 -551933 -340794 -236345 -515632 544309 -912497 126304 637795 -56178 -451176 317722 -271921 911575 943835 -723201 756743 -681227 484990 628237 -256218 241861 318870 30246 876967 769150 -409545 472556 738120 -842335 94623 876240 -669243 -860487 -471029 269811 125134 597929 -598132 -870419 -679609 -77161 398149 355724 -42323 102830 -80595 -422814 195097 -82899 189523 -626280 803157 -493941 417787 945383 -462884 772636 -141216 -889778 191641 380669 31994 347114 -676406 975573 -467447 753630 -70251 -41031 -747 693398 461402 -725445 516535 74186 -484744 341653 777165 332371 673437 504391 554648 541422 849759 62122 +302770 156746 -78748 -130107 915807 1020452 835018 53243 531543 -651382 167658 -593764 355702 35525 -15522 -899838 388881 1044170 712116 -559620 802046 -606173 -732084 961805 579160 -535564 -244847 49749 -739461 467154 -208203 -805762 498032 -785382 -100873 -543490 -96076 87002 966831 -583751 -144708 643662 514036 752536 455922 -199050 803497 -402159 115636 -73152 532669 578503 -53637 920368 625722 -775571 -128046 656873 788707 -732077 -799710 -317836 196084 273908 112572 67253 396279 -502034 -681237 -889850 -592334 1014363 -392373 -648081 -9025 153649 -939764 -241609 -875142 24102 -726160 407301 -334378 -32566 -945330 -908462 -525070 -629179 -251984 -447317 -178540 -754860 -871096 391094 25772 475609 -418226 1030008 336976 -188751 636248 -853206 -991722 -722181 -654760 -266426 -28614 7303 443277 -175859 -718106 -609747 -407671 84930 75811 424901 605582 484011 941801 -1095251 -716655 -633238 26170 906625 -470618 -20084 675132 -452079 226955 -968036 486403 -551822 -991970 -851615 -847463 368964 -301118 -104978 -883908 -90223 831057 -307445 -227851 -449012 -244738 858518 -371412 262305 -604390 550783 -691054 -511310 466535 -606742 -147998 57660 -876818 -778035 -430727 -568310 -354715 -238440 -535035 511315 -997254 69762 646287 -87860 -434468 124603 -303020 896799 947092 -596662 789205 -699267 498361 669014 -385616 226308 352576 23522 860563 761528 -380761 504388 676794 -820284 35210 842741 -655123 -873329 -485394 293470 97979 522125 -555614 -817824 -703509 -103161 398681 388833 71855 165453 -54418 -364679 304223 -109512 276400 -648450 715125 -513434 348251 852985 -356313 672252 -130271 -988085 289840 427908 -3210 200252 -640423 953551 -475027 734951 -66561 -33006 -10243 703003 580407 -677808 457273 -7663 -493608 257017 921053 361850 666061 432617 541011 460613 807941 117195 +295815 94728 -109077 -137293 913492 1035203 778506 -16110 511733 -665542 208664 -500093 334220 -6682 29520 -865959 425031 1046598 714864 -563129 789521 -622432 -673388 877166 572095 -548788 -305518 30974 -775177 517581 -140077 -750261 510356 -730476 -148385 -547842 -109975 195962 913033 -577324 -166212 669659 523654 847369 530771 -169708 784425 -470277 114564 -79432 516239 649990 -268532 872217 698651 -779505 -245362 648983 873600 -721536 -604082 -197478 191418 298807 161931 149907 401763 -488532 -644514 -887774 -655123 962132 -382908 -681256 -2975 189021 -963120 -143194 -890603 40885 -743321 444818 -395304 -128260 -922931 -887636 -532589 -652362 -233709 -543129 -238627 -585997 -914112 370674 22532 500647 -423583 1007236 322200 -192307 619660 -940610 -884550 -738699 -675824 -300863 -1263 27190 483052 -114494 -732014 -659158 -392823 101802 58584 453591 648074 467211 949636 -1064123 -818966 -769007 71936 875861 -456765 -8012 824243 -504526 399798 -889036 484294 -655030 -996710 -861551 -849379 396208 -354841 -81699 -892529 -114923 879472 -337413 -100969 -430243 -384274 830060 -369782 240010 -586446 608617 -811266 -513508 506817 -605512 -157211 -30863 -903766 -795830 -407698 -472603 -351721 -240438 -566690 478179 -1053057 -107374 697133 -76208 -365157 18389 -279199 755176 937672 -497082 759827 -670681 489526 707625 -576970 209991 374990 10411 828747 778807 -319226 552601 710426 -791666 -34329 950379 -648136 -845761 -503722 293529 89024 535380 -504752 -846612 -740903 56304 393858 365844 144399 156449 -31226 -320599 325465 -103549 365500 -668609 626755 -509479 308783 772938 -303484 647287 29564 -1026994 386026 412224 -38065 76025 -626066 822454 -497797 748036 41225 -40270 -14236 697717 624535 -637042 477142 -32412 -543026 206520 1053886 418033 656717 388336 527672 348408 712489 70126 +290983 67603 -74466 -187929 906041 1008854 759520 -37663 512614 -604802 248050 -375631 310060 -48209 -8861 -850607 373026 1023088 712980 -756391 762963 -629034 -731553 794020 564976 -472285 -355370 15416 -753136 579999 -75825 -700771 507524 -781297 -153888 -660481 -131131 297199 951892 -539109 -96809 795098 558752 1046728 530888 -172797 775442 -571930 124578 -100408 519590 814763 -435546 832168 673745 -768242 -303482 644420 948765 -680486 -637909 -170779 164995 306412 177312 198043 410086 -535868 -607469 -887205 -832985 854440 -356978 -675447 16052 212207 -949824 -132332 -895981 32104 -717633 409051 -295581 -150081 -931070 -801640 -547195 -638423 -200990 -447027 -231875 -541356 -910267 356392 92250 445421 -260709 967820 345518 -176256 611781 -881644 -874449 -792964 -665994 -429058 -12584 3400 546551 -118703 -753210 -696533 -514490 91574 -44096 448320 676567 451320 936483 -1012302 -867159 -809324 90326 840454 -448421 -6956 828712 -557433 464862 -753107 406972 -629701 -976824 -831633 -1014988 527624 -394584 -18258 -882610 -179756 866079 -415434 -59258 -440662 -577461 812836 -396473 235901 -571022 567823 -894658 -523620 638416 -603450 -169587 -65761 -930975 -845179 -429519 -341673 -362017 -238814 -514818 431158 -1061868 -176413 797730 -95783 -260987 120116 -217154 644405 941074 -497386 798499 -612010 478472 712996 -568388 217682 360505 -19650 826049 842623 -270577 580175 789001 -766497 -85013 1074451 -651903 -828042 -523801 309047 139942 573253 -403254 -945754 -795112 196698 395307 292097 114049 123143 -23573 -330131 203556 -91438 366864 -674631 628427 -486640 220763 780366 -362964 688766 131825 -1021950 431780 350474 -28095 164389 -614099 755481 -504090 726064 111752 -21516 -17482 643229 513146 -661039 509528 -19797 -612205 194217 1037276 437861 678338 416590 562964 291551 657804 139566 +297092 77263 -125344 -231194 898825 946714 753137 1520 502671 -502034 265791 -448087 296551 -100195 -7802 -861267 394800 1003710 711932 -829627 719672 -633871 -701968 710709 561059 -403750 -382603 16868 -682965 619487 -55861 -760642 499786 -780063 -99649 -743954 -123586 332230 927256 -493715 -142253 885386 619165 1113910 420967 -201307 734874 -658666 122853 -118846 531901 931686 -375701 805510 763288 -789101 -266125 646184 1009504 -643539 -890275 -196131 141663 318336 153269 141339 421759 -525799 -576703 -872438 -938587 761119 -315270 -677395 51364 209072 -865591 -212746 -911594 -12837 -676383 378023 -289633 -108454 -943940 -650521 -544124 -620531 -172024 -375805 -255825 -701176 -851486 438044 177035 470372 -171332 925723 379627 -170956 595683 -871532 -993699 -803519 -673119 -535157 -22985 -30294 612432 -167105 -747756 -708981 -561424 93936 -57609 466523 684419 435025 922475 -960792 -870080 -707097 153245 796503 -414908 -14917 656519 -599082 415857 -615559 322424 -465525 -1017041 -793246 -1165584 630165 -455962 7739 -901535 -301558 894228 -455862 -137712 -427891 -519612 807941 -371258 235426 -552675 455502 -910682 -540212 774889 -654674 -203753 7238 -922377 -927490 -415232 -231586 -354710 -240949 -549718 390486 -1011623 -124219 860926 -88208 -269085 327177 -155465 667165 930523 -563556 698571 -597301 527798 723428 -408822 223095 324952 -3735 811033 930787 -254023 620064 816565 -745238 -93872 1063452 -630796 -806547 -523613 311012 195297 623173 -362680 -1025135 -843252 233714 395983 238884 38537 11898 -52161 -376922 83578 -120727 372592 -752516 730370 -464806 165630 831024 -423880 754378 30000 -964651 410628 284641 3432 300830 -618058 856589 -503819 781454 118443 17823 -15772 639797 340091 -687197 563527 16429 -662075 234049 906602 400008 668479 449507 614425 335888 655110 84067 +293827 130692 -103709 -201376 899827 954612 701673 77095 493272 -417147 227083 -546724 280584 -163489 33546 -824156 387896 1025170 707681 -655171 678312 -632885 -703591 679873 568942 -350163 -381509 2053 -610447 602490 -92531 -813387 521504 -783887 -41213 -758480 -158581 271032 934936 -478762 -113271 843623 638539 1053137 366079 -266694 759124 -677040 124796 -125480 535837 904264 -192895 800230 744354 -765526 -159557 632270 1038176 -598753 -988861 -288687 106679 347088 97862 81611 435560 -553030 -558450 -885356 -970694 780698 -267148 -676122 47232 180515 -833148 -305283 -920765 -15442 -646780 352141 -230860 -39357 -961941 -617711 -555313 -604093 -155346 -409326 -241867 -822702 -802568 534098 200137 467350 -250258 877004 346921 -165370 604489 -858262 -1003020 -838601 -673274 -437388 -20645 -35486 661777 -230027 -728073 -740412 -699705 119173 -63563 502568 676179 426967 892351 -893742 -810131 -572801 204545 767742 -387927 -29344 514617 -619968 279253 -639898 257208 -348366 -990571 -719670 -1081938 642899 -431035 34966 -891103 -399740 898392 -473258 -267075 -438359 -319895 815551 -398917 223433 -572364 355826 -869320 -532620 840576 -669040 -196820 99050 -915037 -1007316 -391290 -240146 -339933 -249607 -530657 360230 -929606 27328 882077 -78649 -351112 363227 -132967 815196 931545 -695906 657380 -633572 556242 707907 -257715 220518 310229 -4528 859819 968822 -280870 659819 766425 -712357 -58893 909549 -644920 -840337 -494999 309475 255057 726316 -314066 -1123130 -844805 225171 396123 205292 -53553 -65895 -77401 -429624 17375 -76645 292355 -753421 823536 -475350 102139 930149 -521341 875876 -112819 -885115 347713 303548 39124 344474 -619664 953179 -505253 743207 12428 -10191 -6894 627476 411247 -749100 626221 62527 -661509 313327 777481 346590 671266 452613 647314 445975 686410 67113 +289436 135518 -98040 -139027 896032 955014 681695 166890 483016 -365463 179348 -661825 290779 -206964 13620 -828457 392962 1020785 710313 -496989 628796 -633831 -738595 726127 538058 -385952 -366617 9676 -576404 549861 -162967 -851023 521133 -800467 -22631 -673369 -178486 160358 950317 -499855 -143806 701968 682996 898407 440089 -347357 780907 -630750 119902 -101595 526787 768715 -62871 876978 722693 -750912 -46515 643659 993037 -583717 -854088 -384157 89835 355162 25302 68544 452130 -625082 -554204 -872670 -836654 883573 -212307 -681421 43268 139917 -833064 -283358 -942202 -2849 -616735 345177 -302122 77869 -953554 -687698 -561382 -611721 -173762 -505737 -227510 -742543 -826775 618632 124350 459679 -398176 838270 379905 -174576 577215 -856613 -946346 -834675 -674620 -334852 -48884 -12747 700754 -298954 -727706 -715788 -757525 89527 -32469 513197 643481 406712 851297 -839488 -715405 -547371 246303 771204 -353716 -15271 530266 -595957 180964 -791312 307642 -293331 -973328 -681040 -900957 515281 -425001 44925 -896725 -309196 911967 -394901 -312258 -392505 -218931 834997 -379379 219141 -586645 335030 -706793 -541002 824232 -669135 -209952 142031 -877093 -1084490 -399935 -374885 -348161 -243040 -539803 347370 -856403 124238 899112 -23805 -436828 223899 -165388 923790 917006 -754959 653858 -632189 596527 680932 -254374 227135 344734 -16902 877081 962092 -335374 684897 688199 -719013 6733 852808 -638513 -846605 -476659 301569 268477 745697 -305364 -1147376 -854442 31537 395462 218577 -58274 -156722 -116058 -483836 33169 -51613 268451 -811207 795789 -490836 82696 893644 -595061 961639 -165285 -832361 236847 362337 124239 207844 -655041 957130 -498005 763973 -79072 10090 1511 603187 517140 -737204 672945 62745 -648348 367783 782616 334724 674484 410573 719766 535596 765842 64770 +299212 127358 -52704 -115005 900455 978641 690509 207830 495591 -402091 168276 -512105 336396 -270107 20727 -812158 405321 993588 716109 -515569 586002 -626203 -731030 822274 524975 -447763 -324053 686 -605446 477854 -222988 -782995 522476 -761473 -70260 -584004 -190812 67085 938784 -547626 -155478 634858 713700 756540 532909 -413480 761468 -541536 138552 -115994 545056 612445 -165529 886895 727912 -769920 499 633226 923585 -572473 -648102 -374227 52397 390547 -43148 144840 470110 -640999 -565891 -863751 -675937 978604 -158898 -687685 14860 122294 -874366 -214761 -944838 2794 -619417 339987 -344517 117671 -929533 -802930 -576521 -593620 -189436 -545619 -190700 -584642 -893967 619442 37245 468497 -431517 793471 343207 -165910 543865 -910683 -903327 -794730 -682234 -243217 -17888 20931 712614 -364294 -757187 -682576 -767981 93600 43980 531156 598268 388256 846508 -791892 -675410 -661144 288928 784754 -316986 -21805 673103 -548423 228416 -920549 393973 -421282 -1022158 -635730 -813244 396878 -387785 2848 -892500 -197373 834050 -318081 -227664 -406817 -306279 862108 -369238 207278 -642711 406211 -724459 -520806 727474 -655951 -184329 95868 -885265 -1131272 -402095 -506597 -324826 -248515 -508166 351236 -830530 40596 799491 791 -420326 32843 -230264 897989 903702 -742622 720582 -659659 607805 667443 -437924 215518 371814 -2559 866649 912912 -388483 728126 683088 -709676 73676 908909 -680594 -856791 -443242 292050 255458 682890 -335093 -1100201 -837912 -63374 395731 274088 -37388 -172237 -59229 -558625 187197 -75577 160618 -852759 688236 -516666 99160 795293 -549830 1015769 -75302 -828296 129052 421987 148866 91256 -625580 854776 -501411 783631 -84202 23267 14499 537978 603930 -690229 688768 50292 -578029 388285 918087 375170 677287 398868 816269 529640 854052 71084 +286300 74309 -65617 -185388 903732 974502 675578 196396 497961 -486466 202563 -344104 366630 -296054 40085 -830918 400837 949058 711631 -725631 545856 -616111 -731755 924980 568548 -518395 -279223 12458 -677447 444372 -235810 -713604 491472 -766383 -128222 -547515 -222442 39525 959724 -583608 -162088 719386 768049 738417 490121 -471106 809136 -441166 144792 -82981 522392 598507 -379730 900509 681225 -727805 -50794 612844 820656 -550720 -613774 -284399 16012 397870 -88509 196220 489713 -654946 -591814 -878810 -602029 1015441 -117093 -666277 6036 125568 -923672 -128656 -963172 61516 -648495 359429 -385954 63401 -921104 -912804 -586596 -566767 -223988 -444511 -140382 -554877 -921368 598332 18907 453175 -294678 748757 372747 -178319 521641 -867586 -898285 -771494 -678088 -340043 -38812 27209 700389 -347859 -800137 -645086 -695520 106221 63062 543758 553811 377068 805078 -771046 -708739 -795313 304961 824844 -276060 30628 822619 -491445 309795 -999607 471485 -621317 -1027595 -658183 -953182 391599 -325490 -100958 -905917 -100748 885356 -347466 -98248 -435668 -540938 894120 -423647 201839 -679487 524667 -769870 -485845 595246 -648127 -128298 2017 -919218 -1152722 -377623 -572922 -324020 -242859 -549872 375194 -861325 -92049 705817 37059 -346150 56114 -287858 757946 893470 -642319 766416 -676445 630886 651899 -550436 216433 359353 7873 833971 822059 -418087 762295 759337 -715957 113995 1025477 -695671 -864604 -444099 291317 204702 533612 -402100 -1011292 -804715 -107013 403214 335935 92167 -190675 -43386 -581402 270631 -69103 141330 -851360 610294 -516171 116561 750133 -458963 992187 85192 -893034 90160 413977 216195 144766 -628272 761704 -483147 754375 27315 13829 28779 554605 567886 -652286 617852 16075 -535514 380547 1064388 429110 677224 429260 872031 441908 874135 154707 +281068 85632 -69224 -236100 878605 972646 669118 132636 514268 -595307 250337 -383101 320512 -314404 18608 -844319 406004 935330 707420 -810548 515529 -599482 -725090 962425 539483 -544609 -209973 25730 -747355 463632 -192746 -721525 502823 -770793 -167458 -591714 -241761 109779 928669 -581822 -120338 859712 802530 827590 400890 -505439 810300 -393416 132909 -52006 546750 704751 -464323 862795 677044 -760240 -165559 627677 790222 -573783 -834194 -174810 10076 415144 -97787 150399 509486 -659202 -627810 -865102 -658349 922436 -90014 -652349 -1354 156032 -967009 -162457 -960420 17973 -690928 351331 -304845 -25705 -938141 -891066 -606200 -568464 -256314 -356767 -95637 -666141 -899722 531998 58378 462161 -160377 720714 339144 -187055 531639 -840746 -978129 -739192 -674913 -457965 -22275 -3820 653843 -339113 -779026 -646087 -594140 85036 97392 559379 507627 360768 835606 -777860 -805378 -796123 327162 874120 -244448 22316 809002 -439970 449880 -942549 495867 -635836 -1007073 -700530 -1129218 489061 -282283 -100178 -904561 -93876 862069 -367748 -56416 -430833 -559506 922984 -411483 203953 -713669 597752 -868080 -510781 503046 -625516 -79077 -63237 -944498 -1131527 -374780 -517769 -320838 -242569 -479457 408117 -935817 -182505 635633 57111 -238385 239967 -301127 655065 888933 -536131 794739 -688160 680927 659166 -564353 234993 323249 36330 810040 783163 -389900 792371 819494 -728889 110813 1065074 -690038 -850274 -480742 267212 144775 496270 -439420 -887357 -766860 7315 402956 384001 128935 -115688 -80415 -627234 329466 -78134 31538 -891824 643185 -485260 185006 777163 -328822 912686 112815 -964120 114524 358538 255054 315418 -595878 823591 -492039 768425 120390 11716 52169 532568 453044 -644645 570503 -19892 -492717 319269 1053680 433235 671601 468679 881390 330507 881494 125830 +298314 81616 -101752 -199445 880328 1029968 621531 53568 512398 -660997 261185 -549069 385833 -281001 18808 -860524 389423 898286 708992 -667298 494561 -588507 -728697 921443 511622 -471868 -139127 20328 -774914 516986 -121423 -801420 522940 -793620 -134824 -703070 -260434 219330 953907 -541618 -174859 877657 772766 944409 345643 -502646 778934 -411902 137786 -41071 515317 867381 -256491 819022 606363 -730876 -270406 625794 775582 -557927 -988977 -131535 18144 438485 -68423 71083 530149 -646133 -665487 -880314 -849832 806852 -80067 -636469 -22089 195281 -955132 -284439 -975256 -39443 -729720 381840 -246069 -94216 -961754 -764896 -594531 -549218 -261108 -365506 -19415 -821845 -834534 427602 148749 461955 -208721 693536 367588 -190844 510744 -853084 -1044238 -702081 -683860 -522369 -67591 -37659 597269 -275209 -747558 -599142 -473750 104018 61814 559403 479938 353477 850196 -811821 -867809 -672316 312213 913366 -219319 -18947 673233 -422431 448872 -775381 435438 -626170 -1028634 -743668 -1093300 624146 -246003 -107084 -902379 -162393 886574 -423475 -145172 -426815 -395056 947285 -446533 199127 -719425 586418 -862804 -489261 468608 -622889 -43709 -24730 -920467 -1074649 -369910 -382996 -310930 -246592 -521713 453187 -1017835 -127237 628063 95998 -299279 381133 -261372 671983 874828 -499739 744937 -654619 681077 658999 -390476 236353 316117 40349 815042 761986 -333226 817669 789902 -746839 64993 995707 -679931 -818140 -492264 254916 98121 502403 -510658 -840585 -718959 170175 405241 370409 130447 -38685 -70776 -620403 290511 -96658 9140 -920883 716030 -466600 246116 880845 -294439 821225 -26637 -1032520 159923 283984 270415 345875 -599964 929108 -472608 781280 124140 -8680 72878 519723 349629 -694882 494557 -18823 -466090 259999 912373 385934 671152 480179 916620 290441 801900 81734 +293062 106002 -105299 -143590 876263 1022529 632134 -16417 510694 -650347 228857 -609837 359537 -334924 -32677 -914941 425340 887224 704868 -519381 498144 -569627 -741684 840179 524612 -399324 -90300 40018 -741903 578360 -65476 -855378 514568 -783810 -74822 -767247 -255083 307743 926461 -492401 -169273 762117 742320 1079912 443397 -469669 783559 -495809 139916 -40209 536091 940282 -50749 798370 581388 -713676 -302063 618602 821392 -563188 -895024 -210900 44063 400945 -8988 71938 549974 -707795 -699176 -893410 -970311 750115 -87673 -635911 -22027 215630 -906194 -324920 -972256 -50209 -744392 387580 -242248 -149335 -961668 -644724 -601559 -553982 -265918 -499479 27093 -724903 -796126 364963 189752 462337 -367220 668531 290786 -195032 513601 -860403 -951807 -707989 -685151 -414268 -34306 -36846 527334 -193804 -699415 -583564 -417790 101540 9504 580915 463857 347260 875093 -856282 -871384 -550895 305263 909834 -197082 29030 506876 -446611 352775 -649068 329918 -465083 -1001122 -769814 -930222 653389 -284696 -67979 -903380 -266989 869553 -472137 -271387 -437449 -246437 961012 -411370 194780 -711353 491500 -855958 -513251 534589 -591907 -27340 76675 -897901 -1000387 -359546 -256041 -300577 -243580 -554244 491147 -1062665 40997 667424 121562 -419844 320953 -193146 814932 867859 -538259 710525 -672669 710556 684292 -224484 259294 344597 59193 861153 783865 -273169 808073 712385 -762149 -3919 831745 -697958 -802686 -520916 221919 90448 625661 -598114 -834325 -640069 227846 401416 326209 63577 70738 -62727 -593819 129519 -114326 7452 -919337 819758 -474415 297387 914299 -333022 716849 -175102 -1030154 249397 292553 254507 208911 -657328 986886 -476589 756421 67182 -11693 94962 580455 456319 -737532 445882 -3348 -480077 200180 785965 337746 678350 417073 918634 351424 740244 49424 +291911 125211 -104878 -122191 888979 1045706 634504 -33764 520302 -576577 178008 -553928 334732 -259665 -24365 -936380 383165 893618 705115 -520339 502069 -552921 -777302 738813 482822 -345402 -48461 46517 -668974 619787 -60065 -828327 533413 -778833 -35207 -725709 -273391 321746 939535 -478307 -166085 643017 702881 1075328 558418 -423279 744290 -593587 151485 -40113 533176 872970 -103179 812616 586044 -712481 -239771 612496 885322 -598971 -665233 -324658 78278 428708 64358 145943 568797 -690640 -722358 -883445 -992103 805490 -114801 -642461 -6337 206356 -857109 -248845 -975643 49687 -712641 396967 -318002 -124647 -939468 -611803 -600174 -525227 -238723 -530355 36848 -620000 -831756 296001 143606 473935 -444577 676929 303829 -181823 507938 -898724 -886261 -692817 -689842 -297889 -37408 -9834 471182 -117670 -762808 -572452 -356075 90988 -54774 580916 472211 345496 945928 -908926 -800217 -567763 256082 903918 -187413 25909 523224 -484709 205462 -656491 277900 -326294 -995448 -820983 -804777 545081 -300943 -24330 -910025 -301275 860294 -452390 -310823 -441608 -276332 961835 -454923 199753 -660792 374188 -714650 -518011 654763 -614541 7859 138333 -881681 -907011 -346530 -225820 -300760 -244874 -589130 525246 -1051738 118867 755604 84690 -455177 99599 -141897 917946 856164 -651855 669065 -629790 722659 726783 -248187 244722 371376 58114 869863 820782 -251946 803061 681898 -786025 -66397 883268 -698932 -832750 -516782 202045 115491 717171 -633099 -903117 -607772 192907 406854 251453 -7961 145203 -76225 -535800 -2172 -107918 71992 -906712 795865 -490638 371506 881235 -448204 657313 -113044 -952100 356186 353647 258940 101168 -645507 910322 -508030 740687 -76012 -35165 122177 551992 577067 -743271 495423 56427 -573079 210759 802765 340216 680147 395461 893242 464211 680532 104519 +298679 74345 -85923 -180312 865921 1007750 660012 6137 509828 -468708 169132 -409262 294489 -209202 -52859 -946911 396533 890166 706517 -701821 518614 -534271 -695276 685435 503382 -401257 -22432 31958 -599364 601510 -109732 -746683 537855 -770050 -35598 -633641 -281874 249722 924845 -501168 -121891 662970 636601 965161 541797 -347335 785071 -667568 146322 -44574 545149 712331 -282200 856489 593560 -738155 -121719 624978 968204 -620362 -600804 -366329 121519 414107 130797 198940 585878 -680583 -731009 -865073 -803437 915513 -152646 -637785 12193 176819 -830872 -170188 -973044 33617 -688541 429186 -399167 -23901 -926959 -719207 -600881 -548425 -210261 -485258 38920 -508022 -905335 388777 68308 482143 -326814 684349 276557 -185204 520195 -867661 -878245 -683327 -688654 -256756 -46279 30501 443470 -104172 -790337 -548480 -451649 98280 -66700 564650 500532 341319 998784 -969045 -725962 -701892 207249 866421 -181554 13357 671583 -539273 156067 -760649 291391 -320739 -983674 -836992 -939340 411710 -365959 39943 -908089 -351089 870276 -435029 -217624 -431476 -412370 952346 -477892 165109 -639628 331526 -696189 -532566 777989 -617625 42779 118622 -887386 -835814 -334361 -317236 -285424 -243823 -609709 548625 -989965 59013 843907 80865 -370842 11075 -138680 902031 856817 -742018 644130 -609967 723047 727747 -450071 264046 362563 90257 887518 897627 -276338 791138 743879 -813097 -95257 1012602 -700802 -845192 -514995 183021 175367 748100 -666412 -1022866 -536618 99935 407435 212850 -76064 171029 -52341 -494746 27700 -137856 89000 -897069 694977 -512477 423546 754525 -533515 663249 16481 -875481 410175 424477 216589 156265 -627263 743597 -506752 790707 -74164 -36038 150355 593593 612309 -690349 565710 65346 -581767 240379 919506 391920 683535 405429 832010 543832 659266 143157 +293349 74807 -28323 -239508 856976 977785 617150 78432 496148 -390332 203454 -345572 264227 -169066 -74957 -969242 362114 873856 702152 -793018 558790 -509242 -701337 686488 479358 -490899 -16499 33567 -580730 557583 -181169 -725279 525331 -758514 -82904 -558491 -297622 142087 942151 -552388 -159472 795231 629017 861482 432295 -268365 828919 -678053 152042 -52717 539186 591905 -403132 904718 568707 -672327 -23555 602554 1020569 -666343 -778305 -375486 143945 423782 170823 152986 600941 -664421 -725009 -869009 -680622 1013342 -204492 -637635 -13086 141460 -863733 -149558 -979276 18810 -644400 433047 -365060 35974 -932364 -845864 -596030 -489066 -177204 -368527 65150 -648796 -915251 531878 -19268 475998 -181722 709321 259787 -174585 530579 -859649 -985318 -704000 -691968 -345287 -81986 22623 429265 -150418 -786120 -567873 -543459 120176 -76064 586433 541996 339378 949628 -1026616 -657810 -806867 171169 822045 -189539 39468 791056 -589760 282883 -924804 363652 -406645 -1014565 -845708 -1114427 360630 -422120 46215 -893034 -238188 888789 -352359 -91666 -399626 -618329 929610 -505699 188987 -617323 381922 -762647 -543154 842587 -641942 45795 35666 -927213 -782967 -327833 -463483 -277402 -247912 -567656 550451 -908205 -97775 864628 24829 -249120 135301 -185798 761790 856089 -728105 729154 -627267 723816 711339 -566451 260861 328031 78781 827402 955185 -325415 757350 811003 -846605 -79207 1100691 -700463 -859897 -491321 151412 242036 673435 -625404 -1107837 -539616 -46929 413194 220216 -24566 157937 -95610 -442395 148916 -113721 186867 -890604 607936 -512689 458169 734126 -576755 724133 110110 -824230 416711 428069 168179 307773 -644071 784222 -524772 781885 8537 -34190 173499 619411 528480 -650132 644170 72250 -650344 313681 1050347 438220 674867 485290 786685 520709 681577 128701 +294351 87519 -113785 -199340 874954 988941 682231 159021 497050 -377550 253898 -493558 323583 -107138 -86098 -995587 374806 811301 701970 -709528 599453 -493446 -713583 771465 465499 -545933 -30889 14583 -618544 498419 -231772 -760935 508150 -757835 -137197 -562847 -303115 49598 951824 -581631 -153114 888571 537948 767633 344755 -207665 810106 -620694 147639 -81964 549841 613586 -375082 906712 608952 -707437 -7271 606321 1030924 -709524 -979116 -273773 173998 401351 174192 77953 614355 -646781 -704127 -871796 -562140 979757 -256721 -640023 3840 121468 -912770 -236475 -971661 30290 -614576 426916 -287289 118870 -953010 -919745 -595856 -480221 -159979 -367713 45330 -772577 -885554 582729 44662 458793 -200562 743831 259938 -159826 562158 -866561 -1007115 -724046 -688596 -476460 -44795 -36528 446086 -187203 -721551 -583476 -676138 90940 -19757 594215 590259 352205 1011876 -1078486 -716432 -774107 94146 767332 -207904 31223 829700 -610040 416747 -971551 453016 -554767 -1003591 -805822 -1127367 465945 -418008 11986 -905143 -147202 895790 -330422 -63453 -420367 -500570 900144 -523181 180386 -598007 488600 -865162 -521362 826333 -647285 50673 -45399 -951289 -782496 -316325 -555685 -280052 -240403 -527151 532488 -843315 -192312 902194 4740 -253540 334855 -252729 653037 869039 -668036 800844 -641293 693228 714938 -544680 297300 315362 69545 833770 975541 -391074 752003 798367 -867695 -24713 1046087 -663969 -833483 -476576 130285 264880 600487 -599323 -1145800 -503363 -94969 409591 251139 59335 99681 -80803 -370478 287054 -122901 240946 -881119 648432 -487583 441805 838504 -533967 836098 54840 -846904 379958 363874 142628 351986 -615600 952797 -516942 769404 90408 -62565 198854 641240 360627 -642094 687518 31273 -652319 380217 1045775 428621 672171 475749 686258 418679 730187 79022 +297045 105619 -55433 -145478 876061 991007 690763 211461 493254 -422533 263067 -623201 322668 -67413 -93031 -1017644 396842 872476 705034 -566053 648224 -480418 -719901 880243 456396 -523269 -78644 14117 -688830 453872 -229254 -816216 525851 -797571 -160295 -640178 -293045 49689 969717 -576241 -169264 846915 540135 728251 393098 -177640 838152 -518834 146547 -112370 565672 759335 -126010 873396 674835 -709470 -78971 592278 979732 -723625 -945108 -173823 189137 386772 139404 70432 624432 -596244 -672675 -895715 -670859 864402 -304629 -646543 6324 131432 -951527 -291352 -969364 -20489 -617760 420253 -248094 97662 -958184 -869869 -584655 -506377 -175166 -481425 28294 -759247 -815842 645861 120479 471367 -336982 785010 240961 -168239 570041 -899911 -967982 -774613 -703604 -497048 -52183 -42299 495688 -285466 -740027 -648158 -768487 112682 37157 579036 635647 362747 964173 -1098178 -783558 -637944 68687 766688 -231017 41484 693643 -600802 468845 -937372 500062 -654306 -976432 -746429 -961742 604989 -472513 -50447 -914675 -76493 890450 -371364 -151054 -452072 -312851 868290 -520617 186489 -550161 583748 -882815 -501030 726256 -657168 13477 -42737 -941108 -820253 -319426 -559076 -294095 -236281 -535777 502157 -830759 -111379 836137 -14439 -339726 369036 -298555 668605 861210 -532919 809878 -665168 662349 648178 -347718 313557 339793 63254 796495 932881 -411699 748740 731047 -881690 45422 856554 -711397 -822874 -458531 98791 263735 535183 -516230 -1149088 -477566 -45340 404822 321376 105316 8648 -116109 -345150 343748 -111777 298438 -834476 755179 -457064 427245 924866 -462714 915721 -97598 -886442 291808 310337 93408 212314 -634622 996787 -490943 723970 93670 -75086 226982 662328 339815 -676908 696329 -29694 -639311 395939 903719 374884 671443 416884 649099 314986 811326 89252 +298466 134397 -82570 -121099 877885 948994 723639 200141 491654 -518539 222639 -580636 349865 -17321 -134819 -1005856 360447 866570 698035 -503415 696184 -464588 -722662 952376 483180 -485231 -131423 1092 -756929 452734 -175186 -826430 523514 -730680 -122035 -745525 -278855 132286 955694 -537316 -134903 699308 503425 858249 512636 -170531 742515 -433278 148959 -127124 551524 899475 -93891 818258 710497 -687116 -201766 610447 878295 -762177 -714865 -169252 178660 392656 76328 134405 631394 -567193 -634572 -865442 -811966 762095 -350018 -644738 17419 165455 -963366 -291886 -960398 -34530 -656485 384366 -240851 11011 -948911 -734832 -573800 -494207 -182970 -558095 -37088 -617254 -800885 627704 215222 494290 -426719 830826 222403 -167060 581370 -914813 -874486 -791987 -691733 -434835 -69540 -16313 553936 -346440 -725340 -670532 -790810 107882 54955 588081 668826 374428 920892 -1106981 -866834 -542649 37329 785807 -259279 62730 538649 -559954 395814 -802724 464134 -636924 -1013151 -671502 -827378 654221 -457749 -109607 -897140 -87902 868243 -434283 -273719 -407250 -195318 839790 -547587 192809 -560511 601149 -864901 -501660 593206 -666796 -12877 29481 -894422 -887864 -299173 -440493 -283414 -245023 -534129 465267 -876967 28804 743673 -50048 -447867 188625 -292326 803310 867249 -496762 781125 -690773 639893 644925 -226397 320105 370183 58431 821770 870482 -396600 708637 676537 -881354 100468 830652 -661353 -809485 -444649 72009 213748 499772 -458829 -1054129 -502567 117224 405555 375331 130119 -84043 -83951 -335054 285732 -129836 330550 -804304 810185 -469687 387956 920001 -337858 1022965 -153721 -982550 193418 286328 6105 85854 -623426 897213 -488093 779911 57595 -120915 252460 695924 478500 -728825 641408 -20596 -594793 367988 793136 333013 667445 377022 594587 296480 886256 95639 +287042 136739 -111786 -178819 863475 967890 730041 141036 492501 -620969 175601 -423174 404120 24709 -144894 -1000759 410518 799298 695521 -646844 731603 -456992 -722222 944947 470342 -405049 -206670 -2208 -773939 495243 -103864 -786871 540781 -797834 -63780 -754340 -274197 233904 950227 -492297 -110086 637954 482573 1000197 552467 -195453 769263 -390980 159254 -100531 548524 933673 -198561 802477 735337 -682362 -290646 601517 816113 -766448 -603569 -216823 174336 395475 3198 191424 635047 -543463 -599070 -894698 -958342 744835 -370981 -672972 37325 196479 -932842 -190048 -951659 44736 -691784 356598 -324040 -78482 -932530 -629439 -577466 -448983 -218085 -486642 -81461 -516537 -865338 555218 166044 482719 -370408 879551 246785 -173662 589770 -893502 -894672 -822244 -695018 -299774 -74300 21823 617628 -368839 -762449 -676329 -724605 91540 86760 591388 681479 387405 878460 -1069570 -874082 -590137 42101 810149 -295566 54651 525368 -503972 237881 -676697 375512 -448993 -993214 -649270 -888392 565416 -377871 -116879 -917863 -235925 885143 -468815 -310245 -434291 -324753 818282 -564684 198696 -583897 519245 -734439 -513579 484738 -671456 -14670 116300 -868723 -959479 -286755 -299310 -292720 -246334 -529906 417332 -959020 112838 649822 -95472 -437244 24000 -239258 922794 872466 -534034 693241 -648098 620639 638320 -288417 330128 367215 36957 841906 795202 -341041 670949 720165 -899273 117850 940003 -687430 -816718 -463303 41899 154095 575529 -383424 -918380 -493370 232729 410290 391828 71814 -160654 -37771 -354389 130590 -111475 401004 -763299 777973 -492349 309197 825714 -321717 997371 -77828 -1041962 104810 348290 1101 140483 -609329 784427 -488970 743371 -70976 -141412 271460 710147 652743 -745000 575688 9967 -547535 307619 796199 354020 668148 397100 556256 371323 904893 190679 +298971 95557 -75646 -229561 868827 955905 769199 47916 501034 -661624 176032 -384227 391299 53401 -153375 -995474 395315 836765 698972 -824886 766997 -457197 -696633 892052 504177 -375826 -256414 -7024 -731422 560366 -58195 -726103 487487 -796633 -24315 -696644 -263318 315265 908744 -475136 -135604 718308 470232 1101060 443270 -249208 746979 -426827 148007 -103821 557831 828728 -401602 820815 744528 -694165 -292102 604962 766508 -809281 -751612 -325919 141805 384728 -60193 164670 635579 -521197 -570379 -876032 -957898 843311 -392246 -670443 47370 214872 -874490 -122106 -945444 68711 -722475 385307 -386978 -141979 -924792 -627598 -561946 -455830 -259245 -375237 -124317 -619395 -910996 441405 113133 438253 -203123 927798 252463 -192792 609895 -882477 -955573 -826860 -691694 -278261 -56610 30931 675262 -357570 -768578 -703740 -623832 115533 41889 557573 678166 398891 875293 -1029264 -804317 -731751 23612 856649 -328433 92650 645451 -450270 155619 -616342 295925 -324473 -1001729 -672419 -1081444 431538 -299477 -99193 -911854 -309033 889972 -459460 -217207 -434348 -523258 808220 -567043 224817 -625964 407657 -695801 -483634 468876 -666497 -66346 132215 -900340 -1065834 -258171 -227655 -298954 -246612 -532935 381877 -1034869 30137 585223 -97799 -341675 63460 -172724 900991 885523 -621114 698916 -637859 552408 645435 -471729 336348 325220 19259 867015 754769 -279859 628302 796650 -885209 90015 1070572 -665043 -888372 -504243 28100 102402 696160 -331725 -854422 -511549 234715 406063 347920 -10730 -190232 -58513 -404570 -501 -103956 363401 -747227 673832 -513008 222927 738973 -339516 932208 47141 -1012085 69047 408181 -21590 325104 -604470 779076 -464602 784026 -129968 -166934 292958 726806 587432 -698971 532258 72169 -494812 250454 922456 406059 669954 477227 531185 483269 854290 131840 +293526 85180 -67011 -206597 864590 990085 759459 -11786 504363 -646900 209187 -491915 373583 45619 -185511 -979439 393701 846226 699299 -761402 798700 -462160 -730057 796361 433744 -413856 -318436 10296 -656590 612843 -68527 -699128 512202 -787095 -46995 -586053 -268335 313449 947149 -503157 -136142 853795 487401 1065112 332230 -339311 771348 -512180 150931 -97597 564230 660315 -392355 860737 711857 -689382 -208120 592151 789879 -801142 -988956 -389465 114703 351641 -95462 88572 633049 -523751 -553749 -875894 -821278 974593 -382403 -678142 18691 205720 -835361 -180818 -924939 24455 -739630 364907 -356277 -154063 -944146 -739361 -556329 -466986 -261144 -400262 -177339 -791992 -915844 353018 10272 437269 -177202 976942 268756 -201113 648837 -812207 -1004633 -834151 -708355 -360641 -68230 -2752 691860 -281998 -757704 -708388 -470968 85183 -17283 566394 653108 416945 809621 -966744 -720630 -811287 58373 898215 -365762 69600 811946 -425731 211405 -690906 276218 -311659 -972067 -670623 -1130084 360781 -276933 -54975 -906316 -363315 922909 -386305 -88856 -466461 -558243 809238 -601588 201851 -621256 336027 -736450 -510889 539956 -626730 -84701 71715 -927597 -1122177 -280930 -272820 -298214 -249298 -533359 353068 -1065183 -97853 635483 -112089 -236815 244097 -134773 767661 892374 -723044 633557 -635501 501756 679536 -580338 352104 313080 6782 857858 752616 -249877 549279 819704 -879478 27962 1063001 -651342 -853718 -521775 -1260 84026 702980 -325909 -814646 -582975 126808 408691 279185 -54606 -175298 -99185 -484105 23147 -109779 236303 -688808 616233 -507997 182424 779740 -433517 839033 98194 -959434 147887 423079 -14575 321148 -603135 906112 -462633 755140 -2952 -172185 309353 718163 445089 -635874 488470 23641 -476259 204629 1045782 442545 668969 485291 529013 545258 771128 78742 +288517 93037 -68800 -139258 868248 1018511 764801 -36181 505693 -555889 257400 -626910 319370 36331 -178312 -947460 431612 830921 694104 -541391 806570 -468430 -735593 706109 465440 -472810 -356515 36932 -593873 607373 -127046 -773694 499764 -758370 -116015 -536884 -215215 229558 939721 -550616 -138811 878489 465037 940206 377402 -412131 834692 -617599 151297 -61644 550764 580603 -232182 892985 697211 -680050 -88326 594171 858737 -787158 -966587 -362351 72583 330253 -92983 65550 626613 -483104 -556240 -892131 -658443 1023294 -358695 -682418 29874 171571 -835001 -310621 -919282 -31588 -716961 347757 -264179 -54123 -961696 -867015 -533420 -447530 -262534 -424230 -216058 -775758 -856656 333584 23688 461037 -308380 1006058 264042 -204928 647753 -844299 -956300 -814109 -698690 -474710 -74493 -26325 708155 -204141 -706174 -729316 -378559 105610 -66724 565230 617256 429679 796643 -920295 -654124 -745487 94982 909462 -402243 86851 838955 -436343 377422 -861226 343796 -430842 -957735 -718796 -1008562 444807 -275282 -2217 -917677 -283028 847179 -346803 -62006 -449575 -387929 825790 -634028 197361 -670644 355958 -859312 -523408 663739 -592771 -130994 -27447 -947694 -1158633 -257233 -407396 -321935 -247610 -541209 347512 -1039869 -226874 722482 -102180 -280973 391396 -149589 659232 913235 -744538 746653 -613742 487035 695873 -540380 367598 343208 4504 834856 825780 -267947 548438 757492 -860739 -41298 903172 -638940 -850034 -514794 -11853 113609 722786 -328203 -860102 -627762 -32931 401695 216340 -72385 -88990 -108409 -527027 97908 -141299 209122 -656930 647039 -488090 132231 901641 -565783 741285 -24282 -887287 205045 372885 17967 221211 -628032 982470 -493939 756721 57223 -209344 324218 701602 359666 -651980 486224 74319 -495523 218728 1049197 412058 668338 434371 566986 507157 699709 130248 +282872 146969 -93938 -115590 865751 1017465 801773 3779 501888 -451703 265697 -629035 321966 24060 -157796 -927961 403960 800036 692416 -502417 809146 -463698 -715839 668679 463610 -558859 -382259 35757 -583611 570360 -198780 -853581 529719 -748865 -158301 -592960 -212934 121057 909922 -582960 -175802 766441 532146 764286 506203 -459498 808562 -675616 146464 -64166 549248 653293 -51629 909029 663548 -658539 -9670 602820 923343 -778310 -762956 -251176 22195 299184 -53197 134674 617017 -487275 -571045 -907494 -609895 947201 -317984 -684286 8421 134558 -886539 -307962 -901706 -14639 -686056 343580 -222457 26847 -956605 -926056 -542395 -459373 -253635 -496913 -237733 -662784 -808464 370515 129474 474212 -439753 1031735 280454 -195629 624474 -901424 -850869 -753906 -696721 -510167 -43835 -26843 683638 -139404 -746350 -709773 -399819 118636 -70333 543562 564934 443626 835638 -850307 -713433 -607003 143157 910115 -430437 90074 707605 -471687 451074 -998911 433572 -585989 -986550 -763141 -818874 599227 -247983 46615 -906283 -201731 877709 -311279 -157306 -450970 -207129 850995 -658718 213339 -693350 460659 -911855 -538752 786692 -616178 -164188 -65376 -926667 -1140956 -241926 -536758 -333203 -247066 -578978 357831 -967216 -116346 813471 -54354 -375005 277406 -207580 669215 905239 -702798 812129 -645271 486097 708814 -327125 391392 370850 -11386 821074 883798 -323422 499415 685735 -836061 -87574 868596 -661375 -822530 -491010 -31609 169163 685765 -348280 -982187 -689209 -82465 400987 211570 -5996 -17072 -65658 -572234 270442 -154609 131926 -640787 756889 -463311 105925 936365 -579357 660015 -107207 -845870 290871 307983 64898 101740 -629912 909464 -499062 792263 152040 -230510 334924 721991 388441 -661646 532573 40295 -521460 250823 915092 356607 665268 376525 613654 395245 644156 101441 +288636 117930 -70875 -187465 877293 1062096 784904 76582 513651 -379879 222545 -410136 296767 17301 -157009 -919829 417762 792937 693871 -591013 797697 -479753 -705774 719330 438101 -530780 -373116 47304 -625000 511583 -236733 -835078 537362 -785871 -146223 -695385 -198888 43358 953684 -577177 -143380 643427 555177 749648 578359 -509787 774331 -663567 151947 -50093 542950 811592 -152088 870627 635803 -646171 -20211 585657 1001824 -717461 -589186 -171976 20991 282039 12601 199937 604762 -489478 -601502 -882499 -658434 829624 -273021 -677706 -12376 122843 -929241 -233017 -892415 -21000 -648429 339712 -255151 114236 -935806 -838132 -528419 -424027 -204861 -481079 -251963 -548123 -808851 397954 218712 471815 -382074 1040280 302295 -177187 642666 -859407 -891864 -716299 -698790 -397618 -42403 -10449 649024 -118279 -752960 -669167 -410415 96861 -62160 546006 522940 463843 862145 -809387 -787794 -540221 190851 882089 -453549 112591 542868 -529071 416037 -974182 489001 -680633 -965330 -828827 -843585 658184 -300550 42318 -911796 -155242 911529 -360745 -282591 -420452 -248659 879944 -635660 232904 -711423 564867 -877993 -522232 854756 -608241 -174711 -5367 -898109 -1103983 -227814 -568718 -329446 -247272 -584263 383446 -886685 41706 886406 -47224 -463963 87678 -272895 803101 923333 -578341 793099 -655112 501901 736855 -215640 398160 364039 93 805716 960095 -390187 474623 696580 -820558 -92063 914348 -653398 -810989 -475889 -33707 226283 571349 -416777 -1063463 -751544 -71907 403778 227143 143439 67076 -54978 -617054 348128 -144065 86150 -599266 804529 -467195 103588 835270 -541079 639800 -165173 -841495 399595 291126 123769 140056 -596819 774537 -494968 744834 72956 -236195 342161 671259 541122 -735819 593749 -29350 -574132 326073 799963 328572 667122 381464 698309 304048 639161 140842 +297140 89762 -104687 -235909 869794 1014342 820621 162041 518665 -380337 175888 -359290 290950 -55865 -147116 -862212 420569 831131 693885 -758921 765651 -493607 -698563 817293 427867 -458987 -354460 56910 -704727 455673 -220958 -753924 527212 -781749 -88580 -771847 -177799 55271 945117 -532412 -128608 647089 602838 785169 508667 -512296 740490 -593684 155078 -32164 550487 926406 -350840 823542 603410 -661942 -115870 599446 1025391 -706368 -695876 -163559 32404 275075 86329 160928 590331 -542841 -637420 -904111 -820622 761497 -213953 -680562 -13700 137518 -965354 -151612 -885475 12682 -620449 365542 -352801 90761 -925885 -720474 -528590 -430005 -168965 -403479 -249199 -610718 -871585 563016 166337 469114 -226914 1045018 316878 -170514 600019 -867896 -973633 -701692 -699623 -273717 -16729 23698 577893 -125993 -797836 -649344 -500239 94655 1920 510377 488317 469952 873290 -780845 -869350 -622370 242223 827390 -462828 159174 506246 -579231 302585 -818276 473738 -641510 -1001147 -817601 -1020488 604915 -392884 20805 -919257 -111643 903878 -428249 -308768 -432038 -446341 912083 -651017 235373 -730801 610271 -764644 -500351 823809 -600339 -203516 99475 -871101 -1024986 -235394 -486910 -345789 -243606 -555459 423398 -834035 108589 918950 -22363 -437497 21429 -302869 915289 932207 -507873 812312 -661137 522779 701467 -278982 432752 331739 -16867 837542 986333 -420923 457258 760077 -784703 -52074 1027196 -664211 -812865 -452052 -53901 264552 501324 -466099 -1127781 -779950 90064 399225 310985 145605 143823 -60085 -626125 294636 -182519 75847 -601632 771442 -497638 110779 765596 -440629 687713 -18707 -883977 444068 321477 170317 307513 -607051 772587 -503010 711247 -49688 -265344 347728 661353 608501 -743168 678731 -14863 -613303 391995 765126 360254 678122 457876 744121 301421 706192 139331 +290071 100301 -95010 -204126 869240 1049623 837581 206147 493506 -445885 166957 -440403 320079 -110421 -160957 -838717 422058 827399 687852 -751692 728386 -518052 -725160 914581 464602 -390599 -313259 62009 -763970 445018 -157537 -696964 518967 -771434 -41115 -742411 -151940 152316 908278 -492353 -132033 796166 658908 959395 359693 -479112 766486 -496255 147314 -25714 537498 915213 -423199 778700 608068 -664199 -233198 601392 1008055 -691779 -927932 -252057 26666 289833 146030 82977 573221 -535391 -673629 -875591 -978169 775729 -162076 -660250 -11929 168079 -949012 -148883 -873716 43694 -614407 408731 -368501 3121 -935311 -619267 -519669 -437020 -159286 -345248 -226781 -785474 -920410 639152 114742 452339 -157635 1025301 337582 -161021 615347 -860000 -1038917 -702215 -708949 -289348 -7768 4400 517224 -167824 -807693 -616598 -635000 95406 61002 528708 469587 482363 937831 -778957 -867751 -763237 286039 798776 -465845 169188 623705 -609865 166181 -688085 405384 -467148 -997244 -845387 -1157338 469978 -432200 -79395 -909366 -188066 894081 -460428 -214851 -425472 -594203 939133 -663379 225536 -702509 552680 -737128 -498796 707625 -659996 -217006 141613 -903655 -945387 -213307 -341474 -350195 -241039 -554983 460904 -839731 31014 911335 50221 -330802 166420 -278727 893516 929112 -505503 759895 -675196 517106 680684 -476748 439148 313905 6781 853646 933779 -390194 433251 826191 -756006 14426 1145260 -706231 -862314 -451575 -73363 269396 492390 -559042 -1148739 -837408 220990 394322 355108 91605 161037 -94719 -587672 169441 -150731 3837 -598581 674919 -503790 152693 722430 -356950 778122 105699 -975081 402715 410311 193331 343478 -618704 844288 -509642 708067 -73389 -280011 348048 665193 545437 -698204 663935 22925 -641647 392024 916774 418690 664528 483243 800720 392193 759602 91623 +288625 112530 -108637 -137959 883090 963504 850531 205027 495023 -555137 211120 -623522 374583 -139767 -159497 -848875 375186 864382 687127 -596919 680028 -527182 -733255 952368 482125 -342999 -258045 70190 -768708 487431 -88259 -760424 527315 -768570 -32044 -651832 -142118 258667 903883 -477290 -154286 889899 698937 1057904 385127 -411260 767553 -416204 153485 -31530 538867 771721 -303549 804077 531184 -686776 -299632 617526 941042 -655059 -974037 -340497 53036 268722 176091 67182 554766 -545678 -706244 -920447 -934061 879369 -120161 -642083 -39006 203814 -898989 -248228 -856017 -45114 -651492 396728 -310079 -90230 -953798 -635711 -530028 -426161 -165558 -453453 -185924 -794163 -904813 619971 2961 454617 -278134 1013525 383000 -155385 609640 -868838 -966063 -713753 -697385 -396681 -29253 -18503 465477 -263123 -761120 -593527 -729922 105201 86740 499461 466385 494203 963430 -798891 -815866 -807240 298709 763429 -466785 140718 795304 -606763 173263 -636029 312402 -316828 -1007542 -792223 -1043948 378002 -448225 -119547 -913886 -299794 907665 -442703 -92025 -404466 -475829 957720 -667000 230046 -652004 433547 -719950 -455466 594458 -675439 -194162 101776 -921870 -861117 -206538 -233175 -351589 -244766 -561002 504178 -898769 -108832 772188 39884 -262353 340896 -215742 769977 937452 -587605 670698 -688241 557886 680227 -609109 452375 337201 18850 860488 895974 -339029 419199 774454 -737301 80090 960451 -687879 -844257 -460896 -60220 228188 605199 -623643 -1099134 -828808 207084 403349 383844 7653 121679 -106615 -540043 42780 -167851 53033 -611124 609428 -507201 232320 854632 -301434 881693 69197 -1018196 325911 437417 276477 213765 -646970 971199 -499409 744592 -25943 -304801 341696 604907 357627 -660902 657992 43388 -620600 354484 1047502 438693 674357 418051 869905 503206 846055 75860 +285642 146418 -122028 -130311 883296 966181 828686 136935 493493 -641427 250910 -610616 382871 -182514 -97996 -843335 411676 829034 690391 -513133 631523 -551020 -685792 921304 476568 -439877 -182640 51462 -717475 551873 -54995 -806813 502118 -792459 -72019 -554688 -122528 322603 928098 -505605 -140251 834332 726752 1072804 506390 -353259 796426 -393847 152773 -54273 534372 617341 -132815 846919 577383 -682136 -275439 606363 848672 -615333 -801943 -402544 89305 293089 167399 132725 534925 -580013 -726751 -889401 -815314 1000245 -93239 -635204 7036 211903 -854383 -333981 -857020 -26866 -698391 414527 -233492 -144087 -957777 -768502 -525497 -448630 -178279 -523694 -126433 -646527 -850209 605972 15446 496335 -422965 979032 349238 -175578 568434 -893844 -880143 -691458 -712632 -509222 -23941 -40319 445711 -330222 -721012 -557298 -750315 91913 82996 475181 489260 499314 948598 -837739 -728161 -710710 305125 771007 -449114 174262 853069 -574237 320546 -691941 252132 -319446 -1022151 -731370 -865936 428597 -462050 -139919 -911481 -355039 893094 -399533 -62270 -390342 -275082 962163 -633574 247988 -627343 347877 -872001 -515275 475954 -674049 -155646 6414 -947809 -804199 -226655 -245509 -350419 -243827 -537987 537232 -982115 -168274 693502 88105 -332450 349220 -153448 654365 959954 -704979 654447 -657927 579136 649899 -516801 475571 366056 30532 865755 801369 -283360 409814 693667 -725632 115930 837842 -683868 -872333 -469512 -64941 169560 691042 -663399 -973129 -844238 173608 396403 370741 -73197 58742 -96027 -490621 2122 -155816 31650 -626697 667817 -484343 301173 939041 -345221 987904 -83712 -1029196 229893 397122 259425 82799 -597375 948578 -477703 797224 39076 -310994 335151 575026 349863 -636967 614131 81004 -598004 306783 1057237 402734 663808 375374 901017 544529 884422 111035 +312004 130575 -101203 -185143 882857 940864 834416 54212 478395 -671517 255291 -485218 361232 -270109 -134119 -820422 364865 841259 684220 -580162 590084 -572755 -699287 840981 488830 -497166 -132495 20460 -644994 599299 -80004 -844153 535542 -740206 -129625 -563228 -108804 303459 928253 -555384 -135090 690200 738478 988338 568141 -273174 815587 -443385 149342 -86337 541366 583408 -74793 900768 568260 -665907 -172645 616547 788064 -579706 -637388 -343890 129119 281928 122668 193958 514823 -629881 -731602 -901730 -653090 995423 -81201 -624441 -9959 201214 -842525 -264967 -844984 -13087 -721519 426311 -245201 -131278 -943024 -885909 -538955 -429860 -217920 -512203 -92860 -559265 -800719 514477 95744 477894 -414992 943500 352523 -180582 558404 -896940 -851505 -705419 -708204 -486320 -25618 -22526 436051 -388062 -688741 -568788 -762450 102246 15539 439161 526652 503943 941236 -893446 -687775 -576406 328867 790972 -423749 176286 734289 -514578 438016 -803110 311571 -433486 -1012885 -671444 -851106 558655 -429843 -77058 -912105 -282113 877442 -337690 -159803 -410193 -198310 956916 -658413 275709 -596840 343550 -893112 -486180 479368 -651125 -139965 -58059 -908966 -770645 -193712 -356375 -363726 -242375 -511059 550501 -1048034 -125014 631766 83693 -415926 182658 -133388 676570 946288 -745664 696435 -637347 610023 662166 -329396 474457 364616 48258 805379 759868 -257112 416698 680270 -709902 107643 837682 -719110 -863254 -497067 -45613 119679 718110 -660094 -872023 -840845 30008 394631 301980 -67777 -26445 -29845 -438725 87330 -150919 152628 -654368 778414 -455873 339982 886676 -442278 1040108 -158373 -972083 137956 324529 272945 146621 -570383 822591 -480087 774696 130522 -334250 319504 566472 477854 -667321 523961 50574 -536628 241408 906319 346249 673513 401134 918037 489302 875171 132406 +296340 84857 -78253 -244314 876734 979734 816977 -17028 485674 -615607 222512 -404103 390821 -289775 -88971 -835905 406914 853688 686931 -751399 549555 -587779 -730532 746069 471808 -563465 -76974 20815 -589642 618167 -145949 -789824 501902 -766508 -158847 -642805 -100817 209465 923780 -581107 -149673 637900 788755 850431 500952 -207798 816954 -534870 162702 -108063 538699 698418 -263009 911251 599046 -669345 -57907 626988 778869 -572667 -661835 -242584 161482 291960 54550 174820 494497 -645758 -721895 -882308 -627945 900074 -87759 -635085 -3778 163195 -860212 -175813 -843090 -9661 -719817 428335 -357210 -78763 -926321 -920076 -521034 -428409 -253983 -418985 -50088 -632369 -832392 423373 174397 469317 -262329 898156 367687 -177919 550454 -896376 -971340 -747469 -712330 -357389 -5690 10486 455710 -342296 -794171 -574078 -657167 107195 -43712 438998 573212 505636 940145 -958933 -693784 -543934 306656 843726 -395788 161704 554798 -464218 447546 -985482 419600 -582821 -980108 -701119 -998844 665724 -375621 -42220 -905976 -229642 892707 -322602 -286312 -451315 -351732 940042 -648598 264627 -558218 428972 -878754 -513792 561230 -651836 -99898 -29586 -871363 -795535 -188162 -502437 -338531 -244401 -559665 544817 -1065567 34470 632416 78768 -427137 29963 -166117 808989 952311 -703679 762824 -663830 658973 712073 -219326 473943 331987 65583 815077 752186 -276184 464611 752400 -711566 58074 988440 -701952 -805811 -538841 -56417 83462 714711 -622528 -818459 -819767 -86773 401793 232733 3552 -123466 -82716 -375918 242988 -188833 204168 -669456 824409 -472747 431471 809486 -534649 985691 -127949 -892433 84250 284029 279664 322456 -620908 745737 -467508 783863 74687 -351972 306938 530392 598558 -726047 481738 888 -505407 211291 795962 336147 667032 489571 909040 377866 807979 141526 +300777 65908 -98035 -200531 892251 952938 785245 -38336 501059 -525278 178508 -451962 352996 -297273 -99143 -832319 392021 815002 685817 -766207 521158 -600078 -720234 676628 458568 -531099 -38936 8802 -584244 594666 -212162 -735107 501436 -771790 -140629 -740040 -87048 99586 950088 -576230 -136342 719332 741771 736155 400808 -183841 747032 -633622 152492 -92201 531762 864193 -414531 862516 643208 -701081 -1783 632605 784729 -551162 -876672 -152596 183381 299439 -18016 88690 475077 -664294 -697800 -906073 -679271 811710 -106278 -645492 55706 131451 -915828 -145734 -838183 22009 -719943 394652 -359200 607 -928783 -827720 -550196 -421832 -272828 -373417 7356 -766942 -886835 321823 174510 450260 -167740 846601 370463 -192567 509026 -892807 -1021439 -750737 -715974 -276794 47862 31535 516593 -311867 -785327 -595611 -524297 110907 -66895 420371 621292 497897 942868 -1018682 -779716 -654326 270410 870402 -361455 175721 497468 -430828 358352 -981122 478211 -666189 -1010151 -654986 -1168584 638103 -320200 20126 -910449 -131324 881721 -362637 -307125 -460388 -559624 910304 -636044 303198 -531984 539215 -785448 -492178 678507 -636100 -53095 61147 -869472 -851043 -196006 -577214 -338195 -238031 -545161 522496 -1021022 143543 665828 66186 -356106 75108 -231561 913542 942543 -630819 822316 -639266 682000 698399 -305619 499119 312371 69344 813170 811497 -327118 443540 813540 -722507 -11600 1072250 -695282 -832062 -530337 -40194 99367 595074 -571059 -845362 -763186 -95481 396708 211267 96235 -174959 -63988 -326252 336697 -223227 284252 -702109 770287 -493676 436702 756418 -581743 911085 8699 -834085 94234 318119 244180 344942 -566933 849469 -473447 781006 -49094 -358428 287719 565132 576502 -740964 470421 -9025 -489016 222319 780279 375536 665248 483600 873551 295848 725828 111763 +296836 88768 -65902 -145320 883837 975981 778689 2007 512054 -423264 175432 -601650 292910 -324670 -81122 -856026 421066 840486 688586 -618518 497369 -621126 -718541 683553 517188 -466368 -12397 11437 -641898 518857 -238453 -723899 521732 -774597 -65940 -756737 -93877 43006 913197 -532205 -150443 848266 756392 724053 345273 -171524 750902 -682597 143527 -116184 532577 938719 -380406 832815 697923 -683736 -41304 631209 862139 -547116 -994975 -175037 191675 308149 -74717 68719 456386 -668425 -662319 -894031 -814731 760027 -150667 -636373 44318 117455 -953658 -196990 -831686 46331 -683512 395563 -319503 125586 -953450 -693667 -559864 -454813 -255487 -395719 35683 -800427 -919216 372467 104682 473335 -245576 790821 349393 -202117 533434 -879391 -985200 -787689 -715408 -321814 8657 -2847 563420 -233388 -771038 -616134 -461854 95572 -68717 403786 656345 490043 891492 -1062545 -868788 -786616 231802 904902 -324214 200697 598544 -432879 220742 -871036 485104 -629917 -969195 -691817 -1072085 487167 -263616 34253 -910211 -86994 896386 -443421 -201913 -458880 -568100 879943 -620953 265321 -535695 608656 -714720 -549008 801880 -615039 -18813 136882 -881775 -929650 -177367 -526701 -324355 -241071 -607827 491743 -942925 60328 783610 40573 -284258 281683 -288817 890461 926700 -473080 765955 -629830 684223 727297 -483588 513277 331981 71887 821454 873572 -376041 472658 797122 -726558 -71383 1052751 -710823 -827956 -500400 -8184 145193 535387 -512428 -916504 -689876 23279 397464 231777 134910 -192112 -93564 -344460 319867 -216624 331628 -723610 657500 -518207 454466 780578 -549746 784223 85701 -834505 187889 382712 201498 198159 -589228 991352 -484423 744154 -73459 -363979 264700 552276 496819 -727639 493495 -5145 -492846 254260 936581 431021 671456 433213 804896 313027 667632 75203 +308656 140732 -91013 -125656 893740 998509 728018 81516 515870 -368076 217075 -585197 308771 -274623 -86174 -839373 397417 867803 687249 -514263 492417 -628419 -710664 761424 482665 -376530 -27035 -2684 -718050 456713 -207468 -797531 521555 -798558 -26358 -684241 -87483 66334 955907 -489722 -154585 885172 705582 870904 475663 -198105 756115 -654884 153158 -115773 534503 874044 -202332 789376 744979 -674975 -152129 641870 960244 -558005 -824201 -273486 170685 316810 -99028 123575 439365 -698229 -625012 -887594 -970903 812939 -196860 -653296 24557 136913 -955851 -307738 -836559 -15639 -649470 352468 -265608 111072 -962194 -618507 -568037 -466725 -241410 -526588 55574 -646660 -880555 413561 53496 463564 -411022 754876 333857 -202282 515780 -886369 -914658 -823137 -708894 -420455 -13325 -32604 628110 -183201 -691635 -645066 -354532 77593 -39482 395214 683308 474398 839301 -1092556 -884207 -795599 164056 917465 -291100 211522 786738 -463206 167238 -730997 430860 -448478 -974405 -699348 -891821 392644 -271349 17615 -916793 -183064 888786 -450704 -86159 -480912 -365918 848138 -612409 298425 -604312 573094 -714136 -556650 838816 -575888 -5449 131185 -932900 -1022195 -166811 -394762 -312869 -232243 -567802 444927 -866159 -102598 883189 26472 -255935 380552 -300888 767819 948718 -463733 777161 -679375 721843 724646 -584575 505552 367655 85732 865649 925278 -425126 528402 724110 -740810 -95986 851386 -668237 -861672 -483962 4068 210433 517505 -446689 -1035781 -702119 144763 397903 280541 104799 -135822 -76359 -352705 157503 -204348 366831 -794064 610113 -515499 437300 853932 -450859 676879 20261 -875516 290877 422452 107509 83365 -591912 944796 -495298 720197 -49111 -371081 246357 567433 358998 -662315 568314 -25685 -546781 343090 1039457 435199 666773 372668 742972 413048 639355 72450 +290463 152032 -96595 -191075 893327 1023815 742290 157856 520458 -385028 257331 -482757 293392 -250845 -36474 -886889 372671 886353 688716 -555470 500009 -630948 -731947 884532 497194 -382123 -52279 12746 -769864 448186 -138248 -857720 548355 -767924 -43644 -599736 -85019 169054 915268 -478120 -170935 781291 667648 1031411 566844 -245689 801969 -569543 139032 -95027 530873 716389 -86991 822672 735702 -700834 -260686 631336 1011808 -578845 -636356 -361311 152046 331051 -84448 194859 424820 -694024 -591080 -867840 -961778 946763 -249508 -647400 10389 182753 -934150 -311411 -837999 -18033 -634150 355285 -213105 45258 -949369 -652886 -587727 -511128 -203756 -529526 85959 -542282 -822222 503064 -251 483873 -421502 720413 309842 -180974 497436 -896707 -869153 -834358 -715852 -501515 -10953 -42363 690786 -98428 -735522 -666909 -415049 124488 40502 367758 682290 463488 832496 -1104203 -824262 -679494 112053 896990 -256018 254811 830747 -510798 269378 -645069 329828 -319496 -997724 -747749 -819901 410398 -267737 -24933 -903077 -256801 898753 -442482 -63066 -445509 -181473 826127 -628842 285318 -617609 470743 -812639 -515881 804008 -597037 25203 47621 -952488 -1099591 -165915 -266755 -303167 -238190 -537630 404916 -830442 -202612 903097 -29611 -350221 274461 -260749 646332 927755 -562896 673418 -675601 723833 724665 -502485 517108 366741 65934 873104 969609 -391800 578304 676172 -772269 -74776 832098 -671477 -857206 -451229 17631 255915 573367 -380106 -1136151 -656277 242707 399850 353564 39165 -82767 -47504 -416773 57002 -201630 332801 -819593 670705 -479457 419842 903514 -351739 647198 -131468 -960187 354460 397919 99934 151491 -599308 845455 -512355 793916 41262 -360469 219192 582455 415873 -640806 625148 50804 -595461 378348 1047168 383903 674140 407701 693875 517522 665842 100466 +295532 103548 -80346 -230017 893217 1024922 667366 206208 504705 -476352 264403 -363101 305107 -256945 -10039 -921057 378961 895703 689030 -736252 525947 -638822 -745848 940823 511237 -428395 -84916 20942 -764892 468881 -74864 -808841 497642 -769606 -86400 -552773 -117187 277051 949534 -504947 -177744 654969 637440 1108494 513214 -331215 776558 -478838 144936 -89318 540399 595016 -171977 861881 725170 -681525 -303631 636585 1010603 -571604 -633167 -391052 112750 357159 -34971 165534 412547 -688467 -565888 -881045 -826884 1015419 -300995 -657552 6185 208173 -877112 -222321 -845239 -24233 -626785 334708 -245353 -44436 -935093 -787573 -596372 -459550 -174403 -459442 55735 -534649 -806074 577570 51149 487830 -285020 696463 280180 -175828 496759 -867440 -980441 -821108 -719912 -470508 -28600 -12091 693367 -121962 -730919 -699229 -496755 99025 102936 361466 664427 446659 830205 -1084082 -718281 -556782 56284 856880 -225159 227306 720478 -565133 397857 -691945 278994 -329493 -1000414 -822283 -979696 549080 -332481 -87761 -913153 -349104 886987 -383291 -165810 -429570 -263495 809903 -599949 314418 -683883 364385 -846650 -485996 695025 -604336 42077 -44847 -914797 -1142817 -163002 -226976 -310524 -242779 -543014 366158 -852528 -137318 901317 -41661 -460466 62109 -192330 673583 910916 -651879 649234 -687008 718212 676078 -308314 509429 329085 59644 862558 960594 -351601 613534 715857 -783652 -16827 957453 -658432 -848913 -440031 39502 275301 672568 -324794 -1167967 -606923 216957 402481 384427 -55234 -4957 -67804 -454287 5109 -238719 307930 -852799 770762 -455495 314311 904408 -300793 673312 -158674 -1010044 428833 335167 14397 310191 -608927 764212 -504085 737359 96721 -358541 192158 581996 509405 -657651 678926 84184 -630337 392458 910145 335366 672776 465530 611106 545087 730117 136991 +301751 86154 -65765 -205209 906813 1028002 721135 203018 506056 -583791 226156 -413014 350318 -202588 -5898 -942833 366081 897827 683135 -802673 551397 -634965 -729697 940226 561371 -507549 -149421 45864 -706528 532251 -56467 -745773 518316 -776624 -152848 -590596 -108728 327387 954058 -555408 -190644 659040 556752 1054463 374048 -399252 770487 -402427 132587 -64491 529158 607554 -353392 897421 706797 -685838 -251877 641873 977090 -614408 -862316 -307435 80839 373356 35068 96839 403432 -651500 -554241 -907374 -663876 987164 -347439 -663058 20276 214919 -828629 -142848 -853250 9286 -654579 336330 -376353 -135379 -924629 -910059 -591694 -515688 -167190 -370318 29051 -744680 -846934 634822 137898 448252 -163438 679208 287918 -170244 521338 -875033 -1012680 -812897 -719502 -320513 -23323 25436 716093 -161576 -756018 -713252 -630010 77808 85971 356616 631536 434336 818690 -1051705 -690182 -561882 42342 805050 -204036 217855 569391 -605855 466760 -811717 290042 -410425 -994981 -859492 -1144673 638100 -375876 -125713 -895640 -333753 860574 -323052 -286410 -409983 -469624 807315 -554757 305795 -695020 332624 -885490 -504878 570981 -621411 41518 -57108 -885687 -1159959 -161669 -308064 -291395 -232951 -512060 351438 -921331 41282 827196 -82655 -449231 9119 -141986 805361 912327 -738537 685213 -674298 713842 665130 -223741 524692 314663 49458 813556 906416 -283229 658783 795988 -824820 52600 1088251 -636236 -835980 -451266 63933 244770 724489 -298601 -1093607 -546330 78389 402777 371042 -55279 79162 -79237 -534599 76824 -237735 235330 -879337 827784 -473847 258248 766044 -353798 751774 -36332 -1028873 423457 289664 -9147 347209 -611804 788488 -496628 790183 84989 -349327 164570 632799 652914 -701529 680089 20375 -643714 346219 788968 341483 672154 483969 573867 470613 825804 89298 +289633 117075 -113199 -141620 895175 1009630 666320 136242 497504 -655234 174967 -584761 383193 -134429 9330 -942725 388500 901248 683239 -665073 590705 -626848 -771823 895200 530476 -539827 -215791 24595 -633197 599275 -93041 -718593 531740 -773925 -147402 -675051 -127391 288424 962752 -583021 -138766 788389 496497 919437 347492 -470375 764535 -397182 139352 -57031 530373 751524 -439102 907538 683570 -713474 -135678 643804 931758 -641516 -996248 -233329 50466 402452 106247 54771 397161 -661955 -559475 -917292 -577630 864721 -375614 -669983 -38635 195396 -842867 -159948 -860064 53471 -692343 358125 -373162 -135363 -937988 -903788 -592634 -505047 -166472 -372690 -2674 -783139 -907197 616539 197108 449027 -212683 681049 275222 -169761 504206 -883486 -975551 -775149 -725087 -269285 -15095 19035 678958 -234136 -753253 -720329 -716614 107392 71163 336165 583919 421531 871670 -992683 -702811 -690521 51630 769997 -189426 238959 506072 -610024 422189 -935405 388075 -544135 -977293 -861023 -1110305 657549 -422194 -138892 -894725 -271159 896767 -316636 -308140 -404323 -552929 817804 -533937 307016 -740459 392773 -783378 -474569 487548 -637382 17547 18737 -883404 -1129615 -132986 -442637 -283712 -231738 -564760 345601 -1002732 102908 717717 -63162 -307687 172435 -138375 920601 889379 -718811 760559 -640119 673374 647924 -307185 515085 334601 19098 792859 844867 -257398 670219 815642 -829114 104916 1065258 -640179 -831958 -469713 94282 190387 750704 -333987 -1020490 -491400 -60142 403126 321125 8317 147390 -96672 -600963 219265 -249698 170779 -906083 748440 -494009 195078 734197 -431398 855517 61117 -982051 386399 297775 -66374 211191 -590351 942933 -491739 774701 -4366 -360370 140531 642200 545036 -735271 608323 15849 -635137 300812 792597 391547 672797 438834 525889 356816 866542 57395 +288379 126837 -98471 -127116 916966 1008698 680558 48760 490806 -656035 172999 -607931 410871 -73958 15257 -993496 364436 928268 683854 -530792 635660 -612793 -732243 792503 501585 -497196 -274188 31539 -582760 620505 -165216 -767214 504977 -778163 -116832 -746006 -151786 189921 942944 -573802 -145140 889428 493333 809515 443656 -500355 785318 -463280 142938 -17482 526500 904629 -266190 875225 634813 -740448 -31310 651272 832837 -658676 -881653 -158069 25396 413597 158896 128529 394456 -613371 -577745 -913826 -653083 751351 -389179 -688272 -16032 155600 -892092 -260172 -869382 74036 -727063 369884 -333837 -115946 -956967 -807583 -603071 -509744 -198291 -477517 -81842 -709247 -924587 559917 140011 472415 -371640 683286 258263 -170335 529091 -889735 -850103 -732423 -722066 -317719 6926 -15005 632522 -297630 -757730 -689975 -780599 103222 -3390 308911 535465 402972 924590 -942428 -803985 -801953 20708 763150 -185811 258335 598564 -582468 269247 -979230 462333 -662027 -986649 -804559 -918548 514499 -466682 -83835 -892082 -102145 897664 -380046 -199631 -396848 -448732 840077 -534498 307973 -692061 507808 -695865 -492507 483134 -639136 8605 116673 -917730 -1062716 -146449 -563917 -282566 -234575 -505280 363843 -1058445 53514 639579 -121716 -260032 358766 -185256 906700 880034 -643616 853098 -597002 662996 660557 -524805 512248 369483 17382 818118 777030 -270920 665367 740626 -864493 117053 943430 -663686 -837169 -505510 128688 126728 649426 -383125 -936215 -454343 -113450 406855 271607 66290 174977 -74534 -598428 350416 -257658 125054 -917055 657095 -520673 119023 859604 -553692 933625 85156 -909192 271268 391156 -34472 83304 -589378 968721 -467204 766271 -111636 -355192 114293 669812 425715 -719665 563149 -15728 -600269 229687 929945 437503 677565 368033 510803 291127 877916 71120 +293591 151210 -114337 -183379 907237 1019889 632023 -16460 480318 -606209 212511 -507732 378404 375 31335 -995031 382713 963173 687131 -566314 685967 -600434 -740423 718283 531755 -446319 -341526 35367 -591224 600869 -224307 -804333 518143 -790883 -51105 -746961 -172174 82622 936155 -531035 -189433 843795 450394 721881 535893 -510131 744319 -563099 139553 -34662 544573 936159 -119093 821555 589588 -728370 -4121 651198 776115 -720333 -661112 -165251 12652 413958 177676 188863 394820 -598375 -608326 -930793 -835665 753798 -385403 -689701 -37313 122909 -946941 -319855 -887710 -16471 -737980 401603 -252361 -4665 -953730 -680129 -603367 -539244 -220288 -541484 -117868 -544294 -872158 445350 67698 501598 -433446 706073 256917 -185083 576136 -888742 -857574 -705498 -727329 -439519 -30741 -36353 559549 -361791 -731933 -672682 -753060 86030 -48199 298567 497124 379623 962373 -876895 -845510 -779326 29036 771273 -183730 304823 764001 -532130 189344 -912059 498327 -593611 -985366 -728368 -809879 397383 -469178 8949 -888693 -104349 901525 -453179 -85387 -463134 -225599 869299 -505291 331724 -697967 595384 -701682 -464611 564974 -641768 -33445 143103 -929163 -985483 -149536 -556210 -299470 -231158 -527461 394409 -1059557 -109546 627743 -75503 -295629 341850 -254174 768842 882248 -531283 779893 -582316 635094 656778 -591486 520780 373652 1676 861891 746284 -321376 725925 677603 -877447 84115 818973 -649643 -862468 -541561 159377 94941 575620 -422190 -826356 -488760 12055 413787 217864 140215 138351 -61275 -619019 292993 -232264 29699 -925709 615046 -508066 85144 903360 -587640 996305 -16270 -829439 173271 424462 15525 153555 -602850 860099 -476864 760082 -47725 -322890 89437 692287 341212 -660714 477844 -8807 -540585 200609 1067322 422957 663864 384296 556145 324663 862147 147350 diff --git a/sim/corrout.txt b/sim/corrout.txt new file mode 100644 index 0000000000000000000000000000000000000000..55d31b2b298372b0dd1f08c4ead113a26745bf31 --- /dev/null +++ b/sim/corrout.txt @@ -0,0 +1,200 @@ +-2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -2 -1 3 0 0 2 0 1 2 -1 3 4 -2 -1 1 2 1 1 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 2 -1 0 1 2 1 1 1 0 1 2 -1 0 1 -1 -2 1 1 -1 2 1 -1 -1 2 2 0 0 3 1 2 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 3 1 0 0 -2 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 -1 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 -1 1 0 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 3 1 0 0 -2 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 -1 0 -1 1 0 0 0 -1 -1 1 0 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 0 1 0 1 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 2 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 -1 0 -1 1 -1 0 0 -2 0 1 -1 0 1 1 1 1 1 0 1 1 0 1 1 -1 -2 0 1 0 1 1 0 -1 2 2 0 0 2 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 -1 0 -2 0 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 -1 0 1 1 0 1 1 0 1 2 0 1 1 -1 -2 0 1 0 1 1 0 -1 2 2 0 0 2 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -3 0 0 2 1 0 0 -1 1 3 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 -1 0 1 1 0 1 1 0 1 2 0 0 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 2 1 2 1 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -3 0 0 2 1 1 0 -1 1 3 0 -2 -1 0 -1 2 -1 0 0 -2 0 2 -1 0 1 2 1 1 1 0 1 2 0 0 1 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 2 1 2 1 1 -1 0 1 0 0 1 2 -1 -2 -1 1 0 -1 2 1 0 1 -1 -1 1 -1 -3 1 +-2 0 -2 1 0 1 1 -2 -1 -1 0 0 -1 0 3 0 0 2 0 1 2 -1 4 3 -2 0 0 2 1 1 0 -1 1 3 0 -2 -1 0 -1 1 0 0 0 -2 0 2 0 0 1 2 1 1 0 0 1 2 0 1 1 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 2 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 -1 -3 0 +-2 0 -2 1 0 0 1 -2 -1 -1 -1 0 -1 -1 3 0 0 2 0 1 2 -1 4 3 -2 0 0 2 1 0 0 -1 1 2 0 -2 -1 0 -1 1 0 0 0 -2 0 1 0 0 1 2 1 1 0 0 1 2 0 1 1 0 -3 1 1 -1 2 1 0 -1 2 2 0 0 2 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 -1 0 -1 1 0 0 0 -2 0 1 -1 0 1 2 0 1 0 0 1 2 0 0 1 0 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 2 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 0 -1 -4 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -1 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -2 0 1 -1 0 1 2 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 1 1 0 -1 2 2 0 0 2 1 3 1 2 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 0 -1 -4 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -2 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 1 2 1 1 1 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -2 0 2 -1 0 1 2 0 1 1 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 1 -1 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 1 2 1 1 0 -1 0 2 0 -2 -1 0 -1 2 0 0 -1 -2 0 1 -1 0 1 2 1 0 1 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 1 -1 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 1 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 1 -1 0 1 1 1 1 1 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 3 0 0 3 1 2 2 1 -1 0 1 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 1 -1 -1 0 -1 -3 1 +-2 -1 -2 0 -1 0 1 -2 -1 -1 0 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 3 0 -2 -1 0 -1 1 -1 0 -1 -2 0 1 -1 0 2 1 0 1 0 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 0 0 0 0 1 2 -1 -1 -1 0 -1 -1 3 1 0 1 -1 -1 0 -1 -3 1 +-2 -1 -2 0 -1 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 5 -2 0 0 2 1 0 0 -1 0 3 0 -2 0 0 -1 1 0 0 -1 -2 0 2 -1 0 2 1 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 2 1 0 -1 1 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 3 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 -1 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 -1 1 2 -1 4 4 -2 -1 0 2 1 0 0 -1 0 2 0 -2 0 0 -1 2 0 0 -1 -1 0 2 -1 0 2 2 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 2 1 0 -1 1 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -2 -1 0 -1 -3 0 +-2 -1 -2 1 -1 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 3 4 -2 -1 0 2 1 1 0 -1 0 2 0 -2 0 0 -1 2 0 0 0 -2 0 2 -1 0 1 2 1 1 0 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 -1 -4 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 -1 1 -2 0 3 0 0 3 0 1 2 -1 3 4 -2 -1 0 2 1 1 0 -1 0 2 0 -2 0 0 0 1 0 0 0 -2 -1 1 -1 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 0 -4 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 -1 1 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 0 1 1 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 -1 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 -1 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 0 1 1 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 0 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 1 1 1 0 1 2 0 0 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 0 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -3 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 1 1 1 0 1 2 0 0 0 -1 -3 1 1 0 1 1 0 -1 2 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -2 -1 1 0 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 -1 1 -1 0 0 -2 0 1 -1 0 1 2 1 1 1 0 1 2 0 1 0 -1 -3 1 1 0 1 1 0 -1 2 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 1 0 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 1 -1 0 1 1 0 1 1 0 1 1 0 1 0 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 1 0 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 -1 1 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 3 0 -2 -1 0 -1 1 -1 0 -1 -2 0 1 0 0 1 1 0 1 1 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 3 0 -2 -1 0 -1 1 -1 0 -1 -1 0 1 0 0 1 2 0 0 1 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -2 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 0 1 -1 0 3 0 -2 -1 0 -1 2 -1 0 0 -1 0 2 0 0 1 2 1 0 0 0 1 1 0 1 1 -1 -2 0 1 -1 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 0 0 0 1 2 -1 -1 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 1 1 1 1 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -1 0 2 0 0 1 2 1 0 0 0 1 2 0 1 1 -1 -3 0 1 -1 1 1 0 -1 2 2 0 0 3 1 3 1 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 0 -3 0 +-2 -1 -2 1 0 0 1 -1 -1 -2 0 0 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 1 2 1 1 1 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -1 -1 2 0 0 1 2 0 0 0 0 1 2 0 1 1 -1 -3 0 1 0 1 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 -1 -1 2 1 0 1 -1 -1 1 0 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -2 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 5 -2 -1 1 2 1 0 1 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -1 -1 2 0 0 1 2 0 0 1 0 1 2 0 1 1 -1 -2 0 1 0 1 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 2 1 0 1 -1 -1 0 -1 -3 1 +-2 -1 -2 0 -1 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 1 0 0 -1 0 2 1 -2 -1 0 -1 1 0 0 -1 -1 0 2 0 0 2 1 0 0 1 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 0 0 -1 -2 2 1 0 0 -1 -1 0 -1 -3 1 +-2 -1 -2 0 -1 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -1 0 2 0 0 2 1 0 0 1 0 1 1 0 1 1 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 0 0 -1 -1 2 1 0 0 -1 -1 0 -1 -3 1 +-2 -1 -2 0 -1 0 0 -2 -1 -1 -1 1 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 3 0 -2 -1 0 0 2 -1 0 0 -2 0 2 0 0 2 2 0 0 0 0 0 1 0 1 1 -1 -3 1 1 -1 2 2 0 0 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 1 -1 0 0 -2 -1 -1 -1 1 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 1 2 1 0 0 -1 1 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 2 2 0 0 0 0 1 1 0 1 1 -1 -3 1 1 -1 2 2 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 1 -1 0 0 -2 -1 -1 0 1 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 1 2 1 0 0 -1 0 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 0 1 0 0 1 2 0 1 1 0 -3 1 1 -1 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 -1 1 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 1 0 0 0 -2 -1 -1 0 1 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 -1 1 2 0 0 0 -1 0 2 0 -2 0 0 0 1 -1 0 0 -2 0 1 -1 0 1 2 0 0 0 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 1 2 0 0 3 1 2 1 1 -1 -1 0 1 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 1 -1 -3 0 +-2 0 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 -1 1 2 0 0 1 -1 0 2 0 -2 0 0 0 1 0 0 0 -2 -1 1 -1 0 1 2 0 0 0 0 1 2 0 1 0 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -2 3 1 0 1 -1 -1 1 -1 -3 0 +-2 0 -2 0 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 3 -2 -1 0 1 1 0 0 -1 0 2 0 -2 -1 0 0 1 0 0 -1 -1 -1 2 0 0 1 2 1 0 1 0 1 1 0 1 0 -1 -3 1 1 0 2 1 0 -1 2 3 0 0 2 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 2 1 0 1 -1 -1 1 -1 -3 0 +-1 0 -3 0 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 3 -2 0 0 1 1 0 0 -1 0 2 0 -2 -1 0 0 1 -1 0 -1 -1 -1 2 -1 0 1 2 1 0 1 0 1 1 0 1 0 -1 -3 1 1 -1 2 1 0 -1 2 3 0 0 2 1 3 2 2 -1 -1 0 0 0 1 2 -1 -2 0 0 -1 -1 2 1 0 1 -1 -1 1 -1 -3 0 +-2 0 -2 0 0 0 0 -2 -1 -1 0 1 -2 0 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 0 2 0 -2 0 0 0 2 -1 0 -1 -2 0 1 -1 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 2 1 2 2 1 -1 -1 0 0 0 1 1 -1 -2 0 0 -1 -1 2 1 0 1 -1 -1 0 -1 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -1 0 3 0 0 2 -1 1 2 -1 3 4 -2 0 0 1 1 0 0 -1 0 2 0 -2 0 0 -1 2 -1 0 -1 -2 0 1 -1 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 1 -1 -2 0 1 -1 -2 2 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 1 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 1 1 0 0 -1 1 2 0 -2 -1 0 -1 2 0 0 -1 -2 0 1 -1 0 1 1 1 1 1 0 1 1 0 1 1 0 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 1 0 0 1 1 -1 -1 0 0 -1 -2 3 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -1 0 3 0 1 2 0 1 2 -1 3 4 -3 0 0 1 1 0 0 -1 1 2 0 -2 -1 0 -1 2 0 0 -1 -2 0 1 0 0 1 1 1 0 1 0 1 1 0 1 1 -1 -2 1 1 0 1 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 0 0 -1 -1 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -1 0 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 1 0 0 1 2 0 1 1 0 1 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -2 -1 0 -2 0 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 1 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -2 -1 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 0 0 -1 1 -1 0 -1 -2 -1 1 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 -1 1 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 2 -1 0 2 2 1 1 1 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 1 2 1 1 1 -1 1 2 1 -2 -1 0 0 1 0 0 0 -2 0 2 -1 0 2 2 1 1 1 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 1 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -1 0 3 0 0 3 0 1 2 -1 4 4 -3 0 1 2 1 1 1 -1 1 3 1 -2 -1 0 0 1 0 0 0 -2 0 2 -1 0 2 2 1 1 0 0 1 1 0 1 1 -1 -2 1 1 0 1 1 0 0 2 2 0 0 3 1 3 2 1 -1 0 1 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 0 -3 0 +-2 -1 -2 1 -1 0 1 -2 -1 -1 -1 0 -1 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 0 3 0 -2 -1 0 0 1 -1 0 0 -1 0 2 -1 0 2 2 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 1 1 0 -1 2 2 0 0 3 1 3 2 1 -1 0 0 0 0 1 2 0 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 0 -3 0 +-2 -1 -2 1 -1 0 1 -2 -1 -2 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 3 0 -2 0 0 -1 1 -1 0 0 -1 -1 1 -1 0 1 2 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 1 1 0 -1 2 2 0 0 2 1 3 2 1 -1 -1 0 0 0 1 2 0 -2 -1 0 0 -1 3 1 0 0 -2 -1 1 -1 -3 0 +-2 -1 -2 1 -1 0 1 -2 -1 -2 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 -1 1 -1 0 0 -1 -1 1 -1 0 1 2 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 2 1 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -1 3 1 0 0 -2 -1 0 -1 -3 0 +-2 -1 -2 1 -1 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 1 1 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 -1 0 1 2 0 0 0 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 2 1 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 1 1 0 -1 0 2 1 -2 -1 0 -1 1 -1 0 -1 -2 0 2 -1 0 1 1 0 0 0 0 1 2 0 1 1 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 3 2 2 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 0 -1 -3 0 +-2 0 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 5 -2 0 0 2 1 1 1 -1 0 2 1 -2 -1 0 -1 1 -1 0 -1 -2 0 2 -1 0 1 2 0 1 0 0 1 2 0 1 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 0 -1 -3 0 +-2 0 -2 0 0 0 0 -2 -1 -1 -1 1 -1 -1 3 0 0 2 -1 1 2 -1 4 5 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 -1 1 -1 0 -1 -2 0 1 -1 0 1 2 0 1 0 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 1 0 0 1 1 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 0 -1 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 1 1 0 0 -1 0 2 0 -2 0 0 -1 2 -1 0 0 -2 0 1 -1 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 1 2 0 0 2 1 2 1 1 -1 0 1 0 0 1 1 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 1 -1 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 -1 2 0 0 0 -1 0 1 -1 0 1 2 1 1 1 0 0 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 2 1 2 1 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 1 -1 -4 0 +-2 -1 -2 0 0 1 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 -1 2 0 0 -1 -2 0 1 -1 0 1 1 1 1 0 0 1 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -1 2 1 0 1 -1 -1 1 -1 -4 0 +-2 0 -2 0 0 1 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 1 -1 0 1 1 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 2 1 0 -1 2 3 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -1 3 1 0 1 -1 -1 0 -1 -4 0 +-2 0 -2 0 0 1 0 -1 -1 -1 0 1 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 2 -1 0 1 1 0 1 0 0 1 2 0 0 1 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -1 3 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 0 1 -1 0 -1 -2 0 1 -1 0 1 2 0 1 0 0 1 2 0 1 1 0 -2 1 1 -1 2 1 0 -1 1 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 1 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 1 -1 1 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 0 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 0 1 0 1 1 0 -1 1 2 0 0 3 1 3 2 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 1 2 0 1 2 -1 4 4 -3 0 0 1 1 1 1 -1 1 2 0 -2 0 0 0 1 -1 0 0 -2 0 1 0 0 2 2 1 1 1 1 1 1 0 1 0 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 0 -1 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 1 -1 1 2 1 -2 -1 0 0 1 0 0 0 -2 0 2 -1 0 2 2 1 1 1 0 1 1 0 1 0 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 0 -1 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 1 -1 1 2 1 -2 -1 0 0 1 0 0 -1 -2 0 2 -1 0 2 2 1 1 1 0 1 2 0 0 0 -1 -3 0 1 0 2 1 -1 -1 2 2 0 0 3 1 2 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 -1 0 0 -1 -1 -2 0 1 -2 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 1 -2 -1 0 -1 1 0 0 0 -2 0 2 -1 0 2 2 1 1 1 0 1 2 0 0 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 2 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -1 -1 -1 0 0 -2 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 2 1 -2 -1 0 -1 2 -1 0 0 -1 -1 1 -1 0 1 2 1 1 0 0 1 2 0 0 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 2 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 2 0 -2 -1 0 -1 2 -1 0 0 -1 -1 1 -1 0 1 2 1 1 0 0 1 1 0 1 1 0 -2 0 1 0 1 1 0 -1 2 2 0 0 2 1 2 1 1 -1 -1 0 0 0 1 2 -1 -2 0 0 -1 -2 2 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 -1 0 -1 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 2 0 -2 -1 0 -1 2 -1 0 0 -1 -1 1 -1 0 1 1 0 1 0 0 1 1 0 1 1 -1 -2 1 1 0 1 1 0 -1 2 2 0 0 2 1 2 2 1 -1 0 0 0 0 1 2 -1 -2 0 0 -1 -2 2 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 -1 0 -1 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 0 1 0 -1 1 2 1 -2 -1 0 -1 1 -1 0 -1 -1 0 2 -1 0 1 2 0 1 1 0 1 2 0 1 1 -1 -2 1 1 0 1 1 0 -1 2 2 0 0 3 1 2 2 1 -1 0 1 0 0 1 2 -2 -2 -1 0 -1 -1 2 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 1 -1 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 0 1 0 -1 0 2 1 -2 -1 0 -1 1 0 0 -1 -1 0 2 -1 0 1 2 0 1 1 0 1 2 0 1 1 -1 -2 0 1 0 2 1 -1 -1 2 2 0 0 3 1 2 1 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 0 1 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 0 0 0 -1 0 2 1 -2 -1 0 -1 1 0 0 -1 -1 0 1 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 2 -1 -1 0 1 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 1 2 0 -2 0 0 -1 1 0 0 0 -1 0 1 0 0 1 2 1 1 1 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 0 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 3 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 1 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 0 0 1 2 1 1 0 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 3 1 0 1 -1 -1 0 -1 -3 1 +-2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 2 0 -2 0 0 0 2 -1 0 -1 -2 0 1 0 0 1 2 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 1 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 2 1 0 0 -1 -1 0 -1 -3 0 +-2 0 -2 1 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 1 -2 -1 0 0 2 -1 0 -1 -2 0 1 0 0 1 2 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 1 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -2 2 1 0 0 -1 -1 0 -1 -3 0 +-2 0 -2 1 0 0 1 -2 -1 -1 0 1 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 1 -2 -1 0 0 1 0 0 -1 -2 0 2 -1 0 1 2 0 0 0 0 1 2 0 1 1 -1 -3 1 1 0 1 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 1 -1 -1 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0 +-2 0 -2 1 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 0 2 0 -2 0 0 0 1 0 0 -1 -2 0 1 -1 0 1 2 0 0 1 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 1 2 0 -2 0 0 0 2 0 0 0 -2 0 1 0 0 1 2 0 0 1 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 0 -1 -1 0 0 -2 2 1 0 0 -1 0 1 -1 -3 0 +-2 -1 -2 1 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 1 2 0 -2 0 0 0 1 0 0 0 -1 -1 1 0 0 2 2 0 1 0 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 1 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 0 0 0 -1 1 2 0 -2 0 0 0 1 0 0 -1 -2 -1 1 -1 0 2 2 0 1 1 0 1 2 0 0 1 -1 -3 0 1 -1 2 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 1 0 -3 0 +-2 -1 -2 1 0 0 0 -2 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 0 0 0 -1 1 2 1 -2 0 0 0 1 -1 0 -1 -2 0 2 0 0 2 2 1 1 1 0 1 2 0 0 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 0 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 0 0 0 -1 1 2 1 -2 -1 0 0 2 -1 0 -1 -2 0 2 -1 0 2 2 1 1 1 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 -1 -2 3 1 0 0 -1 -1 1 0 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -1 -1 3 0 0 2 0 1 2 -1 4 5 -2 0 0 1 1 0 0 -1 1 2 1 -2 -1 0 -1 2 -1 0 0 -1 0 1 -1 0 1 2 1 1 1 0 0 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 2 -1 -1 0 0 0 1 1 -1 -1 -1 0 -1 -2 3 1 0 0 -1 -1 1 -1 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 5 -2 0 0 1 1 0 0 -1 1 2 0 -2 -1 0 -1 2 -1 0 0 -1 0 1 -1 0 1 1 0 0 1 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 0 0 0 0 1 2 -1 -1 -1 0 -1 -1 3 1 0 0 -1 -1 1 -1 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -2 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 5 -2 0 0 2 1 0 0 -2 0 2 0 -2 -1 0 -1 1 -1 0 0 -1 0 1 -1 0 2 1 0 0 1 0 1 2 0 1 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 2 1 3 1 1 -1 0 0 0 0 1 2 -1 -1 0 0 -1 -1 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 -1 0 0 -2 -1 -2 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 1 0 0 -1 0 2 1 -2 -1 0 -1 1 0 0 -1 -1 -1 2 -1 0 1 1 0 0 1 0 1 2 0 0 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -2 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 1 0 0 -1 0 2 1 -2 -1 0 -1 1 0 0 -1 -2 0 2 -1 0 1 1 1 0 0 0 1 2 0 0 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 1 2 1 -2 -1 0 -1 1 0 0 0 -2 0 1 -1 0 1 2 1 1 0 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 2 1 0 1 -1 0 1 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -2 0 3 0 1 3 0 1 2 -1 4 4 -2 0 1 2 1 0 1 -1 1 2 1 -2 0 0 -1 2 -1 0 0 -2 0 1 -1 0 1 1 1 1 0 0 0 1 0 1 0 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 0 1 -1 -3 0 +-2 -1 -2 1 0 1 1 -2 -1 -1 0 0 -1 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 1 1 -1 1 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 0 1 0 0 0 1 0 1 0 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 0 1 0 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 0 2 0 -2 0 0 0 1 -1 0 0 -2 0 1 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 0 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -2 0 3 0 0 2 0 1 2 -1 4 4 -3 0 0 1 1 1 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 2 0 0 1 2 1 0 0 0 1 2 0 1 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 0 -1 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 1 -2 0 3 0 0 2 0 1 2 -1 4 4 -3 0 0 1 1 1 0 -1 0 2 1 -2 -1 0 -1 1 0 0 -1 -2 0 2 0 0 1 2 0 0 0 0 1 1 0 1 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 1 0 -1 3 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 2 0 0 1 2 0 0 0 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 0 0 0 -1 3 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 1 0 1 1 -2 -1 -1 0 0 -1 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 0 2 0 -2 0 0 -1 1 0 0 0 -2 0 1 -1 0 1 1 0 0 0 0 1 2 0 0 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -1 0 0 0 -1 3 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 1 2 0 1 2 -1 4 4 -2 0 0 2 1 1 1 -1 0 2 0 -2 0 0 -1 2 -1 0 0 -2 0 1 -1 0 1 1 0 0 0 0 1 2 0 0 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -1 0 0 -1 -1 2 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 1 2 0 1 2 -1 3 4 -2 0 0 2 1 1 1 -1 0 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 1 0 0 0 0 0 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 1 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 -1 -2 0 2 -1 0 2 2 1 0 1 0 0 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 0 1 0 0 1 1 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 -1 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 -1 2 0 0 0 -1 -1 2 -1 0 1 2 1 1 1 0 0 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 0 1 0 0 1 1 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 1 -1 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 0 0 -1 1 0 0 0 -1 -1 2 -1 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 1 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 0 -1 0 0 -2 -1 -1 -1 1 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 0 0 -1 1 0 0 0 -1 0 2 -1 0 2 2 1 1 1 0 1 2 0 1 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 2 1 2 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 -1 0 0 -2 -1 -1 0 1 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -2 0 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 2 2 1 0 1 0 1 2 0 0 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 -1 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -2 0 2 0 -2 -1 0 0 2 -1 0 0 -2 0 2 -1 0 2 2 1 0 0 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 2 2 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -2 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 -1 0 0 2 -1 0 0 -2 0 2 0 0 1 2 0 0 1 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 2 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -2 -1 3 0 0 3 0 1 2 -1 4 4 -2 -1 0 2 1 0 1 -1 1 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 0 0 0 0 1 1 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -2 -1 3 0 0 3 0 1 2 -1 4 4 -3 -1 1 2 1 1 1 -1 1 2 1 -2 -1 0 -1 1 0 0 0 -2 0 1 -1 0 1 1 0 1 1 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 -1 1 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 1 -1 1 2 1 -2 -1 0 -1 1 0 0 0 -2 0 1 -1 0 1 1 1 1 1 0 1 1 0 1 1 -1 -2 0 1 -1 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 0 0 0 0 1 2 0 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 -1 1 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 1 0 0 -1 -2 0 1 -1 0 1 1 1 1 1 0 1 1 0 1 1 -1 -2 1 1 -1 1 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 0 -2 -1 0 0 -1 3 1 0 1 -2 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 1 -1 0 -1 -2 0 2 0 0 1 2 1 1 1 0 1 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 2 0 -2 -1 0 0 -2 3 1 0 0 -2 -1 1 -1 -3 1 +-2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 1 -2 -1 0 -1 1 -1 0 -1 -2 0 2 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 0 0 -1 0 3 0 -2 -1 0 -1 1 0 0 -1 -2 0 2 0 0 1 2 0 1 0 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 1 -1 -1 1 -1 -3 0 +-2 0 -2 1 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -2 0 1 -1 0 1 2 0 1 0 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 0 0 -1 -2 2 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 3 4 -3 0 0 1 1 1 0 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -1 0 1 -1 0 1 1 0 0 0 0 1 2 0 0 1 -1 -2 1 1 -1 2 1 0 0 2 2 0 0 2 1 3 1 1 -1 0 0 0 0 1 2 -1 -1 0 0 -1 -2 2 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 3 4 -3 0 0 1 0 0 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 -1 -1 0 1 -1 0 2 1 0 0 0 0 1 2 0 1 1 -1 -2 0 1 -1 2 1 0 0 2 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -2 2 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 -1 -1 0 1 -1 0 2 1 0 1 0 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -1 3 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 3 -2 0 0 1 1 0 0 -1 0 2 0 -2 0 0 -1 2 -1 0 -1 -2 0 2 0 0 2 2 0 1 0 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 -1 0 0 -2 -1 -1 0 1 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 2 0 -2 0 0 0 1 -1 0 0 -2 0 2 0 0 2 2 0 1 1 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 2 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -2 3 1 0 1 -1 -1 0 -1 -3 1 +-2 -1 -2 0 -1 0 0 -1 -1 -1 0 0 -1 -1 3 0 1 2 0 1 2 -1 4 4 -2 -1 1 2 1 1 0 -1 1 2 0 -2 0 0 0 1 -1 0 0 -2 0 1 -1 0 2 2 1 1 0 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 2 1 3 1 2 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -2 3 1 0 1 -1 -1 0 -1 -4 1 +-2 -1 -2 0 -1 0 0 -1 -1 -1 0 0 -1 0 3 0 1 2 0 1 2 -1 4 4 -2 -1 1 2 1 1 0 -1 1 3 0 -2 0 0 0 2 -1 0 0 -2 0 2 -1 0 2 2 1 0 0 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 2 1 2 1 2 -1 -1 0 0 0 1 1 -1 -2 -1 0 -1 -2 2 1 0 1 -1 -1 0 -1 -3 1 +-2 -1 -2 0 -1 0 0 -1 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 1 2 1 0 0 -1 0 3 0 -2 0 0 0 2 -1 0 0 -2 0 2 -1 0 2 2 0 0 0 0 1 2 0 0 1 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 -1 -2 2 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 2 0 0 -1 -2 -1 2 -1 0 1 2 0 1 0 0 1 2 0 1 1 0 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 1 1 1 -1 -2 -1 0 -1 -1 2 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -2 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 -1 1 0 0 1 2 0 1 1 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 2 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 1 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 0 0 0 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -2 -1 1 0 0 1 2 0 1 1 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 3 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -1 0 0 -1 -1 2 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 5 -2 -1 0 2 0 0 0 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 1 -1 0 1 1 1 1 1 0 1 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 3 0 0 2 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 2 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 -1 3 0 0 2 0 1 2 -1 4 5 -2 -1 0 1 0 0 1 -1 1 2 0 -2 -1 0 -1 2 -1 0 -1 -2 0 1 -1 0 1 1 1 1 1 0 1 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -2 2 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -2 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 1 -1 0 2 0 -2 -1 0 -1 2 -1 0 -1 -2 0 1 -1 0 1 2 1 1 1 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 0 -3 0 +-2 -1 -2 0 0 0 1 -2 -2 -2 0 0 -2 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -2 0 1 0 0 1 2 1 1 1 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 2 1 0 0 -1 -1 1 0 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -2 0 0 -2 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 -1 1 0 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 1 0 0 1 2 -1 -1 -1 0 -1 -1 2 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -2 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 -1 1 0 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 1 0 0 1 2 -1 -2 -1 0 -1 -1 3 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 1 1 -2 -1 -1 -1 0 -1 0 3 0 1 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 0 3 0 -2 -1 0 -1 1 0 0 0 -1 0 1 -1 0 1 2 0 1 0 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 1 0 1 0 -2 -1 -1 -1 0 -1 0 3 0 1 2 0 1 2 -1 4 4 -2 0 1 2 1 0 1 -1 0 3 0 -2 -1 0 -1 2 -1 0 0 -1 0 2 -1 0 1 1 0 1 0 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 0 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 1 2 1 0 1 -1 0 2 0 -2 -1 0 -1 2 -1 -1 0 -1 0 2 -1 0 2 1 0 1 1 0 1 2 0 1 0 -1 -2 0 1 0 1 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 1 1 2 -1 -1 -1 0 0 -1 2 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 0 0 0 2 -1 0 0 -2 0 2 -1 0 2 2 0 1 1 0 1 2 0 1 0 -1 -2 0 1 0 1 1 0 -1 2 2 0 0 3 2 2 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -1 2 1 0 0 -1 -1 1 -1 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 0 0 -1 1 -1 0 0 -2 0 2 -1 0 2 2 1 1 1 0 1 1 0 0 0 -1 -2 0 1 0 1 1 0 -1 2 3 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 0 -1 -3 1 +-2 -1 -2 0 0 0 1 -1 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -3 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 -1 1 -1 0 0 -2 0 2 -1 0 2 2 1 1 0 0 1 2 0 0 0 -1 -2 0 1 -1 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 1 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 0 -1 -3 1 +-2 -1 -2 0 0 0 1 -1 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -3 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 0 1 -1 0 0 -2 0 2 -1 0 1 2 0 1 0 0 1 2 0 0 1 -1 -2 0 1 0 2 1 0 -1 1 2 0 0 3 1 2 1 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 0 0 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 0 1 -2 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 3 0 -2 -1 0 0 1 0 0 0 -2 0 2 -1 0 1 2 0 1 0 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 1 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -1 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 3 0 -2 -1 0 0 1 -1 0 0 -2 0 2 -1 0 1 2 0 1 0 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 1 0 0 0 -2 -1 -1 -1 1 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 3 0 -2 0 0 0 1 -1 0 0 -2 0 1 -1 0 1 2 0 0 0 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 1 -1 0 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 1 0 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -2 -1 1 -1 0 1 2 0 0 0 0 1 2 0 0 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 0 2 0 -2 -1 0 -1 2 0 0 -1 -2 -1 2 -1 0 1 1 0 0 0 0 1 2 0 0 1 -1 -2 0 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 -1 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 -1 0 -1 2 0 0 -1 -2 0 2 -1 0 1 1 0 1 0 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 0 3 2 1 -1 0 1 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 0 -1 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 1 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -2 0 1 -1 0 1 1 1 1 1 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 0 1 0 0 1 2 -1 -1 -1 0 -1 -1 3 1 0 0 -1 -1 1 -1 -3 1 +-2 0 -2 0 0 0 0 -2 -2 -2 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 0 2 0 -2 0 0 -1 1 -1 0 -1 -2 0 1 -1 0 0 1 1 1 1 0 0 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 3 1 0 0 -1 -1 1 -1 -3 1 +-2 -1 -2 0 0 0 0 -2 -2 -2 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 -1 1 -1 0 0 -2 0 1 -1 0 0 2 1 0 1 0 0 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 2 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -1 3 2 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -2 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 -1 0 1 2 0 0 1 0 1 2 0 0 1 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 3 2 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 1 0 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 0 0 1 2 0 0 0 0 1 2 0 0 0 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 1 0 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 1 -1 0 0 -2 0 2 -1 0 1 2 0 0 0 0 1 2 0 0 0 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 -1 0 -2 0 3 0 0 3 0 1 2 -1 4 4 -3 0 0 1 1 0 1 -1 0 2 0 -2 -1 0 0 1 0 0 0 -1 0 2 -1 0 1 2 1 0 0 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 0 1 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 3 0 1 2 -1 4 4 -3 0 1 1 1 1 1 -1 0 2 0 -2 0 0 0 1 0 0 0 -1 0 2 -1 0 2 1 1 0 0 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 0 -1 0 0 -1 -1 2 1 0 0 -1 0 1 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -2 0 0 -2 0 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 1 1 -1 1 2 0 -2 0 0 -1 1 0 0 0 -1 -1 2 -1 0 2 1 1 1 0 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 2 1 0 1 -1 -1 1 0 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 -1 1 2 -1 3 4 -2 0 0 2 1 0 0 -1 1 2 1 -2 -1 0 -1 1 -1 0 0 -2 -1 2 -1 0 2 2 0 1 1 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 0 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 1 -2 -1 0 -1 1 -1 0 -1 -2 -1 2 -1 0 2 2 1 1 1 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 0 2 2 0 0 2 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 1 0 -1 2 1 0 1 -2 -1 1 -1 -3 1 +-2 -1 -2 0 -1 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -2 0 2 -1 0 2 2 1 1 1 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 0 2 2 0 0 2 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 1 0 -1 3 1 0 0 -2 -1 0 -1 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 0 3 0 0 2 0 1 2 -1 3 5 -2 0 0 2 1 0 0 -1 0 3 0 -2 -1 0 -1 1 -1 0 0 -1 0 2 0 0 1 2 1 0 0 0 1 2 0 1 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 3 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 5 -3 -1 0 2 1 0 0 -1 0 2 0 -2 0 0 -1 1 -1 0 -1 -1 0 1 0 0 1 2 0 0 0 0 1 2 0 0 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 -1 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 3 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 0 -1 0 0 -1 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -1 0 1 0 0 1 2 0 1 0 0 1 2 0 0 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 -1 1 0 0 1 2 -1 -2 -1 0 -1 -1 2 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 0 -1 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 2 0 0 1 2 0 1 0 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 1 2 0 0 3 1 2 1 1 -1 0 0 0 0 1 1 -1 -2 0 0 -1 -1 2 1 0 0 -2 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 2 0 0 1 2 0 1 0 0 1 1 0 1 1 -1 -2 0 1 0 1 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 1 -1 -2 0 0 0 -1 2 1 0 0 -2 -1 1 -1 -4 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 1 1 0 1 -1 0 2 0 -2 0 0 -1 2 0 0 -1 -2 0 1 0 0 1 2 1 0 0 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 0 2 2 0 -1 2 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 0 0 0 -1 2 1 0 0 -1 -1 1 -1 -4 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 1 1 0 0 1 -1 1 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 1 0 0 0 1 1 0 1 0 -1 -2 0 1 -1 2 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 1 -1 -1 1 -1 -3 0 +-2 0 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 1 2 0 0 0 -1 1 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 1 1 1 0 0 1 2 0 0 0 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -2 2 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 3 -1 1 2 -1 4 4 -2 0 1 2 1 0 0 -1 1 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 1 1 0 0 1 2 0 0 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 -1 -2 2 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 -1 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 1 -1 0 -1 -2 0 2 0 0 1 2 1 0 0 0 1 2 0 0 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 1 0 0 1 1 -1 -2 -1 0 -1 -2 3 1 0 0 -2 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 1 0 0 -1 -2 0 2 0 0 1 2 1 0 1 0 1 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 1 0 0 1 1 -1 -2 -1 0 -1 -2 3 1 0 0 -2 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 0 1 0 0 0 -2 0 2 0 0 1 2 0 0 1 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -2 3 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -2 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 0 1 1 0 1 1 0 1 1 -1 -2 0 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 0 -1 -1 0 -1 -2 3 2 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -2 -1 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 -1 0 1 1 0 1 1 0 1 1 0 1 1 -1 -2 0 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 0 -2 -1 0 0 -2 3 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 -1 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 0 2 1 -2 -1 0 -1 2 -1 0 -1 -2 0 1 -1 0 2 1 1 1 1 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 1 -1 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 3 4 -2 0 0 1 1 0 0 -1 0 2 1 -2 -1 0 -1 1 0 0 -1 -2 0 2 -1 0 2 1 1 1 1 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 2 1 2 1 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 2 1 0 1 -1 -1 1 0 -3 1 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 3 3 -2 0 0 1 1 0 0 -1 1 2 1 -2 -1 0 -1 1 0 0 -1 -1 -1 2 0 0 2 2 1 1 1 0 1 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 2 0 2 1 1 -1 0 0 0 0 1 1 -1 -2 -1 0 -1 -2 2 1 0 1 -1 -1 1 0 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -1 -1 2 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 2 1 2 2 1 -1 0 0 0 0 1 1 -1 -2 -1 0 -1 -2 2 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -1 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 1 2 1 0 0 -1 1 2 0 -2 -1 0 -1 1 -1 0 0 -1 -1 2 -1 0 1 2 0 1 1 0 1 2 0 0 1 -1 -2 1 1 0 1 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -2 3 2 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 1 -1 -1 -1 0 1 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 1 2 1 0 0 -1 0 2 0 -2 0 0 -1 1 -1 0 0 -2 0 2 -1 0 1 2 0 1 1 0 1 2 0 0 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 1 1 2 -1 -2 -1 0 0 -2 3 2 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 -1 1 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -2 0 2 -1 0 1 2 1 1 0 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 0 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 1 2 1 0 0 -1 0 2 1 -2 -1 0 -1 1 -1 0 -1 -2 0 2 0 0 1 2 1 1 0 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 1 0 0 -1 0 2 1 -2 -1 0 -1 1 -1 0 -1 -2 0 1 0 0 1 2 0 1 0 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 -1 1 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 0 0 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 1 -2 0 3 0 0 3 -1 1 2 -1 4 4 -2 0 0 1 1 1 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 0 0 1 2 0 1 0 0 1 2 0 0 0 -1 -3 0 1 0 1 1 0 -1 2 2 0 0 3 1 2 2 1 -1 0 1 0 0 1 2 -1 -1 -1 0 0 -2 2 1 0 0 -1 -1 0 -1 -3 0 +-2 0 -2 0 0 0 0 -2 -1 -1 0 1 -2 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 1 1 1 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 -1 0 1 1 0 1 1 0 1 2 0 0 0 -1 -2 1 1 0 1 1 0 -1 2 2 0 0 3 1 2 2 1 -1 0 1 0 0 1 2 -1 -1 -1 0 -1 -1 2 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 1 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 1 0 1 1 0 1 2 0 0 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 1 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 1 2 0 -2 0 0 0 1 -1 0 0 -2 0 2 -1 0 1 1 1 1 0 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 1 1 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 0 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 0 0 0 1 -1 0 -1 -2 0 2 -1 0 1 2 0 1 0 0 1 2 0 1 1 0 -2 0 1 0 2 1 0 -1 2 2 0 0 2 1 3 1 2 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 0 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 1 -1 0 0 -2 -1 2 -1 0 1 2 0 1 0 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 1 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -2 -1 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 -1 2 -1 0 0 -2 -1 1 -1 0 1 2 0 1 0 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 1 2 0 0 2 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 0 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 1 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 -1 2 -1 0 0 -1 -1 1 -1 0 1 2 1 1 0 0 1 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 2 1 2 2 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 1 1 1 0 1 -1 1 2 0 -2 0 0 -1 1 0 0 -1 -1 0 2 -1 0 2 1 1 1 1 0 1 1 0 1 0 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 1 1 1 1 1 -1 1 2 1 -2 -1 0 -1 1 0 0 -1 -2 0 2 -1 0 2 1 1 1 1 0 1 1 0 1 1 -1 -3 1 0 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 1 0 -3 0 +-2 -1 -2 0 0 0 1 -1 -1 -2 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 1 -2 -1 0 -1 2 0 0 -1 -2 0 2 -1 0 2 2 0 1 1 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 2 1 0 0 -1 -1 1 0 -3 1 +-2 -1 -2 0 0 0 0 -1 -1 -2 0 0 -1 -1 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 1 -2 -1 0 -1 2 0 0 -1 -2 0 2 -1 0 2 2 1 1 1 0 1 2 0 1 1 0 -3 1 1 0 2 1 0 -1 2 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 3 1 0 0 -1 -1 0 -1 -3 1 +-2 -1 -2 0 0 0 0 -1 -1 -2 0 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 -1 0 1 1 1 1 1 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -1 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 0 0 0 1 -1 0 0 -2 0 1 -1 0 1 1 1 0 1 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 1 2 0 -2 0 0 0 1 0 0 0 -2 0 2 -1 0 1 2 0 0 0 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 0 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 1 2 1 1 0 -1 0 2 1 -2 -1 0 0 1 0 0 0 -2 0 2 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 0 1 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 0 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -1 -1 3 0 0 3 -1 1 2 -1 4 4 -2 0 1 2 1 1 0 -1 0 2 1 -2 -1 0 -1 1 0 0 0 -2 -1 2 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 0 0 0 0 1 2 -1 -1 -1 0 -1 -2 3 1 0 1 -1 0 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -1 -1 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 0 2 1 -2 -1 0 -1 1 -1 0 0 -2 -1 1 0 0 1 2 1 1 1 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 1 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 1 0 0 -1 0 2 1 -2 0 0 -1 2 -1 -1 0 -2 0 1 -1 0 1 2 1 1 1 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 2 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 0 -1 -3 0 +-2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 1 1 0 0 -1 0 2 0 -2 0 0 -1 2 -1 0 0 -1 0 1 -1 0 1 1 0 1 1 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 2 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 0 -1 -3 0 diff --git a/sim/generate_datasim.py b/sim/generate_datasim.py new file mode 100644 index 0000000000000000000000000000000000000000..204f537b1e420d136f3f87480dc20812bd49167f --- /dev/null +++ b/sim/generate_datasim.py @@ -0,0 +1,114 @@ +# This script generate simulation data for the PI corrector + matrix multiplication. +import numpy as np + + +############################################################################# +# Response matrix, ref orbit and data input model +############################################################################# + +# The inverted response matrix, we use an actual true dataset +respmat = np.load("respmat.npy") +# write to file +with open("respmat.txt", "w") as fp: + for r in respmat.flatten(): + fp.write("{}\n".format(r)) + +# The orbit reference, again this is from true data +refmat = np.load("reforbit.npy") +# write to file +with open("reforbit.txt", "w") as fp: + for r in refmat: + fp.write("{}\n".format(r)) + +# BPM data generation +def generate_bpm_data(size, DC=0, A=0, F=100, N=0): + """ Generate dummy BPM data, based on a simple model of DC + A.sin(F) + N.noise """ + + sig = DC + A*np.sin(2*np.pi*F*np.arange(size)*1e-4+np.random.rand()*2*np.pi) + np.random.default_rng().normal(0, N, size) + + return sig.astype("int32") + +############################################################################# +# Parameters +############################################################################# +N_BPM=respmat.shape[1]*2 +N_PSC=respmat.shape[0] +size=200 + +N_MM_RND=23 + +############################################################################# +# Generate data input +############################################################################# +bpmdata=np.empty((N_BPM, size), dtype="int32") +for i, DC, A, F, N in zip( + np.arange(N_BPM, dtype="int"), + np.random.default_rng().uniform(-1e6, 1e6, N_BPM), + np.random.default_rng().uniform(0, 200e3, N_BPM), + np.random.default_rng().uniform(2,2000, N_BPM), + np.random.default_rng().uniform(0, 20e3, N_BPM),): + bpmdata[i] = generate_bpm_data(size, DC, A, F, N) + +# write to file +# Warning, write x then y for each bpm, not all x then all y as the array is packed. +with open("bpmdata.txt", "w") as fp: + for i in range(size): + for j in range(N_BPM//2): + fp.write("{} {} ".format(bpmdata[j,i], bpmdata[j+N_BPM//2,i])) + fp.write("\n") + #fp.write(" ".join(bpmdata[:,i].astype("str"))+"\n") + +############################################################################# +# Compute correction +############################################################################# +# change respmat shape, tiled +trespmat = np.zeros((N_PSC, N_BPM), dtype="int64") +trespmat[:50,:122] = respmat[:50] +trespmat[50:,122:] = respmat[50:] + +KP=2**16 +KI=0 + +## ----------------------- +# Model computation + +# Step 1: error computation +oe=bpmdata-refmat[:,np.newaxis] + +# Step 2: matrix multiplication +mm=np.empty((N_PSC, size), dtype="int32") +for i in range(size): + # Stage 1 : matmul + _mm= np.matmul(oe[:,i].astype("int64"), trespmat.T, dtype='int64') + mm[:,i] = _mm>>N_MM_RND + +# Step 3: correction computation +mp=np.empty((N_PSC, size), dtype="int64") +mi=np.empty((N_PSC, size), dtype="int64") +mc=np.empty((N_PSC, size), dtype="int16") + +mp = KP*mm.astype("int64") +mi = (KI*np.cumsum(mm.astype("int64"), axis=1))>>8 +mc=np.clip(np.round((mp+mi)/2**34), -2**16, 2**16-1).astype("int16") + +############################################################################# +# Write partial and results to files +############################################################################# +with open("orbiterror.txt", "w") as fp: + for i in range(size): + fp.write(" ".join(oe[:,i].astype("str"))+"\n") + +with open("matmult.txt", "w") as fp: + for i in range(size): + fp.write(" ".join(mm[:,i].astype("str"))+"\n") + +with open("propcorr.txt", "w") as fp: + for i in range(size): + fp.write(" ".join(mp[:,i].astype("str"))+"\n") + +with open("corrout.txt", "w") as fp: + for i in range(size): + fp.write(" ".join(mc[:,i].astype("str"))+"\n") + + + diff --git a/sim/reforbit.txt b/sim/reforbit.txt new file mode 100644 index 0000000000000000000000000000000000000000..33c8cfc7c58483f2e8334792abdc68213a17e485 --- /dev/null +++ b/sim/reforbit.txt @@ -0,0 +1,244 @@ +5924 +-19513 +-19215 +-109237 +166240 +-17317 +-29652 +51684 +-36096 +10417 +13553 +-48182 +56484 +35785 +-63860 +-36578 +26888 +1812 +17673 +-7529 +-2824 +444 +-7663 +25311 +13813 +-116752 +-12794 +135788 +-57971 +60328 +-10796 +15247 +43916 +-2356 +-61268 +35304 +-26151 +14359 +6450 +-31643 +27162 +-81035 +67784 +8051 +-5744 +-3565 +7233 +-2388 +5018 +-141529 +150918 +-17066 +9092 +24315 +-13489 +-78421 +-34118 +120665 +-66214 +71776 +-3121 +-10735 +0 +18683 +73414 +-22096 +12599 +7585 +-17340 +-60454 +84521 +47472 +-19815 +-31093 +9897 +47932 +-32127 +-50513 +77108 +-27969 +32349 +2203 +-29078 +1604 +472 +71123 +34934 +-104513 +-187018 +-4384 +207231 +-11309 +-51219 +-80190 +28310 +22970 +-65401 +-8336 +44439 +22292 +-1902 +-58967 +61628 +-28933 +9561 +13568 +-22958 +37462 +-28275 +-46372 +66362 +-22683 +21927 +23446 +-44726 +-15260 +-717 +22042 +54698 +-49896 +-26576 +36176 +2194 +16582 +-43351 +-6451 +49933 +121301 +-88409 +-84130 +39667 +15069 +-110892 +64454 +-20732 +-143171 +84724 +41970 +-66063 +-22054 +34896 +18009 +-13075 +-97395 +103559 +-56993 +15551 +19594 +-16486 +-5374 +-6443 +15291 +5442 +22966 +-44614 +33330 +13688 +-12719 +-10597 +-3072 +-3690 +19273 +-31025 +24029 +-3728 +-125894 +157350 +-133177 +80812 +-15318 +-1793 +111655 +-76776 +-61527 +75597 +-67768 +57843 +8331 +990 +-708 +34586 +-35389 +6260 +-16556 +0 +-2505 +7727 +8349 +8600 +-99933 +129288 +-10724 +26510 +13369 +-19628 +-131669 +122541 +-70058 +35157 +-9766 +-8635 +109495 +-59782 +-190849 +227237 +-198030 +117447 +9437 +10349 +14143 +-82199 +-10355 +-90313 +-17543 +-179938 +55141 +-3413 +-73363 +39905 +45716 +12933 +-136703 +159985 +5151 +-19170 +58888 +-24430 +-29785 +74101 +-129160 +128524 +22061 +-47092 +-37834 +35677 +-144977 +150025 +-107548 +83089 +6596 +-18316 +18807 +62785 +-65506 diff --git a/sim/respmat.txt b/sim/respmat.txt new file mode 100644 index 0000000000000000000000000000000000000000..0d1d4c7d1844cac427ee4c9f9deaed8ae5cfd116 --- /dev/null +++ b/sim/respmat.txt @@ -0,0 +1,12200 @@ +151440 +-542436 +-1636967 +-1445651 +-755800 +3890915 +2249050 +-733177 +-1484433 +11985 +306942 +651971 +537790 +-197272 +-235222 +-54410 +-31992 +210363 +256992 +216030 +161200 +-65549 +-57246 +26346 +38444 +65871 +79620 +56076 +-3993 +6560 +54022 +70680 +45594 +53818 +37218 +16954 +23897 +65906 +96811 +39552 +41326 +36898 +31119 +79292 +57883 +54535 +71868 +34814 +40903 +46587 +42495 +94758 +62136 +20488 +15831 +49888 +70892 +55779 +49007 +39713 +35402 +43341 +0 +73676 +51966 +9215 +15490 +62670 +93757 +44822 +47644 +41532 +34236 +73598 +54046 +55964 +74481 +34486 +40045 +44842 +41102 +95784 +63092 +22140 +16660 +45790 +63137 +48603 +60772 +45828 +34459 +31018 +39036 +44561 +43019 +56973 +42767 +41370 +34352 +25206 +30977 +60636 +77888 +122619 +118174 +139862 +60114 +-241506 +-318552 +346538 +456866 +518116 +387981 +-345854 +-270000 +-258619 +6352 +969239 +1098877 +741566 +-1977881 +-1463922 +2733179 +3992358 +-430257 +-1202853 +-1237567 +-2054090 +-708010 +-985812 +-1279283 +1037028 +1369155 +1213207 +877498 +-1168401 +-865843 +28804 +204491 +273601 +267916 +124705 +78516 +-171101 +-121359 +21548 +46371 +-2923 +-14804 +-16410 +-42439 +-22043 +13174 +23193 +-6853 +-13589 +-12388 +-15418 +-6350 +18828 +40372 +156 +-8581 +-10618 +-3615 +27183 +12934 +9223 +20793 +-1528 +-6726 +-2770 +5345 +38489 +15873 +-14194 +-22021 +1477 +4809 +4982 +-4084 +-6227 +-6760 +-4524 +0 +4178 +1702 +-23079 +-14520 +15804 +37588 +5191 +-2571 +-6261 +-707 +21699 +9268 +10802 +23646 +-1938 +-7724 +-4844 +3611 +39244 +16804 +-11344 +-19581 +-3811 +-1446 +659 +7890 +2400 +-2529 +-2911 +-3790 +-3872 +-2534 +-3796 +-3062 +-6666 +-4928 +-7832 +-337 +18142 +19346 +42894 +47972 +49079 +7248 +-147801 +-179721 +175520 +225003 +236567 +168097 +-258259 +-185244 +-28269 +174904 +394136 +399553 +243431 +-1002706 +-717805 +-449803 +-497832 +760416 +914273 +657542 +-526079 +-373138 +-425788 +-2125114 +-2074577 +-1802158 +-351043 +-26025 +1852269 +1066391 +-184549 +-443079 +149920 +309621 +480737 +407350 +270526 +136946 +33197 +4353 +245718 +330112 +250074 +113083 +90729 +171162 +217273 +196539 +240550 +171005 +64450 +84273 +242323 +354559 +162009 +173727 +155325 +128284 +289436 +213221 +208634 +273988 +137009 +161823 +181538 +163182 +354589 +235166 +83413 +67799 +192428 +272599 +214116 +190412 +155193 +138917 +169047 +0 +283581 +200350 +42038 +63953 +238097 +352336 +171738 +184751 +162395 +132811 +279558 +206831 +211833 +279100 +135044 +158719 +177917 +160950 +360511 +238836 +80281 +59370 +188123 +257369 +197181 +216886 +164530 +121267 +112090 +168299 +216423 +203088 +250276 +178816 +60832 +80111 +244042 +356719 +159824 +170947 +153253 +128040 +298918 +218065 +198188 +252841 +134754 +163973 +212114 +201080 +415909 +253626 +-92132 +-181833 +343179 +495861 +393783 +22133 +-6030 +-29109 +-240253 +-191990 +-75878 +29622 +1040519 +584373 +-1248205 +-2199329 +581072 +1025076 +-968950 +-1000760 +-333099 +200816 +763328 +1037100 +-309910 +-490563 +-481687 +-334382 +757062 +596950 +112277 +67902 +15594 +53598 +56197 +397408 +284744 +98204 +109957 +140077 +181398 +134174 +82672 +76447 +166778 +245153 +126939 +138563 +126850 +105325 +207654 +149825 +154556 +207524 +103675 +120449 +134369 +122625 +268212 +174875 +57004 +43636 +141354 +200853 +158031 +137124 +111052 +99711 +122543 +0 +208649 +146992 +23417 +42213 +179257 +269742 +127212 +134255 +116749 +96891 +211507 +154325 +158311 +211514 +98196 +113720 +128814 +119093 +276377 +180091 +54356 +36165 +137186 +188872 +145260 +160187 +120322 +86503 +79543 +121141 +157634 +151949 +186078 +132336 +33448 +51787 +183504 +275432 +123289 +128743 +105921 +85236 +199775 +152210 +202507 +274554 +60232 +59713 +48919 +50209 +290997 +209655 +206964 +204309 +-79604 +-81140 +-49708 +519501 +417618 +471753 +478783 +-341678 +-372756 +-247408 +733707 +513004 +190898 +-277533 +-938695 +-895183 +1011278 +483716 +-2547493 +-1396639 +808438 +1385724 +132315 +-67109 +-324883 +-260217 +363517 +340290 +163154 +177111 +-16988 +-13899 +-6267 +303769 +235803 +102482 +120192 +99616 +127037 +92990 +78858 +73398 +142409 +209137 +99370 +106920 +98912 +83589 +180119 +128654 +128337 +173016 +84484 +97629 +110253 +101948 +227374 +146687 +44514 +32160 +116715 +166305 +131054 +112234 +90394 +80975 +100126 +0 +172526 +121346 +15544 +32401 +150143 +228153 +105594 +110147 +95134 +79672 +177639 +128561 +132097 +177968 +80541 +92394 +105293 +98651 +233909 +151005 +42882 +26549 +112386 +155346 +119764 +133159 +99442 +70699 +64843 +98832 +128829 +125094 +153070 +108797 +25900 +41461 +149695 +226926 +105269 +109973 +93737 +76894 +168525 +124889 +150558 +208819 +72802 +77509 +63418 +54188 +193076 +144921 +207789 +248969 +-50432 +-68017 +-51906 +338478 +289422 +209374 +373610 +416214 +401653 +235200 +-538136 +-247448 +1029211 +1754532 +-58740 +-370841 +-1827770 +-2066837 +-2200008 +-455511 +-382515 +-445977 +742021 +965670 +888330 +671579 +-285587 +-264464 +62008 +99343 +262059 +318522 +225272 +-68621 +-18557 +187440 +247944 +160375 +187840 +129105 +48260 +76798 +229543 +338253 +135826 +140979 +124870 +105443 +274443 +200531 +188117 +247786 +119310 +140159 +159951 +146061 +327073 +214425 +70416 +54259 +171743 +244105 +192093 +168907 +136828 +121889 +149230 +0 +253650 +178905 +31702 +53318 +215906 +323024 +154239 +163910 +143084 +118110 +254288 +186451 +191293 +254370 +119777 +139429 +157259 +144251 +330791 +216848 +68518 +47794 +166710 +229012 +175895 +194912 +146956 +107062 +98746 +148649 +191680 +181079 +222819 +159025 +50765 +69058 +216533 +320061 +147300 +157002 +143645 +121527 +270850 +193637 +160613 +210232 +145628 +176386 +210630 +189306 +315358 +194584 +-22760 +-47551 +305557 +415874 +319122 +-59687 +-47611 +-49721 +-105304 +237626 +325569 +255807 +183979 +103664 +-342697 +-431943 +716052 +925819 +1077518 +835827 +-423640 +-385114 +-735731 +-2443324 +-2119611 +-1872608 +-386338 +-41518 +1942582 +1198910 +-305102 +-615588 +289863 +503905 +436415 +395637 +190280 +24760 +15483 +260282 +337808 +250234 +3424 +18844 +200787 +307482 +181860 +197887 +170927 +138019 +231736 +170454 +192338 +259374 +129763 +150325 +164815 +148974 +324518 +213755 +73652 +58773 +173916 +246615 +193814 +170931 +139031 +124641 +152450 +0 +256958 +181258 +33423 +55008 +218639 +326434 +156270 +166417 +145473 +119897 +257412 +189037 +193754 +257190 +121714 +141947 +159978 +146392 +334241 +219485 +69864 +49100 +169705 +232954 +178843 +197317 +148908 +108416 +99933 +150823 +194878 +185287 +227760 +162428 +49212 +68784 +222269 +329138 +149203 +158162 +138363 +114413 +262132 +193168 +200960 +265703 +112459 +130209 +148553 +138788 +349312 +229493 +69416 +37991 +151724 +226433 +182952 +233749 +182360 +231106 +277243 +50670 +49687 +29630 +124955 +124737 +344130 +393348 +-238015 +-287164 +-31926 +165185 +1354520 +701376 +-1511174 +-2467955 +557530 +1045642 +-908100 +-941811 +-508405 +51403 +707253 +998186 +-378840 +-569433 +-460258 +560093 +522490 +210098 +246122 +11779 +17248 +13746 +217069 +174622 +152878 +205942 +83768 +94495 +101254 +88327 +215737 +152026 +137304 +182065 +93095 +109346 +124727 +114515 +247174 +159546 +49628 +36473 +129400 +184116 +144973 +123134 +99367 +89763 +110774 +0 +190350 +133960 +18503 +36614 +164681 +249327 +116244 +121804 +105459 +87997 +194576 +141276 +145089 +194847 +89064 +102551 +116551 +108612 +255386 +165497 +48386 +31054 +124157 +171328 +131951 +146606 +109772 +78749 +72508 +110358 +143444 +136715 +167475 +119132 +31723 +47841 +165394 +248370 +112484 +117890 +103800 +87080 +197593 +143079 +143073 +193187 +93059 +107128 +116647 +105699 +237950 +157713 +79039 +79664 +106645 +149200 +116359 +144886 +121585 +295591 +381171 +115567 +105017 +57090 +20927 +81605 +594666 +790078 +-327083 +-455562 +-475910 +-292303 +1058284 +717668 +155464 +-388776 +-1033946 +-997835 +959061 +469265 +-2281158 +-1338670 +708210 +1170294 +-76408 +-276070 +-291970 +-133993 +87134 +346733 +455677 +9808 +-13615 +-23111 +180199 +183009 +224698 +308503 +78113 +79083 +83488 +76197 +291805 +210838 +168015 +214943 +104630 +125763 +148181 +135247 +300114 +196608 +64350 +49325 +158409 +225164 +177194 +154938 +125460 +111997 +136896 +0 +233048 +164469 +30334 +49681 +197195 +294143 +141378 +150783 +131859 +108533 +231981 +170551 +174972 +232050 +110100 +128524 +144706 +132208 +301202 +198045 +63621 +45081 +153339 +210419 +161510 +178579 +134849 +98286 +90473 +135815 +174989 +167095 +205767 +146936 +46537 +63248 +197651 +292210 +136825 +146211 +130945 +108757 +237698 +173338 +166562 +221453 +119942 +141242 +155613 +138041 +278438 +184772 +79605 +80398 +161701 +221986 +171221 +127100 +109142 +104171 +125083 +284458 +339845 +243237 +34072 +53214 +152761 +290644 +379316 +424204 +228755 +68191 +-414867 +-113130 +1332158 +2016905 +-202299 +-561733 +-1981871 +-2128441 +-2109760 +-496891 +-613347 +-852233 +899734 +1211561 +919151 +-679350 +-555656 +145402 +222582 +306631 +358071 +245521 +-123052 +-26912 +288023 +440875 +181991 +183906 +145769 +118559 +309337 +233692 +226306 +294611 +139687 +165432 +187304 +168171 +378359 +253223 +91473 +75426 +204387 +289532 +227412 +206746 +168697 +149376 +180945 +0 +302082 +213715 +49738 +71269 +251025 +368671 +182438 +197896 +174748 +142037 +294141 +218955 +224181 +293548 +144513 +170891 +190783 +171043 +377380 +251832 +88111 +67337 +201262 +274698 +210154 +230842 +175752 +130022 +119749 +177967 +227729 +217387 +268789 +192508 +68727 +87388 +253578 +369180 +175187 +189894 +169979 +139184 +301946 +223781 +220782 +287883 +146093 +173735 +196358 +176121 +377864 +250444 +75404 +52406 +217693 +309455 +243537 +192539 +154605 +-45384 +-69864 +-13910 +-2874 +5458 +53955 +20525 +-153372 +-222552 +111738 +165834 +329377 +322870 +152852 +-31098 +-982832 +-1165900 +1081800 +1427590 +1507675 +1039321 +-1676770 +-1256759 +-569274 +-1661502 +-1119581 +-824846 +-300401 +3672630 +2210132 +-692751 +-1068547 +260665 +477430 +422450 +140389 +-58986 +-193312 +-255703 +186073 +248696 +233018 +175007 +-199041 +-157736 +4980 +47717 +49653 +43120 +18691 +17406 +-4508 +-11316 +-8812 +-9864 +-1462 +-2264 +-1864 +-15721 +-13201 +-6093 +-3077 +0 +-1542 +-2707 +-25408 +-15937 +14617 +36865 +2577 +-6230 +-9758 +-3087 +20753 +7942 +8560 +21315 +-3833 +-10296 +-7098 +2266 +37889 +14950 +-14746 +-23668 +-4906 +-2810 +-322 +2790 +-1721 +-6259 +-5591 +-2284 +2031 +-143 +-3702 +-4480 +-24605 +-14989 +15310 +37756 +1839 +-7217 +-11079 +-4300 +20542 +8227 +11699 +25521 +-7007 +-14585 +-12393 +-1885 +41247 +17972 +-8809 +-19077 +-16760 +-17304 +-10737 +24205 +15012 +9070 +-1304 +77892 +103315 +79554 +60392 +39625 +-58644 +-73981 +185579 +239297 +289749 +233837 +-29736 +-54214 +-248054 +-172150 +646737 +769847 +402525 +49426 +-1726669 +-934265 +2177257 +3841447 +-412359 +-1244295 +-1272338 +-1634201 +-557078 +-1106685 +-1455743 +969675 +1310775 +996818 +-847880 +-700849 +-25443 +103399 +362808 +397483 +267216 +184412 +-181828 +-113989 +95867 +164654 +80040 +77629 +53912 +45070 +101007 +72189 +36186 +35893 +58704 +81765 +63603 +65782 +55305 +48761 +59184 +0 +91457 +64533 +16084 +22914 +79167 +116824 +56378 +60665 +53527 +43844 +93323 +68997 +70260 +92494 +44757 +52637 +59072 +53448 +119720 +79298 +26588 +19601 +62462 +85471 +65491 +72036 +54626 +40117 +36957 +55315 +71103 +67818 +83628 +59777 +19804 +26255 +80093 +117555 +54641 +58624 +51776 +42492 +94478 +70010 +71994 +94634 +42694 +49968 +56172 +51326 +122431 +81343 +28399 +19848 +56825 +83206 +66554 +80548 +63910 +44122 +50604 +52081 +62721 +45164 +32139 +30169 +52294 +71706 +44477 +52875 +83398 +85058 +154745 +79904 +-154255 +-210553 +214835 +298723 +424775 +364931 +65505 +-48638 +-701454 +-807956 +1019381 +1270622 +915324 +-1513694 +-1121023 +-535377 +-1552037 +-1257074 +-952221 +-369503 +3798665 +2086352 +-857449 +-1629862 +174021 +511720 +827677 +663593 +-368511 +-358526 +-41739 +12633 +245350 +282281 +215039 +158227 +-92576 +-67332 +38558 +60488 +66319 +80085 +56756 +-10477 +2012 +46308 +59568 +0 +72696 +49779 +766 +12839 +71310 +108532 +45170 +45909 +38936 +32997 +83405 +60478 +59695 +80047 +35401 +40666 +46919 +44175 +106340 +68568 +19138 +11640 +50575 +69970 +53973 +60130 +44849 +31959 +29439 +45095 +58818 +55850 +68267 +48484 +12028 +19000 +68450 +103235 +45649 +47422 +41461 +35017 +81976 +59143 +59182 +79778 +36616 +42032 +47508 +44234 +103665 +67211 +22574 +17262 +48900 +70178 +55523 +56639 +45553 +-13945 +-17212 +-2105 +-1476 +-489 +-5172 +-6085 +-19954 +-19163 +22075 +26539 +52297 +54455 +34158 +-3512 +-161739 +-182611 +187424 +242196 +249452 +171566 +-288275 +-216177 +-55886 +150952 +440482 +430003 +248477 +-1114167 +-706819 +2149647 +3550416 +-230773 +-950150 +-1025536 +-1885120 +-686548 +-1134594 +-1500409 +1145544 +1528569 +1385282 +1008842 +-1299615 +-969672 +8631 +204878 +290908 +277122 +116012 +68272 +-195997 +-124185 +31311 +64963 +-6918 +-24488 +-25746 +-49848 +-27912 +9745 +16609 +0 +-13652 +-12119 +-18209 +-8949 +13653 +31330 +-2148 +-10098 +-12088 +-5649 +19236 +8365 +5913 +15108 +-4242 +-9332 +-6419 +1112 +29059 +11249 +-11945 +-18970 +-4449 +-2988 +-835 +1580 +-1848 +-5302 +-4755 +-2375 +797 +-866 +-3801 +-4139 +-19285 +-11904 +10955 +27984 +946 +-6096 +-8627 +-3154 +15888 +5906 +5867 +15511 +-3454 +-8545 +-5936 +1457 +28611 +10909 +-12501 +-19571 +-3649 +-2200 +-411 +-1158 +-3838 +166823 +199682 +213050 +250396 +176954 +77835 +89531 +243798 +355244 +158754 +170044 +150737 +125279 +296185 +218879 +232250 +284783 +130449 +156086 +195999 +186799 +447466 +299187 +-23833 +-113812 +234046 +343460 +274052 +225047 +144260 +-495503 +-590045 +864469 +1085344 +785877 +-788886 +-546530 +-404024 +-2054319 +-2026992 +-1763649 +-359376 +-47897 +1772155 +1027063 +-141042 +-387576 +174340 +326336 +472464 +397877 +199822 +86238 +44812 +31496 +252744 +347971 +268852 +81596 +69546 +132992 +166787 +0 +297514 +208828 +15900 +48096 +243438 +363651 +173534 +184659 +159637 +130612 +281680 +208674 +213433 +281514 +134291 +157514 +177089 +160753 +363974 +240761 +79761 +58224 +188212 +257773 +197623 +218179 +165243 +121059 +111445 +166732 +214332 +204565 +252248 +180304 +59577 +79070 +241235 +354553 +165837 +177970 +158519 +130718 +287510 +211611 +209715 +276352 +137818 +162327 +181612 +163446 +356126 +235977 +84401 +69012 +191563 +271428 +213220 +192079 +156392 +119709 +144477 +159154 +186392 +131356 +49310 +60736 +183124 +272712 +121735 +127869 +107170 +87297 +206830 +156436 +207865 +266167 +78211 +83457 +80258 +77314 +299439 +221957 +178816 +175366 +-31240 +-18811 +-3188 +427426 +320247 +167381 +-21075 +-367986 +-311451 +-148563 +1226924 +734440 +-1205972 +-2175354 +487591 +919040 +-1037876 +-1036981 +-188289 +285502 +678238 +941922 +-360158 +-522523 +-470354 +-320710 +817833 +588887 +52842 +-20387 +59822 +124335 +115213 +362914 +269193 +85030 +95274 +0 +208649 +150916 +58617 +59565 +169392 +252377 +132038 +143070 +128001 +105689 +205789 +149269 +158590 +213030 +101432 +117269 +131364 +121012 +276097 +179863 +55280 +37384 +137850 +189646 +145791 +161019 +121102 +87685 +80880 +122695 +159059 +150954 +185184 +131870 +37535 +54487 +182257 +271933 +122983 +129539 +114339 +95675 +218334 +158658 +156871 +209355 +101043 +117538 +132877 +121897 +273194 +178128 +57175 +43295 +141923 +201908 +158970 +139209 +112382 +95522 +115203 +129974 +152408 +107512 +39519 +48681 +146154 +219412 +103619 +109498 +95924 +79484 +171544 +126048 +148956 +195562 +91414 +102755 +96017 +81135 +191144 +145726 +168529 +209340 +14010 +11735 +5505 +210289 +181208 +566606 +619839 +-494365 +-580556 +-399863 +981321 +685753 +179785 +-335846 +-999503 +-949968 +1018482 +512957 +-2417864 +-1333096 +698591 +1277831 +65127 +-130215 +-346312 +-270505 +449554 +370987 +113132 +102368 +17946 +40496 +38491 +283197 +226807 +92909 +106960 +0 +148339 +106235 +57513 +58302 +142723 +212032 +102399 +109570 +98843 +82846 +175847 +126529 +130086 +175087 +81607 +93987 +106474 +99161 +230214 +148798 +43316 +27586 +112139 +154749 +119185 +131823 +98678 +70863 +65386 +99930 +130103 +123290 +150856 +107221 +27898 +42817 +150540 +226272 +100663 +105017 +92227 +77751 +180726 +130527 +129068 +173341 +82088 +94844 +107761 +99846 +227416 +147172 +44905 +32518 +115507 +164765 +129919 +113636 +91311 +143920 +172328 +187850 +220889 +156162 +66508 +77033 +211475 +310893 +145118 +155682 +142188 +119705 +264343 +190931 +182066 +228462 +146525 +176611 +205681 +183392 +331663 +222522 +13246 +-12362 +243914 +322887 +242307 +46175 +41575 +91517 +246927 +491002 +525315 +333786 +-643433 +-332668 +975881 +1701661 +21678 +-273515 +-1741310 +-2009563 +-2318538 +-530325 +-337508 +-376520 +763655 +959125 +840693 +631207 +-363548 +-284845 +101274 +169773 +249443 +304639 +217677 +-85689 +-35414 +146557 +189663 +0 +244163 +167404 +-2607 +38202 +226694 +341136 +145975 +150894 +128228 +106626 +261288 +192460 +189857 +250892 +115299 +134581 +153616 +141377 +329443 +216030 +67302 +46406 +165016 +226836 +174295 +193203 +145513 +105541 +97142 +146433 +189115 +180291 +221697 +158145 +48076 +66968 +215273 +319124 +146506 +155598 +137860 +114590 +257224 +187990 +186275 +247243 +120801 +141267 +158900 +144562 +320657 +210610 +71415 +56196 +168343 +239184 +188182 +168797 +136771 +142702 +171561 +187185 +219618 +154992 +62366 +74362 +214027 +316340 +142897 +151548 +132865 +110447 +255843 +188682 +211705 +267188 +117392 +136034 +154023 +142965 +356096 +247760 +80296 +49631 +125558 +183811 +146472 +259344 +191490 +3867 +-44448 +198412 +283755 +223170 +150695 +93687 +-273439 +-334206 +642746 +824435 +946337 +729613 +-371094 +-326408 +-544832 +-2304001 +-2033551 +-1732034 +-265544 +39479 +1743279 +970965 +-330614 +-643999 +310321 +551336 +482590 +311894 +144345 +-21653 +-29334 +0 +370938 +270142 +-27170 +-6268 +193106 +301656 +184991 +200279 +169555 +136241 +217334 +160181 +189999 +257785 +122782 +140886 +154725 +141694 +323015 +211707 +67403 +47306 +162920 +223768 +171850 +190930 +144001 +104634 +96417 +145462 +188158 +178594 +219376 +156367 +46511 +65767 +214525 +318897 +145403 +153871 +136133 +113494 +256675 +187104 +185171 +246370 +119765 +139736 +157526 +143833 +320410 +209762 +69454 +53798 +167499 +238099 +187379 +166152 +134425 +95188 +115141 +128799 +150742 +106176 +37713 +47531 +148067 +222789 +100856 +105499 +93476 +79228 +180629 +130068 +137910 +178554 +93515 +108222 +118225 +106280 +224784 +155835 +69624 +70649 +98734 +130798 +98202 +117134 +97437 +232928 +299914 +7824 +-3498 +-9342 +145864 +140334 +367286 +424774 +-285065 +-349876 +-125837 +79827 +1320982 +700361 +-1362302 +-2397565 +570897 +1042563 +-911323 +-941150 +-335488 +166265 +601430 +853383 +-308545 +-489899 +-408210 +504185 +496000 +239857 +274234 +0 +-7478 +-7419 +189616 +159619 +147390 +200566 +67394 +73142 +79431 +71292 +205134 +144361 +126237 +167019 +78697 +91940 +107535 +100967 +232785 +148932 +40826 +23988 +111940 +154856 +119445 +130500 +97252 +69478 +64252 +99182 +129623 +122763 +149872 +106344 +25317 +41114 +151197 +228630 +100363 +103866 +90828 +77057 +181828 +130664 +129119 +174310 +81426 +93560 +106726 +99667 +229615 +147704 +43280 +30255 +114775 +163976 +129410 +112481 +90100 +121776 +146004 +160489 +188629 +133307 +55179 +64611 +180235 +266121 +125029 +133789 +120525 +100689 +220322 +160458 +165482 +210556 +119998 +141794 +155751 +137107 +268560 +187676 +75708 +76024 +143953 +188775 +140798 +118104 +101210 +263848 +365258 +89229 +79873 +41400 +31737 +84720 +580260 +773684 +-331740 +-462587 +-506025 +-329420 +974266 +676262 +252821 +-238133 +-1020955 +-982368 +979953 +493179 +-2278343 +-1240000 +708503 +1204188 +-48481 +-265461 +-295757 +-197862 +26458 +337866 +422929 +0 +16005 +-4469 +102453 +130343 +218825 +309654 +73757 +69684 +67282 +62380 +271885 +197542 +158614 +203224 +90680 +108291 +130153 +121006 +286478 +186685 +55066 +35859 +141227 +194601 +149744 +164679 +123525 +89124 +82056 +124379 +160857 +153789 +188972 +134730 +39639 +56205 +183942 +273309 +124906 +132291 +117023 +97467 +219888 +160419 +158930 +211331 +102767 +119961 +135146 +123294 +274590 +179932 +59990 +46630 +143497 +204004 +160558 +143293 +115953 +165999 +198002 +213472 +251474 +178039 +80843 +91088 +238027 +345966 +163251 +176943 +158389 +130174 +286143 +212592 +229523 +286168 +144220 +171086 +191600 +171473 +378227 +266020 +88419 +64307 +173065 +240748 +186314 +235121 +179870 +88101 +101356 +251888 +320776 +234582 +29050 +45773 +93025 +204382 +404525 +464856 +314684 +151065 +-403132 +-140480 +1060346 +1771484 +-89494 +-399625 +-1819859 +-2044848 +-2074397 +-382485 +-459073 +-648563 +730264 +1031074 +808345 +-521953 +-472840 +4121 +37602 +0 +462097 +322354 +-180414 +-86356 +256112 +406255 +203114 +209185 +162191 +128850 +261923 +199428 +218161 +289037 +132675 +154566 +172548 +156394 +363521 +242221 +81802 +61037 +187759 +256972 +196925 +219943 +166826 +122253 +112265 +166837 +213893 +204586 +252681 +180827 +62271 +80683 +239602 +350546 +165646 +178735 +159614 +131074 +285146 +210671 +208984 +274345 +138046 +163171 +182069 +162989 +352366 +234583 +86126 +71508 +191493 +271092 +212851 +193241 +157632 +-13065 +-11682 +-4397 +-7694 +-6842 +-27170 +-18602 +8981 +29569 +-1651 +-11337 +-14913 +-7098 +14004 +2718 +6448 +19220 +-7682 +-15897 +-13364 +-2219 +35737 +14066 +-12315 +-21202 +-19172 +-20521 +-13044 +12881 +4606 +-14218 +-29016 +-33387 +-33980 +-20547 +46564 +22224 +-96025 +-145633 +43557 +74398 +217714 +235592 +215300 +25073 +-868653 +-1144077 +894421 +1194743 +1388735 +1039945 +-1190026 +-938003 +-1000818 +-2466902 +-1080089 +-666258 +-140326 +3975620 +2595318 +-544975 +-822108 +0 +212276 +229980 +436911 +147985 +-213733 +-324280 +130077 +198581 +217659 +168469 +-171531 +-144660 +-7268 +27766 +45871 +40858 +18536 +17418 +-13997 +-19154 +-10856 +-12764 +-10203 +-12879 +-9360 +-14151 +-11919 +-9836 +-7553 +-4785 +-758 +-7248 +-12893 +-11274 +-26285 +-16821 +9780 +30376 +-2883 +-12817 +-15476 +-7215 +16173 +3800 +2309 +13570 +-6829 +-13950 +-10398 +-246 +30214 +8737 +-20924 +-29804 +-5307 +-4305 +-1957 +-13512 +-14619 +48577 +56872 +58954 +70135 +50034 +29372 +29791 +62003 +85483 +44428 +50769 +46349 +36469 +72647 +56538 +62695 +75059 +38741 +47425 +51378 +43682 +94214 +70093 +32712 +28116 +42656 +59760 +46442 +77674 +59744 +18595 +9033 +55398 +78998 +62028 +58065 +39612 +-53371 +-73358 +154071 +201819 +246781 +198075 +-29343 +-47058 +-215578 +-168458 +538107 +631102 +275937 +-29960 +-1503099 +-711147 +2310241 +3839197 +-910037 +-1840868 +-1690534 +-334947 +276701 +-1621660 +-2028970 +0 +1511308 +1169924 +-624980 +-643007 +-173890 +-127513 +443004 +525472 +403482 +284104 +-323058 +-220393 +78061 +154847 +104786 +103721 +62187 +45085 +45362 +39411 +40825 +47217 +47607 +60846 +44607 +56546 +47385 +39385 +36412 +49318 +61583 +54680 +68537 +49568 +27512 +28965 +61917 +85555 +44808 +51186 +47181 +37264 +72957 +56147 +55665 +69993 +39196 +48046 +52197 +44143 +86516 +60820 +29172 +27749 +53271 +74494 +58081 +55929 +46648 +24554 +31257 +38028 +43535 +30122 +1437 +7700 +49265 +80045 +30132 +27848 +22702 +21335 +61188 +41387 +45514 +62587 +24604 +25856 +30877 +32148 +87015 +55640 +11106 +3957 +25113 +36741 +29267 +43957 +32137 +28316 +33117 +23443 +30201 +22260 +24799 +21781 +24423 +35531 +35400 +42982 +82475 +87754 +136416 +57379 +-223510 +-302731 +277301 +372614 +471275 +379844 +-185263 +-197785 +-511245 +-413756 +1043684 +1267135 +901569 +-1932203 +-1484053 +478061 +-128297 +0 +-1868065 +-1063483 +4223131 +2501469 +-746944 +-1546587 +-77901 +214266 +605316 +509734 +-158757 +-216560 +-71917 +-55843 +191718 +229576 +187993 +142074 +-87579 +-71313 +17110 +29661 +45306 +52492 +35764 +-21512 +-8343 +9742 +16464 +35465 +49445 +31855 +36206 +24279 +-1218 +8088 +51603 +83411 +28181 +25099 +20410 +19754 +63822 +42971 +40583 +58418 +22179 +23296 +28890 +30550 +82204 +48887 +5497 +-1854 +33403 +48954 +39176 +29635 +22313 +-8917 +-7159 +-349 +-2649 +-3117 +-22888 +-15080 +11936 +32107 +1329 +-7059 +-10292 +-3720 +17917 +6159 +7937 +19811 +-2934 +-9224 +-5825 +3295 +37539 +15873 +-14286 +-22876 +-7103 +-5688 +-2467 +3176 +-1739 +-10621 +-12803 +-4460 +-5647 +-4113 +-9352 +-8152 +-16714 +-11244 +21606 +23860 +45827 +48460 +29532 +-4934 +-139692 +-159857 +175981 +219761 +198988 +122980 +-332279 +-209985 +164712 +450216 +222650 +145979 +39540 +-819270 +-525691 +2630617 +3925865 +0 +-979479 +-1099525 +-2466682 +-963367 +-940118 +-1164234 +1070872 +1383598 +1198650 +865296 +-1166974 +-859990 +26243 +211320 +242815 +222835 +80137 +45360 +-148889 +-94203 +27892 +53452 +-18239 +-33992 +-30283 +-29580 +-13274 +645 +4039 +5737 +12027 +-9260 +-15344 +-13010 +-15160 +-8144 +12987 +32343 +-1593 +-10284 +-12063 +-4774 +20796 +7889 +5408 +16347 +-3883 +-9761 +-6114 +2653 +33069 +11784 +-17318 +-25539 +-1497 +760 +1875 +-8832 +-10434 +169281 +201473 +216955 +255887 +181335 +84751 +94184 +239768 +347002 +166458 +181535 +163400 +133798 +288244 +214665 +230174 +286676 +150470 +179191 +197787 +174354 +369905 +262679 +102109 +87687 +175577 +239809 +183541 +226323 +178152 +175821 +217200 +191040 +237060 +170223 +91612 +101058 +243521 +350429 +159948 +173811 +158449 +131461 +300217 +220319 +204073 +259647 +135816 +165324 +209531 +196765 +412969 +256208 +-65420 +-148026 +321771 +466376 +370996 +63973 +26763 +-563218 +-607240 +0 +1100344 +782156 +-772640 +-534571 +-402812 +-2056997 +-2027722 +-1762599 +-357263 +-47040 +1774105 +1030246 +-136643 +-384807 +172865 +325707 +473175 +398645 +208852 +93024 +39071 +17386 +255759 +339713 +255490 +105255 +85594 +88396 +96161 +174745 +227235 +210877 +258347 +183787 +53732 +77507 +245731 +359071 +167070 +179967 +159929 +130963 +289972 +215266 +212051 +277052 +139779 +165831 +185002 +164912 +355319 +237616 +89220 +75092 +194625 +275298 +216052 +197564 +161440 +116093 +140154 +154912 +181417 +127845 +47560 +58779 +178322 +266556 +119819 +125807 +111059 +93666 +216585 +157119 +168772 +215868 +106328 +123418 +138822 +127143 +285768 +196635 +63924 +48754 +123114 +170145 +131160 +160777 +124370 +120909 +152051 +138023 +169543 +120848 +49362 +61819 +181727 +272056 +118150 +122819 +102844 +84446 +205588 +153779 +194112 +261989 +60850 +62036 +62413 +66419 +309723 +212187 +137992 +110404 +-18557 +6531 +19681 +436112 +341329 +57809 +-164474 +0 +-236949 +-75390 +1280562 +737776 +-1253466 +-2243272 +520561 +966396 +-990642 +-1001660 +-234119 +249500 +674411 +944194 +-351347 +-514601 +-468197 +-319698 +806215 +580583 +54529 +-15832 +54797 +112007 +103188 +388106 +264223 +129243 +90798 +97869 +122224 +155349 +194832 +140967 +38337 +50071 +174359 +262704 +126064 +133360 +117672 +98437 +210223 +151600 +154627 +208633 +99980 +115245 +129883 +120096 +271351 +175635 +54213 +39701 +138348 +197208 +155441 +135869 +109302 +92577 +112483 +125840 +146924 +103289 +34093 +44767 +147277 +222970 +97636 +100844 +88222 +75387 +179559 +128905 +138692 +179299 +85853 +98509 +111715 +104018 +239637 +162914 +49029 +34993 +98782 +137314 +106220 +131061 +100563 +96103 +121162 +111738 +137104 +97647 +37244 +48039 +145541 +220941 +100026 +103805 +89833 +75087 +169365 +123227 +142614 +198392 +72211 +77377 +69150 +61768 +198596 +142558 +169217 +198322 +-18889 +-23521 +-17039 +285258 +244744 +545618 +543223 +0 +-514528 +-340199 +937895 +643060 +165058 +-346970 +-979377 +-927454 +1033828 +523784 +-2441381 +-1350692 +699395 +1284006 +64631 +-133400 +-353184 +-275832 +446409 +368632 +119471 +111071 +3565 +20278 +22760 +310415 +227279 +127016 +93765 +90574 +113130 +113835 +142336 +102764 +43063 +49501 +143474 +216755 +99599 +104165 +92782 +78958 +176596 +125633 +126301 +171991 +80562 +91961 +104711 +98413 +226827 +144859 +40600 +27193 +112201 +160495 +126748 +108539 +86663 +146470 +175317 +191025 +224666 +158857 +68028 +78586 +214593 +314835 +147005 +157878 +140982 +116797 +259026 +190831 +204934 +258089 +131749 +155263 +172647 +154606 +336529 +235856 +85645 +70477 +152888 +209921 +161184 +199726 +156036 +151926 +188220 +167766 +207853 +149083 +75960 +85545 +212621 +310311 +145797 +157519 +146212 +122928 +267327 +192027 +163959 +215110 +144940 +174910 +202633 +179628 +302623 +191895 +16574 +5650 +268965 +363740 +278041 +-10064 +-2484 +110552 +274687 +0 +548388 +334354 +-729896 +-375563 +1004667 +1750666 +15354 +-288610 +-1764150 +-2028096 +-2301247 +-514104 +-327130 +-366387 +752266 +945736 +830242 +624700 +-342193 +-270451 +96689 +153014 +240929 +284994 +197417 +-62338 +-9625 +67240 +93303 +177528 +234355 +169721 +203738 +142744 +51754 +75062 +223448 +328562 +141205 +149003 +132449 +110545 +266835 +195685 +188024 +247559 +121068 +142456 +161022 +145980 +323048 +212981 +73432 +58499 +171043 +242816 +190950 +171533 +139195 +142764 +171231 +186986 +219679 +155200 +64468 +75575 +211611 +312024 +144202 +153952 +137096 +114122 +255927 +187743 +201573 +254931 +128968 +151385 +168854 +152114 +333839 +232778 +82040 +66268 +149677 +205833 +158195 +194985 +151961 +148343 +184776 +165332 +204185 +146113 +69435 +80846 +213263 +313662 +141631 +150823 +134223 +111681 +256532 +187868 +192392 +254262 +109990 +127721 +147614 +138448 +341297 +222170 +54550 +20187 +159253 +236470 +190557 +208095 +159815 +-53087 +-102978 +0 +328812 +255560 +148091 +82812 +-285014 +-349739 +654766 +840615 +961797 +741367 +-381883 +-335314 +-552824 +-2314360 +-2024913 +-1720451 +-249706 +53539 +1743620 +966770 +-367639 +-690637 +353322 +584944 +495792 +314057 +130420 +-7486 +-16726 +71229 +97611 +249372 +308900 +221528 +-43167 +380 +215513 +332243 +164322 +172141 +143542 +117218 +241895 +178624 +187639 +251106 +120282 +139540 +155864 +142090 +319737 +210157 +70690 +55437 +167012 +237355 +186771 +167612 +135754 +91984 +111834 +125104 +146014 +102621 +33510 +44269 +146406 +221722 +96990 +100126 +87553 +74834 +178452 +128097 +137807 +178190 +85277 +97827 +110933 +103306 +238092 +161854 +48828 +34952 +98004 +136222 +105371 +130241 +99959 +96292 +121322 +110051 +135023 +96159 +38243 +48608 +145166 +219419 +97207 +100822 +89750 +76666 +177583 +126405 +124319 +170132 +82696 +94312 +103357 +94854 +211794 +138055 +65690 +66886 +96337 +133757 +103856 +114799 +97993 +221813 +270974 +0 +29278 +13216 +97711 +106655 +366774 +431668 +-279689 +-346664 +-128912 +76152 +1308567 +692920 +-1355365 +-2383393 +564495 +1031278 +-934085 +-963175 +-352379 +162663 +663039 +936518 +-376754 +-560526 +-450595 +574711 +508453 +346094 +256255 +142258 +163156 +39365 +56420 +44429 +164164 +128760 +129551 +181431 +85324 +94954 +96595 +83590 +181011 +126128 +122080 +165721 +82381 +94870 +107660 +100503 +222360 +141552 +40238 +27207 +111976 +159957 +126229 +106455 +85105 +121655 +146328 +161079 +188996 +133385 +52685 +63176 +183062 +271326 +124127 +131711 +116859 +97712 +221599 +161940 +174013 +220968 +110562 +129247 +144574 +131028 +290410 +201558 +69208 +54851 +127989 +176391 +135746 +167946 +130493 +126387 +157430 +141771 +175106 +125314 +58840 +68718 +181373 +268070 +124202 +132452 +120176 +100817 +222292 +160449 +152793 +204829 +111567 +130682 +143655 +127936 +256943 +169491 +75654 +78882 +147013 +200699 +154288 +113120 +99391 +262819 +348197 +0 +120460 +66369 +-33936 +43396 +591448 +799107 +-326345 +-461612 +-514974 +-338411 +968609 +676067 +274630 +-207043 +-1040278 +-1009658 +944090 +464071 +-2261740 +-1222402 +760677 +1256883 +-131428 +-358104 +-357992 +-139663 +79453 +251304 +260389 +255220 +323636 +35749 +37653 +23569 +183280 +163180 +185458 +255958 +92003 +99559 +102070 +89274 +247196 +176727 +155314 +203680 +101448 +119873 +137811 +126153 +276664 +179989 +58208 +44110 +144489 +205550 +161834 +141698 +114398 +170327 +202691 +218669 +257942 +182810 +85380 +94849 +241769 +350078 +167845 +182958 +164642 +134873 +290735 +216422 +232170 +289296 +151592 +180443 +199249 +175784 +373538 +265091 +102596 +87799 +176854 +241679 +185032 +228459 +179693 +175725 +216888 +193400 +240169 +172549 +91614 +101108 +242414 +350000 +165664 +180610 +163948 +134633 +292793 +216422 +213494 +278359 +139882 +166239 +188079 +169136 +366612 +242770 +72711 +48916 +206737 +295141 +232829 +193137 +154114 +53413 +63877 +0 +373863 +268997 +-531 +23151 +98153 +215088 +415287 +476345 +321357 +155490 +-401629 +-138109 +1066196 +1775046 +-92953 +-402022 +-1810228 +-2030491 +-2030766 +-362095 +-531615 +-761338 +785534 +1068474 +815692 +-553049 +-450209 +-213410 +-93528 +158061 +232241 +276663 +325447 +224454 +-80795 +-2929 +271589 +412108 +173642 +177700 +144566 +117886 +297557 +223824 +217499 +283552 +135823 +160790 +181409 +162745 +363831 +243402 +89371 +74340 +195635 +277145 +217689 +200867 +163808 +-26848 +-27939 +-24763 +-31920 +-24123 +-34594 +-26699 +-11821 +-144 +-16604 +-27919 +-29446 +-18589 +-9661 +-15625 +-16273 +-8851 +-18788 +-28847 +-26704 +-13970 +2811 +-10589 +-28496 +-36395 +-24471 +-29445 +-20667 +-25784 +-24605 +-25631 +-26954 +-21948 +-30386 +-23448 +-35518 +-27375 +-11805 +102 +-16249 +-27587 +-29613 +-18953 +-10908 +-16069 +-13046 +-5725 +-22108 +-32913 +-32115 +-18754 +3240 +-8944 +-23709 +-32970 +-34155 +-42534 +-30814 +-8742 +-11949 +-38898 +-59975 +0 +-55752 +-33731 +52490 +19628 +-130867 +-197310 +37308 +71692 +227823 +247054 +196927 +2068 +-950983 +-1247445 +957058 +1276875 +1467527 +1087707 +-1353706 +-1045554 +-988437 +-2354533 +-956966 +-511590 +-17818 +4040676 +2368025 +482853 +-45363 +-537107 +-766800 +245726 +381013 +312941 +-137620 +-181040 +-109725 +-112321 +110743 +132003 +102426 +75041 +-144764 +-112706 +-12034 +14394 +6981 +-4905 +-19199 +-11278 +-18460 +-22365 +-25111 +-29452 +-23640 +-31576 +-23953 +-34325 +-30363 +59174 +67709 +65611 +79095 +56998 +44256 +40922 +65804 +85037 +50288 +60654 +57419 +43889 +77092 +62184 +66195 +75835 +48067 +60996 +64390 +51247 +89851 +71031 +41591 +42536 +57560 +76335 +57352 +70797 +58196 +57908 +68828 +60210 +76540 +55904 +42706 +40454 +66643 +86396 +49835 +59900 +56404 +43005 +77234 +62227 +63354 +76183 +43503 +54981 +59036 +48067 +92642 +68375 +35906 +32808 +58831 +83615 +65797 +76876 +62922 +16929 +7903 +0 +101158 +77871 +66154 +44675 +-43710 +-65987 +153667 +203384 +249645 +201039 +-8019 +-31534 +-221916 +-193329 +534611 +636398 +328383 +33485 +-1388024 +-668230 +2025076 +3424119 +-662635 +-1417178 +-1325279 +-847818 +-146503 +-1247414 +-1252499 +-783642 +-951302 +865357 +1091897 +793402 +-996116 +-714290 +85365 +257259 +264645 +262294 +133730 +82359 +-80339 +-30385 +91585 +135181 +49564 +50563 +39591 +31046 +95886 +75110 +43031 +44745 +56267 +78122 +60658 +74649 +63214 +21259 +26895 +25579 +28906 +19784 +2710 +7151 +35020 +55827 +20675 +19376 +16119 +14973 +43494 +29788 +31516 +42672 +17915 +19372 +23076 +23319 +59734 +38532 +7386 +2619 +20317 +28997 +22779 +28062 +20738 +19764 +25823 +23701 +28478 +19967 +3530 +7512 +34473 +55029 +21112 +20002 +16763 +15402 +42642 +29071 +29571 +42055 +15312 +16146 +19676 +20719 +58200 +35259 +5092 +-1011 +21413 +32368 +26325 +28184 +21166 +1272 +-2722 +0 +36849 +28381 +19864 +12792 +-18488 +-21756 +64416 +82679 +115693 +102985 +37728 +-1692 +-183837 +-202736 +305539 +378028 +295884 +150189 +-622692 +-347441 +580765 +1162025 +131094 +-105355 +-214260 +-1148020 +-573865 +1858820 +730134 +-680946 +-1101541 +175696 +394030 +373959 +380246 +94047 +-206999 +-310734 +192612 +275539 +280932 +212323 +-180727 +-144023 +20189 +61496 +75859 +78561 +52797 +40952 +7622 +3299 +12966 +16425 +24525 +31997 +23914 +9766 +10079 +15342 +20067 +15815 +17151 +11322 +-1158 +3206 +23871 +38807 +12713 +11089 +8778 +8670 +29558 +19939 +20561 +28290 +11069 +11616 +14288 +15026 +40471 +25600 +3768 +210 +12641 +18282 +14470 +17859 +12957 +12213 +16222 +15036 +17905 +12468 +913 +3920 +22663 +36968 +13558 +12354 +10117 +9636 +28232 +18869 +19198 +27875 +9585 +9750 +12107 +13264 +38832 +23086 +2782 +-1322 +13117 +19994 +16330 +17828 +13299 +2794 +719 +0 +20647 +15951 +13624 +9067 +-8178 +-10054 +34647 +44942 +71653 +68073 +48132 +9950 +-153500 +-187708 +213192 +273000 +265877 +174878 +-339357 +-218439 +99178 +383500 +364861 +307116 +145214 +-1130376 +-680970 +727528 +1857661 +-593198 +-1147855 +-208903 +4982 +140211 +1177665 +568791 +-362333 +-627515 +156821 +292971 +375518 +292463 +-228083 +-196602 +-11599 +24207 +100230 +109315 +74657 +54297 +-42250 +-30699 +12128 +20908 +18895 +21539 +14600 +-9653 +-3836 +59074 +70976 +61487 +71527 +50137 +27370 +30805 +66560 +90692 +45374 +52101 +47904 +37473 +77380 +60729 +62982 +74056 +43213 +53840 +58022 +47874 +91363 +69336 +35321 +34423 +52165 +69900 +52865 +65513 +53032 +52224 +62769 +55428 +69964 +50851 +35148 +34570 +63663 +85842 +46688 +54446 +50943 +39819 +75231 +58621 +57941 +71809 +41899 +51917 +55854 +46342 +87293 +62646 +33186 +32955 +56085 +77979 +60596 +59797 +50411 +52248 +61454 +0 +75596 +53782 +29661 +30988 +73238 +95023 +30169 +34952 +44882 +44872 +136118 +87469 +-35203 +-82317 +84031 +129822 +258820 +257390 +259102 +80519 +-719200 +-970372 +819038 +1097479 +830020 +-986783 +-789339 +-1253338 +-1261370 +-172445 +-876541 +-1347475 +-1192057 +-607738 +3516050 +2017649 +-683958 +-1373319 +54684 +336164 +644841 +524535 +-215158 +-234176 +-35394 +-8041 +206547 +246442 +198377 +144632 +-73125 +-48826 +46403 +68077 +69364 +85052 +60948 +7200 +16477 +-6552 +-3464 +-27117 +-35911 +-27623 +-23698 +-16338 +-4413 +7433 +-15189 +-25521 +-26150 +-16178 +-343 +-7814 +-10720 +-3796 +-14639 +-22932 +-20348 +-9462 +8969 +-4714 +-23410 +-30655 +-18578 +-21849 +-15067 +-18798 +-18614 +-19663 +-20211 +-16160 +-22781 +-17769 +-29479 +-22271 +-6689 +5313 +-11445 +-21039 +-22694 +-13791 +-4250 +-10504 +-10326 +-3650 +-14720 +-22934 +-21289 +-10817 +5714 +-6373 +-22726 +-29547 +-18649 +-23324 +-16949 +-21151 +-20397 +-21518 +-23922 +0 +-26586 +-19443 +-19940 +-16984 +-17427 +-13215 +-7889 +-13296 +1479 +12135 +20755 +-6357 +-107853 +-135266 +82618 +109021 +138976 +112382 +-110581 +-104376 +-176022 +-125156 +327650 +364231 +239640 +-779603 +-530604 +-47506 +482824 +2379204 +3955932 +-58332 +-805045 +-956961 +-2377032 +-968688 +-1045371 +-1316747 +1128445 +1469894 +1284803 +928076 +-1275467 +-942543 +5370 +196148 +255823 +234812 +80668 +42409 +-195275 +-125331 +17635 +47579 +-22771 +-44930 +-40909 +-63050 +-40307 +162396 +198982 +234440 +273090 +191632 +50220 +73269 +246838 +362260 +168531 +180887 +159257 +130615 +291226 +217051 +232384 +290148 +149421 +177340 +196502 +174152 +375160 +265582 +100988 +85583 +175295 +239878 +183808 +227646 +178711 +174055 +215009 +192281 +238664 +171409 +89714 +99575 +241187 +349324 +165511 +180036 +163458 +134496 +291536 +214917 +211694 +277455 +141294 +167363 +186810 +166965 +357597 +238044 +86764 +71829 +196727 +278272 +218388 +194257 +158729 +144031 +175297 +0 +288887 +204003 +43904 +66325 +246100 +362393 +170979 +183492 +159368 +130092 +287853 +214455 +224338 +290244 +120917 +142966 +175948 +168373 +422103 +269867 +-2991 +-79413 +232412 +335955 +265830 +236688 +156734 +-82995 +-224979 +-461814 +-553501 +846304 +1054351 +759250 +-784076 +-533670 +-390245 +-2038036 +-2036289 +-1775409 +-369312 +-55347 +1787957 +1039743 +-137710 +-386182 +174052 +327181 +474068 +398462 +202674 +89942 +52995 +41755 +251505 +346029 +267244 +93002 +79094 +106818 +119208 +147423 +180246 +131259 +92775 +83222 +170194 +249605 +125873 +136980 +125353 +104666 +213864 +154733 +171500 +219445 +111972 +130431 +144903 +131459 +287629 +198718 +67390 +52869 +126563 +174502 +134328 +165013 +128099 +125027 +156276 +140169 +172756 +123439 +55933 +66659 +181870 +269879 +121725 +128683 +115291 +96985 +221523 +160112 +157569 +210749 +101867 +118313 +134011 +123337 +276402 +179534 +56102 +41561 +143154 +203795 +160517 +138838 +112053 +99211 +122281 +0 +212516 +149660 +21008 +40850 +181354 +274871 +131550 +138416 +117890 +96526 +205889 +152400 +176812 +241040 +90292 +99546 +96840 +87656 +262194 +183926 +162798 +176610 +22278 +37559 +32097 +331219 +253739 +267407 +245017 +80573 +-140444 +-369209 +-291956 +-123698 +1298444 +763827 +-1233978 +-2220657 +503692 +945214 +-1007743 +-1013745 +-208905 +268233 +677842 +945114 +-353057 +-515225 +-465294 +-316447 +817463 +587575 +48769 +-26340 +63575 +129966 +119775 +359734 +265705 +107223 +120990 +98075 +119347 +86609 +90514 +79672 +139037 +203478 +95349 +102570 +95429 +81249 +178911 +127774 +137706 +177319 +88641 +102538 +115254 +106096 +236737 +161635 +50999 +37847 +100432 +139115 +107386 +131605 +101471 +98858 +124302 +111868 +137394 +97922 +40729 +50715 +147514 +221457 +97562 +101639 +90411 +76944 +180322 +129088 +127046 +171626 +80771 +92832 +105944 +98983 +226876 +145669 +41991 +28932 +113885 +162679 +128376 +109923 +88145 +77163 +95532 +0 +169473 +119248 +13733 +30423 +144722 +221805 +107014 +111906 +97288 +81179 +169632 +122342 +128521 +178305 +87603 +98735 +96979 +84059 +186826 +130424 +131264 +162183 +48273 +57085 +39534 +169340 +143519 +262455 +342432 +515269 +564808 +-465506 +-534383 +-361349 +971287 +667529 +165335 +-352242 +-985366 +-932379 +1033064 +523678 +-2435561 +-1346822 +696941 +1278859 +67054 +-129172 +-346288 +-269860 +448450 +368692 +105531 +92514 +21001 +45011 +42121 +273278 +218290 +167312 +210712 +193749 +219029 +149960 +26773 +58572 +225515 +334767 +139481 +145002 +125597 +105056 +265518 +196132 +203297 +255262 +126539 +149039 +167651 +151091 +336266 +235188 +83257 +67523 +150458 +206916 +159032 +197257 +153754 +149016 +185094 +166235 +205660 +147356 +71913 +82470 +211884 +310723 +143696 +154118 +139007 +115623 +257100 +187646 +184850 +244799 +121390 +142382 +160039 +145141 +318211 +209212 +70938 +55928 +169567 +240638 +189200 +166536 +135268 +121648 +148708 +0 +249607 +176087 +33160 +53965 +213285 +317721 +150436 +160179 +141200 +117251 +255752 +186409 +179707 +235771 +122688 +145760 +174318 +161487 +339023 +214337 +1771 +-39822 +233546 +317920 +242825 +96225 +68894 +-7641 +-13644 +139456 +322868 +510534 +535233 +333503 +-716979 +-370264 +996222 +1737740 +15688 +-286786 +-1760243 +-2024629 +-2307394 +-520099 +-336112 +-376668 +759148 +954231 +836636 +627603 +-363613 +-283715 +107012 +178068 +244973 +297852 +212145 +-81016 +-30655 +6164 +9357 +291195 +351631 +253716 +-30620 +-3060 +202041 +313791 +176432 +188651 +158074 +127784 +227567 +168841 +205411 +264153 +132721 +153792 +168137 +151475 +333412 +232505 +82325 +66693 +148709 +204562 +157246 +195382 +152252 +147950 +184269 +164913 +203676 +145754 +69290 +80647 +212097 +312600 +142955 +152381 +137076 +114575 +257828 +187379 +184452 +245314 +120344 +140571 +158524 +144670 +320133 +209370 +68595 +52758 +168555 +239509 +188449 +164528 +133287 +119352 +146484 +0 +248934 +175439 +29117 +51125 +213417 +320260 +151140 +159847 +138222 +114039 +249364 +183277 +194066 +258579 +111160 +128004 +144476 +134703 +335187 +220107 +72522 +46609 +144609 +204585 +159906 +235601 +173195 +99898 +57306 +-11484 +-63705 +200239 +288354 +227680 +155916 +95014 +-276360 +-338533 +645036 +827735 +949219 +731492 +-375058 +-328957 +-541411 +-2298704 +-2036045 +-1735838 +-271414 +34570 +1745236 +973514 +-320889 +-634288 +294716 +531778 +468357 +339945 +163197 +254478 +277985 +-49830 +-52797 +-34105 +284704 +216869 +132737 +168126 +62792 +74452 +89897 +80632 +205764 +143089 +133785 +168951 +90499 +106894 +122070 +111652 +238597 +162349 +51466 +38116 +103663 +143361 +110558 +132487 +102280 +100966 +126908 +114189 +140281 +99999 +41872 +51952 +150058 +224870 +99404 +103792 +92413 +78493 +183266 +131414 +129294 +174373 +82465 +94952 +108205 +100819 +230134 +148062 +43429 +30465 +116140 +165766 +130752 +112163 +90084 +79981 +99011 +0 +172109 +121038 +14589 +31625 +149554 +228063 +106132 +110545 +95890 +80590 +177816 +127983 +129309 +175430 +84226 +96651 +108000 +99672 +224567 +145634 +52907 +45594 +110898 +149379 +113348 +119100 +93101 +101460 +123862 +231303 +306506 +26252 +18478 +5977 +119393 +122586 +370361 +432356 +-281132 +-346721 +-124943 +80530 +1322215 +701167 +-1365730 +-2402902 +574474 +1047836 +-901821 +-932205 +-331323 +165939 +576495 +822312 +-277885 +-448518 +-376616 +459806 +462678 +349584 +420831 +-2363 +-21842 +-26032 +188171 +181203 +204372 +278675 +70769 +72466 +78372 +72054 +271220 +195715 +168775 +206820 +103494 +124220 +145080 +131687 +292914 +203336 +68944 +54192 +129694 +178732 +137543 +168314 +130727 +127090 +158129 +142679 +176356 +126276 +59919 +69551 +182344 +268340 +123312 +131729 +118557 +98904 +221423 +161180 +158812 +210906 +103808 +121426 +136765 +124542 +274809 +180069 +59718 +46312 +145221 +206287 +162280 +142302 +115371 +103013 +126107 +0 +214348 +151190 +26876 +45105 +182139 +272655 +130880 +139193 +122356 +101332 +216296 +157798 +157037 +209156 +106646 +124880 +140447 +127288 +271681 +177602 +56142 +44462 +153972 +206477 +156234 +130048 +102115 +101780 +126354 +270878 +385943 +112241 +104905 +57552 +-9746 +58981 +586651 +787595 +-328195 +-461057 +-507868 +-330956 +976642 +678277 +249149 +-243476 +-1016617 +-976330 +988273 +499881 +-2281202 +-1243154 +697621 +1194900 +-26813 +-238938 +-276773 +-236945 +1092 +28402 +74762 +405631 +460031 +315817 +-223989 +-107372 +270505 +431063 +195539 +195855 +145371 +115846 +271522 +208627 +235869 +296838 +141118 +165263 +183330 +163927 +373701 +265078 +98789 +83123 +169395 +232329 +178270 +225400 +176488 +169431 +209298 +187698 +232973 +167319 +87068 +96802 +235883 +342233 +161755 +175640 +159344 +131303 +285341 +210042 +207022 +271751 +137796 +162982 +182105 +163120 +350716 +233028 +83953 +68915 +191936 +271686 +213303 +189687 +154806 +139041 +169086 +0 +282565 +199658 +42782 +64370 +237212 +350338 +170598 +183755 +161303 +131655 +277870 +206146 +212637 +279337 +132095 +155348 +175282 +159338 +364184 +240974 +74469 +48270 +187533 +259036 +199619 +225864 +168679 +102497 +75367 +83455 +101026 +261364 +331291 +241490 +9877 +33197 +93904 +208446 +406100 +465395 +312564 +148728 +-407753 +-142766 +1064975 +1779227 +-93694 +-406198 +-1832114 +-2056569 +-2080903 +-382599 +-426011 +-605712 +692282 +978765 +767923 +-470625 +-432859 +-506923 +-758549 +13049 +155786 +188160 +478178 +181136 +-208345 +-323002 +112849 +179097 +202512 +157700 +-158493 +-135970 +-9694 +21858 +47001 +44462 +25233 +23243 +-12830 +-21371 +-15402 +-18370 +-7278 +-9030 +-6483 +-19932 +-16903 +-7928 +-4462 +-7584 +-13045 +-11247 +-27135 +-17915 +8209 +28401 +-3325 +-13328 +-16008 +-7635 +14298 +2314 +1271 +12480 +-7517 +-14900 +-11646 +-1326 +28228 +7405 +-20523 +-28917 +-7335 +-7227 +-4273 +-13817 +-14642 +-14491 +-13263 +0 +-8381 +-7397 +-29214 +-20139 +7413 +27749 +-1848 +-11601 +-15033 +-7174 +11985 +1012 +3626 +16078 +-9147 +-17395 +-15775 +-4970 +28511 +8720 +-11933 +-19198 +-19095 +-21328 +-14091 +7760 +1775 +-284 +-1583 +-16882 +-32905 +-36744 +-37664 +-22944 +50527 +24361 +-95373 +-145312 +39755 +69751 +212499 +231546 +216636 +26377 +-863845 +-1140798 +881603 +1179922 +1383980 +1042977 +-1149976 +-919612 +-1065915 +-2572944 +-1050202 +-610020 +-89991 +3975176 +2571790 +-1531325 +-1838943 +1196564 +1532038 +1152569 +-945175 +-809076 +-91330 +25719 +405628 +451557 +302199 +204092 +-285306 +-185166 +84884 +151947 +82568 +78291 +42694 +31407 +45640 +39103 +33886 +38294 +31421 +40657 +30056 +48680 +41038 +40221 +49581 +38595 +47849 +34336 +22888 +24018 +50751 +72236 +34345 +37886 +34867 +28485 +61537 +45749 +44597 +57772 +30162 +36091 +40106 +35372 +74115 +49928 +19381 +16633 +41967 +59212 +46403 +41829 +34340 +30920 +37347 +0 +61650 +43648 +10958 +15100 +50863 +74126 +37033 +40458 +35648 +28702 +58932 +44365 +46756 +60860 +28282 +33447 +37023 +33146 +77200 +52186 +20517 +15757 +36624 +51036 +39537 +57350 +42877 +26869 +17385 +3015 +-8531 +45953 +66830 +53060 +45361 +28684 +-60725 +-79382 +143480 +186859 +225856 +179844 +-50824 +-59437 +-189465 +-131173 +490734 +567696 +204666 +-82432 +-1462068 +-681159 +2338689 +3834293 +-1038540 +-1988272 +-1790957 +-93703 +488851 +841433 +129754 +-775397 +-1198960 +-1910876 +-2152125 +-2338481 +206287 +580570 +856394 +709993 +542888 +632540 +368069 +99216 +-318267 +-364731 +-354165 +-156652 +112038 +254665 +237253 +204204 +-44508 +-70044 +-86914 +-71400 +-92083 +-82625 +-99011 +-8522 +-808 +8347 +11444 +17996 +20588 +22637 +-1532 +-5363 +-8273 +-7021 +-5881 +-7079 +-4392 +-1622 +4596 +4674 +4148 +2265 +-27 +-869 +-1519 +-2072 +-441 +-84 +264 +416 +678 +1077 +1495 +3 +-236 +0 +-676 +-1007 +-1028 +-1017 +1142 +1525 +1708 +1180 +378 +-253 +-1039 +-1780 +-3635 +-1949 +-27 +1281 +5940 +10108 +9836 +9060 +-8569 +-13742 +-17653 +-14850 +-19398 +-15699 +-11384 +21533 +31994 +6691 +-18651 +-45744 +-47951 +-69159 +-69556 +-68090 +61766 +106556 +137554 +106223 +88602 +78261 +12051 +-55697 +-398943 +-313182 +-188259 +-32275 +394995 +768288 +832475 +855226 +-217507 +-775503 +-1266830 +-1156032 +-1569934 +-1913741 +-2398653 +-2778316 +-2193305 +-1627998 +-1104900 +-1239547 +-599757 +-36937 +-2792939 +-2663378 +-2200594 +-1084937 +330430 +964016 +1265372 +1490576 +42856 +-291757 +-549452 +-424535 +-256903 +-283489 +-85121 +121318 +320877 +277288 +205942 +89398 +58998 +-81460 +-204553 +-168678 +-109798 +-66522 +-25401 +-12123 +28763 +66472 +44436 +30958 +14331 +-1535 +-22503 +-36346 +-33411 +-29089 +12829 +17311 +19304 +13351 +6483 +5690 +1043 +-3454 +-6687 +-5634 +-4049 +-1464 +-546 +2545 +5113 +4287 +2795 +0 +23 +-593 +-1631 +-2578 +-1385 +-270 +855 +1274 +2829 +4195 +3531 +2688 +-5686 +-6574 +-6614 +-4130 +86 +3393 +6161 +8645 +11131 +4015 +-3615 +-7936 +-13739 +-18266 +-20729 +-6399 +15500 +39915 +30999 +15528 +866 +-7271 +-21551 +-35109 +-60884 +-32076 +543 +22353 +99516 +168820 +163817 +150472 +-142068 +-227555 +-283442 +-213624 +-163005 +-134565 +-4974 +126428 +747086 +575412 +344308 +56453 +-89979 +-480761 +-827918 +998773 +272052 +-640277 +-1084491 +-1763311 +-2039186 +-2265485 +-307114 +-1077201 +-1747361 +-1516425 +-1265839 +-1527948 +-953664 +-362425 +720412 +856831 +856404 +395435 +-231438 +-553604 +-532159 +-475868 +71880 +133993 +178929 +154305 +204166 +195518 +244098 +34880 +11918 +-12893 +-23715 +-39845 +-49498 +-57625 +-617 +9347 +17507 +16124 +15487 +19517 +13135 +6435 +-11674 +-12309 +-11331 +-6480 +-638 +1333 +3295 +5027 +1992 +1045 +31 +-697 +-1416 +-2771 +-4095 +-1971 +-1051 +0 +648 +1254 +1751 +2184 +296 +-699 +-1589 +-1579 +-2393 +-3215 +-2372 +-1405 +6020 +6159 +5512 +2983 +-2158 +-6399 +-8629 +-10446 +-6529 +1292 +9120 +11834 +18325 +20908 +21525 +-1882 +-24101 +-36310 +-20091 +2311 +15563 +29713 +42024 +53086 +30950 +-8845 +-47206 +-55165 +-115007 +-170621 +-143856 +-109452 +256756 +300553 +305790 +193225 +4868 +-146227 +-278600 +-398441 +-563502 +-227446 +136662 +344611 +610174 +1060397 +1521340 +1303308 +806265 +235400 +-120411 +-370736 +-690638 +-994604 +-1639822 +-748259 +231812 +706441 +-584925 +-1243109 +-1406570 +-1494036 +113461 +460635 +705427 +488061 +194596 +150909 +-33006 +-217134 +-289537 +-234822 +-157407 +-51929 +-12370 +119252 +246621 +167749 +106886 +60455 +19066 +2998 +-37919 +-75491 +-42352 +-27419 +-9879 +4900 +24677 +38683 +34532 +28997 +-14645 +-19031 +-20711 +-14035 +-6288 +-5136 +-326 +4295 +6662 +5516 +3856 +1288 +308 +-2835 +-5474 +-4124 +-2695 +0 +-47 +533 +1530 +2437 +1072 +151 +-765 +-1076 +-2157 +-3094 +-2497 +-1774 +4514 +4902 +4659 +2726 +-887 +-3762 +-5643 +-7255 +-6379 +-759 +5029 +7581 +12211 +14774 +15835 +1229 +-15255 +-28218 +-18426 +-3904 +6423 +15080 +24883 +33921 +32480 +6274 +-20512 +-30799 +-80841 +-126589 +-113480 +-94457 +155310 +201046 +220860 +150403 +53305 +-20761 +-118534 +-211473 +-477597 +-278804 +-49248 +130818 +291295 +608133 +915888 +580574 +501809 +443387 +355647 +445017 +315185 +177040 +-1122160 +-1138742 +-1011055 +-540192 +455807 +-266331 +-1258707 +-2140385 +-693157 +-260254 +164301 +357066 +622902 +945096 +600032 +211567 +-590307 +-572239 +-493635 +-280516 +-273569 +-34890 +125741 +247499 +170430 +120644 +62313 +54401 +-4555 +-59601 +-72599 +-59037 +-38731 +-11421 +23620 +42904 +43596 +42280 +-10958 +-17768 +-21886 +-16309 +-10097 +-10530 +-4620 +1245 +9076 +8250 +6620 +3074 +2256 +-1521 +-4466 +-4667 +-3306 +0 +-776 +-472 +634 +1660 +1340 +899 +362 +-116 +-912 +-1469 +-1349 +-1165 +1089 +1388 +1502 +1009 +302 +-142 +-712 +-1247 +-2244 +-1178 +20 +873 +1730 +2650 +3229 +1749 +-1670 +-6542 +-5775 +-3953 +-1542 +-786 +1612 +3942 +11984 +8440 +3860 +-696 +-14129 +-26033 +-27091 +-26796 +12370 +29136 +42067 +34841 +38590 +44509 +24650 +3303 +-131284 +-118510 +-93880 +-42523 +-29852 +25728 +69968 +-212231 +83210 +470713 +635057 +977888 +1049037 +1094415 +-321950 +-1089279 +-1713369 +-1484605 +-1861294 +-2281327 +-1437434 +-600034 +-1603523 +-1588328 +-1347668 +-463347 +741333 +1465328 +1234239 +920008 +-454530 +-548794 +-579952 +-418086 +-498026 +-348585 +-339512 +81220 +76278 +89865 +75099 +97752 +80664 +63221 +-40000 +-49081 +-51313 +-33551 +-12654 +-8512 +2600 +13111 +13331 +10537 +6566 +1607 +-4621 +-8114 +-8021 +-7572 +1991 +2969 +3598 +2741 +3373 +3331 +3699 +142 +-190 +0 +-776 +-1176 +-1230 +-1258 +223 +664 +1011 +860 +980 +1227 +809 +352 +-2459 +-2425 +-2082 +-1062 +1064 +2822 +3636 +4275 +2072 +-1035 +-4093 +-4984 +-7573 +-8380 +-8434 +1545 +10192 +13719 +6716 +-2646 +-7644 +-13718 +-18296 +-22338 +-9052 +7444 +22870 +24594 +46077 +66278 +53772 +38376 +-110727 +-123602 +-120658 +-72854 +13637 +84212 +135767 +181059 +200278 +53954 +-100158 +-172873 +-287447 +-467698 +-657438 +-516217 +-401947 +-289259 +-189302 +-206471 +-87101 +34879 +876300 +762743 +553422 +201262 +-686412 +-1463695 +-1685967 +-1817311 +-8721 +-1217329 +-2208497 +-1676845 +-962482 +-1028991 +-268357 +519455 +1226246 +1051698 +772290 +326750 +204293 +-335006 +-813854 +-652626 +-423632 +-254454 +-95103 +-42261 +116061 +261996 +170941 +118054 +53250 +-7613 +-88098 +-141702 +-129744 +-112425 +50262 +67498 +75036 +51764 +24966 +21910 +4026 +-13277 +-25017 +-21355 +-15688 +-6010 +-2792 +8554 +17874 +13959 +9688 +0 +1799 +640 +-2681 +-5739 +-3611 +-2340 +-839 +429 +2298 +3613 +3235 +2717 +-2121 +-2618 +-2758 +-1808 +-431 +295 +1177 +1989 +2563 +1090 +-512 +-1497 +-2691 +-3735 +-4333 +-1356 +3066 +7848 +6049 +2959 +76 +-1562 +-4366 +-7021 +-11503 +-5818 +553 +4642 +19371 +32603 +31406 +28607 +-28626 +-44659 +-54888 +-40967 +-29719 +-22916 +2094 +27299 +142002 +107144 +61170 +6555 +-22950 +-98904 +-167036 +-186536 +-226648 +-297706 +-301966 +-422803 +-386900 +-341259 +540171 +735239 +835873 +586234 +269314 +21354 +-365387 +-735781 +-1966200 +-1472983 +-796550 +19540 +-900081 +-1570934 +-1180581 +-708530 +703474 +747154 +708750 +456120 +499255 +235521 +113185 +-229027 +-169977 +-141946 +-90606 +-98505 +-45311 +5252 +76931 +72538 +59109 +28533 +-9559 +-26086 +-33288 +-38666 +-56 +6582 +11971 +10900 +10129 +12545 +8186 +3645 +-7812 +-7791 +-6974 +-3874 +-3652 +-868 +1063 +3319 +2501 +0 +961 +944 +166 +-563 +-1053 +-927 +-691 +-283 +232 +507 +584 +633 +123 +-47 +-206 +-234 +-443 +-699 +-634 +-541 +460 +780 +1030 +881 +1162 +963 +723 +-1119 +-1821 +-663 +741 +2288 +2518 +3698 +3830 +3860 +-2712 +-5302 +-7203 +-5750 +-5496 +-5558 +-2055 +1601 +22081 +18348 +12311 +3553 +-19206 +-38954 +-43440 +-45778 +4820 +35469 +63155 +59888 +82790 +104169 +132537 +207674 +127737 +35762 +-21299 +-62224 +-113263 +-161684 +-259264 +-115066 +42692 +133297 +492004 +818431 +779993 +698086 +-815581 +-1320536 +-1598328 +-919142 +115173 +-678310 +-1319286 +-1882767 +-923423 +-578861 +-187827 +152085 +412329 +886100 +1472496 +706884 +427272 +197588 +18200 +-78219 +-253213 +-412244 +-160043 +-81769 +2219 +54485 +127062 +188503 +158663 +122930 +-80641 +-98431 +-102530 +-66819 +-25015 +-16549 +5650 +26653 +28484 +22673 +14831 +3925 +-881 +-14908 +-26947 +-17336 +-11791 +0 +-1597 +151 +4289 +8081 +4201 +2545 +657 +-784 +-2828 +-4342 +-3792 +-3089 +2234 +2711 +2814 +1821 +432 +-174 +-947 +-1656 +-1626 +-586 +524 +1153 +1998 +2657 +3008 +465 +-2457 +-4795 +-3209 +-820 +935 +2342 +4015 +5558 +5313 +1073 +-3266 +-4952 +-13032 +-20398 +-18276 +-15201 +25057 +32375 +35518 +24158 +8434 +-3567 +-19278 +-34200 +-76535 +-44467 +-7487 +21359 +47234 +98166 +147688 +305891 +259640 +222332 +173747 +214141 +145313 +72619 +-578185 +-573139 +-495576 +-254636 +270347 +722254 +934746 +1097711 +522691 +-246411 +-928300 +-903423 +-1648851 +-2256191 +-1186264 +-71779 +-1939381 +-1770451 +-1419345 +-716908 +-607697 +211230 +855055 +923877 +615582 +399433 +177264 +122157 +-100206 +-306312 +-254609 +-190295 +-105495 +-12469 +108799 +182978 +174542 +158560 +-57960 +-82796 +-95510 +-67857 +-36359 +-34637 +-10755 +12593 +34662 +30435 +23303 +9836 +5968 +-9179 +-21353 +-18483 +-12993 +0 +-2835 +-1520 +2866 +6917 +4867 +3400 +1583 +-159 +-2546 +-4122 +-3798 +-3312 +1780 +2346 +2582 +1763 +719 +375 +-360 +-1059 +-1770 +-1052 +-221 +443 +1013 +1740 +2216 +1140 +-1028 +-3879 +-3354 +-2201 +-772 +-264 +1152 +2518 +6509 +4354 +1668 +-776 +-8203 +-14785 +-15117 +-14689 +8422 +17386 +24068 +19450 +19852 +21676 +10416 +-1506 +-71896 +-62640 +-47076 +-19052 +-10416 +21722 +48127 +-150161 +-68371 +31075 +84234 +147610 +186646 +221196 +121581 +-52262 +-218278 +-247719 +-508207 +-753924 +-635822 +-481426 +1168240 +1524836 +1634169 +815724 +-693535 +-1856053 +-1930767 +-1883498 +-181964 +-896611 +-1572169 +-1557009 +-2195355 +-2417060 +-3252236 +-782700 +-386433 +-4666 +212593 +426890 +630277 +809007 +108304 +-35971 +-166836 +-187227 +-227532 +-304843 +-225455 +-139306 +161216 +178507 +171892 +103511 +22142 +-1392 +-33754 +-63222 +-35411 +-23886 +-10490 +2771 +11745 +32090 +50800 +25488 +16726 +0 +717 +-2644 +-8763 +-14325 +-5627 +-2831 +162 +1997 +4637 +6860 +5754 +4429 +-3479 +-4116 +-4190 +-2663 +-616 +179 +1217 +2164 +1797 +650 +-568 +-1262 +-2188 +-2916 +-3300 +-369 +2679 +4745 +2977 +409 +-1325 +-2883 +-4521 +-6013 +-4376 +81 +4491 +5758 +13146 +19930 +17234 +13631 +-27694 +-33602 +-35191 +-22903 +-3618 +11603 +26958 +41130 +69053 +33121 +-6675 +-32391 +-60992 +-112213 +-163639 +-157192 +-132854 +-112898 +-87648 +-107602 +-72182 +-34837 +295547 +291300 +250207 +127253 +-142548 +-375000 +-482047 +-563527 +-254843 +140173 +488400 +467835 +832789 +1130784 +585329 +-29630 +-2879506 +-2551803 +-1957469 +-909796 +-680635 +565543 +1586572 +-2442128 +-1832761 +-1563700 +-1020625 +-1130024 +-565068 +-26525 +836453 +803092 +668758 +333955 +-81603 +-255665 +-343297 +-411551 +-17415 +53938 +113999 +109319 +109285 +138333 +93674 +46705 +-82375 +-83307 +-75670 +-42909 +-41370 +-12791 +6581 +33732 +25543 +0 +10075 +10053 +2153 +-5273 +-10385 +-8978 +-6503 +-2507 +2600 +5178 +5615 +5777 +-1160 +-2131 +-2771 +-2138 +-1380 +-1389 +-532 +316 +1668 +1257 +716 +101 +-213 +-905 +-1404 +-930 +391 +2217 +1964 +1350 +532 +279 +-534 +-1318 +-3474 +-2332 +-906 +398 +4310 +7750 +7907 +7667 +-4549 +-9180 +-12606 +-10137 +-10158 +-10944 +-5057 +1156 +37282 +32223 +23914 +9393 +4720 +-12139 +-26082 +53199 +26103 +-6545 +-24446 +-44251 +-57913 +-70190 +-48196 +7924 +62650 +75892 +168033 +254274 +219509 +172273 +-368455 +-495372 +-541225 +-277009 +197910 +563202 +603933 +607502 +146595 +-421794 +-961271 +-1042608 +-1523092 +-1792711 +-2473055 +1354483 +442373 +-665584 +-1126397 +-1861674 +-2268446 +-2607588 +16507 +464954 +826423 +746138 +694607 +866933 +574045 +267897 +-524883 +-549311 +-501943 +-284562 +-23492 +64654 +149050 +223158 +77724 +40374 +752 +-27525 +-55622 +-108421 +-159976 +-66958 +-42523 +0 +1891 +12555 +28708 +43291 +13529 +5426 +-2881 +-7057 +-13082 +-18867 +-15364 +-11314 +8837 +10410 +10555 +6699 +2018 +761 +-1497 +-3594 +-2889 +-1824 +-594 +475 +1293 +2471 +3252 +1165 +-1075 +-2763 +-2108 +-997 +17 +613 +1599 +2506 +2027 +442 +-1179 +-1817 +-4561 +-6994 +-6123 +-4933 +9156 +11249 +11893 +7812 +1548 +-3330 +-8500 +-13298 +-23444 +-11711 +1371 +10122 +19482 +36521 +53531 +52954 +41862 +31167 +21226 +23875 +11686 +-838 +-91591 +-81778 +-61680 +-24620 +66370 +145815 +170983 +186955 +16119 +-115873 +-222109 +-175746 +-206915 +-235813 +-74174 +101568 +842816 +662325 +410306 +99365 +-39575 +-435931 +-857963 +-2971073 +-2166071 +-1759945 +-1090243 +-1155177 +-464628 +143988 +-2697339 +-2599831 +-2174805 +-1093502 +247535 +804894 +1094015 +1320949 +67933 +-161581 +-356297 +-346086 +-351840 +-447483 +-305386 +-155613 +263824 +267686 +243968 +138984 +134664 +43727 +-17553 +-107151 +-81351 +0 +-32579 +-32790 +-7708 +15885 +33095 +28845 +21154 +8387 +-7775 +-15799 +-17355 +-18056 +2876 +5830 +7855 +6195 +4322 +4747 +2402 +50 +-3660 +-3225 +-2464 +-1137 +-840 +687 +1869 +1710 +264 +-1805 +-1738 +-1372 +-702 +-605 +71 +714 +1743 +1162 +441 +-206 +-1961 +-3405 +-3366 +-3155 +2683 +4361 +5468 +4140 +3188 +2681 +189 +-2340 +-14257 +-11019 +-6643 +-1147 +1618 +9048 +15637 +-43157 +-29536 +-14530 +-4155 +137 +10478 +20597 +62001 +40741 +14471 +-8819 +-83101 +-149617 +-152881 +-147732 +97194 +203008 +272028 +171870 +52056 +-37509 +-134195 +-227641 +-485270 +-227344 +59905 +246752 +457617 +743380 +1160436 +1174006 +326984 +-695504 +-1100461 +-1792580 +-2146017 +-2442697 +-362871 +-1143403 +-1818212 +-1565429 +-1346550 +-1637206 +-1034981 +-412077 +1039841 +1067868 +957523 +530228 +15479 +-166517 +-320318 +-453182 +-129998 +-56095 +19867 +66377 +121337 +217372 +313550 +122489 +76636 +0 +-6543 +-27548 +-57164 +-83818 +-23753 +-8208 +7444 +14558 +24974 +35629 +28634 +20643 +-16843 +-19677 +-19818 +-12499 +-3712 +-1423 +2701 +6530 +5014 +3373 +1436 +-407 +-1645 +-3691 +-5091 +-2233 +863 +3329 +2902 +1936 +709 +293 +-924 +-2056 +-2122 +-1168 +-86 +658 +2662 +4315 +4000 +3484 +-4220 +-5766 +-6560 +-4606 +-2212 +-536 +2321 +5091 +14310 +9231 +3159 +-2275 +-6424 +-15257 +-23633 +-35948 +-26429 +-16438 +-8703 +-7701 +750 +9213 +56687 +44266 +26328 +4171 +-57653 +-112353 +-121948 +-124903 +36968 +125808 +190301 +131742 +89842 +60338 +-34171 +-131857 +-484599 +-313945 +-107313 +74863 +211580 +464467 +782712 +1475251 +845849 +269863 +-123605 +-403059 +-780930 +-1138165 +-1747963 +-839606 +167074 +683159 +-540441 +-1135551 +-1273463 +-1347640 +171353 +395623 +555467 +448855 +337565 +387094 +217296 +44959 +-279971 +-266876 +-227129 +-116904 +-100337 +7783 +87780 +129512 +94618 +0 +29452 +24890 +-5639 +-34085 +-36805 +-29251 +-18404 +-4670 +13028 +23055 +22950 +21808 +-6511 +-9952 +-11905 +-8686 +-4943 +-4851 +-1710 +1372 +4522 +3788 +2683 +1039 +518 +-1358 +-2776 +-2067 +-154 +2164 +2057 +1593 +790 +653 +-155 +-916 +-1625 +-1052 +-354 +240 +1752 +2954 +2842 +2584 +-2661 +-3887 +-4605 +-3341 +-2012 +-1131 +919 +2946 +10855 +7599 +3542 +-605 +-3305 +-9555 +-15333 +-11045 +-9040 +-7234 +-5314 +-6302 +-3787 +-1168 +19986 +18820 +15280 +7072 +-11941 +-28431 +-34842 +-39392 +-10715 +16997 +40377 +34837 +51021 +64592 +28464 +-11593 +-189635 +-159274 +-112030 +-42588 +-20035 +65314 +148688 +704217 +548614 +509723 +360327 +423489 +265174 +99833 +-1264635 +-1229786 +-1039711 +-515845 +647782 +78092 +-907329 +-1808691 +-996414 +-645056 +-232775 +114343 +562024 +873344 +771989 +640259 +-320624 +-389861 +-415766 +-283734 +-323467 +-256569 +-238274 +70876 +67740 +0 +58838 +77069 +61282 +45406 +-33873 +-40169 +-40930 +-26118 +-8576 +-4543 +3961 +11916 +9686 +7282 +4096 +557 +-3892 +-6562 +-6269 +-5711 +1579 +2327 +2802 +2253 +2872 +2172 +1468 +-776 +-1252 +-285 +2 +323 +420 +651 +729 +787 +-257 +-314 +-324 +-203 +109 +310 +408 +480 +-258 +-584 +-833 +-683 +-676 +-679 +-244 +210 +2772 +2268 +1532 +457 +13 +-1336 +-2497 +12830 +8141 +2802 +-598 +-2774 +-5905 +-8900 +-16527 +-8406 +720 +6626 +28533 +48379 +46934 +42883 +-44005 +-74928 +-92810 +-54616 +912 +43054 +66647 +87233 +114825 +23421 +-72582 +-118336 +-194041 +-273009 +-406023 +-171947 +104113 +501595 +601233 +908878 +983797 +1032692 +-398358 +-1062268 +-1586091 +-1337091 +-1527933 +-1774333 +-997219 +-253891 +-2384582 +-2243081 +-1823256 +-877288 +278348 +770201 +987572 +1151322 +55895 +-112716 +-261404 +-271978 +-390975 +-524735 +-685193 +-178458 +-98929 +0 +43590 +90717 +134600 +173187 +23652 +-7295 +-35423 +-39969 +-48854 +-65512 +-48510 +-30046 +34954 +38654 +37178 +22353 +4490 +-913 +-7992 +-14413 +-7766 +-4662 +-1191 +1674 +4032 +7171 +9222 +3453 +-1666 +-4963 +-4391 +-3028 +-1202 +-643 +1175 +2857 +2249 +1480 +555 +-246 +-1639 +-2651 +-2445 +-2125 +1913 +2543 +2835 +1956 +818 +133 +-972 +-2031 +-4799 +-2938 +-759 +1055 +2564 +5643 +8601 +12916 +10163 +7489 +5040 +5619 +2642 +-410 +-22060 +-19569 +-14618 +-5708 +16297 +35522 +41458 +45163 +2959 +-28929 +-54458 +-42722 +-49022 +-55049 +-16279 +25773 +201984 +157429 +95831 +21247 +-13155 +-108629 +-211240 +-707491 +-511334 +-407348 +-246689 +-256093 +-90975 +76560 +1152199 +1007534 +736700 +273461 +-879965 +-1891668 +-2189613 +-2381061 +-244178 +-1038087 +-1738775 +-1531466 +-1350729 +-1644577 +-1040953 +-416239 +1059443 +1045104 +924556 +505027 +466878 +81535 +-190530 +-457691 +-341060 +0 +-121970 +-114530 +-7022 +93631 +135802 +113501 +78001 +26415 +-39372 +-74153 +-77392 +-76979 +17213 +29561 +37355 +28310 +18002 +18979 +8597 +-1719 +-15140 +-13372 +-10282 +-4803 +-3622 +2684 +7572 +6957 +1876 +-5183 +-5461 +-4881 +-2947 +-3052 +-1066 +813 +2949 +2582 +1900 +752 +-917 +-1842 +-2016 +-2085 +753 +1382 +1818 +1417 +1104 +1036 +270 +-508 +-3736 +-2928 +-1818 +-376 +318 +2235 +3923 +1345 +1710 +2326 +2395 +3373 +3121 +2788 +-4260 +-5828 +-6647 +-4674 +-2174 +-220 +2861 +5815 +15672 +11765 +6392 +-927 +-21831 +-38238 +-28912 +-17161 +51239 +61505 +65788 +47962 +57564 +41405 +39753 +-254646 +-258596 +-342670 +-304624 +-409180 +-361106 +-303474 +636160 +789335 +841359 +556592 +112226 +-251748 +-636300 +-993816 +-1807013 +-909660 +96486 +639423 +-524627 +-1085014 +-1204177 +-1264165 +127764 +299711 +432436 +369881 +486321 +557214 +675572 +104463 +42610 +0 +-66466 +-113922 +-140491 +-162782 +-680 +27428 +50308 +45975 +43637 +54774 +36619 +17592 +-33116 +-34778 +-31888 +-18148 +-1499 +4240 +9731 +14554 +5327 +2471 +-531 +-2514 +-4709 +-6830 +-8085 +-2337 +1933 +3990 +3425 +2230 +766 +237 +-1213 +-2547 +-1515 +-991 +-371 +159 +878 +1371 +1217 +1014 +-657 +-784 +-801 +-509 +-74 +113 +314 +492 +187 +-87 +-357 +-418 +-628 +-899 +-1208 +-4456 +-2956 +-1278 +-160 +414 +1490 +2527 +5676 +3364 +644 +-1440 +-8486 +-14823 +-14764 +-13890 +11477 +21427 +27573 +16818 +2478 +-8325 +-16711 +-24485 +-41531 +-14918 +13854 +30076 +51979 +78132 +118955 +84639 +854 +-109888 +-145110 +-225839 +-254781 +-276983 +41331 +224691 +378049 +337968 +452313 +573473 +384753 +174155 +-1361658 +-1318623 +-1108259 +-545227 +692263 +162034 +-819557 +-1722564 +-1122383 +-797669 +-407509 +15627 +271759 +889160 +1446802 +769423 +510564 +0 +36977 +-57078 +-241430 +-409423 +-174268 +-95758 +-9746 +48268 +127934 +192102 +163877 +129443 +-80932 +-99628 +-104409 +-68405 +-25615 +-16647 +6358 +28091 +28747 +21435 +12179 +1677 +-3712 +-15513 +-23971 +-13015 +978 +13552 +12946 +10152 +5140 +4370 +-687 +-5405 +-6237 +-4973 +-3144 +-810 +2292 +4072 +4069 +3877 +-1387 +-2103 +-2513 +-1833 +-1042 +-893 +-112 +653 +2149 +1561 +811 +-11 +-502 +-1695 +-2783 +-7231 +-5453 +-3633 +-2145 +-2137 +-449 +1249 +11238 +9302 +6204 +1749 +-9970 +-20271 +-22653 +-23807 +3320 +20166 +32935 +23942 +20848 +19041 +27 +-20077 +-98199 +-69680 +-33405 +3203 +25755 +74734 +132370 +320044 +207612 +121953 +42990 +15086 +-63217 +-139852 +-450818 +-320142 +-150108 +21924 +534398 +992101 +1038735 +1033605 +-435961 +-1092935 +-1605921 +-1343199 +-1534605 +-1803232 +-1041911 +-312800 +-2483908 +-2265926 +-1826969 +-856063 +-638132 +389906 +1188251 +1242557 +888524 +0 +231026 +162255 +-131766 +-404301 +-336497 +-251526 +-139470 +-16521 +143652 +241465 +230207 +208993 +-77667 +-109956 +-126159 +-89230 +-46106 +-42461 +-10984 +19622 +42995 +35657 +24899 +9282 +4086 +-13721 +-27119 +-19635 +-2736 +16697 +16872 +14315 +8111 +7887 +1562 +-4380 +-8382 +-7190 +-5145 +-1925 +2288 +4487 +4821 +4918 +-1165 +-2126 +-2768 +-2140 +-1498 +-1510 +-573 +367 +2884 +2306 +1493 +378 +-122 +-1566 +-2823 +3390 +2104 +629 +-292 +-933 +-1765 +-2546 +-3549 +-1514 +694 +1923 +6769 +11177 +10575 +9386 +-11376 +-18122 +-21761 +-12413 +2033 +13027 +17632 +21348 +21892 +196 +-22047 +-30987 +-49048 +-65699 +-95884 +-17880 +45368 +142996 +162521 +241388 +254440 +260761 +-144037 +-308166 +-431902 +-351317 +-357612 +-383299 +-174002 +46814 +1337960 +1146178 +810131 +275276 +-1071642 +-2234367 +-2536719 +-2714077 +51461 +-562238 +-1177446 +-1186534 +-1683815 +-2213908 +-2865839 +-711977 +-387325 +0 +193615 +391195 +566692 +720495 +88021 +-40240 +-155531 +-170303 +-202100 +-269208 +-197444 +-119839 +145412 +159922 +153067 +91541 +17403 +-5304 +-34136 +-60215 +-31154 +-18453 +-4326 +7172 +16819 +29398 +37571 +13888 +-6611 +-19397 +-17324 +-12168 +-5032 +-2987 +4131 +10713 +7992 +5744 +2894 +8 +-3852 +-6332 +-5918 +-5251 +2353 +3233 +3651 +2550 +1255 +1010 +24 +-929 +-2119 +-1554 +-833 +-22 +446 +1607 +2660 +3765 +2855 +1929 +1163 +1181 +304 +-577 +-5662 +-4696 +-3142 +-897 +4979 +10127 +11318 +11894 +-1707 +-10109 +-16470 +-11955 +-10335 +-9376 +86 +10085 +48843 +34566 +16438 +-1774 +-13069 +-37465 +-66236 +-158834 +-102687 +-59606 +-20325 +-6003 +32910 +70956 +222696 +156883 +71798 +-13025 +-267219 +-494368 +-516214 +-512316 +224406 +549049 +801399 +667828 +754502 +880601 +501181 +91749 +-2532802 +-2305570 +-1848050 +-856400 +-626370 +431454 +1227579 +-2898437 +-2295534 +0 +-1135593 +-1264696 +-591705 +48333 +976731 +924178 +756296 +367591 +-115819 +-323729 +-417019 +-487336 +-3558 +79063 +146398 +134153 +124051 +153305 +99656 +43905 +-88307 +-86775 +-76292 +-44551 +-44669 +-7498 +22835 +40939 +21423 +-20761 +-25185 +-26047 +-18204 +-21224 +-12939 +-4956 +13403 +13620 +12075 +6584 +-213 +-2769 +-4666 +-6267 +-985 +213 +1318 +1523 +1887 +2442 +1704 +912 +-2151 +-1967 +-1577 +-731 +-535 +367 +1079 +-3196 +-2101 +-871 +-60 +379 +1152 +1870 +1936 +1024 +0 +-682 +-2823 +-4739 +-4554 +-4122 +3993 +6800 +8421 +4954 +-68 +-3820 +-5928 +-7768 +-10148 +-2109 +6337 +10378 +17034 +23998 +35706 +15249 +-8994 +-43855 +-52628 +-79588 +-86196 +-90523 +34659 +92864 +138821 +117102 +134063 +155863 +87833 +14480 +-456192 +-411681 +-315167 +-130235 +310718 +688370 +810694 +893383 +131160 +-492313 +-1078035 +-1102229 +-1573470 +-2088628 +-2701681 +1185864 +376161 +0 +-1205304 +-1976464 +-2310476 +-2580817 +117278 +557126 +898740 +778899 +678350 +828098 +527326 +215700 +-526775 +-542369 +-487606 +-270848 +-7594 +87442 +167666 +237011 +73141 +27987 +-18333 +-45709 +-80576 +-109432 +-125431 +-31918 +33328 +60637 +51243 +32330 +10111 +1435 +-20525 +-40687 +-22705 +-14657 +-5219 +2698 +13311 +20850 +18600 +15604 +-7927 +-10306 +-11222 +-7608 +-3428 +-2802 +-180 +2341 +3806 +3149 +2197 +729 +166 +-1632 +-3161 +-2107 +-1601 +-1086 +-659 +-673 +-182 +298 +2175 +1777 +1159 +303 +-1856 +-3695 +-4068 +-4220 +990 +3905 +6052 +4257 +3148 +2404 +-738 +-4011 +-16076 +-10758 +-4221 +1804 +6048 +14309 +24477 +50022 +30019 +12671 +-314 +-7973 +-20582 +-32646 +-63223 +-36115 +-4675 +18358 +97477 +168996 +167244 +157036 +-124146 +-217048 +-281642 +-218406 +-190405 +-182142 +-52109 +82140 +783679 +638091 +427362 +123876 +-3824 +-387785 +-733055 +-3096985 +-2447443 +0 +-1224904 +-1370615 +-655365 +-20158 +-2620116 +-2498029 +-2062995 +-1017184 +281378 +831706 +1094220 +1295682 +31844 +-188710 +-371315 +-347713 +-331822 +-414182 +-273941 +-127613 +233311 +231142 +205060 +121246 +123056 +24767 +-55766 +-108247 +-58824 +52898 +65173 +68332 +48318 +56800 +35589 +15109 +-34751 +-35767 +-32133 +-17828 +-307 +6006 +11206 +15672 +3467 +603 +-2163 +-3101 +-4471 +-6128 +-4667 +-3056 +3357 +3502 +3276 +1931 +1939 +840 +128 +5035 +3401 +1590 +357 +-205 +-1416 +-2536 +-2286 +-1494 +-540 +289 +2246 +3951 +3956 +3756 +-1900 +-4258 +-5829 +-3749 +-1459 +120 +2285 +4422 +10711 +5752 +92 +-3993 +-8021 +-14052 +-22424 +-28303 +-11547 +7130 +15921 +27633 +35596 +42616 +19551 +-10728 +-39388 +-43785 +-86322 +-126711 +-105493 +-78677 +195470 +226105 +227758 +142407 +-2985 +-120064 +-218269 +-306592 +-410234 +-154494 +120765 +271660 +473316 +809424 +1156555 +1110499 +323149 +0 +-1164699 +-1900785 +-2208510 +-2461316 +-291655 +-1073363 +-1758344 +-1534394 +-1352238 +-1657405 +-1063124 +-446433 +1046667 +1080671 +974307 +543122 +19622 +-168161 +-329538 +-469374 +-148563 +-58784 +33639 +89197 +158474 +217171 +250071 +64921 +-65430 +-121224 +-102729 +-65193 +-20766 +-3644 +40286 +80633 +45573 +29618 +10837 +-5084 +-26366 +-41389 +-37003 +-31130 +15536 +20256 +22094 +15002 +6824 +5689 +564 +-4368 +-7097 +-6017 +-4379 +-1637 +-699 +2543 +5249 +2535 +1840 +1103 +545 +441 +-156 +-724 +-1684 +-1289 +-739 +-94 +1463 +2770 +2941 +2953 +-1084 +-3040 +-4418 +-2973 +-1675 +-775 +1186 +3182 +9885 +6007 +1426 +-2309 +-5435 +-10741 +-17680 +-28563 +-14779 +-1023 +7018 +14596 +22156 +29129 +29058 +7086 +-15591 +-24994 +-69211 +-110022 +-100233 +-85262 +125309 +168838 +190567 +132915 +60635 +7078 +-77885 +-159928 +-433150 +-274678 +-86537 +77740 +206895 +477821 +740952 +1489860 +936488 +0 +-107623 +-380048 +-746402 +-1093522 +-1743817 +-843386 +156334 +672065 +-551691 +-1149036 +-1281659 +-1350371 +184555 +405773 +561235 +449540 +326329 +367664 +198228 +27315 +-257192 +-236603 +-192244 +-99401 +-86898 +20651 +105643 +118502 +43667 +-76327 +-84444 +-79788 +-51206 +-55921 +-26278 +1917 +43123 +40832 +33443 +16275 +-5107 +-14318 +-18467 +-21599 +-204 +3531 +6587 +6055 +5718 +7103 +4658 +2106 +-4565 +-4526 +-4024 +-2214 +-2064 +-417 +751 +-1883 +-1063 +-99 +464 +969 +1440 +1851 +-69 +-321 +-512 +-434 +-125 +64 +306 +520 +94 +-423 +-848 +-682 +-772 +-782 +-117 +592 +3514 +2464 +1135 +-176 +-1011 +-2777 +-4876 +-11307 +-7145 +-3807 +-966 +277 +3073 +5788 +15398 +10237 +3825 +-1963 +-20051 +-36273 +-37208 +-36278 +19922 +42432 +59375 +48293 +50458 +55970 +28098 +-1536 +-179918 +-158290 +-120749 +-50550 +-30090 +49181 +117515 +744572 +624841 +0 +399879 +486709 +318118 +142162 +-1293219 +-1262996 +-1072906 +-536529 +645356 +82687 +-895546 +-1790641 +-994619 +-652071 +-247559 +100063 +543704 +851815 +759617 +637761 +-261158 +-338184 +-377135 +-285285 +-350616 +-237098 +-130432 +124767 +158327 +19483 +-17909 +-56996 +-63075 +-92815 +-96424 +-98046 +17806 +33876 +44620 +34739 +24253 +27099 +14356 +1518 +-19882 +-19309 +-16298 +-8311 +1378 +5213 +7394 +9147 +954 +-324 +-1498 +-1785 +-2696 +-3889 +-5241 +-8758 +-5770 +-2417 +-200 +986 +3104 +5033 +2257 +1241 +125 +-633 +-1896 +-2998 +-2713 +-2306 +1217 +2206 +2794 +1683 +254 +-565 +-1247 +-1880 +-2939 +-1329 +448 +1580 +2893 +4638 +7208 +6667 +1650 +-4490 +-6844 +-11054 +-13092 +-14782 +-1285 +8729 +17552 +16842 +26236 +35566 +26591 +16159 +-70351 +-73027 +-66398 +-36706 +22932 +72576 +100224 +123124 +88142 +-5794 +-100758 +-131448 +-204052 +-305611 +-416330 +-127676 +138637 +0 +642443 +977021 +1028651 +1054937 +-439208 +-1102292 +-1620003 +-1354354 +-1520762 +-1756487 +-975363 +-229424 +-2403958 +-2269688 +-1853490 +-898348 +273516 +778271 +1009806 +1186662 +113279 +-88222 +-272806 +-318462 +-477289 +-516191 +-513612 +-43474 +204740 +224181 +170480 +82343 +785 +-46164 +-125451 +-197310 +-70022 +-32880 +6212 +28603 +60045 +88040 +73114 +55526 +-38483 +-46419 +-47926 +-30977 +-11162 +-7034 +3141 +12740 +12649 +10152 +6760 +1918 +-143 +-6295 +-11607 +-5130 +-3867 +-2574 +-1517 +-1510 +-312 +817 +1968 +1685 +1199 +436 +-798 +-1614 +-1785 +-1858 +322 +1225 +1881 +1313 +861 +644 +-218 +-1112 +-4053 +-2722 +-1085 +432 +1492 +3570 +6116 +12171 +7265 +2981 +-188 +-2104 +-5178 +-8113 +-15163 +-8492 +-806 +4696 +23794 +41070 +40487 +37855 +-30961 +-53225 +-68551 +-52892 +-45116 +-42243 +-10650 +21864 +188975 +152468 +100367 +27247 +-4597 +-98238 +-182794 +-742808 +-582692 +0 +-281284 +-310084 +-138133 +36762 +1180786 +1041777 +771869 +295938 +-877144 +-1898931 +-2207038 +-2407551 +-260637 +-1037135 +-1720712 +-1508772 +-1301305 +-1570790 +-978291 +-368002 +952391 +918995 +791479 +448740 +436576 +36432 +-287205 +-440759 +-211583 +240181 +283002 +284945 +194471 +222855 +127846 +36682 +-148268 +-147865 +-128521 +-68270 +5927 +34633 +53742 +69584 +9544 +-2806 +-14039 +-15884 +-19119 +-25377 +-18497 +-11077 +13750 +14409 +13564 +8062 +8159 +3732 +905 +7911 +5115 +1954 +-95 +-1303 +-3226 +-4964 +-1616 +-729 +194 +704 +1358 +1967 +1609 +1191 +-718 +-962 +-1038 +-526 +281 +654 +615 +527 +-667 +-673 +-605 +-364 +-376 +-114 +59 +3067 +2582 +2723 +2122 +2656 +1995 +1280 +-6227 +-6536 +-6015 +-3375 +1986 +6569 +9212 +11424 +9071 +140 +-8740 +-11428 +-27241 +-41982 +-36997 +-30075 +54737 +68956 +76644 +54364 +63757 +55293 +53780 +-285706 +-301101 +0 +-330882 +-451414 +-392392 +-323905 +661186 +813774 +861898 +566830 +104266 +-269373 +-656766 +-1015860 +-1786950 +-881321 +129377 +662829 +-514441 +-1085241 +-1219916 +-1294782 +51747 +254582 +423177 +409621 +572013 +541266 +481127 +-33221 +-249688 +-189610 +-126372 +-34714 +33716 +87397 +152671 +210931 +46214 +7808 +-29254 +-41752 +-61144 +-85044 +-66188 +-45156 +41543 +47564 +47126 +29248 +8158 +2778 +-6527 +-15139 +-10583 +-7907 +-4575 +-566 +1563 +7102 +12057 +20432 +13899 +6678 +1724 +-427 +-5330 +-9821 +-5080 +-3218 +-1061 +699 +3092 +4907 +4445 +3791 +-1396 +-2482 +-3105 +-1848 +-182 +503 +1028 +1500 +1409 +751 +13 +-519 +-1045 +-1832 +-2917 +-2733 +-1040 +880 +1747 +2976 +3755 +4429 +1270 +-1698 +-4424 +-4582 +-8100 +-11492 +-9159 +-6336 +19714 +21677 +20868 +12398 +-3244 +-16047 +-24901 +-32598 +-33251 +-7254 +19896 +31893 +52194 +83402 +116421 +74977 +-1957 +0 +-147415 +-230918 +-253893 +-270585 +44255 +220958 +368150 +327758 +437189 +555610 +374433 +172067 +-1305275 +-1269947 +-1073448 +-533035 +647041 +67182 +-927657 +-1838574 +-1121116 +-717102 +-254199 +158287 +463178 +918164 +1223303 +502317 +-175407 +-643886 +-585745 +-424879 +-187669 +-128166 +109194 +329161 +271245 +202293 +111601 +12571 +-116507 +-195662 +-186407 +-169110 +61858 +88315 +101841 +72341 +38885 +37278 +11940 +-12848 +-36314 +-32406 +-25418 +-11287 +-7630 +7841 +20239 +20557 +14610 +8205 +3531 +2248 +-2629 +-7146 +-5785 +-4322 +-2395 +-279 +2602 +4497 +4406 +4107 +-1026 +-2271 +-3073 +-1955 +-588 +-83 +688 +1442 +2550 +1660 +590 +-363 +-1068 +-2388 +-4030 +-6336 +-3565 +-986 +719 +2008 +3640 +5170 +6878 +2949 +-1317 +-3698 +-13041 +-21569 +-20442 +-18271 +20391 +30458 +36575 +26827 +17685 +11674 +-4888 +-21403 +-91643 +-66590 +-34572 +560 +21522 +72464 +120280 +339273 +243323 +0 +62464 +43174 +-37472 +-116994 +-477945 +-353376 +-185063 +-889 +531531 +1003958 +1064980 +1072983 +-380914 +-1071234 +-1620059 +-1376184 +-1644405 +-1982679 +-1209999 +-460866 +-2300157 +-2002836 +-1509037 +-675912 +-473907 +482961 +1219539 +1054676 +258828 +-794625 +-833558 +-741324 +-445167 +-458520 +-154490 +132606 +415536 +373885 +286820 +124611 +-79370 +-175638 +-202929 +-219837 +20346 +57243 +84545 +70197 +55885 +65678 +38841 +11404 +-45052 +-43896 +-38337 +-20545 +-18566 +-1844 +10311 +-35458 +-23292 +-9625 +-618 +4280 +12863 +20657 +7929 +4174 +123 +-2481 +-5996 +-9045 +-7770 +-6183 +2767 +4440 +5306 +3025 +-67 +-1344 +-1968 +-2476 +-1163 +-500 +204 +645 +1169 +1853 +2863 +1543 +368 +-1064 +-1609 +-2595 +-3066 +-3447 +375 +2448 +4190 +3771 +5017 +6341 +4231 +1882 +-14960 +-14413 +-12037 +-5861 +7783 +19308 +24137 +27800 +10633 +-9500 +-29043 +-32354 +-47722 +-66597 +-88111 +9718 +63757 +0 +166068 +246259 +249199 +246023 +-166423 +-314857 +-422113 +-334202 +-310550 +-311288 +-111183 +97053 +1233338 +1022096 +682545 +193790 +-1076763 +-2178312 +-2425026 +-2551752 +291142 +-461094 +-1227138 +-1386460 +-2055062 +-2180338 +-2138099 +-140620 +883898 +922094 +691493 +319630 +-15530 +-216265 +-541411 +-835607 +-280900 +-124325 +38641 +127221 +252589 +367834 +303046 +227353 +-163118 +-195355 +-200604 +-128994 +-45175 +-27200 +14984 +54683 +51685 +41211 +27128 +7363 +-1244 +-26556 +-48490 +6296 +1736 +-3986 +-6776 +-11026 +-12763 +-14154 +899 +3168 +4884 +4138 +3255 +3816 +2247 +650 +-1867 +-2071 +-1953 +-822 +769 +1586 +1374 +1064 +-924 +-838 +-651 +-310 +-241 +161 +505 +2070 +1210 +436 +-110 +-473 +-1001 +-1503 +-2551 +-1258 +184 +1089 +4469 +7532 +7265 +6627 +-6593 +-10358 +-12777 +-9562 +-7040 +-5532 +303 +6194 +33326 +25288 +14629 +1805 +-5011 +-22730 +-39125 +-126457 +-94227 +0 +-33505 +-31339 +-1606 +28089 +187655 +150681 +94916 +21124 +-177857 +-353065 +-388153 +-404079 +64567 +333796 +558520 +498461 +677017 +871044 +599756 +294766 +-1981085 +-1955018 +-1736506 +-1028441 +-1045450 +-214931 +411020 +-2105440 +-1839603 +410692 +828058 +1161866 +996551 +1314917 +1117947 +913450 +-504712 +-639104 +-683323 +-455949 +-190157 +-145498 +7548 +153580 +190449 +156148 +103968 +32208 +-57212 +-104539 +-106586 +-103740 +24080 +36653 +44798 +34355 +42438 +42339 +47363 +59851 +39109 +15756 +450 +-8110 +-22616 +-35772 +-13147 +-6690 +210 +4488 +10230 +15325 +13061 +10282 +-4647 +-7377 +-8767 +-4972 +157 +2223 +3187 +3960 +1571 +752 +-121 +-709 +-1344 +-2233 +-3497 +-2126 +-1063 +1 +604 +1204 +1768 +2270 +934 +-297 +-1473 +-1702 +-3338 +-4892 +-4064 +-3024 +7222 +8346 +8400 +5247 +-101 +-4369 +-7951 +-11171 +-14816 +-5541 +4434 +9882 +17193 +29361 +41931 +39852 +11353 +0 +-42500 +-69261 +-80327 +-89395 +-9782 +50954 +104716 +101271 +161230 +221022 +168012 +105850 +-422172 +-445131 +-411325 +-232308 +119304 +410815 +583083 +727869 +578868 +17019 +-556048 +-790181 +-1254320 +-1485910 +-1570582 +-40732 +-1639110 +-1507456 +-1085265 +-433930 +112602 +476207 +1003228 +1477800 +426228 +152516 +-124056 +-252534 +-439240 +-628223 +-506497 +-367131 +289531 +340498 +344747 +218670 +70629 +36434 +-34336 +-100523 +-84391 +-65775 +-41504 +-9341 +5762 +48105 +85240 +47023 +32481 +16541 +5386 +1130 +-10109 +-20440 +-11995 +-8099 +-3413 +822 +6409 +10374 +9574 +8352 +-2619 +-4792 +-6056 +-3636 +-400 +768 +1722 +2582 +1859 +1154 +341 +-349 +-895 +-1865 +-3089 +-2825 +-1514 +-252 +524 +1192 +1929 +2602 +2085 +431 +-1256 +-1915 +-4980 +-7810 +-7014 +-5857 +9161 +12014 +13315 +9141 +3584 +-583 +-6462 +-12082 +-29091 +-17554 +-4096 +6896 +16242 +35111 +53611 +97401 +58031 +0 +-16270 +-38619 +-62865 +-85507 +-105395 +-37992 +33656 +69319 +220399 +358977 +335196 +294186 +-368386 +-526674 +-616791 +-443577 +-257644 +-127423 +150341 +423847 +1489823 +1030703 +462555 +-91526 +-450748 +-1066528 +-1508409 +-1635049 +-57801 +-1604835 +-1548274 +-1232174 +-638220 +-559188 +41277 +602006 +740542 +603363 +397166 +118418 +-238962 +-435114 +-442949 +-430372 +109467 +178793 +220971 +165058 +103213 +108612 +49010 +-10244 +-90642 +-83846 +-68900 +-33421 +-26344 +10290 +38648 +-45251 +-26919 +-5623 +7263 +17468 +28670 +38625 +7423 +765 +-5563 +-7475 +-10193 +-14103 +-10915 +-7362 +5065 +7087 +7882 +4167 +-901 +-3143 +-3578 +-3785 +-263 +257 +723 +827 +1232 +1498 +2103 +-35 +-114 +-235 +-244 +-352 +-352 +-334 +1067 +885 +586 +157 +-1116 +-2230 +-2463 +-2570 +658 +2405 +3834 +3343 +4264 +5289 +3411 +1335 +-13311 +-12672 +-10777 +-5541 +-4748 +393 +4560 +57927 +51436 +0 +39279 +50050 +37195 +23460 +-108230 +-113429 +-104203 +-58355 +34043 +112247 +157218 +194814 +151648 +394 +-149746 +-194435 +-460200 +-708144 +-622994 +-505219 +928104 +1165860 +1293156 +973725 +1193268 +799185 +405046 +-1830696 +-2136120 +410117 +-230540 +-1018577 +-1183138 +-1770271 +-1887077 +-1962006 +290702 +615299 +841170 +669646 +492454 +563300 +314929 +63118 +-397206 +-391495 +-336022 +-175515 +18707 +93311 +140775 +179855 +27028 +1122 +-23455 +-32347 +-51003 +-77927 +-107240 +-92768 +-68730 +-43670 +-23970 +-22123 +-360 +20003 +27897 +23375 +16146 +5539 +-8103 +-15611 +-16592 +-16724 +2258 +6267 +8961 +5925 +1844 +892 +-1139 +-3126 +-3416 +-2581 +-1498 +-245 +377 +1998 +3719 +2974 +1725 +616 +-165 +-686 +-1440 +-2130 +-1529 +-434 +696 +1187 +3121 +4875 +4357 +3617 +-5582 +-7246 +-7973 +-5438 +-1996 +567 +4046 +7359 +16894 +9980 +1969 +-4405 +-9993 +-21111 +-32051 +-55778 +-32412 +0 +11690 +25654 +39615 +52568 +58118 +17333 +-25303 +-44520 +-130874 +-210401 +-193913 +-167425 +228889 +316713 +363598 +257270 +132542 +42181 +-120699 +-279432 +-850699 +-563065 +-215245 +102391 +329069 +680174 +926711 +894127 +-125099 +-2161387 +-2258509 +-2016524 +-1216492 +-1258349 +-436542 +294298 +-2539946 +-2475568 +-2097598 +-1074893 +190467 +704575 +994682 +1227072 +96921 +-117775 +-304198 +-308230 +-330594 +-426903 +-298526 +-162200 +243655 +251026 +232451 +135267 +134008 +52707 +-1128 +139263 +85107 +22674 +-15863 +-44082 +-78357 +-108988 +-25035 +-6055 +12484 +19514 +29310 +41337 +32796 +23107 +-14265 +-20596 +-23317 +-12576 +2001 +8315 +9992 +11042 +2049 +252 +-1495 +-2272 +-3667 +-5050 +-7453 +-2835 +-1508 +-264 +505 +1161 +1892 +2542 +628 +-179 +-938 +-1082 +-1804 +-2533 +-1991 +-1354 +3525 +3838 +3658 +2149 +-597 +-2751 +-4202 +-5453 +-5011 +-801 +3557 +5352 +8630 +13552 +18796 +10151 +-2091 +0 +-25242 +-39144 +-42424 +-44654 +10974 +39762 +63264 +55111 +69561 +85966 +55052 +20969 +-216613 +-205549 +-168439 +-79395 +120988 +291072 +358402 +408372 +134291 +-159129 +-441909 +-504240 +-749946 +-800411 +-783046 +243409 +1041649 +1217104 +483838 +-461896 +-904100 +-1536196 +-1932985 +-2274079 +-466736 +-1271027 +-1951735 +-1652765 +-1378673 +-1658009 +-1026932 +-376843 +1070326 +1090436 +969727 +531371 +3848 +-184185 +-335375 +-464959 +-114492 +-44854 +25469 +65967 +117210 +204391 +293535 +126452 +89116 +48680 +19559 +10606 +-19464 +-47237 +-33744 +-24502 +-12711 +-511 +15353 +25753 +24554 +22232 +-5805 +-11305 +-14611 +-8941 +-1269 +1243 +3620 +5794 +3646 +2462 +1063 +-277 +-1170 +-2988 +-5126 +-2970 +-1732 +-654 +119 +618 +1365 +2044 +984 +225 +-542 +-842 +-1887 +-2835 +-2425 +-1896 +3391 +4089 +4257 +2756 +419 +-1349 +-3129 +-4769 +-7694 +-3616 +885 +3747 +6989 +12751 +18593 +22546 +9633 +0 +-14756 +-25331 +-31295 +-36475 +-14122 +11631 +35691 +38396 +72730 +105694 +86913 +63506 +-168430 +-192161 +-191281 +-118067 +9470 +112685 +194844 +268118 +334718 +113850 +-121952 +-252222 +-432566 +-568559 +-641122 +-184820 +492958 +1230766 +943918 +454630 +2671 +-258201 +-697515 +-1113718 +-1844041 +-935294 +84043 +639163 +-536068 +-1112383 +-1238093 +-1302225 +175406 +391661 +544486 +437571 +326133 +373297 +208788 +41925 +-268605 +-258242 +-222187 +-116358 +-102159 +-146 +75350 +48257 +49924 +56347 +53129 +72124 +62062 +51516 +-27677 +-34897 +-37180 +-24706 +-9286 +-6023 +2331 +10151 +7397 +5642 +3265 +-66 +-4622 +-7994 +-5950 +-3492 +3733 +3901 +3641 +2299 +2476 +1054 +334 +-1476 +-1028 +-741 +-393 +-356 +-3 +328 +673 +435 +145 +-100 +-717 +-1192 +-1130 +-1010 +1217 +1660 +1887 +1323 +605 +87 +-746 +-1551 +-4141 +-2604 +-784 +785 +2037 +4645 +7181 +14016 +8680 +0 +-1388 +-4136 +-7594 +-10858 +-16034 +-7220 +2435 +8039 +29808 +49647 +47371 +42674 +-45792 +-69649 +-84468 +-62423 +-42966 +-30533 +7847 +46296 +214969 +158841 +86158 +6706 +-36561 +-125615 +-191672 +-251613 +-36602 +487707 +562220 +561652 +380574 +433786 +243945 +49516 +-1298627 +-1253853 +-1051391 +-515347 +663746 +103976 +-883461 +-1787814 +-1003906 +-655162 +-243993 +106612 +558266 +869238 +769844 +640008 +-323884 +-391012 +-414628 +-281391 +-319440 +-249781 +-228289 +-219077 +-123343 +-10653 +55200 +114436 +169292 +217512 +29561 +-7408 +-41057 +-46930 +-56111 +-75474 +-56200 +-35193 +28774 +38921 +42437 +21936 +-5911 +-18681 +-20528 +-21065 +-1483 +1658 +4480 +5080 +7539 +9122 +12807 +3756 +1974 +313 +-705 +-1588 +-2553 +-3407 +-576 +110 +746 +871 +1160 +1549 +1137 +684 +-1403 +-1417 +-1245 +-661 +398 +1113 +1458 +1726 +386 +-695 +-1724 +-1828 +-2647 +-3593 +-4693 +1829 +4528 +0 +9639 +14106 +13968 +13487 +-11341 +-19234 +-24620 +-18904 +-15397 +-13513 +-1946 +9863 +68177 +53409 +31965 +5328 +-67606 +-131269 +-142055 +-145767 +38131 +133014 +216446 +207505 +288567 +270674 +235165 +-207190 +-438463 +-283741 +45918 +429444 +530861 +810289 +889501 +944137 +-370172 +-1021265 +-1538395 +-1303039 +-1511145 +-1759313 +-993995 +-262006 +-2393048 +-2248382 +-1824805 +-875956 +281235 +771079 +984688 +1144742 +33510 +-123118 +-258505 +-260725 +-370128 +-486932 +-632021 +-447085 +-322264 +-189167 +-89771 +-68234 +37445 +135616 +125929 +98123 +59518 +12877 +-47366 +-83749 +-83479 +-79202 +16106 +35282 +47397 +29924 +6272 +-585 +-9389 +-17696 +-13368 +-9720 +-5193 +-298 +2414 +8849 +15923 +9069 +5591 +2797 +516 +-591 +-2826 +-4867 +-2356 +-1253 +-45 +743 +2120 +3250 +2840 +2307 +-2187 +-2794 +-3028 +-2040 +-766 +-66 +1013 +2041 +4340 +2619 +614 +-1025 +-2417 +-5229 +-7978 +-13377 +-7722 +0 +2949 +6370 +9722 +12825 +13589 +3741 +-6497 +-10950 +-31370 +-50199 +-46047 +-39517 +55687 +76205 +86877 +61112 +30026 +7286 +-31553 +-69264 +-200878 +-130727 +-46546 +28568 +83982 +166845 +224555 +207669 +-38734 +-518243 +-534440 +-469134 +-277382 +-281518 +-85065 +111662 +1189861 +1036747 +754182 +276974 +-895251 +-1917782 +-2214837 +-2404226 +-231046 +-1021635 +-1721321 +-1519983 +-1349049 +-1648090 +-1049862 +-429972 +1049715 +1045167 +934556 +518436 +487857 +113275 +-150367 +147907 +71012 +-21903 +-72445 +-129703 +-167827 +-200424 +-8554 +24683 +52624 +50565 +49545 +63157 +43356 +22466 +-26825 +-33934 +-35444 +-17377 +7181 +19133 +19498 +18600 +-832 +-3413 +-5511 +-5264 +-7309 +-7800 +-10334 +-2204 +-1037 +110 +733 +1398 +1976 +2479 +157 +-232 +-564 +-560 +-490 +-569 +-325 +-78 +191 +49 +-99 +-158 +-301 +-312 +-121 +82 +1568 +1218 +741 +135 +-165 +-980 +-1729 +-6328 +-4780 +0 +-1861 +-1844 +-362 +1126 +9644 +7933 +5230 +1414 +-8666 +-17521 +-19503 +-20524 +2002 +15833 +27545 +25008 +35337 +46272 +32803 +17508 +-100499 +-100915 +-91426 +-55592 +-57925 +-15759 +21171 +158964 +126943 +-133872 +-249166 +-346439 +-295735 +-389259 +-329207 +-260968 +642681 +789311 +835271 +548996 +103838 +-262601 +-643905 +-998052 +-1807455 +-910844 +94649 +637912 +-524049 +-1080453 +-1196467 +-1253565 +147909 +308414 +428611 +358585 +465933 +521122 +624718 +833925 +537707 +202394 +-14450 +-143899 +-346651 +-529975 +-175929 +-81257 +17761 +73613 +148669 +219332 +183726 +141068 +-68318 +-105440 +-123582 +-69117 +4790 +34820 +46695 +55688 +15532 +6300 +-3189 +-8978 +-16065 +-25125 +-38629 +-15375 +-8930 +-3397 +583 +3132 +6980 +10450 +3194 +1220 +-792 +-1769 +-3213 +-4617 +-3743 +-2735 +2324 +2703 +2713 +1703 +408 +-36 +-653 +-1216 +-926 +-405 +156 +497 +904 +1612 +2321 +647 +-522 +0 +-2731 +-4168 +-4412 +-4542 +2167 +4894 +6976 +5730 +6018 +6639 +3281 +-284 +-21586 +-18826 +-13693 +-5023 +16389 +34812 +39983 +43188 +1485 +-28083 +-55397 +-57379 +-82378 +-82409 +-76243 +43519 +119986 +106402 +18831 +-87954 +-126365 +-201151 +-233874 +-260015 +30026 +213772 +369096 +333722 +457936 +584180 +396007 +185194 +-1382370 +-1342877 +-1132917 +-560729 +694302 +179110 +-790899 +-1683637 +-1076172 +-784543 +-429344 +-23024 +207162 +783455 +1304379 +1265998 +874694 +445827 +145594 +31300 +-271314 +-549427 +-321372 +-217079 +-91639 +21832 +170127 +274808 +253082 +220263 +-68448 +-123533 +-155162 +-92641 +-7896 +21804 +43994 +63611 +32117 +20388 +7003 +-4814 +-13665 +-30063 +-50189 +-24410 +-14765 +-6845 +-652 +2667 +8709 +14203 +5623 +2846 +-132 +-1969 +-4654 +-6930 +-5858 +-4562 +3388 +4156 +4354 +2849 +997 +378 +-754 +-1822 +-2714 +-1693 +-498 +526 +1349 +3057 +4698 +6035 +3159 +0 +-2262 +-4263 +-5804 +-7191 +-4724 +401 +5431 +6765 +15206 +23125 +20077 +15978 +-31330 +-38551 +-40815 +-26851 +-5587 +11070 +28924 +45528 +82121 +41731 +-3442 +-34725 +-67292 +-100933 +-121867 +-66724 +64094 +253192 +224456 +154901 +61605 +33130 +-59779 +-150147 +-470703 +-334489 +-157207 +22166 +547900 +1013711 +1058377 +1050227 +-463609 +-1123592 +-1635573 +-1360877 +-1529130 +-1779458 +-1006062 +-266623 +-2445943 +-2264000 +-1861887 +-904398 +-714422 +273196 +1037164 +-877473 +-488156 +-28735 +237942 +483472 +703708 +896865 +112937 +-39102 +-176374 +-197012 +-230318 +-308122 +-227676 +-140323 +118775 +159528 +173187 +89069 +-25203 +-77924 +-84890 +-86430 +-5018 +7742 +19097 +21169 +31169 +37201 +51925 +14844 +7844 +1359 +-2650 +-6076 +-9877 +-13251 +-2446 +-38 +2228 +2829 +3830 +5239 +3986 +2606 +-2784 +-3096 +-2990 +-1804 +-304 +202 +798 +1332 +586 +176 +-247 +-457 +-770 +-1273 +-1784 +464 +1145 +0 +2426 +3550 +3513 +3385 +-3299 +-5121 +-6276 +-4669 +-3173 +-2143 +789 +3718 +16300 +11804 +5842 +-407 +-18682 +-34750 +-36427 +-36276 +16088 +38937 +58351 +53734 +73391 +66185 +55103 +-60785 +-114198 +-59003 +28226 +127168 +148060 +221709 +236617 +245049 +-133356 +-300045 +-427573 +-351226 +-370557 +-404953 +-194605 +28523 +1374839 +1190637 +856450 +305203 +-1069818 +-2255986 +-2579617 +-2776350 +-41722 +-601029 +-1157085 +-1131724 +-1585957 +-2042459 +-2626290 +-2604586 +-2020423 +-1441398 +-933285 +-1009192 +-406234 +165197 +866532 +801129 +637189 +295249 +-139772 +-345310 +-422903 +-476212 +7396 +115891 +196401 +144066 +73598 +72607 +11240 +-51643 +-98206 +-82786 +-59188 +-23476 +-12532 +31115 +70992 +53761 +34675 +20409 +7234 +2603 +-10463 +-22493 +-13946 +-9403 +-3928 +1001 +7610 +12123 +10997 +9418 +-4613 +-6099 +-6717 +-4596 +-2104 +-1661 +4 +1607 +2885 +2183 +1269 +164 +-419 +-1943 +-3301 +-3722 +-2099 +0 +949 +1963 +2899 +3745 +2447 +-47 +-2511 +-3217 +-7307 +-11115 +-9652 +-7685 +14911 +18333 +19396 +12751 +2620 +-5298 +-13761 +-21627 +-38784 +-19634 +1769 +16547 +31991 +47877 +57746 +31371 +-30591 +-119792 +-105978 +-72845 +-28706 +-15052 +28887 +71608 +222021 +157212 +73108 +-11429 +-259863 +-480026 +-500554 +-496095 +222779 +534080 +775050 +643768 +719505 +834535 +468267 +73851 +-2431156 +-2203242 +-1755075 +-803657 +-575497 +447254 +1258186 diff --git a/sim/tc_basic.vhd b/sim/tc_basic.vhd index d0281b923dc765e7c9d24b456af49dc59de8b540..8d4700c9f0ebb6fa4b64104de87cbed645a3327c 100644 --- a/sim/tc_basic.vhd +++ b/sim/tc_basic.vhd @@ -14,22 +14,22 @@ architecture basic of TestCtrl is -------------------------- function f_addr(addr:natural) return std_logic_vector is begin - return std_logic_vector(to_unsigned(addr, AXI_ADDR_WIDTH)); + return std_logic_vector(to_unsigned(addr, 32)); end function; function f_sdata(data:integer) return std_logic_vector is begin - return std_logic_vector(to_signed(data, AXI_DATA_WIDTH)); + return std_logic_vector(to_signed(data, 32)); end function; function f_data(data:natural) return std_logic_vector is begin - return std_logic_vector(to_unsigned(data, AXI_DATA_WIDTH)); + return std_logic_vector(to_unsigned(data, 32)); end function; function f_bpmpkt(id:natural; x:integer; y:integer) return std_logic_vector is begin - return std_logic_vector(to_unsigned(id, C_W_BPMID)) & std_logic_vector(to_signed(x, C_W_BPMPOS)) & std_logic_vector(to_signed(y, C_W_BPMPOS)); + return std_logic_vector(to_unsigned(id, C_W_BPMID)) & std_logic_vector(to_signed(y, C_W_BPMPOS)) & std_logic_vector(to_signed(x, C_W_BPMPOS)); end function; @@ -74,6 +74,10 @@ begin ------------------------------------------------------------ ManagerProc : process variable Data : std_logic_vector(AXI_DATA_WIDTH-1 downto 0) ; + file read_file : text; + variable line_v : line; + variable int_v : integer; + begin wait until nReset = '1'; WaitForClock(ManagerRec, 2); @@ -85,29 +89,43 @@ begin log("==--- Configure the DUT ---==", INFO); log("+-- Global Config", INFO); -- Correction coefficients - Write(ManagerRec, f_addr(12), f_data(16#0000E641#)); - Write(ManagerRec, f_addr(16), f_data(16#000083B2#)); + Write(ManagerRec, f_addr(12), f_data(16#00010000#)); + Write(ManagerRec, f_addr(16), f_data(16#00000000#)); -- Enable Write(ManagerRec, f_addr(8), f_sdata(5)); - -- Set all orbit reference to 0 + log("+-- Writing orbit reference...", INFO); + + -- Load reference orbit from file + file_open(read_file, "reforbit.txt", read_mode); + -- X ref orbit for I in 0 to C_N_MM_BPM-1 loop - --Write(ManagerRec, f_addr(16#400#+I*4), f_sdata(0)); - --Write(ManagerRec, f_addr(16#800#+I*4), f_sdata(0)); + readline(read_file, line_v); + read(line_v, int_v); + Write(ManagerRec, f_addr(16#400#+I*4), f_sdata(int_v)); end loop; - - -- Set Matrix Coefs, diagonal + -- Y ref orbit for I in 0 to C_N_MM_BPM-1 loop - for J in 0 to C_N_MM_PSC-1 loop - if I = J then - Write(ManagerRec, f_addr(16#E00#+I*512+J*4), f_sdata(32768)); - else - --Write(ManagerRec, f_addr(16#E00#+I*512+J*4), f_sdata(0)); - end if; + readline(read_file, line_v); + read(line_v, int_v); + Write(ManagerRec, f_addr(16#800#+I*4), f_sdata(int_v)); + end loop; + file_close(read_file); + + log("+-- Writing inv. resp. matrix coefficients...", INFO); + + -- Set Matrix Coefs + file_open(read_file, "respmat.txt", read_mode); + for J in 0 to C_N_MM_PSC-1 loop + for I in 0 to C_N_MM_BPM-1 loop + readline(read_file, line_v); + read(line_v, int_v); + Write(ManagerRec, f_addr(16#E00#+J*512+I*4), f_sdata(int_v)); end loop; end loop; + log("+-- Configuration done", INFO); WaitForBarrier(ConfigDone); -- Global Enable @@ -122,34 +140,80 @@ begin -- Generate transactions for AxiTransmitter ------------------------------------------------------------ TransmitterProc : process - variable Data : std_logic_vector(DATA_TX_WIDTH-1 downto 0); - variable OffSet : integer ; - variable TransactionCount : integer; - variable ErrorCount : integer; - variable CurTime : time ; - variable TxAlertLogID : AlertLogIDType ; + file read_file : text; + variable line_v : line; + variable intx_v : integer; + variable inty_v : integer; + variable nturn : natural := 0; begin wait until nReset = '1' ; WaitForClock(StreamTxRec, 2) ; + -- Load data input file + file_open(read_file, "bpmdata.txt", read_mode); + WaitForBarrier(ConfigDone) ; - log("Sending bpm packets", INFO); - for NTURN in 0 to 3 loop - for I in 3 to 124 loop - Send(StreamTxRec, f_bpmpkt(I, -457874+3833*I, 125679-81098*I), std_logic_vector(to_unsigned(NTURN,8)&'0')); + log("+-- Sending bpm packets", INFO); + --while (not endfile(read_file)) loop + while nturn < 20 loop + readline(read_file, line_v); + + for I in 0 to 121 loop + read(line_v, intx_v); + read(line_v, inty_v); + + Send(StreamTxRec, f_bpmpkt(I, intx_v, inty_v), std_logic_vector(to_unsigned(nturn,8)&'0')); end loop; + nturn := nturn+1; -- Simulate interpacket delay WaitForClock(StreamTxRec, 150) ; end loop; + file_close(read_file); WaitForBarrier(TestDone) ; wait ; end process TransmitterProc ; + ------------------------------------------------------------ + -- AxiReceiverProc + -- Generate transactions for AxiReceiver + ------------------------------------------------------------ + ReceiverProc : process + variable ExpData, RxData : std_logic_vector(C_W_COR+C_W_PSCID-1 downto 0); + file read_file : text; + variable line_v : line; + variable int_v : integer; + + begin + wait until nReset = '1' ; + WaitForClock(StreamRxRec, 2) ; + + -- Load data input file + file_open(read_file, "corrout.txt", read_mode); + + -- Check output + while true loop + readline(read_file, line_v); + for J in 0 to C_N_MM_PSC-1 loop + Get(StreamRxRec, RxData) ; + + read(line_v, int_v); + + ExpData := std_logic_vector(to_unsigned(0, C_W_PSCID)) & std_logic_vector(to_signed(int_v, C_W_COR)); + + wait for 0 ns; + AffirmIfEqual(RxData, ExpData, ""); + + end loop; + end loop; + + wait; + end process ReceiverProc; + end basic; Configuration tc_basic of tb_corr_matrixpi is diff --git a/sim/view1.gtkw b/sim/view1.gtkw index 827a3c225aabfbef884f7f2477c94305764709f0..13bbfd0a488a668a339a6dff917aff986efce2d5 100644 --- a/sim/view1.gtkw +++ b/sim/view1.gtkw @@ -1,20 +1,19 @@ [*] [*] GTKWave Analyzer v3.4.0 (w)1999-2022 BSI -[*] Fri Apr 28 16:49:11 2023 +[*] Wed May 31 15:12:34 2023 [*] [dumpfile] "/home/broucquart/Projects/CellNode/fwk_sim_corr/prj/sim_corr_matrixpi_default/tc_basic.ghw" -[dumpfile_mtime] "Fri Apr 28 16:48:07 2023" -[dumpfile_size] 3005681 +[dumpfile_mtime] "Wed May 31 15:10:15 2023" +[dumpfile_size] 89798805 [savefile] "/home/broucquart/Projects/CellNode/fwk_sim_corr/src/corr_matrixpi/sim/view1.gtkw" -[timestart] 3981000000 +[timestart] 498931500000 [size] 1880 1016 [pos] -1 -1 -*-30.403778 8630000000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +*-26.732079 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 [treeopen] top. [treeopen] top.tb_corr_matrixpi. [treeopen] top.tb_corr_matrixpi.dut. [treeopen] top.tb_corr_matrixpi.dut.inst_corr_pi.g_corr[99]. -[treeopen] top.tb_corr_matrixpi.dut.inst_data_serializer. [treeopen] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].inst_coefx_table.memory. [treeopen] top.tb_corr_matrixpi.dut.inst_orbit_error.inst_refy_table.memory. [sst_width] 273 @@ -44,17 +43,13 @@ top.tb_corr_matrixpi.dut.inst_orbit_error.bpm_tvalid @420 #{top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[23:0]} top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[23] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[22] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[21] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[20] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[19] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[18] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[17] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[16] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[15] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[14] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[13] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[12] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[11] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[10] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[9] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[8] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[7] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[6] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[5] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[4] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[3] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[2] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[1] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_x[0] #{top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[23:0]} top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[23] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[22] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[21] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[20] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[19] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[18] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[17] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[16] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[15] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[14] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[13] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[12] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[11] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[10] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[9] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[8] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[7] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[6] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[5] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[4] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[3] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[2] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[1] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_y[0] -@22 +@24 #{top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[7:0]} top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[7] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[6] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[5] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[4] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[3] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[2] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[1] top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_id[0] @28 top.tb_corr_matrixpi.dut.inst_orbit_error.errbpm_tvalid @200 -Matrix Mul @420 -#{top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[48:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[48] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[47] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[46] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[45] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[44] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[43] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[42] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[41] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[40] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[39] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[38] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[37] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[36] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[35] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[34] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[33] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[32] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[31] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[30] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[29] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[28] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[27] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[26] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[25] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[24] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[23] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[22] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[21] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[20] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[19] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[18] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[17] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[16] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[15] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[14] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[13] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[12] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[11] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[10] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[9] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[8] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[7] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[6] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[5] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[4] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[3] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[2] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[1] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_x[0] -#{top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[48:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[48] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[47] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[46] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[45] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[44] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[43] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[42] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[41] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[40] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[39] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[38] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[37] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[36] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[35] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[34] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[33] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[32] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[31] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[30] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[29] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[28] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[27] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[26] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[25] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[24] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[23] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[22] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[21] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[20] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[19] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[18] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[17] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[16] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[15] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[14] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[13] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[12] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[11] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[10] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[9] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[8] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[7] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[6] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[5] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[4] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[3] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[2] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[1] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[0].accu_y[0] -#{top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[48:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[48] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[47] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[46] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[45] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[44] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[43] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[42] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[41] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[40] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[39] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[38] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[37] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[36] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[35] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[34] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[33] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[32] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[31] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[30] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[29] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[28] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[27] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[26] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[25] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[24] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[23] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[22] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[21] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[20] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[19] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[18] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[17] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[16] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[15] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[14] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[13] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[12] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[11] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[10] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[9] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[8] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[7] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[6] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[5] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[4] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[3] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[2] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[1] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_x[0] -#{top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[48:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[48] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[47] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[46] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[45] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[44] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[43] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[42] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[41] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[40] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[39] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[38] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[37] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[36] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[35] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[34] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[33] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[32] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[31] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[30] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[29] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[28] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[27] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[26] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[25] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[24] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[23] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[22] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[21] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[20] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[19] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[18] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[17] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[16] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[15] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[14] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[13] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[12] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[11] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[10] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[9] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[8] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[7] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[6] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[5] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[4] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[3] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[2] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[1] top.tb_corr_matrixpi.dut.inst_matrix_mul.g_matrix[10].accu_y[0] #{top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][31:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][31] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][30] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][29] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][28] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][27] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][26] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][25] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][24] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][23] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][22] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][21] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][20] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][19] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][18] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][17] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][16] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][15] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][14] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][13] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][12] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][11] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][10] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][9] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][8] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][7] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][6] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][5] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][4] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][3] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][2] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][1] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[0][0] #{top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][31:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][31] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][30] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][29] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][28] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][27] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][26] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][25] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][24] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][23] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][22] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][21] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][20] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][19] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][18] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][17] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][16] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][15] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][14] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][13] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][12] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][11] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][10] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][9] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][8] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][7] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][6] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][5] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][4] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][3] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][2] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][1] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[3][0] #{top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][31:0]} top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][31] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][30] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][29] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][28] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][27] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][26] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][25] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][24] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][23] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][22] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][21] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][20] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][19] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][18] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][17] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][16] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][15] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][14] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][13] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][12] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][11] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][10] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][9] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][8] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][7] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][6] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][5] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][4] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][3] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][2] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][1] top.tb_corr_matrixpi.dut.inst_matrix_mul.matmult[4][0] @@ -86,7 +81,7 @@ top.tb_corr_matrixpi.dut.inst_corr_pi.corrout_valid @28 top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tvalid top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tready -@23 +@22 #{top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[23:0]} top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[23] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[22] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[21] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[20] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[19] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[18] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[17] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[16] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[15] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[14] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[13] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[12] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[11] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[10] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[9] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[8] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[7] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[6] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[5] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[4] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[3] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[2] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[1] top.tb_corr_matrixpi.dut.inst_data_serializer.m_axis_tdata[0] [pattern_trace] 1 [pattern_trace] 0