diff --git a/hdl/corr_iir.vhd b/hdl/corr_iir.vhd
index e98a5a707132abb702b40c063be152bdcfb8678e..9eccd50efaf5cd770afafc49536cd9b0a97da4a6 100644
--- a/hdl/corr_iir.vhd
+++ b/hdl/corr_iir.vhd
@@ -41,6 +41,7 @@ end entity corr_iir;
 
 architecture rtl of corr_iir is
 
+    constant C_INT      : natural := 10;
     constant C_DELAY    : natural := 6;
 
     constant C_W_MULT   : natural := C_W_MM+C_W_COR_COEF;
@@ -106,6 +107,10 @@ begin
         signal enable_corr  : std_logic;
         signal reset_corr   : std_logic;
 
+        signal integrate_dout   : signed(C_W_COR+C_INT-1 downto 0);
+        signal avg_dout         : signed(C_W_COR-1 downto 0);
+        signal integrate_cnt    : unsigned(C_INT-1 downto 0);
+
     begin
 
         -- Signal rails for X/Y coef and enable/reset, based on PSCID number
@@ -142,6 +147,9 @@ begin
                 rndsat_mult_a   <= (others => '0');
                 rndsat_mult_b   <= (others => '0');
                 rndsat_mult_d   <= (others => '0');
+                integrate_dout  <= (others => '0');
+                integrate_cnt   <= (others => '0');
+                avg_dout        <= (others => '0');
             elsif rising_edge(clk) then
 
                 ----------------------------------------------------------------------------------------------------------
@@ -149,24 +157,42 @@ begin
                 if reset_corr = '1' then
                     reg_din         <= (others => '0');
                     reg_dout        <= (others => '0');
+                    integrate_dout  <= (others => '0');
+                    integrate_cnt   <= (others => '0');
+                    avg_dout        <= (others => '0');
                 else
                     if matmult_valid = '1' then
                         reg_din     <= matmult(I);
                     end if;
 
-                    if delay_valid(delay_valid'left-2) = '1' and enable_corr = '1' then
-
-                        -- Round then sat
-                        if mult_c(C_W_COR_COEFFP-1) = '0' then
-                            reg_dout <= f_resize_sat(
-                                          f_resize_lsb(mult_c, mult_c'length-C_W_COR_COEFFP),
-                                        C_W_CORFP);
-                        else
-                            reg_dout <= f_resize_sat(
-                                          f_sum_sat(f_resize_lsb(mult_c, mult_c'length-C_W_COR_COEFFP), to_signed(1,2)),
-                                        C_W_CORFP);
+                    if enable_corr = '1' then
+
+                        if delay_valid(delay_valid'left-2) = '1' then
+
+                            -- Round then sat
+                            if mult_c(C_W_COR_COEFFP-1) = '0' then
+                                reg_dout <= f_resize_sat(
+                                              f_resize_lsb(mult_c, mult_c'length-C_W_COR_COEFFP),
+                                            C_W_CORFP);
+                            else
+                                reg_dout <= f_resize_sat(
+                                              f_sum_sat(f_resize_lsb(mult_c, mult_c'length-C_W_COR_COEFFP), to_signed(1,2)),
+                                            C_W_CORFP);
+                            end if;
                         end if;
+
+                        if delay_valid(delay_valid'left) = '1' then
+                            integrate_cnt   <= integrate_cnt-1;
+                            if integrate_cnt = 0 then
+                                avg_dout        <= integrate_dout(C_W_COR+C_INT-1 downto C_INT);
+                                integrate_dout  <= (others => '0');
+                            else
+                                integrate_dout  <= integrate_dout+rnd_cor;
+                            end if;
+                        end if;
+
                     end if;
+
                 end if;
 
                 ----------------------------------------------------------------------------------------------------------
@@ -228,7 +254,8 @@ begin
         end process;
 
         -- mapping
-        corrout(I) <= rnd_cor;
+        corrout(I) <= rnd_cor when enable_corr='1' else
+                      avg_dout;
         corrout_fp(I) <= reg_dout2;
 
     end generate gen_corr;
diff --git a/hdl/corr_ll.vhd b/hdl/corr_ll.vhd
index c4ce13eb913651de9d1036ea9d51ebd72829d031..a348b57038dcfc55b59f8cc0a559a45791004105 100644
--- a/hdl/corr_ll.vhd
+++ b/hdl/corr_ll.vhd
@@ -41,6 +41,7 @@ end entity corr_ll;
 architecture rtl of corr_ll is
 
     constant C_N_A_RND  : natural := 8;
+    constant C_INT      : natural := 10;
     constant C_DELAY    : natural := 5;
     type arr_slv is array (natural range <>) of std_logic_vector;
     signal delay_valid  : std_logic_vector(C_DELAY-1 downto 0);
@@ -99,6 +100,10 @@ begin
         signal enable_corr  : std_logic;
         signal reset_corr   : std_logic;
 
+        signal integrate_dout   : signed(C_W_COR+C_INT-1 downto 0);
+        signal avg_dout         : signed(C_W_COR-1 downto 0);
+        signal integrate_cnt    : unsigned(C_INT-1 downto 0);
+
     begin
 
         -- Signal mux for X/Y coef and enable/reset, based on PSCID number
@@ -133,6 +138,9 @@ begin
                 rz_mult_a       <= (others => '0');
                 rz_mult_b       <= (others => '0');
                 rz_mult_d       <= (others => '0');
+                integrate_dout  <= (others => '0');
+                integrate_cnt   <= (others => '0');
+                avg_dout        <= (others => '0');
             elsif rising_edge(clk) then
 
                 if reset_corr = '1' then
@@ -155,10 +163,26 @@ begin
 
                 if reset_corr = '1' then
                     reg_dout    <= (others => '0');
+                    integrate_dout  <= (others => '0');
+                    integrate_cnt   <= (others => '0');
+                    avg_dout        <= (others => '0');
                 else
-                    if delay_valid(delay_valid'left-1) = '1' and enable_corr = '1' then
-                        reg_dout        <= f_resize_sat(rnd_abicd, C_W_COR);
+                    if enable_corr = '1' then
+                        if delay_valid(delay_valid'left-1) = '1' then
+                            reg_dout        <= f_resize_sat(rnd_abicd, C_W_COR);
+                        end if;
+
+                        if delay_valid(3) = '1' then
+                            integrate_cnt   <= integrate_cnt-1;
+                            if integrate_cnt = 0 then
+                                avg_dout        <= integrate_dout(C_W_COR+C_INT-1 downto C_INT);
+                                integrate_dout  <= (others => '0');
+                            else
+                                integrate_dout  <= integrate_dout+reg_dout;
+                            end if;
+                        end if;
                     end if;
+
                 end if;
 
                 -- Round and resize
@@ -184,7 +208,8 @@ begin
                            f_sum_sat(f_resize_lsb(mult_ic, rnd_abicd'length), to_signed(1, rnd_abicd'length));
 
         -- mapping
-        corrout(I) <= reg_dout;
+        corrout(I) <= reg_dout when enable_corr='1' else
+                      avg_dout;
 
     end generate gen_corr;
 
diff --git a/sim/bpmdata.txt b/sim/bpmdata.txt
index 37d415625bab5cca429afe32a2a417c36de9c28b..12ef4f97db0ae3109e78a82ac175c209fbe0064a 100644
--- a/sim/bpmdata.txt
+++ b/sim/bpmdata.txt
@@ -1,200 +1,2000 @@
-214122 -15592 109136 -17768 35768 35560 30540 -121074 -179620 27831 -18688 64307 -69170 177560 -114800 -157899 -132172 -57154 99771 22546 -71362 -85936 2818 185012 -98493 185545 -54261 -41138 -121673 83087 139083 24804 -38807 26371 33095 79402 -36978 143621 16678 11769 -27228 64948 -65888 19228 -66608 23672 -1396 8407 -83755 -16150 -49303 -30835 73265 -53469 43529 -72629 48087 14598 -15841 85615 -71217 22059 -56750 -89168 -200758 86613 -23626 -60224 -129075 -5762 -126406 165370 106719 128654 -48460 -88189 68494 22148 -54613 85773 -87541 -15629 -43482 -216419 -113413 2968 133577 -94575 -142503 -38048 -274336 -39565 -57460 -60934 -120271 53100 -82314 142375 -68632 -36487 48188 -84452 -169983 11133 37521 73753 -15336 114154 -3203 -39611 54737 -134370 35630 32924 47120 82008 -142336 38742 1264 -168643 -6277 -51373 -190468 20185 -132761 -83981 22617 136084 186844 -243622 -55181 83755 73795 -152580 -55302 45129 204548 -39218 -254572 -62110 188847 -38151 72400 81832 -19186 -309 13945 251937 112973 -140415 33160 -26975 -122396 -93518 16335 -95460 -64134 142934 44811 -81319 -4241 74694 55109 -94884 -122687 245374 -185258 -98898 -35257 60421 -61240 -37279 -128737 -44850 100517 27308 -56430 -33033 -88967 188036 -40454 -119527 20536 29166 -273464 65441 156007 23767 -20902 148566 240446 40300 -58610 -82867 103144 32683 41049 -133786 -77885 -103110 54414 -5835 -79529 166099 19482 210460 119835 -50431 -20461 106905 46122 -37420 129673 -86401 9518 149098 -66669 6601 -26810 -24843 196933 -36158 -125787 8305 -221755 132094 91400 69415 -79771 -19130 122824 -48485 115254 -63766 124200 -73008 33785 -39308 -95192 -10107 -50811 -187276 -142581 109081 
-199406 47511 29247 -80412 30625 -38285 -34569 -138027 -247473 12130 -18102 89075 -70357 230257 -70439 -135350 -105063 -65680 195324 -103083 -91936 -101872 100064 226700 -41243 197196 -43734 -50387 -158219 29406 137856 -82190 -19403 22271 -31775 65784 -20043 51006 2560 23009 9458 43392 5018 13111 -95121 14340 -35539 16970 -145429 -9479 -51580 -52379 -102844 37729 189552 -41919 95174 63742 -75297 94276 -64387 57924 -76354 -33331 -141168 40985 -8901 -35430 -19988 2129 -132733 128298 19709 67943 -40044 -81235 210954 61022 -179545 92388 -67712 704 26421 -217342 -124119 -53895 119956 -59438 -151422 60880 -218222 -54176 -68545 19469 -47527 55241 -62348 64553 -19234 -69300 60262 -45365 -210873 -137417 99950 99540 64515 96666 -10095 100292 45808 -93143 -43522 29136 39613 -9589 -51716 -46706 -7968 -147143 -4643 -29888 -157743 -569 -146216 -37671 23436 46428 206770 -251195 -72219 105211 108157 -150806 -31281 71972 258788 28701 -195415 -71756 148609 -35883 40041 85792 -37304 -131193 13192 225170 31266 -143614 141245 -144454 -93364 -122490 54975 -95857 -67551 94827 32983 -53412 43867 71451 48814 -97003 -211 206559 -253891 -104612 -9457 81126 -42935 -95095 -127519 170043 52947 12207 400 51707 -94708 188959 -49890 -108231 46715 -37954 -175579 -15671 170085 66447 -4527 49104 185101 55835 -76726 -64834 114827 112751 76654 7049 -63836 -36889 61412 -7240 36911 76535 69975 200387 -7502 -47147 -32803 100569 44511 -5411 88030 -125486 -3025 114418 -90645 -95008 80150 -100038 186297 -49724 -151690 -106516 -214920 153201 133998 33381 -110781 -60525 113360 -72306 109319 -26501 55764 -68769 -128520 -27556 62569 20003 -95046 -230434 -158223 148472 
-177152 -15155 -28329 -32678 39787 -97597 -65265 -90638 -238838 573 -25204 132276 -79698 -7211 -17746 -9490 -65549 -52206 121694 -104850 -49302 -101864 94802 124076 41941 230597 -74476 -43 -158989 -11751 137052 -137422 15043 1981 -80743 56020 -5820 19107 -4778 14740 69607 -15039 73900 16024 -77998 16064 11328 86444 -103749 -6473 -53986 -21765 -154379 157073 180727 50974 115543 103026 -132681 99046 -86479 68876 -94073 -27548 -40489 35605 -5879 -49441 59347 24002 -119652 -3111 -4614 -32058 -75282 -87198 218570 103323 -213616 120391 -88270 37895 178338 -231069 -209741 -90073 97939 -26714 -138905 164657 -115140 -57288 -62687 -33450 95237 43154 -61328 -67380 -9649 -106842 54390 -53785 -158108 -227161 116757 149599 95001 18038 -30538 167558 48351 58312 -132219 -28132 12396 -106805 90872 -102041 -97063 -59188 41904 -103690 -17237 6790 -164409 -26292 24113 -85443 216562 -249116 -81318 106312 110908 -146776 -24092 75247 118231 82134 -45908 -65388 71967 -97906 -341 87617 -54236 -187238 7769 186731 -100311 -107552 26375 -108728 -40905 -105606 98089 -105938 -68840 18109 26871 -34709 67972 65180 -62205 -98505 49175 160603 -267558 -92721 -4915 78192 9924 -120177 -120145 273140 61248 14472 57866 88874 -54638 189362 -30254 -123022 113144 -40997 19314 -37072 153385 148202 30243 -98526 175459 60025 -89231 51063 108695 146722 21648 185153 -13540 47813 16171 -9112 134713 69959 69854 192469 -136893 -79072 -73964 76176 42896 36547 65051 -109864 -44856 83777 -106893 -40654 71958 -19302 179997 -33530 -122430 -91101 -230944 86891 158758 36299 -109740 -46672 -3243 -68661 102083 68701 43897 -77130 -84695 -27186 128675 20941 -123286 -151404 -149536 183536 
-168971 -140349 97286 -42595 44869 -121104 -41962 -20718 -196198 49410 -17128 138127 -103384 -119209 -13255 106620 -25882 -66570 21463 -144355 -43231 -80153 55645 -5666 144399 233610 -62191 -15594 -168554 -35562 133837 -64833 73579 22317 -53519 43161 45174 88208 -11895 14710 117779 -61522 110643 16532 -77204 20222 70538 150748 40115 2626 -80212 -29592 -13527 164752 67325 94506 115738 133469 -172461 96202 -87747 86780 -103036 -60885 18706 75676 -24384 -47539 73272 14007 -106075 -114087 -75266 -137827 -71108 -75285 52525 94222 -121236 131875 -86132 61555 200981 -222267 -206460 -92410 49951 -33532 -116128 213566 -3826 -44967 -73497 -157677 99238 70504 -42085 -117801 -50661 -106338 3537 -52951 -108668 -222705 135143 169771 154873 -34145 -26730 110763 47904 156254 -172272 -17208 39466 -129607 172718 -104524 -91540 -421 92955 -111458 56526 46308 -150188 14542 24765 -165503 222047 -238726 -61236 83331 101856 -141975 25839 112166 -87543 140471 89012 -68503 -78421 -87854 -26482 75596 -55994 -119359 9737 134563 -130295 -39438 -170420 247 13891 -83790 134146 -65137 -60642 -72947 27134 -47130 115512 65863 -136150 -109849 81574 107658 -217778 -87384 16175 89011 50338 -70139 -115036 160004 -14664 7160 87022 143403 28261 187067 -13832 -105277 147279 -4247 86980 10146 121650 186164 70793 -143656 181285 91837 -33646 89650 124615 160204 -116332 160997 11065 72349 -15834 -11404 99096 81941 69915 208736 -223534 -65676 -25747 65821 41177 50350 -315 -130599 -61784 44521 -112673 82720 -29506 142749 144947 -20415 -69272 -49224 -227857 16708 182069 74031 -128911 -31189 -87112 -47177 85612 102841 109290 -59010 56712 -24507 -5661 21203 -96209 -2539 -145092 185378 
-161081 -180383 168025 -9278 59774 -116621 13956 16224 -140780 34600 -17203 156272 -54258 -38281 -64006 93014 379 -39714 -24087 -68057 -58268 -40841 -62471 -111359 221026 211364 -104957 -78984 -152645 -16038 132620 77195 129724 14355 7143 20757 -8152 173613 7921 10501 186351 -78822 150923 -763 -47585 24934 69252 165767 79481 8456 -98824 -11558 164322 65517 -50208 36266 108259 152011 -186795 83291 -104863 94880 -110093 -132201 45917 138713 -74764 -71397 14804 13019 -100723 -130003 -89403 -224556 -31445 -96387 -79413 59982 26493 131414 -101540 38825 63253 -220283 -230174 -59970 21848 -73900 -78167 207238 79592 -44818 -68809 -192834 -14883 78079 -45869 -7752 -116448 -71393 -38194 -31515 -51864 -89457 177558 182683 177678 -36448 -21608 -3025 11785 46657 -176224 4133 13896 -92725 133118 -70548 -115128 19690 161707 -129969 6233 54634 -142126 33217 25491 -101547 219730 -220203 -62863 106795 38072 -128366 31957 124627 -55892 138629 41916 -72774 -187698 -152119 -36440 72278 -51145 22193 5943 20634 -31856 43590 -168388 115396 48997 -81679 168425 -77821 -61400 -163737 25856 -97800 146715 77654 -172511 -102588 -26841 51066 -105574 -109532 33019 107197 90752 -8623 -101858 -47713 -44239 4703 75501 211033 181352 188160 -24843 -114310 104457 30066 33099 117810 82540 168299 61009 -34276 144746 111160 -16118 9406 124903 104556 -177506 -30608 -38418 54056 -50851 -14175 -32597 61602 24051 152831 -168199 -66453 65733 56793 43035 34081 -39428 -142385 -64472 6577 -106221 56532 -126468 263654 101521 -33236 6226 59349 -235085 -48012 176985 106525 -123830 -4894 -52626 -24379 76418 94506 156916 -83382 185172 -20084 -165758 27789 -11383 110473 -173779 170432 
-144960 -96638 142383 -37560 82813 -77725 97417 3189 -79106 44110 -5494 154422 -5437 155976 -104595 -28616 12521 -44770 79255 36353 -67211 -26586 -50617 -126556 215194 244467 -69053 -113929 -169671 -62209 129334 182563 185769 1871 49665 831 -46338 144133 30305 3883 238392 -66394 101422 2300 -86000 35987 10737 130376 66182 35985 -75498 11434 135886 -56447 -71228 -57408 97784 156162 -156971 66593 -102984 113884 -120953 -135773 38714 134297 -91754 -85991 -90427 3970 -84697 -35811 -117588 -260247 -57758 -96158 -20152 8290 116947 87479 -117723 -2084 -46269 -201663 -222754 -4849 10700 -96944 -35246 130234 112259 -30139 -73221 -99211 -140082 62351 65526 125701 -163417 -40261 -27488 -64157 83294 14622 118363 165177 185923 3613 31341 -138828 43138 -85742 -165114 34801 4325 -21284 335 7486 -125810 1886 181671 -109786 -113418 30434 -104480 30157 26269 42199 208625 -193057 -50386 78748 -14664 -136658 59500 71249 155839 69493 -98724 -80777 -189370 -128631 -20728 59189 -38176 73185 9192 15008 90428 134942 -3465 155254 50304 -112950 199976 -33306 -54073 -215622 31377 -149444 150109 79388 -104382 -87129 -105119 -1129 -4649 -83674 45907 90783 129193 5229 -88765 -57860 -82433 7663 29478 189633 199163 184820 -35889 -102858 45777 65249 -111705 199836 43747 78049 7546 126231 68142 103553 1956 -76186 140636 37968 -168267 -140254 -72629 -20460 -74808 -17285 -98423 26817 -3807 195267 -10235 -74542 103721 47743 40478 8963 2246 -167123 -108808 -25315 -89907 5069 -106649 229564 41701 -51746 61958 170448 -228641 -137739 123390 99683 -130405 -24460 61157 -20410 73291 80703 124369 -115649 209904 -27852 -121678 45137 3792 110261 -158610 146654 
-130778 18400 75395 -73469 83109 -11745 181812 -71527 -15875 56344 -419 170385 4096 195970 -88399 -134896 17817 -41731 144947 108349 -71314 -32446 -114693 4209 157848 202414 -102828 -123002 -140964 -58219 127044 218340 218700 16632 57828 -33754 -73515 55065 37954 -11818 255851 -12070 68455 -25501 -73189 42560 -26045 64752 -55075 66972 -108565 -6469 -15272 2343 58005 -64496 40491 145429 -135369 40263 -109647 105694 -136392 -81589 -55319 119457 -125274 -73781 -183426 -1774 -74217 100582 -132190 -233057 -51470 -99495 167645 6601 84599 108898 -106216 -16414 13475 -188749 -226229 57058 22603 -88132 6540 30480 26745 -55496 -85128 14525 -130810 65329 60272 148080 -161141 -42578 -352 -69184 81161 48131 63994 119803 162169 69062 27727 -165331 40438 -139496 -116887 48681 6200 62600 -117536 85113 -125439 -11948 144504 -153817 -201467 -1745 -73176 9716 26990 137724 192375 -161354 -42496 88659 -58003 -134336 69199 101949 281062 32892 -225355 -72855 -103640 -157163 2807 36043 -13720 -27989 7015 -21598 159038 190684 157577 32131 17284 -96007 223827 -5586 -58733 -194302 19293 -159652 188608 74186 -63444 -87649 -126183 -47965 72675 -77099 37548 107075 154029 -39952 -71856 126598 -45974 2453 -30008 190606 195709 182587 4820 -104589 48324 137641 -290921 199121 17780 32046 -18638 162807 60502 85612 -1588 -69454 121508 -8440 -39871 -14725 -33669 -85765 -123540 -20781 -20403 99544 -51926 148341 73900 -60540 169234 42239 41063 -30147 51773 -146643 -120563 -56969 -61365 -70264 35084 73247 18786 -64740 72377 258971 -215172 -206040 76766 60110 -113225 -39996 122192 -43806 51033 -14460 59795 -129889 26201 -37083 54454 27983 -7225 -1322 -156591 99366 
-125260 39951 -914 -50281 96524 64136 242482 -137540 106426 32362 9614 150976 54255 -34936 -28722 -149329 5999 5465 154699 126199 -87688 -60514 -50550 144010 76319 206630 -71583 -96734 -104926 -38821 128062 151290 222642 1655 11403 -27400 -41249 24203 76237 -6794 256140 42105 287 -53199 -70637 16920 -1816 -3707 -150779 66419 -49320 14040 -145979 131512 184976 20528 61952 123149 -51803 14622 -106772 123567 -138944 -45613 -157446 69895 -143390 -110681 -219634 -7654 -61981 160078 -153096 -179036 -52044 -76712 239838 33059 -41180 63572 -88270 11304 167130 -166707 -181491 103048 7796 -44481 55438 -83272 -81154 -66920 -74631 -1577 13463 81319 95170 39672 -108457 -78676 44812 -71349 109248 -21867 -1114 70558 139982 118825 48381 -131390 51443 -34156 -41907 39264 -3024 110568 -134898 118587 -131345 -83293 72699 -83604 -180580 103 -25009 -31129 27595 110712 166298 -126240 -35297 101902 -69422 -113141 47733 85769 143793 -53559 -218443 -67565 30034 -139681 39467 32277 16750 -166011 10684 -100308 56100 215679 49024 -92938 -36788 -68192 242389 5183 -61212 -157165 24344 -122213 190068 74269 12034 -90857 -23338 -81799 95269 -94943 52566 114805 174297 -93537 -43316 272592 1196 -15101 -77374 100051 105415 184239 2639 -121095 10203 113368 -264586 113248 15309 -7634 -24413 70969 30664 59508 7943 39267 133681 -101794 61482 174595 -33443 -178422 -168862 -24634 109304 138232 -115081 149508 65329 -49627 167678 48172 38653 -63903 63068 -185418 -104076 -75316 -28633 -35204 104670 -71556 4392 -38323 58046 246269 -216103 -245305 22246 30523 -76701 -762 68584 -58958 34623 -98206 39539 -137396 -104631 -45918 131057 27350 -94097 -148387 -157681 40467 
-105613 -56940 55714 -11735 102678 133533 258649 -136097 99229 17717 17944 128130 74357 -155240 -15605 -38392 -29943 15067 19314 87601 -114378 -89336 36697 233933 -42618 169290 -43951 -46071 -58478 -12542 122261 6198 201756 -16683 -54155 -68989 -50604 93767 106584 -21388 271360 66163 -64810 -84040 -100615 24177 68285 13569 -136015 70268 -72956 6541 -89354 174180 211036 85381 74754 92472 7050 -22642 -86513 113007 -155972 -52887 -198057 53827 -126359 -78736 -182537 -324 -59107 125298 -145924 -59534 -36392 -101652 117366 54749 -170873 79734 -109117 48167 204086 -142759 -125475 118507 65511 -36876 105296 -133347 -170793 -53710 -70545 -127622 131448 84443 115248 -68619 -46000 -115258 48389 -59316 157218 -133695 -16180 18300 100314 106050 30256 2483 51270 108134 45374 3330 1711 68466 -23830 94588 -137844 -159415 16573 -73973 -54248 43826 9320 -57996 28222 -8479 139132 -86300 -47715 106148 -62717 -110954 27004 110888 -74982 -83884 -82293 -70716 169989 -120530 82085 21970 49114 -191817 15261 -98671 -77041 195512 -118334 -141633 -90179 -78996 246858 18091 -53669 -32906 15531 -102222 123484 69368 70093 -108356 59789 -99625 18774 -94568 54357 97015 175954 -127708 -18855 187401 77454 -14132 -89172 74232 1553 182471 -34339 -108181 95058 85314 -115843 7594 39739 19935 -14458 -70129 -30294 51069 -24792 92618 114012 -134478 80877 180562 -4475 -216107 -167887 -28809 134903 138932 -69935 156218 8496 -72034 198910 49115 36962 -78074 123103 -186719 -90797 -104647 7068 60068 47060 -79548 -60826 -19058 -389 209510 -229173 -255812 -15534 42000 -131420 25953 -42711 -71553 19742 -95041 96625 -113533 -78121 -57848 -5522 21891 -140837 -231005 -122483 -13724 
-88180 -167105 133121 -5497 96460 174562 231205 -85531 70647 26508 24250 108932 82941 -50516 -58206 82752 -64003 2572 -35883 13060 -107737 -99547 134116 211276 -94508 71985 -8017 -46266 -25686 -8938 120374 -94996 161622 -2658 -67240 -77619 -49182 169189 119532 -45563 217861 39882 -86238 -93672 -39802 -2260 70844 79586 -34414 64239 -44461 5201 62686 59423 79418 67605 114360 45298 88224 -63755 -71855 89572 -151875 -69791 -280389 73756 -82960 -56348 -90975 -1212 -45162 -7260 -109842 -452 -63097 -77225 -37800 61925 -212296 56410 -105863 61748 85019 -101266 -103856 100067 95832 -38840 143670 -127183 -236408 -48567 -71184 -198703 98613 76313 110104 -100461 -10982 -103606 24331 -64068 130774 -220968 -47113 -5973 20803 41599 -2735 127632 39914 126254 120226 -42466 20841 -4666 111701 43797 -92997 -185952 3746 -49344 63342 64367 62462 -78984 28982 -141944 104412 -46064 -46313 112047 -24464 -111382 -40261 106270 -85822 -87918 31972 -75191 185542 -82472 108248 20596 82065 -90828 7521 -99089 -166040 137422 -189233 -53128 -121423 -101346 247565 29653 -56386 66401 23252 -84596 115597 71722 48839 -99034 70872 -101722 -70211 -82574 29361 100603 177379 -103312 -8295 -22881 110719 -28774 -61144 49464 -87807 182647 -26607 -101584 135536 75270 53822 -31030 81934 105297 29873 -134561 -96686 53499 -55118 27559 119058 -131200 -9036 3584 -25388 -209165 -181999 -33235 16329 169370 -21365 192563 -176759 -70014 156176 62691 37435 -48160 102914 -197740 -80113 -114716 43979 76908 -82582 44622 -25399 -48713 -55476 120923 -220785 -195218 -45486 82710 -101002 6467 -87709 -62800 26553 -95017 157213 -107663 85047 -69085 -142148 14431 -94624 -190436 -126381 -61465 
-72459 -162878 185952 -25289 86831 183758 167876 -31999 71451 26507 36965 71574 69573 189038 -105102 106117 -97043 23038 74336 -66496 -75914 -92756 118373 41234 -80908 92282 -57730 2309 9764 6492 122110 -125298 102725 5253 -39696 -41631 9583 142452 154361 -30422 181284 -1755 -79636 -130954 -72635 4456 20127 159952 92236 45807 -54613 57836 167502 -40083 -56207 -34799 129816 -5378 122173 -84141 -69766 95871 -147112 -113711 -215490 133262 -70198 -68775 15877 3254 -54921 -126924 -73987 131040 -46168 -95061 -69964 89661 -135679 64316 -85757 34355 -42026 -83049 -63428 52324 112999 -92829 172028 -64656 -254254 -55803 -75284 -133951 -71867 94401 107573 -1781 -24094 -61027 -2793 -26360 24191 -193977 -76382 3512 -46967 2793 -35251 149806 46231 -25930 154718 -32423 22517 -122407 176785 -32298 -89150 -234541 44423 -21029 17291 28240 87098 -91050 29697 -152108 68116 -9684 -68425 95505 40402 -121549 -36549 97421 119442 -59348 63675 -78579 137556 -60269 114293 23806 113525 46329 15514 -130107 -47652 46561 -25740 69895 -117963 -56528 237115 31760 -50956 98090 9378 -58431 63984 67171 -62754 -92251 -30256 -84334 -188583 -92330 28651 93107 162073 -51253 27363 -81205 107865 -24035 -6063 -7157 -100936 180284 4614 -96836 146416 23612 100325 -12247 123289 168155 67915 -64537 -92560 57568 -76148 -79664 124849 -166584 -138375 -140979 -52424 -155495 -222181 -37899 -92589 127572 2648 176940 -215827 -60924 68980 75927 35104 -20180 64899 -177677 -69607 -127357 81539 21121 -144284 210901 -83470 -57939 -121138 -852 -219613 -102896 -57769 109152 -129038 16427 -21371 -48603 4062 9741 140672 -71151 226940 -78872 -129040 -13469 -36743 -54282 -102816 -65787 
-55379 -53055 73946 -12320 72793 153507 82647 13821 14814 72806 28241 41890 40908 230129 -87222 929 -131342 39186 145025 -161151 -76438 -72155 37160 -112208 -8141 32474 -96687 3671 45111 62960 115034 -53814 42904 -14168 28822 -35381 -30802 62956 166534 -50496 147044 -52352 -14975 -159106 -50258 27033 -26927 183046 76464 31740 -33781 11697 73220 -18784 -77850 -76078 124179 -57568 156633 -131371 -58738 73442 -159798 -144370 -106621 122853 -9421 -38092 75403 7846 -61034 -116696 -27883 86959 -34913 -120270 92858 70135 15942 26979 -81681 -6755 -6474 -62855 -1847 -9087 125408 -116233 188629 42156 -209779 -69963 -86818 -17611 -148718 96779 69281 133520 -74407 -44721 -47349 -54763 -46722 -83722 -38235 3280 -120171 -39467 -56943 107057 43032 -146211 176093 5514 4010 -152728 113722 -93005 -47042 -233049 113083 -76493 -102130 -4592 100678 -65969 30314 -49113 31646 23187 -90222 106756 73029 -115506 -40836 99689 264507 10098 -51813 -74269 18744 -57512 98888 31469 144184 61736 16036 -51879 73953 -37133 104751 154152 -81188 -49234 220536 49488 -55239 106369 12164 -71554 -5361 72999 -135573 -90345 -73139 -56989 -258088 -83562 4207 68891 133983 6599 43707 96043 34387 -34722 51690 -22957 -32145 179691 -17541 -106775 82468 -7413 12646 28018 153591 184916 58073 89206 -104383 81163 -55108 -72516 132345 -54559 -190411 -55839 -47170 -78672 -232178 -42723 -70640 76405 34942 169039 -119252 -66737 4605 87087 36700 18019 42413 -214397 -43374 -131500 113389 -59817 -47373 266325 -56839 -53326 -182196 -103722 -197649 -24650 -48826 92950 -67575 18340 107994 -23458 19173 115213 68257 -76959 188964 -76769 37042 -5600 14443 79781 -86117 -50652 
-49308 43485 -9319 -9344 61577 97293 4290 -7987 -90495 41375 35281 8910 12363 15525 -33543 -127287 -149581 55951 149826 -135665 -67162 -40919 -43135 -118186 93078 -13278 -138182 -76592 43158 64666 111619 69799 -6854 -3947 60250 -20518 15741 22282 198819 -52242 61183 -95469 50144 -167058 -69467 45658 -7189 146990 -14053 -2268 -49945 20906 -108653 136897 83065 -17672 85329 -110866 158618 -135404 -77698 77040 -152892 -103599 -13008 125795 -9279 -57779 62489 5048 -73431 -27580 12425 45210 -43596 -94791 232429 1812 112416 58084 -99200 -11072 153071 -40334 32299 -62871 128229 -101214 189710 144360 -77712 -35601 -87253 16142 -71351 95796 27407 124799 -137922 -49324 -37461 -74003 -110265 15957 33747 40895 -130987 -14896 -23402 -33535 34331 -105252 149206 56158 17720 -111478 -28764 -113248 -6727 -191614 160569 -73741 -200304 18398 99086 -40399 31021 92316 1919 52341 -77882 101083 125873 -103634 -23206 77129 166989 78579 -216755 -71704 -123047 -27292 60703 47673 158826 -53354 17790 6803 165368 -105955 102634 108814 -25883 -50171 192978 20227 -51833 45552 -4834 -100734 -19172 79321 -166839 -83512 -117767 -11940 -269087 -104384 8435 76432 92852 -20846 69759 272064 -14950 -29760 85820 21486 29892 175806 -11200 -111412 34680 -27607 -143662 155045 164875 140789 1975 176893 -54971 100653 -26622 21043 93208 -32060 -142738 137748 -22974 33353 -230982 -47726 59168 57967 86842 177159 6488 -64403 -36311 103151 33548 44209 19073 -213786 -28456 -123271 138050 -55540 53262 178988 -53594 -56970 -136531 -108438 -209372 78211 -19875 52544 -52599 41189 125026 -24798 546 134547 32093 -76155 64229 -84005 114249 -6570 -17037 126096 -67019 -31473 
-23671 17158 35939 -24223 51331 26602 -45166 -69925 -144690 41322 30323 -13995 -31216 -128693 -13359 -171119 -148086 54932 28090 -58696 -48279 -24340 -118779 -64335 188014 -65409 -57224 -127925 45957 66729 111405 188791 -36642 -1634 48028 37497 -1305 87301 191975 -69939 7004 -75407 115626 -172270 -106597 -2988 56748 75893 -176202 -14827 -51027 44013 -122397 193347 186816 73240 68679 -157727 132070 -147419 -76268 21223 -142271 -16728 41790 100817 4937 -67124 -19556 4238 -91265 107417 63436 -19823 -14781 -108558 183079 43 93889 583 -76011 15842 206693 -13075 71986 -93143 100150 -27400 176812 224680 55129 -61484 -73521 -87196 50943 104671 -23916 25581 -164149 -98191 8075 -64670 -168567 40175 53396 87147 -166896 49217 -64695 -149559 28295 12264 89690 57346 12470 -24848 -132894 -86087 6355 -147203 159104 -76674 -164447 33577 83953 -594 31837 147711 -32642 73316 -69820 112988 110103 -96304 52854 83927 -56751 125587 -236916 -82071 -183209 -15419 22103 49824 170958 -170310 7075 13043 64787 -143600 -71207 -24593 25722 -77439 158808 -10018 -41952 -53164 5828 -92346 -32170 75613 -147621 -96501 -23890 35927 -207494 -89329 -11278 64254 40783 -47992 107441 205734 -29504 -28548 78256 75056 171313 176804 -20565 -98613 33645 -4420 -270696 195181 157064 67051 -29848 110271 -5648 100909 -20398 95602 97377 83879 -14761 195918 -3156 49883 -231424 -52818 136904 76310 80710 158302 102014 -63056 -26581 115118 32981 49821 2692 -233452 14168 -104479 153528 53835 81144 3986 -4872 -22151 -63032 -77073 -203281 138509 44601 29218 -28344 59602 30727 -34259 19052 107471 95591 -74540 -85209 -77089 26136 -5751 -78788 44960 -46398 12292 
-19668 -106126 92016 -18510 39536 -45552 -60085 -137318 -214239 31977 23454 -41961 -57606 -13630 -43167 -65393 -127387 66687 -24341 71273 -52428 -38803 -77628 89402 233285 -96798 -72132 -118924 15704 57216 113413 211042 -33795 12436 -22024 26441 4617 160575 204754 -81105 -26632 -35202 145520 -195168 -40743 27222 74885 17784 -96126 5308 -78237 50471 -917 95778 188269 80391 75260 -194443 95873 -162832 -101924 5931 -131975 -62340 17818 46317 -493 -70135 -115986 5245 -108993 168904 132147 -132109 -40069 -76559 19285 19057 -30138 56726 -84907 61838 91539 13356 61793 -88063 62749 -20745 147978 215311 98238 -33819 -87435 -190264 120574 111806 -39081 -89877 -143811 -121973 43878 -65101 -184865 -24506 120021 137263 -199916 95216 -1378 -166698 49369 144678 8107 14033 31760 60343 -119406 -18807 10071 -77785 141031 -157286 -26065 55816 55152 -2379 32282 69806 -58922 86726 -63936 99700 67584 -97988 71414 70838 -101545 150578 -128636 -71250 -171352 -63833 -8214 68507 171503 -181941 11610 70214 -60269 -133569 -201940 -156090 52880 -64573 120947 -33895 -50486 -136644 19894 -132341 -62958 71112 -65003 -102272 51501 93120 -115418 -92782 -37760 45102 9054 -77594 123052 -7725 -68016 -21194 36040 155325 208422 174862 -25742 -105861 112662 41118 -259279 155171 130777 11288 -44335 -39271 1542 96186 10510 27437 84005 169580 75014 31399 -21938 63519 -198156 -57965 69059 39059 55891 150762 42115 -54211 -2218 124278 34348 19855 -32172 -200647 33011 -81751 158432 90279 -6274 -98061 5702 -37384 13342 48322 -201123 142369 85744 48773 -32945 57912 -82112 -52445 13817 26333 138895 -59766 -76227 -71519 -122278 8202 -128438 -100958 -37008 70721 
-6904 -181448 209228 -36453 40764 -103239 -28802 -120087 -237220 15433 18174 -41579 -79467 230612 -95200 60246 -94384 74246 60862 129481 -24947 -59601 19263 215173 194877 -127223 -27389 -92726 -6980 62841 107448 127874 -11685 8808 -64744 75921 -32164 148353 173295 -85004 -63099 24219 131642 -209287 -30850 28847 29956 15812 -44771 -16002 -45539 68680 159963 644 69736 9432 136722 -228317 27309 -151119 -103643 -32040 -131815 -109834 1688 54413 -35347 -89427 -202339 -4796 -128471 111187 174574 -229158 -14971 -86156 -47620 70547 -170010 62424 -97184 60234 -30104 26140 51860 -50242 34003 -55680 114952 144438 87173 -33432 -89487 -164604 26411 106130 -61508 -96685 -88577 -82055 56473 -80528 -173695 -127961 134871 170440 -152375 109040 36040 -109521 50874 79031 -74242 -2252 54184 71698 3087 71858 77879 -9422 86394 -102433 74930 39908 35623 38798 33029 -84413 -74555 91037 -39853 112875 41519 -89873 93113 74968 97960 92995 8982 -76890 -21305 -78790 -29903 81726 165244 -44456 10141 101932 -113507 -89171 -119038 -129549 44681 -58020 84865 -38257 -46479 -192366 1189 -135494 -91947 59278 10325 -103311 107727 149479 -1008 -97802 -46973 34107 -28050 -110160 149203 -77880 -19509 -20490 -24462 171321 193624 173280 11555 -89821 152100 97274 -100787 74366 90206 -10177 10089 -136284 44848 70134 -4244 -72485 84789 168226 79768 -124660 -62095 -11741 -183867 -63135 -53258 88913 -1277 119970 -41875 -58730 20843 124850 35241 -16892 45686 -206198 72725 -47588 150662 14074 -125473 -43966 58084 -37376 45659 150044 -189900 134938 145577 90646 4945 35674 -69171 -48041 -2746 -38212 134492 -51413 47639 -59898 -122252 15022 -114456 -216288 -9214 129270 
--16197 -133170 157126 5299 45571 -127644 39452 -86508 -205631 331 3406 -59764 -94015 261514 -101263 116961 -60753 99820 150355 153613 -45883 -94901 68395 216980 112543 -119628 -55494 -64146 -30776 36645 107358 1401 32515 14614 -60540 90666 -67139 57614 152880 -105609 -95324 62657 85075 -205939 -54047 20948 -22195 76624 47702 -2427 -12785 34673 143570 -52424 -71312 -69433 129717 -244783 -51958 -146276 -105462 -20218 -118973 -136091 -86595 90826 -80495 -90789 -213534 -5105 -120812 -23991 194522 -263224 8112 -124403 57207 98585 -214259 48348 -96088 16380 -5345 36313 53821 7621 17549 -88190 68435 50907 3066 -55078 -110074 -46293 -97348 114082 -46702 11259 -28483 -71985 26705 -60830 -123855 -208598 135405 187788 -119763 83525 15234 39128 51741 -67296 -151413 -16635 74465 72007 132337 116404 58460 -3544 38498 -142704 40271 -4405 -5832 25377 33781 -173738 -88808 87680 -40014 124462 -21969 -84362 63849 84764 260784 44697 72551 -79701 65531 -142331 -34088 85922 148591 65696 20104 181009 -64262 -12444 92278 -549 4413 -64559 43074 -58317 -49795 -213579 3120 -116586 -125334 77916 98935 -96003 13510 194672 71845 -93310 -61905 10332 -57631 -69745 168406 71178 10065 -35850 -73746 220673 114376 170123 -2689 -79816 128874 121424 59214 -8922 49275 46190 52459 -92293 104487 41976 -39609 -81066 74131 124304 -10132 -90137 -65727 -96442 -176727 -68271 -96544 107087 -88706 140786 -143501 -81044 97807 123364 35148 -61877 95588 -207180 78051 -24559 132175 -43086 -117286 113617 117866 -48263 82302 254520 -174686 65539 172365 109400 49223 62954 38467 -46382 3429 -51969 76736 -97810 207119 -49242 36512 14717 -50898 -217449 -2187 174630 
--17163 -9368 42791 36690 45644 -113568 129626 -20239 -183536 18722 -9447 -57143 -94457 -22749 -39197 38402 -32878 76025 151776 107304 -87099 -108519 123231 120071 -274 -153844 -28215 -33079 -69378 62258 103172 -111950 94938 -3060 -9113 74122 -48731 20147 145140 -108701 -86407 59573 3669 -217331 -80020 16285 -12224 146828 93719 11512 -31684 31942 -14455 90876 -55985 -46866 115107 -240516 -104786 -130825 -105771 -66422 -112021 -117797 -150766 115323 -107334 -90551 -163637 7900 -137315 -123229 213637 -281887 7829 -70192 210927 92458 -142556 95349 -90780 -6619 142011 35486 -12452 68432 12266 -110981 21654 -58018 -105117 -60412 -91537 25819 -115977 108684 -103900 141542 -14431 -44650 -11874 -98269 -98890 -183982 120715 172689 -79850 18513 84098 141454 55584 -156023 -191125 101 55807 15991 174002 114173 134236 25684 2644 -140503 -84749 7413 -49389 25105 34463 -121403 -95133 72684 -38277 99339 -53771 -71696 29969 95469 203925 -39291 11134 -67505 189616 -161732 -17675 83393 122894 37767 8439 225117 82254 73792 114819 106818 -51732 -48886 8105 -95881 -42199 -142657 -8025 -95064 -107730 73660 11561 -91480 -74066 237039 85334 -84764 -63425 19391 -73450 1179 180864 252848 70229 -16657 -91008 195243 2902 171556 -13630 -83801 43962 149091 93982 -57776 26279 113473 55069 74412 151917 50407 -16439 7194 76561 78398 -152305 110767 -31015 -192767 -131598 -73306 3615 103839 -77148 112755 -159541 -65803 141650 109228 34491 -69917 77967 -176757 108259 6298 107932 -60189 -14459 249135 107062 -47060 64631 255692 -176744 -1335 186905 84962 22150 58774 122301 -49301 -15694 -106472 50150 -101210 189446 -41713 119145 30593 8823 -105424 34063 195297 
--41330 46235 9286 18710 52273 -69227 206116 9399 -140983 47999 -10402 -50057 -67717 -107054 -11787 -89565 7415 96314 46888 -6348 -75341 -90943 69146 -16171 -82739 -151661 -70963 -60618 -126514 19610 103729 -117512 147410 31924 44847 95422 -23958 83050 112055 -118704 -40873 21626 -52725 -209702 -113204 25008 48844 142594 -69 27496 -8666 30398 -143971 207049 51574 41599 70384 -229833 -174124 -103670 -90255 -72671 -88876 -84603 -252917 131722 -125011 -78313 -56677 17770 -124038 -116639 250528 -179625 8546 -107281 219220 39485 374 105445 -98569 -9450 208656 37097 -39801 108934 33762 -81315 -26783 -119822 -223621 -55957 -101269 -49544 -3085 107518 -75341 117205 -43473 -57881 -34971 -91092 -806 -80114 34482 138749 2418 -31902 51991 165118 46883 -63007 -176167 14808 44098 -109541 86299 70312 158339 -26415 27410 -99700 -199503 24457 -86415 -1867 35195 23747 -91033 51636 -22973 105878 -68158 -72475 -26341 114541 -24298 -79860 -145046 -74650 198290 -164743 19556 83648 91822 -95404 10141 249234 120759 155869 -71254 180808 -101190 -69292 -28086 -105516 -38714 -57091 -5744 -66318 -78389 85056 -65678 -88172 -111257 262402 24165 -72022 -100488 2551 -65823 8501 197632 235450 76298 -8164 -65883 116445 -85759 170410 14372 -91980 15154 121098 -16032 -12959 3825 164413 53325 176296 197779 55797 6251 82718 64405 19554 -194904 206054 -17798 -251631 -126228 -78251 125665 143677 -90528 93603 -104685 -55768 189763 96942 35026 -70522 97618 -181565 108821 47718 71951 42571 101614 237521 132677 -57904 -14103 210913 -161608 -95114 173093 44928 26710 80401 99037 -37749 21805 -37639 76767 -125484 41332 -25908 45131 49821 12497 42823 47031 199797 
--48003 -23407 55032 -45679 67216 -6270 250619 -15773 -56665 36871 -18033 -33307 -35509 11190 -40287 -170313 17591 104355 -24788 -73225 -150695 -66795 -64570 -119695 -95922 -153573 -52746 -92778 -133432 -22680 97123 -43488 199685 12515 55822 64383 -33621 171128 73674 -139183 -22277 -43687 -98536 -210792 -93973 -10268 76579 156411 -84105 39774 -20578 13197 -99033 143298 186608 90724 68080 -209280 -178621 -78404 -82091 -65407 -83638 -22785 -229922 93541 -139300 -76721 34829 3169 -123169 -12099 269270 -103943 15395 -94846 68523 -6180 109397 120657 -75283 33931 117531 25427 -103931 118070 70027 -22560 -72289 -131292 -252640 -42146 -92058 -171514 121935 111216 -76349 16676 -99772 -100308 -14274 -33780 104327 28944 39159 73499 68627 -56026 748 49616 34589 74795 -156233 42921 59950 -147994 -57462 -28442 150966 -48898 93959 -83807 -174745 44598 -140335 -61406 35968 133229 -80152 24478 -35491 98656 -58570 -70684 -40868 91049 -102538 -90470 -242190 -72298 59782 -133960 51435 75377 57999 -194285 7392 226284 53481 204820 -227431 48807 -124074 -42915 -57480 -121351 -39942 42421 -18442 -52056 -35143 83943 -138881 -99614 -36721 275302 -77098 -78092 -108078 -28687 -60528 -11937 210068 32387 81085 3942 -11902 75663 -96155 166931 -38435 -90576 30808 30633 -184333 94709 23772 178916 -135 121590 191518 80268 -70567 40125 74638 -52821 -127508 75391 -27528 -221326 -70721 -82975 127351 135645 -42143 120086 33915 -45300 196041 89382 36997 -29066 73615 -170195 121233 89832 37018 104958 63630 107467 209177 -52629 -74421 118596 -167070 -162187 130703 29988 45442 72549 -21191 -16896 32008 56755 145213 -134624 -92961 -25509 -113085 29408 -62665 123451 45004 169479 
--65093 -143895 196148 -53251 85640 73384 255882 -84795 10658 31101 -21045 -5161 360 209103 -105620 -102256 18098 117973 18930 -137173 -88628 -34915 -97790 -113953 -49127 -141437 -100953 -122687 -154310 -31266 97822 85760 223864 42557 22881 66836 -6342 155551 54481 -128996 20495 -78241 -78582 -163896 -41615 -10056 40365 77770 -139652 69726 -35133 9650 87983 -11349 184153 33724 67642 -170754 -171737 -31320 -72894 -74102 -54167 -54158 -128880 88411 -132176 -56690 67933 8983 -113178 116096 215166 -3831 -3245 -76128 -57568 10610 104265 138142 -90223 59671 -22098 38904 -121018 93089 101203 -23971 -110030 -83574 -233957 -35128 -98418 -193360 94346 113644 -43490 -99499 -153896 -114266 10942 -15769 123574 64396 -31842 43418 117204 17558 -10653 -64954 23788 141949 -88279 52681 47607 -89807 -139888 -95242 174689 -127122 158821 -64534 -52433 50163 -165060 -89165 36468 121835 -66151 -11876 -53761 101000 -14110 -59881 -31403 91969 59890 -24961 -188598 -75151 -50218 -118467 92251 60442 24111 -166855 5328 245019 -37647 212277 -132787 -76679 -111189 -45582 -81002 -103246 -39390 92322 -17575 -39538 7288 71879 -177457 -86613 42039 272115 -188364 -76516 -116061 -18458 -37177 -86810 206618 -88834 42761 6230 47082 6873 -44924 166099 -5120 -78973 140032 -11105 -306070 169145 59892 143965 -29581 -14403 175960 109317 -71561 -58133 67963 -110967 9405 -108331 -60101 -140636 -31988 -87491 -11598 110997 -10000 99155 113127 -69839 159082 70451 37730 10399 3639 -146279 151362 123688 -1866 38014 -71848 -51405 204082 -27094 -144993 -8754 -149426 -214734 75007 57344 56778 66686 -89630 -32137 41183 100818 142680 -150440 -88773 -18260 -139979 15509 -111433 83212 87231 119153 
--62050 -179092 145048 -22946 88516 136463 212708 -139377 60920 49274 -21274 35412 41531 233577 -119362 20207 -616 107423 155116 -128137 -104430 -23421 -111005 25147 55975 -107116 -95964 -85055 -181899 -38092 94749 177411 222968 42352 -50375 35270 -356 55529 24110 -147460 90871 -80671 -20978 -152745 -38172 15817 -20356 12425 -94418 63134 -11819 -616 170512 -55463 54770 -57877 103106 -125844 -135026 -6544 -72005 -54338 -47455 -106251 -85974 67324 -59355 -34905 44194 10953 -91931 158023 227157 86103 47920 -83677 -21272 -2227 -15851 126017 -107220 51639 -8973 4279 -190105 40702 136039 -42340 -133742 23811 -167511 -51978 -90618 -81953 -50545 127148 24449 -85016 -164726 -87515 51768 -83853 149158 -62518 -61492 1241 108578 82855 -56212 -166125 35373 35673 -10265 23759 79693 -25275 -104570 -114277 165170 -175294 185087 -53543 55977 32234 -161190 -79744 37432 5132 -39350 -47684 -72667 100017 46757 -65667 -17397 88096 250841 13970 -26021 -67917 -166271 -111371 112605 51294 -8461 -15323 6680 218299 -156527 180236 64293 -145775 -67713 -56996 -93103 -107130 -43967 115046 -9948 -78857 66650 69273 -137691 -96765 76133 249760 -255059 -86971 -140442 -25383 -12365 -101173 208026 31637 15713 14300 83450 -56461 4085 160566 -24186 -57226 120853 1719 -209802 191706 103271 49696 -27235 -144879 203630 100855 -36175 -92587 72333 -130123 69814 -104826 -61259 -75851 -15495 -91788 -106211 58672 68123 97778 87681 -65210 73249 59217 37493 39703 -32803 -135603 152580 149957 -37562 -67680 -125396 -99277 158843 -37750 -151769 -64399 -124144 -243191 3288 97692 90109 67906 -27348 -45715 64440 133735 89448 -104153 42147 -23420 41139 9443 -138993 -52264 109170 66055 
--69725 -90192 129767 -14023 96998 178389 139051 -119523 114193 -5885 -22271 71018 49145 20257 -54671 119785 -30264 113187 176941 -46923 -93632 -39030 -4348 147182 161557 -36001 -59524 -86463 -168215 -61899 92605 210716 197666 39982 -68909 22001 -21356 20126 -390 -147733 134796 -52746 31380 -114922 -102476 30601 -15254 22858 36126 66217 -58723 4790 51584 65218 -54159 -69947 104144 -81866 -90428 28108 -67502 -54567 -34304 -126703 -8965 105502 -54293 -71388 -52051 2726 -73115 100307 157913 123469 20983 -54768 155936 53239 -159858 124759 -110877 6502 127653 -3269 -210747 -21802 143919 -109955 -143727 121227 -41972 -43255 -111401 13783 -129092 116360 13348 40477 -124742 -53316 66833 -83375 124817 -139555 -35842 -15144 211634 99945 -28057 -164545 41141 -119048 76304 16361 84980 34919 33266 -103978 137151 -201591 151642 -48464 43260 -8406 -153567 -76043 38024 -133568 -8545 -88298 -74380 110087 97071 -58557 8312 112621 219806 108880 67064 -61375 -187578 -68492 109112 36000 -30662 76369 1095 202510 -89578 108894 147871 -81687 -11122 -31203 -101793 -95079 -37583 55626 -20423 -127168 74909 70221 -70800 -81881 -1818 213819 -275532 -75768 -129966 -27884 30691 -73174 215784 235221 -2743 13434 81851 -42271 147918 163761 -20180 -59821 185510 -11784 -63117 150763 140513 19415 1482 -110413 160193 92866 -27300 8330 76969 -131390 57997 74143 -60130 33061 12925 -95797 -42913 63393 91453 102207 -94574 -70183 10382 45754 39664 48450 -6637 -139120 121528 168257 -69461 -67863 -57878 13296 175243 -59857 -151858 -78793 -125117 -236933 -34799 106471 69020 98679 77437 -63393 76776 80249 45747 -87436 197015 -24622 119493 -1929 -81665 -187706 103407 6700 
--77232 23510 1585 3235 98896 180564 56506 -72370 85980 23073 -18020 86511 85256 -183878 -11023 62718 -64086 95698 65378 45189 -86103 -70385 61108 226540 226905 -22169 -41742 -63091 -164753 -29915 89841 116829 144038 -1625 -42327 -25639 -14642 86350 -15752 -142615 208388 6131 115615 -105106 -68541 10259 43754 59146 90478 50419 -12453 -29161 -107080 169441 -51981 14313 112244 -28975 -28804 49120 -69109 -27095 -17836 -102319 61234 102284 -3132 -85189 -146368 1842 -66345 -32159 140672 65947 42900 -74219 258111 68481 -220686 95237 -83948 -19913 208425 -22614 -224661 -71829 121858 -114571 -142479 197795 56685 -43644 -96289 -26465 -71088 114337 101514 147007 -65632 -41968 30440 -29101 63605 -204623 -1713 -8677 186101 86420 -32653 -84715 52912 -137658 133770 -26998 74778 82864 153993 -35050 123696 -196581 71946 -73675 -67948 -1046 -134829 -62962 38727 -160968 21688 -125991 -63432 94250 115894 -37619 48652 114104 1661 114931 62109 -65914 -124518 -32757 89815 29460 -48069 13527 5817 151337 57981 25349 18383 84143 35833 -59357 -95849 -61408 -38797 -16213 -27227 -115391 147585 72365 56956 -90460 -71116 168747 -220789 -80597 -142234 -46922 73604 -31196 208066 259592 -38423 29710 41516 14693 204592 160528 -44821 -54238 89865 23610 78714 51232 163506 3092 67484 34314 174397 89996 -16172 86151 72645 -89578 -42159 204357 -53941 76518 37175 -99454 88222 66798 104215 79674 -179218 -70223 -34755 46197 41853 26679 9160 -143986 150341 185113 -94393 21914 55464 182668 108345 -39563 -57100 -64049 -119857 -184287 -44317 77597 138178 74881 130745 -66957 77587 -5506 76893 -63905 221785 -36529 46517 -14223 -24330 -231399 115456 -33672 
--82890 37725 18791 -26129 94710 153720 -27460 -12402 47488 55565 -10237 128139 81265 -3487 -26379 -67099 -100149 105770 -19552 115041 -64072 -94258 100927 182840 228487 24942 -46002 -47746 -127521 -19331 86358 -19177 86462 40699 15250 -30566 -40794 166311 -20162 -137939 261362 45595 141884 -51340 -84121 26437 80551 118242 36935 40798 -43356 -23325 -145767 176579 76103 83841 114697 26453 47596 64472 -81551 8833 8109 -61506 34691 161571 1555 -88299 -209694 296 -65290 -130873 71771 -37850 29698 -81810 127706 68453 -157645 103086 -92296 -9991 124614 -44256 -233358 -94752 107202 -99505 -129393 212012 107420 -50356 -90466 -133810 74531 117185 117908 120080 -16800 -85942 -17421 -49274 -15435 -147032 1652 34522 166392 51641 -32521 48718 45291 -14416 171732 -31768 99421 92951 165065 54385 92393 -179833 17353 -99841 -190390 33035 -107652 -24609 39396 -65360 58165 -161677 -49034 105334 103126 -47172 48161 108932 -113683 115869 -103871 -59674 12831 2796 60976 20012 -55852 -118549 11299 57318 142916 -59755 -179744 190441 54281 -38350 -83810 -52832 -40266 -134386 -34637 -131887 127461 71026 68867 -94711 -122470 117788 -106180 -92269 -133125 -32718 109912 -4223 191162 63395 -20706 28735 -18494 50842 192831 158514 -47293 -55242 37991 84291 72820 -37541 162983 41743 57726 144986 60819 42178 -11070 49262 86651 -32702 -142114 107258 -60421 52299 88553 -102750 148224 89278 17056 72966 -195559 -69597 -14718 40610 41120 -10035 51071 -94573 117095 200046 -108611 109400 98031 265774 30699 -24322 21239 51377 -103665 -103519 -56307 38432 90099 67272 48871 -52698 97880 -77551 135193 -60216 67199 -45199 -137111 -15739 15968 -151530 108753 -66123 
--89674 -65952 120517 -26919 84794 94763 -57929 26592 2065 48233 -2872 149614 68924 206903 -94680 -165612 -124799 102602 34028 152183 -53484 -103625 88662 47043 160941 82283 -52046 -17620 -117444 32368 84164 -126052 28476 23072 54338 -72080 -40961 154722 -1655 -139159 319484 68745 121359 -43764 -50323 1584 47556 183969 -140181 11963 -28172 -35561 -946 10695 192012 65580 58009 65921 104765 83303 -96519 18962 22237 -18103 -15996 128029 -13096 -111399 -201464 -1236 -51014 -109331 43760 -148222 62503 -112920 -12681 37940 -3087 66396 -83304 40557 -16102 -67103 -222180 -82362 69709 -29631 -102510 168521 63232 -37124 -118412 -202134 122375 114388 135698 47 -10300 -106412 -45785 -35596 -101065 -73529 67464 78071 113489 -7360 51744 161783 66050 111661 170886 11849 122951 6956 59520 112611 83018 -178301 -32188 -187970 -162891 52385 -80027 26418 40212 74034 91634 -194924 -44325 100096 62731 -41616 34482 116805 15495 92436 -215792 -61595 132169 -14317 14349 23584 -53505 -197837 11664 31284 47989 -121496 -183766 137614 36802 -51999 -62629 -43305 -28601 -198832 -23921 -140328 170611 72081 21005 -94815 -31789 59279 -7421 -101279 -119644 -40794 146855 -40464 164886 -93051 -14441 26675 -69586 122013 121036 155264 -42977 -35269 17840 95085 -93267 -32264 145235 133087 31007 150877 48473 44415 -6101 -56365 76418 56789 -183641 -84586 -50072 2621 80787 -105652 47817 79909 -23906 58146 -130212 -54283 -34106 51300 44269 -42369 123377 -96016 92608 211490 -111796 54931 -13356 196666 2199 -54722 102074 155774 -83400 5806 -52421 31801 111207 22030 -65140 -56591 91797 -135194 155753 -60532 -81051 -58422 -114474 -35194 -36251 -2798 122992 -72616 
--81010 -169378 195305 -27604 71271 17174 -49698 -16194 -77316 58490 9543 177673 26631 236470 -108203 -127292 -146095 62000 125582 100874 -75753 -85523 10027 -90809 42877 112099 -70018 -16190 -59445 45556 81757 -124876 -19656 41918 49271 -54682 -75484 61175 13801 -141609 269843 35329 110639 -9041 -86012 45054 -11586 140167 -162620 11549 -35781 -58191 155206 -59430 188639 -22655 65316 108743 155159 96904 -99484 49519 34208 -33462 -133141 85700 -36112 -95419 -136617 4099 -52403 830 3959 -211064 47329 -67295 -58243 40821 101383 50022 -83140 63939 -20448 -93722 -192005 -40113 17106 -14548 -63752 91893 -46592 -40757 -111607 -114340 46232 121901 73546 -104645 -60967 -104677 -20564 -38644 -137756 19668 126257 137903 107086 -46460 44073 167994 42716 117535 127673 19371 106940 -83226 -78700 108938 37327 -63446 28374 -114215 -63494 24557 -31844 40219 40826 148254 126750 -220972 -48064 110329 870 -27666 49974 125190 229566 24916 -227754 -61768 206970 -23769 -16123 36821 -43203 -126484 21828 7487 -30138 -143975 24062 -24689 -9574 -41696 -34802 -40278 -37264 -221861 -19285 -115555 192966 79469 -57688 -109956 28501 6199 69950 -98810 -122540 -30133 169042 -45536 154859 7086 69875 27058 -91419 177778 33346 155342 -45459 -54083 87813 125502 -239636 41299 108876 175877 7615 26688 29929 49105 -46121 -91435 85655 120171 -124231 -123692 -84734 -73801 104348 -108172 -75697 93219 -39305 56595 46768 -72158 5588 60976 44057 -70363 82010 -93642 67232 189357 -105761 -32657 -106347 28543 -33108 -24845 85025 239132 -75919 92215 3155 66012 83418 61792 -88274 -24560 113270 -83938 89856 -88938 -104865 -65349 33688 -1012 -116972 110724 111863 -47756 
--84776 -160167 110984 -11821 63902 -49255 -4903 -100779 -135414 41626 20158 172969 -8140 5592 -62100 -12152 -140335 93831 181948 24909 -5132 -58946 -71946 -133353 -45257 164176 -82566 -66473 -33283 82229 84136 -16390 -36116 37401 -10730 -74579 -94914 19134 46758 -123098 237559 -18984 19534 692 -73450 46573 -22239 81434 -112767 -22614 -48204 -73597 129058 6404 74968 -75813 88040 135708 168350 93726 -97000 58982 48584 -105776 -198994 32703 -54656 -72370 -25981 193 -50371 132137 -40618 -266721 63265 -92046 73841 9422 110391 32083 -102866 39478 106552 -109530 -126408 21154 11295 -79846 -16741 -25989 -154192 -6344 -102221 -4504 -102858 118177 86226 -69214 -123564 -71860 26609 -79619 -192972 39613 122666 155873 4156 -14037 77940 40695 39398 -50621 53806 41512 143937 -154754 -140627 64903 44930 -25975 100329 -129801 52450 -255 26823 40579 41648 85752 159264 -237980 -35296 91357 -43462 -23100 12086 60736 247320 -15945 -98074 -60584 141732 -51330 -34685 41697 -25400 9880 10821 -28914 -122644 -124338 121429 -153852 -66207 -52455 -4099 3007 -28079 -159493 -18719 -59033 161696 68670 -153255 -94832 77190 -41038 79491 -96172 -127128 -10869 191852 -90469 131637 216223 79089 36465 -70404 219442 -83969 151251 -41152 -67893 157209 117354 -307783 139265 60327 168873 -17520 -126871 -48472 79318 -44789 -9486 100125 163790 13014 38379 -63873 -151650 85402 -110225 -95082 127603 -115313 49865 87349 -73332 115891 76518 45599 -64821 82280 -63799 33442 174107 -86167 -32827 -142744 -85274 -55283 -35310 46155 289467 -63308 131982 48405 103102 112476 33553 7478 -27572 100459 -42443 49551 -103211 32235 -76716 114825 -1515 -136478 110342 116170 -16202 
--72955 -45057 -2973 9843 39977 -107895 68571 -136676 -215312 43065 26076 174837 -50863 -160997 -5398 105152 -123430 65468 66209 -54084 -35038 -32403 -97219 -43601 -98080 175521 -112175 -110339 8600 49099 79980 101490 -29648 48113 -67584 -28604 -24480 84099 59647 -120524 184682 -71410 -41768 14981 -88967 25702 31810 33095 15795 -808 -28666 -91565 -19643 145825 -56690 -29211 105932 151810 153198 86361 -103664 100128 65411 -151836 -218504 68469 -125594 -43629 44254 13174 -65601 162714 -98124 -248230 51703 -84025 187721 3958 -6375 29717 -89665 2256 209496 -157329 -104984 77606 5354 -104056 32213 -103868 -240606 -54315 -111237 8893 -121330 119611 55004 53016 -162351 -41815 37100 -65145 -176879 -64825 155875 186583 -72739 49468 60538 -101471 45258 -134710 -24859 31054 121729 -107133 -78912 -6635 -12404 -38101 142174 -150218 58752 -17208 48602 14536 42328 -61666 183114 -249595 -40362 93583 -59082 -19455 -40882 102815 51042 -62048 34956 -51085 39646 -88097 -38461 57511 3431 65381 15945 -94515 -91216 -66025 9268 -133113 -110332 -47001 33286 21345 -33144 -59633 -29138 -91883 144488 64189 -167457 -82993 -8188 -79836 22727 -88799 -105773 -4893 181867 -61198 104650 267875 119630 14708 -18629 189896 -118080 154832 -70393 -42367 145245 61925 -180972 205700 25491 119333 -33117 -136889 -34560 94734 -60656 77527 114161 152852 82572 197673 -34525 -231589 67828 -111822 25229 140013 -74622 54346 1482 -73676 145685 87495 46424 -47276 36191 -52097 22560 160185 -61065 27464 -33044 -66642 -43304 -32714 -4838 206289 -60367 129896 99012 104494 112462 28009 114013 -27923 119110 55459 60312 -93941 211021 -82915 49704 13665 -70057 -1549 101219 38213 
--85836 43879 -18276 -8635 41229 -126541 165113 -114887 -221692 18637 32489 157155 -96767 -7477 -33463 92253 -90331 72253 -9652 -127502 -67306 -28148 -41476 112059 -83710 219784 -106934 -98468 30422 67799 78224 207544 -1514 15561 -66933 -18597 -11754 176004 91567 -117430 152866 -87297 -107129 -14864 -53631 27636 77116 22087 74135 -14511 -48834 -64705 -159912 174282 -49452 68739 83606 152977 120827 58308 -98381 88107 80154 -152540 -225445 80697 -127459 -42364 78103 12710 -82231 75155 -124207 -142481 77179 -99863 208579 16989 -147394 -4145 -86407 -15749 142016 -173877 -63779 113105 28359 -99617 78788 -145613 -253606 -21621 -100619 -95611 -22279 119732 25136 140940 -156501 -51490 50287 -71035 -152840 -164817 142984 178604 -86331 104654 18884 -168279 29438 -103454 -107666 -1225 138651 -63814 59315 -81457 -78192 44270 158290 -87791 -81026 48005 77278 -1407 42930 -156349 203934 -251055 -70427 88813 -79062 -14243 -44316 91535 -117098 -71915 66250 -56052 -96485 -111479 -6701 63823 35554 -10852 17280 -135053 32879 13319 -156359 8408 -124209 -58699 70718 1134 -28847 15736 -24845 -63090 103047 70570 -128992 -94303 -35304 -96541 -67822 -100611 -77217 -2724 167651 -4089 86345 95311 71130 32597 40819 189131 -29578 148495 -59846 -48502 82022 30864 -18016 192588 15216 49373 19739 11142 -79325 110697 -59070 52290 117140 104817 50976 133195 -44903 -201583 93786 -112969 134575 100864 -54424 45145 -76482 -79455 187240 98428 47955 2881 23054 -4707 1850 130630 -28968 97484 94718 83425 -78761 -39121 -82586 104216 -41180 125273 152205 68818 131041 -29187 114608 -68527 118245 138863 125712 -102040 173143 -78993 -104990 27968 -23574 -148829 81344 86906 
--78503 9729 88652 -34979 35759 -112085 228890 -62340 -238595 -8199 34968 140735 -78965 165101 -86932 -31531 -59974 51326 -8584 -126454 -102539 -44537 29573 208728 16428 196061 -57779 -52208 42957 71072 77271 201006 49116 18864 -24453 7538 -28642 158183 108001 -99134 75674 -53150 -75648 40272 -45241 6508 54011 68098 63561 -11236 -33219 -86246 -97867 55472 69692 92418 108464 139944 56852 41549 -90730 94817 92581 -55095 -155434 149375 -149501 -59343 15427 10043 -93627 -47471 -129514 -92143 95886 -92640 41575 47925 -214534 904 -96682 3898 -3560 -184401 -320 116360 69172 -91598 122567 -97906 -213075 -39243 -111145 -204421 89558 115011 -47267 108538 -99864 -87100 33425 -78064 -86882 -184251 67706 127260 -141750 117330 -38626 -148748 33285 52476 -159341 -29159 139934 18562 169288 -119139 -64898 -14624 145550 -62460 -193899 40163 95599 -42798 43783 -129587 216217 -241846 -61901 86133 -52116 -17180 -18183 92879 -6934 -55354 -43076 -51864 -195650 -170833 25564 72275 66995 -145612 15253 -115822 122924 103356 -200365 128490 -102264 -44027 113964 37406 -30838 101968 -28032 -90562 75396 71947 -21730 -101778 -98945 -102001 -177340 -101345 -73175 7183 138471 -19291 55274 -82137 -6464 26287 80810 108012 35264 145428 -100136 -16197 35877 -622 127712 97263 26547 12521 52820 143014 -33987 105188 -99990 -38701 148039 54171 -75492 -57766 -45992 -159959 49838 -113625 101718 85595 -23035 20060 -205693 -52868 184739 113761 47915 39405 -12516 -8940 -27909 102877 9021 74446 81548 226852 -50309 -51258 -155268 10687 -32123 62063 181486 33943 113614 9623 6914 -75344 119300 103204 149124 -137691 61753 -81125 -144987 21488 31801 -230825 76556 139539 
--65702 -107502 136585 -7601 40782 -64964 254526 -2575 -187956 48391 34492 112432 -83215 195335 -112460 -158398 -22722 40973 158794 -65353 -115864 -74493 100882 230462 121498 238894 -57305 -51992 21533 84236 71371 132715 106874 13285 35863 24968 5094 66848 148484 -90692 66760 -19360 -43347 8363 -72458 18351 -3943 123473 -28311 35818 -44321 -72660 84398 -61180 216458 11085 77930 118784 -11126 20849 -74153 134627 104154 -14825 -34095 154435 -114729 -65964 -83449 2760 -114211 -129287 -140744 -20938 59654 -95919 -73091 80671 -160961 31969 -74838 46758 -28216 -205001 19660 83250 103284 -23629 154163 -17334 -108701 -43570 -114023 -151974 92347 122111 -77321 -21821 -39405 -126526 -14306 -77744 842 -169962 32778 90670 -164445 72033 -80473 -34617 27955 140138 -188139 -56564 168705 90133 152429 -99920 -70089 -75360 97903 -25564 -190394 39777 115516 -61489 44258 8757 222440 -227876 -71106 95561 10057 4156 -8245 99036 218607 18168 -203994 -47215 -164240 -174327 62718 92046 99993 -199486 14101 -91578 62742 172326 -24977 159579 -53312 -23689 153037 15373 -26583 127692 -26640 -111634 15264 76842 39019 -111689 -54617 -88942 -262937 -88896 -59632 25988 117072 -20072 17498 -23894 -12168 11228 83166 7978 134749 144018 -66375 -17576 44716 -26495 52919 18688 48897 7400 65647 165121 -35585 82386 -43679 -87501 118269 -22037 -138492 -131290 -61830 -65517 11526 -113833 -30134 65866 38265 35506 -208634 -61674 166021 122596 48145 59942 -14022 15863 -62877 75811 46726 -42112 -68801 255583 -58515 -44912 -158979 -69743 -22632 -35152 180885 36896 128133 -7156 -92779 -55097 128219 113281 109945 -158768 -95767 -71947 4306 14880 -24909 -189008 38191 176026 
--63408 -179044 158656 -29147 44816 3018 243903 29778 -138805 55193 31485 76554 -57647 18471 -88807 -150143 8508 29880 156924 33447 -93887 -96437 102757 119279 208455 231311 -24078 -42494 26707 63260 69543 -36554 169509 13700 62727 47752 -29650 18367 165620 -78478 -5274 39758 26594 13193 -62338 24568 -27397 176011 -150686 56872 -54589 -65310 182232 9259 186824 -62720 63667 82072 -83047 -25877 -66698 110380 107353 -19709 29824 76542 -95081 -81285 -180252 7769 -124920 -98547 -138200 85116 66539 -85237 17039 54261 -23740 62532 -72453 55379 94743 -212739 49498 28098 117606 -11854 181382 90438 5231 -48543 -111097 -38296 -42318 114377 -111728 -94825 -8948 -101285 -52877 -67740 79433 -61916 -9844 42274 -187274 18313 -46388 86446 24677 64335 -179847 4951 133196 72676 33079 -49715 -134606 -111896 25029 -57548 -77447 9333 99221 -94810 45214 119969 219329 -202287 -58336 73495 58844 -510 22220 115657 251079 87540 -224341 -54114 -64727 -159534 96392 91354 129753 -95850 12068 -60354 -32919 211817 130644 57557 2960 -66215 183971 -15741 -27670 58828 -31832 -135328 -10863 79027 44399 -108420 26947 -63551 -274634 -86220 -32756 45140 74467 -49076 -13004 186658 -64875 18593 47238 -15685 222502 142458 -100659 -29664 78780 -21910 -81080 -34303 87202 50512 30908 44156 4359 61123 -17595 -24156 138265 -84500 -170125 4214 -59496 -1538 -6141 -113501 -98797 71787 99127 18429 -118024 -62111 78845 119617 48483 47469 36306 10700 -73238 25646 81839 -79359 -136143 137033 5551 -53529 -96374 -120302 -11966 -127178 167037 74525 124657 -19547 -60766 -42612 121094 -20295 49101 -77293 -78950 -61466 104703 20412 -107075 -53939 32698 191506 
--58769 -123723 34302 -32973 67637 81181 187744 -27517 -39224 66320 22976 42669 -25697 -190629 -18775 -38360 14005 20836 65479 127808 -82432 -107006 22902 -26285 230574 225733 -36726 -41897 2035 3551 67487 -129009 207437 20404 26241 80055 -36834 78180 189385 -65584 -60981 68180 94664 11093 -65959 54882 23301 182451 -151524 77225 -71713 -62125 73441 129034 42176 -51908 50027 37499 -144678 -62808 -69273 104283 121642 -115514 55059 62737 -64141 -89586 -227411 -6530 -131414 17772 -120023 132169 77152 -83096 167607 47202 98849 42605 -113506 31239 208648 -221423 76242 -32529 128523 -42526 189715 177706 83282 -54440 -114988 26273 -105980 114646 -71598 -69180 -25607 -63345 -4710 -67762 140067 30462 -57706 16290 -114827 -38173 -31871 157520 49040 -96885 -140567 47569 132701 23077 -103448 35293 -119854 -171514 -4766 -76381 47393 -21385 67156 -100711 45940 123088 208168 -170216 -63419 87594 93872 2105 59271 134328 83667 110364 -144169 -40711 59255 -107322 109094 88106 152575 49116 7216 9760 -124084 202065 78802 -114513 43940 -41929 212359 -19170 -28441 -14130 -42081 -140308 -74105 74066 1429 -97262 86965 -23663 -203396 -90623 -22406 50435 24847 -99038 -15921 277737 -33718 11171 -11388 -80256 201976 141131 -98195 -8359 111402 33014 -249587 369 132120 132836 -11574 -101215 -989 50063 -38762 80577 134053 -136212 -102454 183988 -34239 61255 -7758 -112676 -25457 85343 86200 19644 33402 -60999 49855 121461 49666 15538 61917 35620 -99964 -5753 112874 10976 -107184 -25691 23848 -41223 -40021 -59273 5069 -200110 112983 106975 106981 -40681 56794 -35955 116925 -66274 60760 -96433 46658 -46626 42607 11195 -136025 82601 10651 185395 
--49755 -3033 -7930 -22687 70319 144801 108667 -102196 32042 60543 25074 5002 -8878 -32463 -15384 92867 15989 544 28315 143913 -87310 -83224 -60950 -114652 203334 155393 -43053 -50250 -25777 33742 65358 -116271 223863 -7950 -34799 58269 -48601 166816 185597 -58663 -70651 52215 134309 -37219 -87964 28775 73141 105870 -8580 63039 -69082 -57341 -119224 181047 -67066 30822 98693 -10603 -160383 -75146 -75356 84103 123293 -154338 21320 57625 -12688 -106303 -202069 13427 -138172 140111 -83073 73756 64847 -89822 228681 -8475 109402 78173 -92307 -12618 157262 -237627 56193 -78928 131617 -108195 187013 218077 89208 -55783 -126108 -72026 -96838 114677 -96486 56730 -84855 -41287 22520 -33706 127995 30846 -59385 -19990 -94538 -36590 -6330 128576 63076 -144870 -58016 50263 144480 -85240 -140542 93241 -133988 -211226 44900 -83987 63149 20310 32726 -66479 46488 30349 191914 -135498 -43642 85843 111165 8938 75111 123568 -103032 127621 16308 -39867 150534 -105186 108729 69292 169987 75059 13195 60343 -92814 159847 -101865 -131224 53152 -42619 232862 -38103 -30878 -89384 -37040 -132348 -70833 64674 -102527 -85430 29621 25028 -106698 -88796 -2082 74782 -21062 -99942 -52148 119743 -3387 2334 -65500 -30051 128001 135161 -124915 -10222 150911 112330 -222481 55170 155637 179321 -26907 -128273 46497 41800 -22758 69823 130617 -124831 24537 166503 -11337 74672 -68291 -111422 99206 59831 23900 2967 111480 -50159 -16879 112141 49590 -38305 116269 67650 -108913 -41306 137798 119667 50936 -97955 85247 -29475 43290 32310 13758 -254773 53720 99894 74059 -6959 135312 -28965 116834 -104315 124153 -86459 189543 -39899 -100317 -6111 -77454 124379 -12896 155709 
--37870 46635 44596 -16746 78747 180477 29571 -137069 81330 38152 15217 -11610 34713 172759 -77629 101623 -2489 -6851 13582 121617 -70730 -59839 -95170 -104507 98096 205936 -67272 -100226 -69597 -10727 63939 2875 210489 26889 -75581 60587 -47674 157651 206458 -50127 -81522 -548 151424 -41670 -69044 35129 56620 41408 70311 58398 -77057 -68262 -126471 48092 -50612 99193 121468 -64730 -179089 -117915 -78045 83379 124032 -131281 -39948 62957 4786 -76317 -113983 1881 -126972 166958 -33318 -14249 61019 -74761 123505 13242 3875 82539 -88090 -11176 5822 -211596 32090 -95561 106955 -130322 166760 190924 46979 -57681 -122198 -184216 68232 107933 -98860 153863 -141398 -55235 57293 -67946 125118 -62936 -31745 -17530 -81536 5287 33592 9305 28969 -45414 23379 50338 127572 -134571 -57560 128387 -108134 -186030 82562 -101008 -53284 47462 2549 -21498 47354 -112739 168933 -97603 -50798 94433 104261 20996 61565 92492 -34211 87268 81430 -31545 165977 -95998 79780 53811 174665 -50256 11828 76494 53601 83665 -190843 -71289 26891 -49506 243917 -62619 -19527 -197454 -34361 -81331 -114745 68346 -131107 -96910 -71444 82296 963 -83160 -1426 76767 -45415 -57021 -63465 -58143 62586 -11773 -89567 41107 -4528 135880 -96005 -6721 107069 127695 -169878 155629 168364 175360 -16711 -33644 86902 53967 -43942 -42988 136157 -113606 87776 -24678 3580 19588 -100713 -109639 136567 114985 -29247 -5663 50896 -57764 -41216 105024 47758 -69142 84775 29643 -99604 -70269 154342 55265 116359 -10484 122592 -27085 94026 160545 24278 -252287 15651 59219 90444 -4338 77436 -45952 96895 -76097 162283 -69285 210972 -29689 -143297 -12764 -27411 45297 -8384 109076 
--27464 -28897 157824 3142 99997 185982 -37455 -120709 109888 19199 -2072 -29189 73683 226762 -110517 4475 -34184 -32955 115898 36336 -51313 -30894 -76375 45042 -10078 135071 -74393 -134211 -112376 -17210 60989 132808 181989 27208 -57215 95077 -35591 70099 199025 -24485 -42251 -50405 120846 -72522 -50149 47397 -236 -463 71401 56961 -74720 -61565 6914 -32824 107134 43444 112738 -117066 -157008 -137732 -91154 58875 132557 -51203 -151933 117427 -19010 -93226 1551 9565 -119935 73539 20534 -137908 52726 -89567 -50489 29141 -133327 87431 -93221 14413 -39340 -216995 -36032 -76263 62452 -65462 135423 119731 -66516 -20859 -115061 -174339 96970 111871 -31671 107752 -164243 -101707 51389 -56856 82302 -180794 6302 18499 -6206 62600 39939 -112210 37971 115973 103043 -5022 131554 -91389 88329 80017 -113795 -229561 148670 -122166 -198265 51893 -32651 -4071 48063 -163459 139149 -59318 -38569 89646 58312 22330 40753 65587 189833 38641 3238 -30826 114020 -30814 40677 47047 168475 -171517 11469 152769 129378 -4741 -48056 88280 -24283 -15539 253364 -73659 -15416 -234015 -39618 -77916 -103895 66868 -164214 -97416 -95175 137106 68944 -100193 9063 82227 -66828 -35379 -85552 -49411 101403 -8605 -73044 131591 -80586 135794 -107804 6049 69416 129243 12303 197024 159742 96645 30230 117349 162706 80007 -72698 -93882 121494 -32983 39079 -140838 -70179 -82271 -137660 -107459 27620 122476 -54367 13285 -88905 -45931 -32065 82532 48367 -67533 42280 55468 -102701 -95742 156737 -47812 21223 153535 118146 -19508 98794 270314 21027 -206311 -17815 30724 56883 -56271 -39722 -48296 92177 -10800 109007 -60398 43891 -26004 -2019 -7959 8336 -102856 -48285 54773 
-4167 -148611 168407 14256 98408 146953 -64444 -60563 63679 39007 -7923 -54990 90428 -2293 -84924 -131943 -68439 -30627 155161 -67291 -35203 -31057 -15862 161281 -85088 81063 -63540 -109361 -128671 -26497 61437 219347 127557 -7708 4841 49308 -15268 23163 179171 -28681 -22521 -80413 49245 -102982 -84912 -6929 -25247 50454 -31677 39487 -62074 -50264 147895 -21664 217178 -53481 98001 -163498 -124569 -157267 -105864 27317 130845 -33303 -260331 161186 -31810 -64605 68873 7522 -112231 -55467 41141 -206619 73471 -60551 -43353 69195 -213756 110846 -94127 54328 79498 -199786 -62597 -28435 26304 -22466 98297 3123 -188492 -29698 -113351 -67718 62739 107326 -26372 -39286 -131053 -112330 28732 -29008 -13180 -242866 73126 52863 30260 97915 61033 -153313 34897 133590 163085 -26207 146196 -56586 175796 1673 -83380 -132968 157791 -135055 -187744 17965 -84715 22415 48713 -88252 105281 -19630 -32662 96460 -4384 33159 -5852 67015 268742 -24601 -169375 -23299 -42703 4125 5668 43745 154748 -182324 3544 204168 109274 -80312 113006 143065 -79802 -38812 244308 -109446 -23590 -180018 -25432 -72295 -83346 73541 -105113 -91499 -63560 189428 85339 -99778 19028 95744 -77896 15799 -110236 157689 92346 -14089 -23890 172687 -98189 132275 -136433 -182 40742 116888 108700 126268 117593 44627 61726 172392 175091 105606 -41698 -30748 113897 12240 -63396 -20586 -59235 -138166 -164194 -104832 -93639 154973 -98407 -12323 -188011 -56959 1095 72954 47121 -50329 11680 69569 -83114 -105029 149371 -70727 -114713 262726 205024 -40302 49655 241783 47564 -129185 -57751 43672 34276 -36914 -89175 -61505 73635 77596 50961 -34039 -136539 -22008 129334 -6428 16410 -216566 -68384 -10030 
-5137 -177865 85132 -46222 100309 87906 -46636 -12648 33978 67786 -16179 -59898 84798 -140639 -23532 -159916 -108616 -44097 75457 -155397 -30358 -51476 58378 227201 -83107 62349 -81706 -37815 -157370 -20003 56520 195992 65910 6435 58954 49577 -38954 80859 137310 -11934 8697 -71475 -27611 -102950 -34203 42525 11590 128874 -159224 34692 -53796 -6030 139425 100179 215015 -71480 60082 -207413 -56943 -164754 -107393 22537 136829 -55771 -238102 117578 -49567 -37688 60190 9316 -96618 -136135 107089 -281819 60697 -54965 109532 82121 -171462 140150 -105542 55516 195278 -183115 -81719 33452 12229 -32250 46811 -99024 -258742 -7180 -134690 18234 -106827 86873 55796 -116656 -77514 -100871 -20450 -64605 -79796 -186768 109417 101637 123569 113918 77583 -136046 34688 1148 173017 -9785 130820 15562 132876 -68508 -65454 -84537 129425 -151713 -79601 -12236 -125638 41548 49443 64970 72294 15436 -61005 91488 -48477 27996 -28101 98045 102268 -68100 -253731 -18316 -171658 -31106 -17011 36098 136373 -61007 7061 247667 -19738 -135494 132095 117970 -117348 -45303 231471 -104561 -16205 -101921 -59771 -52577 -31322 77508 -16881 -102161 4076 229442 29490 -96903 31911 107524 -65557 -27722 -105801 279795 63525 -28282 34505 217625 -55616 133181 -125363 2062 56818 74486 60409 69507 75093 199 73271 91456 206074 119418 -78658 77867 97676 72328 -172912 163654 -49721 -200602 -173596 -101796 -75108 145526 -86935 -38017 -250566 -58427 83749 61580 47230 -11923 -20748 62420 -50128 -128201 132621 4253 -147146 219891 195568 -30865 -42094 243744 53197 -55597 -68113 83574 54304 -2668 -19159 -46551 69676 106951 47566 -69907 -69314 -23201 43070 5169 -74810 -216409 -88353 -56511 
-14065 -84320 -1120 -25738 92065 12535 18470 1356 -19922 71781 -15164 -49707 75040 -630 -17203 -63364 -137324 -55978 -14002 -152935 -63432 -81230 100999 179331 -39463 -19751 20311 -20882 -185941 -20026 57713 89279 9635 -21600 53338 5731 -23860 154809 127857 -4958 66095 -34693 -69268 -120485 -111525 20022 64694 162584 -159725 -1269 -83852 -18159 -31073 198472 43745 3834 68809 -228584 4387 -165098 -92800 2716 123175 -111863 -206513 85121 -88095 -58272 -2645 19881 -80407 -101116 158813 -257626 87782 -71546 239457 44454 -32755 107524 -96154 23126 172017 -157301 -125787 86261 -1677 -98553 2082 -144652 -241261 -44521 -108138 -29809 -149682 89451 47299 -71436 -28358 -62992 -37247 -72539 -167630 -38238 177648 166630 184861 48069 6116 -11023 49525 -129847 151833 27445 143685 83182 1271 -120666 -31105 -40448 74827 -74138 61224 5890 -153705 12557 50085 148605 33417 43536 -54975 84136 -73525 41237 -45367 132156 -86358 -80019 -191995 -17703 -182240 -19131 -46129 17798 107014 47541 15064 259273 -126718 -139651 -52117 -33368 -121827 -41493 207983 -114838 -24555 25331 -38626 -42047 -46656 71289 44650 -84627 103535 258908 -71233 -100665 33048 108344 -38502 -77907 -126455 148880 41074 -28484 79171 163482 32061 127320 -127598 16454 145638 -993 -111277 -14846 35422 20019 31568 -67218 179059 95779 -55182 81307 92918 138773 -161468 182196 -39210 -229984 -174042 -98369 55980 129923 -92620 -44197 -89987 -62636 119805 48157 43327 25367 -23800 68474 -42398 -135258 105262 110244 -9393 62591 195882 -33202 -116587 161685 48049 3151 -40399 109290 36679 -50993 99990 -36308 70388 102044 118618 -102682 11398 -27153 -111966 -15794 -139470 -104277 -98459 -72853 
-26731 27520 12872 -28497 83962 -58211 102590 -30116 -87413 60804 -20144 -60774 22263 206749 -60885 61842 -148196 -72224 3121 -88336 -63236 -101427 97906 32093 69830 -63514 -23921 -4727 -155107 13631 49550 -53271 -27535 -6227 -4744 -4712 3835 153493 88648 1551 152573 23351 -91066 -160223 -107422 5254 68851 167980 -93790 -3424 -60388 13823 -163279 106346 -48198 85789 82695 -245294 66942 -152204 -93597 -28520 118343 -131856 -149032 72057 -113225 -42329 -119598 2160 -65437 29353 203827 -166990 51042 -77624 183440 24151 91531 89778 -88082 -4333 26210 -147174 -182092 116827 50477 -96766 -43861 -114161 -186478 -49818 -122495 -151626 -52293 86491 80133 64907 -9256 -38037 -17184 -58153 -190250 71629 146696 192957 195818 -6810 -20058 112581 19950 -107236 96216 43443 103317 79371 -120474 -95969 -31760 -30930 -5769 -62131 48313 25712 -156825 -1194 50728 99501 52 68577 -64990 100743 -66065 39901 -19158 101465 -66856 -69018 -60540 -14407 -118140 -77111 -31027 21060 72112 48166 12276 267712 -107016 -111654 -222014 -139852 -91440 -33912 179261 -103547 -16296 96825 -62323 -127179 18794 61806 41780 -76479 7616 273926 -177510 -93900 36749 101998 -8352 -104092 -118414 -50004 -21123 -37394 85166 165635 151462 123394 -119736 28342 147908 -22333 -269370 -54521 14371 97919 -8887 -140938 189625 62252 -43054 -29901 92624 141610 -70481 9149 -10968 -168135 -235806 -94592 145638 118573 -13440 -15411 83539 -65797 157833 44047 44321 50506 40203 87290 -46186 -128824 71970 96569 99733 -73291 137479 -21035 -126836 2447 69450 84178 -15285 92815 18589 -37350 124454 -43467 43076 68707 157778 -118759 183315 -37313 -144610 11657 -124897 44347 -130589 -66827 
-44083 33781 102151 -33379 69947 -111978 178673 -102365 -158574 35837 -21953 -30916 -3057 224441 -106210 117673 -141239 -64381 108365 28656 -70091 -101955 3424 -87715 174489 -62492 -40662 -12443 -173283 -9675 54285 -133123 -43139 9580 -56291 -45831 -381 67543 76035 20378 173541 65248 -52578 -178236 -69261 32303 8942 104593 61833 -12426 -44233 11408 -84512 -7595 -40613 75997 91264 -241014 121461 -150341 -74765 -49900 109405 -118100 -54641 68555 -134908 -74022 -197789 9785 -50355 137856 241063 -80041 64386 -82004 15578 8310 109767 86556 -85414 -7372 -47258 -108608 -216803 111834 73110 -125275 -88750 -37209 -74748 -63180 -105306 -202195 67716 76832 114546 147690 -46506 -55015 27551 -24095 -194207 30157 102704 176756 189101 -40264 -55342 163048 40630 15047 28096 65894 132201 57733 -134939 -43758 6938 14039 -6035 -64591 -55472 43784 -167582 -49243 51608 -35611 -28495 84582 -50156 88532 -32505 54039 10274 79520 155862 9325 68084 -6210 -12461 -84302 -1876 25877 41607 -72846 15830 236177 -8219 -41916 -130640 -121409 -38399 -33708 141919 -79278 -17433 132712 -46260 -139498 50461 67258 -19680 -114310 -88842 270894 -260352 -89409 40480 115853 23201 -82569 -116982 -55258 -51659 -28012 51530 117142 224687 119948 -136209 32613 118121 -30880 -251039 -8035 15875 144806 -34218 -73528 148488 41393 -31176 -89209 85947 139506 45954 -135503 -44867 -86680 -230059 -90549 87550 73249 49574 -41948 74568 -55662 208414 44031 42455 37706 70491 40020 4037 -112307 33210 19711 79831 -70529 138029 -55089 -161825 -98045 78782 156242 50506 52559 -4706 -25964 34166 -36727 32445 -39958 115867 -124221 208876 -42315 14817 6733 -23749 123570 -126232 -47293 
-62366 -71699 196297 12483 53344 -124431 245676 -140603 -240698 15019 -7031 6721 -28295 36231 -104237 31086 -125826 -73481 153660 108314 -101253 -79640 -113515 -113881 232939 -128511 -66607 -100132 -132074 29508 48809 -106081 -27442 -26386 -73477 -58621 -18823 18517 44482 5169 227695 56587 -1749 -192774 -63917 22407 -34431 15002 108331 -15119 -89695 -4252 85282 -49717 101531 -18620 93424 -231462 156578 -103213 -76130 -68623 100659 -59279 35274 100365 -105451 -89978 -229364 566 -55334 160194 254362 16731 72685 -84843 -76164 18896 13088 133593 -111819 36831 67911 -93338 -243516 74750 104738 -39992 -121475 70348 33169 -39021 -126306 -101186 99156 76485 99738 84795 -107951 -99242 58630 -45948 -142463 -105966 69801 158606 184289 -21980 -43801 110145 41585 152037 -52750 48096 69631 -45159 -28885 38237 42658 6049 33099 -26178 -191285 30674 -130273 -67584 52341 -146980 -57144 92736 -56413 97677 22938 42389 46807 118656 279030 67454 41367 -7470 116360 -140127 37825 38212 4233 -179721 11359 201637 136272 41951 53250 11737 15970 -45705 106309 -66900 -12841 108637 -60485 -116435 71960 61583 -84707 -104956 -115404 253887 -270871 -84248 21752 104937 60994 -48435 -109648 141889 -60796 -30597 -5498 31072 188405 116473 -155812 19846 33135 845 -150513 96472 40731 164344 -17069 98238 110930 32910 1382 -35709 94714 105705 75477 -58547 -63884 -13107 -196745 -86191 -53629 84851 44468 -34757 88797 -65908 154305 44663 41811 25231 98039 67610 51431 -96978 -3317 -90910 -17278 51759 105891 -28631 -126097 -108008 93409 139563 110100 29706 2888 -39543 -81236 -40031 33558 -102057 54766 -129596 12903 -56457 104329 44040 16672 81927 -136963 -4172 
-81451 -172213 109148 18230 43885 -110108 256796 -112991 -239250 6319 -21641 26740 -77958 -117430 -36150 -97087 -83990 -74450 132315 138302 -104768 -46320 -98864 -35383 219925 -118051 -65219 -116961 -97433 61353 48463 16359 14049 11706 -25978 -62639 -28373 70729 15528 6873 304154 20760 84811 -194384 -51284 6028 -4852 14483 8810 10341 -35630 16446 164954 87071 214345 -70323 143329 -205865 155496 -81560 -63051 -65468 86313 -15306 75225 127802 -83482 -101048 -170083 -7952 -60539 59911 239632 50750 59770 -77913 53151 39359 -126997 68722 -105863 63464 196194 -70558 -256401 15627 136623 -19611 -139297 175019 110872 -26595 -118469 466 -1707 69074 116411 -42398 -159184 -116340 44792 -51297 -123827 -181800 6868 128763 125247 45505 -524 -20918 43096 95266 -139372 -15159 104507 -105751 110061 105059 86082 -27431 92331 -72212 -204167 -9679 -121306 -95954 53167 -138210 -75934 88184 -51972 92089 60308 51771 63979 81918 153963 121065 -89428 747 177023 -184851 72011 45564 -19868 -164319 20938 140077 104781 127858 142898 109361 49816 -49800 65419 -66649 -10049 7363 -65354 -117043 123340 68497 -140645 -85928 -55226 222103 -212303 -94339 31958 95537 96084 -6688 -110359 284135 -4125 -16866 -61602 -33398 126171 117103 -156613 32898 18601 57044 45880 195969 75082 153293 45504 182483 135467 56628 27855 72019 71759 25562 23330 135178 -81698 71098 -204401 -81560 -104862 63543 119884 -48632 -63339 -62487 84305 58306 42672 -21800 79330 51677 59357 -68206 -37500 -14717 -137147 208581 65426 -23884 -43269 -38128 97403 92136 148877 49711 -35783 -33331 -79519 -45761 8148 -70194 38734 -150440 -85341 -66179 45779 29974 1837 -52408 -153781 53734 
-100165 -155113 49846 -27194 34093 -60377 235470 -34742 -255580 65131 -12048 56524 -101196 -42690 -13881 -178459 -47259 -66177 27772 125909 -101646 -29500 -38801 113826 147786 -115806 -91404 -115276 -74443 50738 44106 146200 67617 18030 29860 -21865 -45149 160827 -9203 16246 291435 -48147 131499 -223504 -53855 33463 63475 34519 -135564 23291 -45502 38950 62493 168877 194038 -29221 77850 -162960 124711 -39962 -59601 -46859 77717 -30844 1796 125937 -54449 -91645 -77969 -8415 -58770 -76150 216555 92653 80528 -71517 196532 68171 -209879 24044 -100757 55923 175229 -37657 -229783 -44099 128610 -36157 -151739 219812 74167 -29731 -123833 2411 -134684 71831 73773 -126746 -164025 -75827 5663 -81935 -14172 -210469 -65371 63091 99990 105421 -17489 -137982 57570 -52262 -175543 -5092 52717 -141456 179920 119094 109098 -90205 166123 -93027 -110572 -5175 -74636 -77041 53710 -16831 -86487 79048 -27466 89691 105519 45539 73352 76009 -62746 130439 -222322 5505 123435 -133014 99443 69331 -42037 -31342 12419 103272 -16779 188202 -23127 159781 49530 -28079 30817 -38059 -12950 -83439 -48300 -66405 175953 70506 -146876 -76584 18978 176771 -116039 -68826 27108 90719 127663 -12271 -88954 182843 58532 -21279 -89716 -10035 25696 117411 -161858 31310 65013 73037 106858 177006 113101 99963 64503 97027 23881 81616 -12819 81377 78302 -71814 -94925 196752 -61694 63328 -189101 -76754 -5510 109139 85108 -24602 -215839 -70155 9158 70090 40267 -50549 115159 66589 58850 -43843 -70390 95063 -70879 269734 -332 -10871 47553 16501 100062 45850 168955 91361 -47819 -21890 28375 -64872 1924 -65929 101165 -122764 -139329 -75552 -106456 34075 -55878 -188374 -150839 110927 
-109624 -39425 -6149 -4316 33123 12049 166426 -344 -204988 48803 -1473 88277 -95524 202116 -54559 -104467 -13933 -74614 24193 18468 -91498 -33023 37047 213931 48972 -183271 -90562 -134038 -33659 62351 43589 224759 125235 11045 59339 -41614 -49312 159145 -7577 11727 263852 -76019 142637 -204911 -95335 21680 73823 99426 -159706 30324 -69482 45957 -108596 123762 43713 60512 47646 -124602 85109 -16287 -72211 -37871 62180 -131018 -85509 129520 -28227 -77774 26368 17752 -72171 -134539 234047 36300 84338 -33258 221399 96234 -175477 63897 -79851 4633 43804 -19525 -205749 -84614 121768 -79852 -141804 207471 6937 -42153 -116394 -116534 -100266 71977 45102 -48188 -116788 -41215 -33011 -75611 18769 -122811 -57276 23975 -8118 110026 13467 -185239 52992 -149743 -191418 -26970 86833 -109078 113241 94362 158963 -147682 173347 -125175 40337 35630 -35664 -73956 54455 107756 -96017 59826 -35111 92357 101165 54036 68399 99769 -91911 107937 -238665 1852 40442 -141244 112588 76076 -54769 63219 15355 92200 -138539 211464 -222563 33392 15231 -49218 -5501 -20065 -17576 -150911 -59277 -61954 175926 82984 -112278 -67156 108879 125965 -9683 -77632 4685 89927 164443 -46865 -70687 -23125 110653 -17212 -77179 40286 -88518 111143 -148327 48353 119223 136002 30249 109878 151871 22736 66206 -28944 -13793 92153 -26615 -19378 64131 -125140 -193808 41327 22009 35632 -143777 -71798 125236 85766 41448 -78276 -160220 -59398 -26327 82565 39600 -64926 23957 36044 99840 -16348 -93830 99291 15814 161454 10991 -26828 72544 125080 108366 -42987 183161 109605 -82057 -20717 124254 -70977 -7138 11325 149987 -98219 53562 -79130 -149276 30475 -142776 -230042 -162288 153192 
-123658 45700 58494 -38981 36786 85014 81401 16382 -160455 29414 11690 110456 -71545 202535 -106163 41694 8700 -73874 110140 -60869 -76335 -55680 108356 218601 -58368 -150277 -55400 -67037 4947 57650 43783 187685 183340 1553 33626 -45029 -33657 68179 -6832 16761 239308 -87970 115723 -211196 -32614 30272 18019 149548 -91692 71045 -37253 56337 -131214 -19484 -66896 87206 59642 -69440 13062 21180 -78447 -57567 52855 -148439 -172694 60028 -19204 -69883 71130 6466 -91037 -81763 201264 -38474 53831 -72646 84811 42394 -51112 30154 -65402 -19283 -46441 -3575 -157314 -93859 96705 -107025 -121484 136451 -97111 -34740 -126787 -200726 17253 70353 -17265 105335 -54847 -52287 -39664 -74130 96582 -23644 -66465 -3706 -42565 70281 26530 -112702 31212 -68132 -162990 4790 74062 5853 -29087 10796 150521 -224034 166115 -151797 68909 55202 21810 -45797 55256 133377 -92048 34119 -40012 83989 81195 59240 16614 101725 129439 59260 -99580 9488 -103356 -127888 102835 72907 -58134 23203 8720 6100 -134930 197546 -146057 -76689 -39296 -20733 -43268 12792 -17625 -215786 -61252 -47026 197814 72573 -16266 -83995 22000 70563 71393 -93758 -14160 73442 182360 -72662 -56191 -75586 94219 -16392 -29311 59118 -88277 108298 -151224 33715 153381 112222 -153197 29953 167828 -6381 12834 -129421 -8021 98643 -72223 -101485 62573 -176933 -171514 -117923 -34520 -33408 -154882 -66756 124464 148113 -42993 -70101 -54826 -78904 -54196 101149 37876 -66335 22979 44504 123321 25480 -109779 17273 101249 -4374 -71112 -50133 64397 249470 122668 -126047 153489 85728 -74215 -20585 89049 -42481 1186 74391 125208 -61956 161513 -78329 -13754 7392 -131256 -150468 -175182 189790 
-143586 4104 180900 -15461 59325 149614 1751 -39739 -42766 59760 17965 149321 -63365 -3580 -99371 115121 21182 -58051 167570 -119321 -82847 -89066 89451 113341 -97370 -133707 -31510 -35548 24511 59255 40481 54730 215469 -1833 -22184 4401 -17570 10884 13737 -5736 212898 -53317 67593 -189167 -69391 41066 -30475 174698 49576 48927 -71461 35723 15269 -33103 -42871 20001 72602 -17115 -52076 38204 -97751 -15821 30866 -91127 -214183 54376 -5121 -67155 54721 5331 -105313 37254 135846 -141540 64149 -67735 -60123 21415 83140 42303 -104407 -2847 44469 26589 -99584 -68722 57885 -117785 -85796 31906 -230327 -57409 -112340 -140060 93204 48200 -65507 147444 -13662 -72739 -20084 -54382 125987 34137 -24381 -10557 -107139 17958 26494 21282 21360 69584 -98054 51398 70166 86141 -131995 -71282 173644 -211722 75499 -103963 -36607 33831 45231 -871 55875 48388 -83279 1010 -53371 105486 36616 63178 21611 114219 266941 -20740 33060 14487 -207735 -78280 71491 88472 -51159 -116170 11794 -31991 28556 142628 69842 -130123 -92133 -33209 -66499 21167 -14992 -206345 -47060 -75103 122295 72920 43071 -105170 -63137 17487 80760 -77681 -36724 52939 175329 -60796 -30248 103920 40245 -3040 30173 105197 -82285 104659 -174648 56258 118019 111220 -261699 -34881 163118 16466 -20478 -89754 -59159 101961 -66474 -37120 61723 -130853 -64311 -89765 -71007 -137848 -135685 -61600 2836 126537 -83989 -59198 107351 -57506 -14409 114043 35480 -20243 -1814 30542 150821 70345 -111383 -54203 39980 -92493 -99415 -41393 32338 255673 118535 -199712 109686 44255 -74068 9941 -6899 -35294 2651 106656 60370 -72457 241104 -77200 81765 6829 -51534 -1206 -165595 188200 
-152036 -116105 185106 9490 72928 183480 -54934 -95200 23950 33841 25726 164619 -17835 -150784 -35986 61226 12492 -59617 130253 -131482 -68482 -98844 68293 -49234 -55658 -96154 -71653 4258 56531 50937 37907 -47885 224989 20319 -66858 7445 -10201 80223 35212 -1849 120262 7518 -11388 -190542 -58123 31253 -6835 124809 87778 67383 -24674 8761 152134 33342 101604 -65926 105140 35093 -107495 86415 -101144 2153 13724 -42163 -237328 74790 -15970 -30800 -40149 668 -117197 152508 95265 -186679 56864 -87252 -20891 5054 113119 57517 -101889 32799 184992 31961 -60641 -17137 25225 -35657 -46464 -71281 -259854 -76264 -122095 -23484 50877 47546 -83190 72305 -17042 -109174 48828 -31520 138265 5231 31275 13572 -174635 -35817 18507 131508 50583 146050 -28350 32355 72424 103362 -118955 -119485 161125 -173191 27664 -109026 -175338 25638 72770 21357 56586 -100412 -63896 -37536 -44061 82950 -21271 68180 -34136 85518 180971 -68724 70247 19365 -184523 -81561 31770 81733 -35622 -209909 7512 -77854 126574 57312 155666 -96424 -122038 -36151 -87065 20523 -9036 -132463 -54942 -113617 82953 66609 46946 -96203 -145077 -31898 34483 -90554 -65350 50012 172993 -37828 -6371 265298 17851 -3597 74957 163609 55317 102570 -141281 44477 92343 14841 -234346 -14328 129452 78519 -26206 55769 -62454 78887 -76919 58127 61727 -105935 35716 103998 -78538 -215325 -87822 -56426 -91840 118423 -110939 -56617 142004 -64289 22668 119046 35958 19796 -5542 23374 140373 93332 -103827 -30576 -89300 -36513 -90726 -14938 -56635 207523 125534 -244151 66364 30515 -72088 4289 -94601 -27671 5628 102798 52255 -70083 54937 -70566 81824 -11983 -4708 109216 -165468 187002 
-156793 -182192 72937 -12976 84210 179289 -63137 -130493 102778 2574 38633 167369 -4010 -246 -9774 -73508 -5419 -52148 15986 -71913 -42126 -96791 -31735 -125076 10622 -68757 -67574 -29635 31445 15596 36678 -145437 205110 12968 -64931 33786 25081 161569 47509 -4647 48890 58441 -67337 -174045 -52916 26008 51072 43707 43878 52423 -9327 48756 127625 189503 210673 -54269 120214 81422 -157531 106990 -100367 -7188 3691 -41766 -154865 158049 -57674 -56319 -138679 -222 -116425 144906 45232 -288999 15316 -98523 151603 45891 27404 40182 -96042 56967 189087 30551 27212 45138 19914 -24676 -3156 -117089 -256593 -46021 -132985 23239 -78122 39176 -105909 -73892 -69500 -107913 81777 -92086 101761 -52661 42838 47543 -153116 -16719 8672 164998 48744 48026 47750 22294 36733 50143 782 -104099 142148 -144992 -9717 -145353 -175182 -15871 101258 39007 57387 -167024 -41065 -75088 -63313 81012 -66455 79315 -17052 100115 -44442 -79666 -42623 25597 -75696 -53836 580 81601 -11271 -137458 10235 -66637 133411 -27595 16252 56757 -116992 -6175 -98871 31242 -11678 -5865 -69472 -138226 95543 78440 -17393 -103134 -112243 -69389 -68122 -104360 -68474 37097 138920 -10328 36170 221202 -45322 6402 86636 193492 152515 101346 -135947 51446 -19542 6792 -52874 64774 85514 144181 -4128 161510 -82480 63200 -47369 75294 62069 -49238 99226 201716 -59536 -218020 -45468 -51296 -53207 118009 -82073 -96933 18594 -78747 63543 126024 34000 51639 44621 -20709 154680 125913 -87329 69730 -132624 123652 -62693 -30857 -100958 127605 120621 -234342 10086 58157 -111461 45544 -40262 -39827 11533 36153 103208 -82001 -82645 -66014 -94821 -4422 40895 111018 -150237 146404 
-168485 -122925 -17507 -14535 86238 141198 -28307 -109161 88737 16827 38431 167694 60998 192597 -33815 -170680 -37620 -45756 -13839 33953 -55563 -70024 -137978 -90185 148667 -41572 -113661 -97697 21849 -15332 35767 -97035 167030 45986 -4129 77496 32500 163802 82215 -15569 17652 61675 -104034 -162450 -70701 21404 74438 13170 -83542 38411 -481 27729 -26767 150519 178791 31673 103740 116388 -179184 93370 -106363 48833 -19226 -50290 -87328 138923 -54007 -59326 -217928 -2284 -136195 55981 10037 -291474 53049 -106380 219856 39807 -118338 19267 -84803 42562 52986 25656 33801 95167 29204 -19263 46243 -133849 -145327 -53835 -123997 -76255 -159345 36474 -98922 -116120 -134650 -75188 47800 -93215 54422 -201930 112467 84471 -175618 23555 -28161 94664 62143 -110477 109956 -24596 36278 -45832 132512 -59511 136221 -86638 14679 -88846 -106080 25144 101665 17082 58121 -98120 -14708 -115291 -75028 109825 -79543 69490 -13783 124475 -91996 -49167 -186243 26008 41504 -31586 -30253 63060 20961 -3925 9832 -52045 -6860 -102818 -177004 165147 -79021 -16990 -100379 38326 -9541 65612 -50543 -138825 49193 75441 -99898 -83143 24200 -92532 -180797 -107730 -76113 17457 124104 -19742 52914 -7021 -62958 13755 57720 211834 222178 93553 -161572 58110 42012 -25493 52154 118468 44945 174519 38757 155330 -62847 47789 -9482 -5569 79614 91375 29666 84601 -11312 -197584 -4440 -46195 84090 95649 6072 -110917 -91322 -57489 126630 121068 34276 35187 57687 -43923 147854 157832 -60392 77575 -59585 249391 -18117 -38073 -136877 23472 135898 -193961 -42221 97530 -116666 13445 75112 -54401 8771 -41240 156556 -66221 -104925 -55052 -160349 -22698 -46009 -1810 -134074 82336 
-188076 773 35102 -40328 92855 79028 47325 -40395 66152 18322 32241 165465 85552 189532 -105803 -122623 -72948 -44051 35152 131176 -44029 -38900 -105509 44801 203045 43896 -137510 -93264 -6361 -44896 29903 22830 109353 13077 50345 74588 -9627 68524 111054 -21158 -33016 34091 -64530 -115360 -78704 6714 29646 32359 -158893 22860 -23699 37708 -145554 11032 51491 91740 52902 140273 -173677 93802 -97069 57024 -35809 -111084 -22299 129368 -129528 -70581 -209046 -10587 -131568 -71923 -67210 -202336 42656 -104336 153751 73170 -208964 45120 -66573 9138 -40313 33425 39709 118997 33763 -74392 99126 -57766 -28239 -47019 -121343 -183820 -38080 39893 -85238 -19740 -169194 -40800 -150 -83816 -41628 -201403 144489 146407 -145464 90114 -23056 -49867 60600 -131356 163527 -24569 51674 -122400 171818 18967 113983 -77207 92646 -77763 35671 57675 99238 16056 58845 39582 18179 -152728 -65916 100741 -57177 72045 16212 77944 80853 -16797 -245127 32698 155885 -41292 -32855 51878 54552 66474 16390 -103706 -127979 -142064 -194045 114669 -23391 -46683 -93756 15691 -12116 107842 -67052 -140848 -10683 73433 -175283 -95624 74278 -99161 -261299 -105110 -90495 11273 74815 -34497 77985 -94321 -13938 23424 536 175422 225498 95000 -162450 50047 119701 -6801 65805 187660 22133 143060 53728 -14048 3505 60282 -2845 -84534 79764 123585 -120185 -108689 -60331 -113138 4624 -41245 145958 71572 10643 -110469 -215393 -87027 161560 114857 34556 32243 90890 -45987 135287 177186 -25201 22313 80134 236835 10691 -41343 -157702 -75379 135927 -121753 -49212 107750 -72989 21716 129291 -63384 23222 -118964 127248 -127601 29743 -41756 -36189 -20494 -117282 -150874 -118258 29954 
-196864 47414 158707 -42400 97184 9534 132482 16954 34741 51125 27327 133922 93420 1522 -96949 3303 -109033 -31326 160261 159108 -76933 -25871 1677 169638 244270 79596 -132903 -137741 -41548 -42269 33664 157019 47890 46253 56498 82594 -21454 23475 139504 -36910 -51883 -18667 887 -108883 -37554 646 -27091 97821 -105807 -349 -37073 20681 -74717 -60545 -70199 49647 48574 155553 -145306 96912 -80951 81423 -48719 -146775 52653 81737 -128984 -77698 -141349 -12792 -128430 -135743 -67361 -91173 5224 -55061 -16564 81497 -188906 130207 -85718 -24374 28800 28304 64409 107184 86623 -103823 137681 33300 73112 -24811 -105323 -170294 91968 35284 -63104 96142 -150752 -52702 -20494 -76550 -106549 -174072 150555 179208 -112064 114183 -70959 -151294 57398 -18579 166749 -35796 33595 -169378 88196 97851 111472 -947 154041 -35148 48139 34360 68135 -33839 59473 138632 54146 -186551 -64658 100735 -27184 75865 34519 93050 264909 63601 -153805 35264 185362 -64107 -20509 42131 85091 1056 3626 -77602 -126949 -138581 44651 -28656 27534 -68987 -76901 -37676 -5217 92977 -57127 -107481 -37091 64959 -189209 -106805 68833 -93001 -263557 -97393 -113495 -2538 36751 -94319 102863 66802 37214 32637 -56794 115975 134077 93211 -141951 59851 144432 24729 -60808 170177 18184 95023 68633 -135799 22920 64656 -15654 -52675 96978 137701 -164531 -110293 -67345 -12759 40685 -36449 63170 60663 51015 -121521 -157676 -80665 180937 101609 34078 -12585 132540 -78858 103670 189566 11216 -67729 94892 99549 20887 -20782 -67836 -112249 144051 -57230 -66075 77652 -133782 47977 62998 -45222 23241 -92567 73588 -116325 182572 -32485 114045 -908 -125140 -230935 -113542 -21802 
-201793 -33655 193298 -15101 94287 -64741 210851 9801 -38365 64907 18289 113219 83699 -118265 -58504 107714 -136401 -28112 136673 124543 -57481 -36352 94645 212257 174586 129813 -66572 -56656 -70015 -40428 31582 226254 -3101 19857 11436 70657 -64367 74697 164382 -55732 -105188 -74397 55618 -64929 -62946 12096 -18822 169721 -10977 -14431 -24273 32408 96619 26017 -22965 -47348 56721 154733 -90279 78850 -64246 121023 -73349 -65165 48584 39950 -127218 -99613 -56126 12977 -112009 -67726 -114595 4526 15037 -61693 -68622 63281 -53661 94833 -73020 -2112 173469 19877 58893 63733 108372 -91493 168901 141685 99811 -50904 -99897 -59106 115972 39050 -3974 139862 -98327 -76621 -41760 -49716 -184369 -16495 137763 178185 -100292 86067 -11732 -164480 55123 109557 140901 8783 9632 -65206 -53421 123584 96568 28604 170783 -71749 -46973 18711 49516 -70506 60236 116396 91570 -214163 -52695 86382 35814 79142 67649 101459 202766 112951 -15541 45510 113173 -82444 12715 40422 116562 -139297 10268 -30080 -9767 -91750 152186 -116687 53289 -33397 -50462 -40316 -5471 39499 -72144 -60753 -68456 73513 -67330 -102535 -52074 -69902 -213755 -98878 -118795 -9542 -8989 -104250 132336 259745 55816 31796 -88602 54562 6420 89231 -115909 71942 135957 96087 -201070 89857 31668 27441 27693 -106735 101028 97683 -22209 48167 107422 137888 -158327 65213 -73535 28445 53070 -31839 -63763 96562 56814 -102973 -44396 -73935 167870 90805 34718 -44970 69641 -91977 88563 194404 50899 -34623 -46669 -52202 79742 -30654 -18520 -60039 149800 22479 -33094 38404 -107546 45648 -68461 -41606 42650 -44233 49031 -127543 189836 -26329 72803 14840 -72390 -187790 -77476 -67665 
-205082 -147890 107280 -34506 91334 -114866 257864 -55318 -106339 88332 13631 81780 66216 -50424 -23064 91486 -143452 485 56147 45474 -93609 -65512 119062 179174 78480 124229 -54283 -51477 -118362 -23222 28271 163888 -34398 34527 -53469 79702 -31334 161959 187270 -55886 -88614 -85641 131727 -62438 -54652 24892 47454 171482 67273 -9793 -21558 38879 162636 147971 110107 -69149 99444 137669 -24179 50041 -60434 108991 -84900 -39280 -12264 86936 -85451 -83877 53492 33266 -96751 58621 -132143 91091 -1509 -114435 81281 21181 77731 128046 -78876 45947 191496 11004 43944 3103 156953 -39546 185135 211656 53910 -67922 -107823 14866 -20708 18823 9711 58016 -32601 -106007 -9780 -52764 -187187 58154 69504 170944 9431 47911 -2922 -65579 30175 123021 64863 36433 12995 -9793 -143158 106938 48944 13020 157703 -99075 -168411 -9817 6683 -84460 60968 -12961 123339 -234332 -46720 96402 80048 84799 64453 105366 -14861 123227 53274 48080 -50701 -126769 47693 32331 145737 -193505 11405 44476 88069 -19617 36899 -140913 43517 -50783 -22781 -49219 -8742 -51481 -66683 -86150 -96849 64576 33678 -97437 -126081 -31120 -106918 -90945 -127965 -17932 -30741 -80464 149521 225481 81434 31841 -80321 -17973 -75876 88303 -109311 67854 95835 140076 -269121 -15832 62734 -4796 -21382 25986 110219 107354 -41952 79704 98381 122190 -56274 201976 -75219 70767 79687 -27532 -94488 81107 33378 -108161 99570 -56431 96343 78785 35062 -68421 27310 -130620 72763 201381 83687 71151 -119082 -96866 129566 -22917 43005 43239 155921 103416 7666 33011 -84178 95973 -90754 -25624 69952 46044 96010 -138824 73270 -19982 -70419 20290 13120 -52183 -78237 -71008 
-218129 -174247 -29017 23866 79535 -126606 258586 -99733 -180120 52127 7576 62551 -4389 198310 -19629 -43175 -133153 13184 -33391 -61003 -109246 -94483 64297 26545 -19130 167799 -31600 -19351 -130970 -6161 27868 45961 -37693 52893 -77397 47776 -59366 164360 197147 -81790 -37247 -63790 140869 -22919 -97617 27887 77359 106822 98221 7358 -18008 1997 52987 162337 212997 1470 128724 113551 44877 28434 -54424 123059 -100183 -30428 -144251 80002 -93209 -72481 83207 -1048 -87405 159618 -152041 99267 15033 -58766 230279 4492 117280 118819 -73027 59842 64084 -9394 -8567 -54137 139746 -31000 188886 209089 -20087 -66893 -111313 -35490 -137105 14326 46789 -76497 -2736 -111754 46481 -96341 -158676 10549 43765 128312 39131 -2757 24700 62311 42660 -368 -6140 22246 20235 87613 -100831 31156 -12804 -53062 76434 -87341 -217338 30172 -44124 -96406 61671 -140106 156020 -246366 -34385 83453 111700 84641 42611 127373 -113777 97601 -10474 43107 -151090 -152511 86057 21560 162460 -104978 4346 61037 122162 69907 -137612 9089 2147 -47257 13644 -56323 -7303 -150899 -57271 -44309 -88965 66129 49828 -86722 -73791 15448 -1891 -71770 -146005 -30814 -67343 -26797 170525 32403 91530 32318 -36684 -42200 -144105 85017 -126452 81444 20597 147674 -188588 -43974 102002 27866 -42385 153333 156235 97122 -49811 2656 120000 16928 61649 114371 -11731 65979 108039 -23432 14718 125180 36593 -137457 63041 -68326 31056 65232 36300 -72460 20781 -110773 69281 186893 117383 107143 -113319 18941 119611 -18090 111615 170998 147575 133402 47078 65874 -52311 62082 3659 -44997 80897 110396 151449 -132859 -65921 -26350 -156243 18265 23464 82615 -37135 -66366 
-226975 -78431 21210 4135 58294 -103033 213545 -134635 -191715 25072 -3514 22784 -37337 200871 -94071 -159334 -117684 23187 93966 -104423 -87005 -109308 6569 -90965 -85382 199043 -73303 -27812 -165139 -1675 28514 -68973 -13884 17719 -39982 28465 -53094 81367 201376 -88995 -4929 -5701 114945 -1844 -120677 27196 34925 51344 -47107 -2330 -47790 183 -106148 27305 168156 79954 116703 78124 120071 -12335 -57133 111836 -111609 -56133 -181482 150801 -69849 -52867 30091 7624 -75127 154465 -146864 59911 3831 -89060 181515 11166 39948 142018 -92689 36115 -35386 -41912 -39246 -89665 118164 -49819 179547 145401 -137372 -92874 -106536 -162611 -104495 15145 81310 -104345 -35234 -64216 59198 -78202 -133092 -97355 -28920 81384 117966 -38772 44824 139511 23896 -139546 -76845 33425 34934 106908 29939 -50959 -5385 -97549 24584 -125653 -140404 45656 -79907 -80640 62350 -149862 183466 -251111 -25040 103667 107517 88559 -7137 147449 40366 25650 -152855 68008 -174344 -148198 108261 24136 172478 45771 18084 87904 31673 151139 -169101 131020 -54191 -83248 50927 -96606 -3269 -198242 -87066 -68422 -102344 65101 48484 -79107 -242 70496 66736 -72217 -140780 -30254 -76093 17293 192406 -87394 33767 22895 24631 13791 -63702 81505 -155840 56437 59248 91589 -25968 -9425 147834 104654 -348 149147 179280 79601 -71029 -86595 113044 -51402 77758 -78615 -18177 -60869 88220 -19698 133856 149782 -23761 -117763 -16361 -56897 -4795 52754 35941 -41253 12146 -114845 39112 172066 140262 37541 8544 186770 148808 -23259 81804 231810 153363 131712 116690 102683 -119318 64565 109887 -35395 75245 115099 131350 -112827 -98819 -30343 -30568 19647 -44415 122900 -36541 -32140 
-223016 31407 59687 -45894 48315 -49482 133439 -101660 -230929 36683 -11039 -11568 -64615 48738 -115637 -157652 -90879 16658 190780 -145023 -91672 -96224 -101466 -137791 -95665 242943 -97233 -99807 -178361 11266 25479 -126851 30824 37713 18904 29048 -9542 8700 199028 -95975 55401 38092 60477 -3315 -90602 -72 -16622 -2918 -142472 25391 -29015 -9188 -127482 -33329 11105 75217 94476 27229 143381 -50850 -83213 121238 -119207 -120856 -214831 123655 -1479 -58845 -67117 1565 -52058 42508 -128982 -33046 -5037 -91330 18705 20341 -110306 99175 -102366 -495 9440 -72830 -109838 -92439 81771 -74700 156147 51749 -229743 -62669 -103735 -194925 4607 6656 123681 -16249 -94069 -35295 44752 -81099 -38530 -180852 -35186 20416 167824 -17325 32074 167330 30437 -110769 -153121 8469 25457 44212 151817 -100445 -75515 -136293 13589 -151021 13716 59176 -128187 -60794 63104 -39640 202896 -246240 -59982 115467 87360 97538 -15999 80855 248195 -14314 -229440 55391 -156555 -146540 110123 33343 173639 66030 16543 168399 -118777 200766 -34154 171359 -102851 540 90216 -87939 -1497 -206800 -73030 -117321 -65304 75637 -40588 -98307 74550 126832 93879 -90550 -141128 -39979 -70702 -1476 193985 43391 21768 33840 73637 18009 50268 79627 -98982 76814 120023 51031 90414 111462 163124 167236 33131 22047 216517 52912 -77041 -67917 121556 -111059 -5745 -129220 -79470 -138914 99423 -16300 104508 121213 -78148 -115418 -142530 -61895 -35347 43899 38971 -887 12699 -156312 22800 150083 153536 -52901 80806 271033 199718 -28242 24699 254603 143046 91191 160134 103577 -69068 83753 109179 -48053 71891 95996 72895 -105056 50021 -36805 111342 35894 -110815 42210 13757 23911 
-224100 29436 177318 -19275 34519 19580 46305 -42886 -189508 19606 -9711 -34592 -90897 -112330 -59868 -31138 -47728 44743 130812 -64003 -61731 -66782 -65450 -40365 -15085 256009 -83328 -90658 -166350 52986 25091 -83190 85747 8109 58751 -14312 22973 74560 179879 -118067 107184 67569 -8957 20872 -115902 -23194 -10341 28939 -150190 48430 -38959 -22183 7820 -1874 -82227 -16735 72543 -20693 162274 -67370 -96571 104649 -131519 -121943 -187561 104146 430 -37912 -170790 732 -58913 -89194 -90436 -152588 -3253 -88663 -55114 89565 -207141 105506 -84462 -20570 167673 -83803 -154755 -59778 80071 -116578 122717 -47021 -273115 -22149 -112716 -96643 117526 -2020 91432 122342 -150384 -63632 -3157 -59579 16890 -188881 -64296 -1299 202146 39501 4339 66463 38498 48587 -188384 -14894 -14137 -29222 169523 -112476 -69974 -208451 37479 -161728 50800 32422 -156031 -7673 63656 97233 216115 -232901 -58765 108713 29783 93944 -45492 72067 233704 -71763 -184633 58795 -42915 -126972 93879 52792 160937 -36458 15317 195123 -139528 211528 126512 38406 -124271 -57871 127166 -111156 5812 -137887 -69495 -128956 -56077 72956 -109737 -101996 74694 179439 22362 -69853 -126143 -31964 -53414 -78479 210416 236827 -24936 29626 87834 83364 151058 75495 -92432 78685 118790 -11041 30115 160131 162437 185354 69622 -117852 191022 40401 -61537 42413 125001 -142017 -127616 31492 -72275 -221001 78963 -13255 -18480 150115 -65907 -135780 -201035 -69084 -31815 38472 38168 42541 68486 -147815 -22266 118040 156034 -70477 70699 192124 191354 -16894 -33198 232374 144618 -14152 189752 68147 -73487 69348 13148 -59722 80654 2527 40528 -58597 177233 -48309 70716 38252 -129585 -104225 27789 77197 
-233037 -77337 123242 -60914 30822 96169 -19843 14734 -173188 61649 -16836 -51220 -97355 -19381 -15881 90071 -7730 46949 36547 26865 -65232 -37569 -50988 120205 86203 225986 -121112 -111216 -154017 73514 23920 40066 145510 36501 44776 -14027 -6004 169359 167091 -115095 172259 44433 -54829 10893 -34224 -4528 36709 87023 -41612 60238 -38283 -40816 162760 143868 -38761 -78052 37191 -73372 136763 -102290 -101125 92339 -142288 -88587 -146610 68566 -9126 -52698 -214133 -8290 -53287 -135481 -39727 -209147 -5232 -107325 14602 61130 -191975 60674 -80030 4676 206396 -115519 -192358 -4319 25436 -86233 76734 -119171 -232102 -94331 -96329 11635 75148 -1724 102327 148044 -167676 -92097 -27793 -48271 92960 -128205 -47170 -26665 180995 90957 7135 -72475 32667 136745 -192418 -29564 15669 -120929 62155 -66126 -66125 -217048 94224 -163996 -12923 6821 -158183 35244 64408 151792 222714 -209119 -88582 77749 -30414 93649 -30124 88317 10947 -84233 -20346 67097 104226 -108149 61314 59337 145628 -164207 16673 242688 -31704 183749 84961 -84244 -109843 -43649 166260 -88184 -1 -45507 -75748 -177265 -9415 74839 -166966 -100056 -46967 224453 -66471 -75735 -130222 -37249 -20058 -113557 207256 252824 -68043 24067 61118 132860 238448 75397 -115520 82260 148242 -41280 -65139 197563 140270 139087 49392 -120118 187680 50412 -16239 90306 129779 -139932 -175652 196312 -49088 -201847 77211 -10674 -109536 139526 -67468 -150623 -205058 -60025 17117 48532 37870 56304 94588 -167482 -37706 88871 148844 59241 -76132 23140 192904 -23926 -119189 134722 132754 -69020 169746 33347 -82744 76769 -91362 -47600 87149 -40135 91927 -87806 185227 -54551 -42365 21748 -92331 -216403 31696 126206 
-235763 -178419 30894 -40582 34085 156353 -56976 7525 -163389 64359 -24575 -60169 -103802 183206 -18517 104357 5588 63843 -29842 105959 -63769 -23074 47246 209618 187898 207260 -128713 -61195 -138512 58741 21196 163198 194636 38612 -12173 -32818 6566 166667 142451 -132609 200558 6715 -95619 -9580 -55122 37556 81075 143621 85675 61424 -46381 -50479 130732 178879 80927 -34593 91637 -121435 112897 -130128 -106952 71294 -150797 -46035 -42361 39870 -53720 -81680 -205879 -6428 -60497 -67705 14501 -275035 -18252 -69486 183168 58418 -76594 110995 -83926 52600 78158 -141258 -208233 56703 10294 -40685 32878 -119796 -108510 -42544 -87522 -6739 -42345 -1192 55285 39661 -129394 -114246 -22256 -84517 112694 -17925 -18415 -18297 178430 126409 -70895 -170072 49725 88400 -146178 20420 27107 -109146 -80162 11011 -121647 -202706 165215 -76714 -156771 17376 -157342 34968 65152 65294 220824 -180860 -65568 98521 -64196 98164 -13851 79795 -100484 -50131 64627 66590 185005 -81031 24170 70099 116940 -196924 14498 280504 74596 112577 -96754 -160246 -65363 -60205 195441 -97071 95 57326 -88110 -107180 39887 76026 -129547 -93956 -107728 258398 -186518 -91728 -105072 -28482 3054 -82634 222365 57564 -74673 25548 7864 153542 206474 70173 -104460 73039 102021 -12328 -219477 148211 104223 77091 18167 -4410 140805 57718 -18068 18068 127806 -116979 -166234 151300 -6268 -181625 41270 -8500 -39076 84418 -51159 -172965 -25819 -67618 104311 54936 38900 42424 120677 -170552 -39033 58835 131138 107912 -152513 -88526 138401 -11649 -154190 -10178 137544 -171421 157104 37173 -60119 63882 -66143 -47152 108321 -122597 133254 -68147 81490 -68130 -149316 3383 -10991 -216731 60057 169996 
-231503 -148082 -48796 -14112 43895 181373 -47007 -49224 -76840 51251 -19422 -51535 -69854 251214 -81029 -10617 16493 67831 40650 148023 -43190 -39074 89878 203638 240218 178902 -62737 -44063 -108948 96043 21044 234606 218899 10427 -65669 -27379 -40491 84646 118356 -130793 239011 -62901 -69953 19575 -100548 33659 49882 160586 58634 75896 -25945 -44339 -28757 77407 209672 66730 86158 -166375 55983 -154688 -104102 73867 -148572 -9204 33021 91661 -87389 -90167 -122885 18973 -70871 65289 73468 -247821 5554 -71381 229024 28191 69927 61965 -105546 61780 -33536 -158066 -241457 103918 17499 -15870 -17755 -82854 3861 -42982 -92867 -132101 -130231 1716 32563 -79318 -74058 -95445 -5930 -58363 154182 30348 36127 35763 138269 75307 -81237 -145788 62849 -82662 -69740 41363 24183 -108448 -143409 79217 -145301 -172872 178553 -109702 -191815 48458 -143133 28133 66015 -77860 210111 -147042 -75825 117634 -73676 94124 25832 106471 -1719 16384 52992 74492 167322 -72422 -14990 73810 88781 -75952 11842 261393 121158 30276 -223879 -90315 -8714 -38489 222059 -58607 4876 101654 -75863 -101924 54592 73277 -61964 -80679 -74196 272478 -261580 -99083 -85427 -18671 55557 -59571 218175 -92248 -5317 32613 -50796 247928 127032 71177 -82237 78774 1786 -2773 -256541 28828 62743 6150 -19202 134178 120866 92689 20257 -78984 130837 -54854 -33899 -52932 -15532 -105376 2823 -6756 100504 53447 -1693 -122761 124092 -57966 121073 71922 41377 9872 78523 -195227 -92252 23221 103769 49693 -58602 -58680 128488 -12029 -115398 -77317 130403 -211253 119809 74269 -78864 84449 45920 -36720 121329 -98604 145168 -46437 -95129 -67868 -36387 -4285 25103 -102742 74587 199489 
-229726 -33063 72156 6777 55377 177596 -203 -120744 12582 24250 -16762 -49057 -44647 14878 -124900 -134142 13449 89160 158717 129379 -53117 -70128 96671 71439 189155 184691 -30793 -68159 -59174 51739 19488 166225 221760 7011 -65155 -62913 -52290 24897 95537 -136757 259051 -81182 -25137 7123 -59825 31993 -17344 133808 -5729 68753 -70896 -66911 -149208 -36007 169267 86397 114596 -203302 -12221 -156698 -79339 26295 -154905 -88778 42953 105001 -102202 -107051 -16331 12557 -74291 154414 110652 -170774 -40262 -87282 93642 -18261 117867 45479 -96045 22392 241 -185712 -227842 119496 45976 -26627 -63358 18228 96372 -75060 -85490 -194634 -58015 -18483 11591 -115817 -20449 -58138 35658 -72028 125073 10359 112133 83855 89359 22120 -42859 -40346 60808 -152939 4976 57718 23097 -28637 -82929 126469 -117764 -122435 152755 -68106 -140905 51723 -111124 6535 66619 -157180 192431 -108439 -41717 88616 -45052 100448 35437 124457 222942 72934 -106085 80609 49755 -38471 -36033 83198 54534 48478 14292 212254 32805 -53486 -76087 93035 37332 -53184 240022 -54802 4564 110895 -61937 -94095 94207 68485 11761 -92217 28678 273430 -269963 -102092 -79223 -30393 88280 3186 200884 3244 47594 10483 -86306 186786 7576 62688 -70120 73195 35652 34468 -143358 -24914 24054 -8693 -30070 169261 106790 99929 -14747 -74314 128005 39712 72606 -136740 -49762 -24430 -6846 -5507 140028 98615 71496 -160600 109561 -55331 160522 84068 41141 -40506 52564 -177187 -126828 -13379 69777 -62912 65713 95177 71773 3032 -99988 -117604 125006 -266642 60144 106693 -60279 45687 134685 -19682 132805 -54045 88941 -89175 -171877 -76304 87503 -2407 1361 45605 78689 190831 
-228335 46634 173905 8520 70677 139372 79577 -143244 64720 41131 -545 -48618 17227 -144984 -75040 -155020 -10004 80337 161500 33094 -31989 -95052 39513 -64244 131103 171020 0 -23166 -37841 43295 20438 39023 200077 18855 -11323 -39942 -31693 74824 38608 -131875 274963 -74647 58327 -7385 -51727 -5704 -21734 75973 -137799 57845 -55725 -41169 -97808 -23768 34964 17530 87404 -233103 -80204 -159655 -78750 7125 -154754 -132580 20298 141911 -117834 -99744 53870 11735 -102730 141358 171548 -86107 -26426 -73759 -45150 17577 45611 36352 -87980 -14335 150147 -202873 -226363 99918 60937 -84282 -97923 116547 98064 -53681 -97597 -126349 63960 -10215 -27547 -651 -10416 -37820 68976 -26005 55857 -130874 153266 134137 44163 -28917 -12759 91042 49768 -48779 85482 9431 16024 82323 61210 100224 -152924 -34393 78571 -38166 6673 36098 -89799 -15376 67251 -113880 170910 -70200 -44663 92935 2379 96345 63974 118488 250352 93642 -200250 86768 -67980 -15841 -32375 96325 22910 44702 12863 190431 -66793 -118642 107279 176038 54267 -86213 247766 -39848 10645 62695 -84512 -37691 120308 77845 85148 -88993 77117 259314 -214706 -125288 -69266 -598 129510 4703 188729 223520 83956 2227 -83033 126820 -92455 62045 -94475 66823 60033 85854 -19797 -59122 8298 45359 -11025 58757 27096 104274 -32647 25389 118441 98133 57231 3544 -53434 28122 -35024 -4744 36443 93496 59481 -176734 18460 -65702 191885 97928 42555 -64378 -8187 -209151 -119803 -42782 33840 -54193 99867 238149 25586 -11585 -14725 -71575 127476 -229527 14813 99612 7794 53925 86109 -44934 121852 49188 29998 -110923 13355 -74644 91054 -20288 -99818 124163 96436 168402 
-215237 -3674 167084 -49062 77546 71995 163829 -90012 105824 21588 -740 -2593 43653 -63789 -17676 -63885 -41110 94648 49224 -43560 -83370 -108017 -80938 -135030 16772 62059 -60862 -10110 -6826 -5355 18233 -80251 146428 13670 48708 -36084 -61930 148785 24243 -148763 260900 -34234 103400 -29044 -39384 13169 30065 32536 -131572 40532 -58883 -63274 98566 125049 -58918 -62823 59206 -242004 -147410 -152061 -72953 -8785 -146690 -132240 -41407 117442 -124112 -80112 67473 14093 -94926 32155 200351 13563 -42841 -106875 -38649 61079 -96099 38630 -86020 -10950 205642 -215747 -190116 53126 92619 -102836 -131830 191297 53056 -70356 -82539 -11359 138902 -1589 -94200 112270 -54842 -66887 20222 -51309 -7388 -193005 157773 163272 -35406 -39764 361 173788 42050 100415 139524 -58082 9961 102815 167412 43841 -104830 50411 28804 -82025 66546 5235 -28935 -39341 68185 25748 140727 -31836 -44906 101053 68651 84874 45965 100430 54272 141745 -240710 88208 -175694 -9526 -10603 84386 -5801 -55949 10375 152895 -125085 -144130 87831 123755 35283 -79912 249193 -18994 5652 -67611 -78717 -50751 155546 71100 37177 -111565 91892 224204 -109565 -93840 -54359 3872 162232 -56093 162255 269788 105609 -8016 -41857 30031 -108366 58827 -65112 65496 131018 110273 134025 13485 20702 109020 48920 -95978 -19005 96968 -63573 81104 120751 162450 -16925 173353 -66790 60014 -88856 -4426 -84628 62916 70171 -147594 -174282 -79732 155634 103715 44192 -74895 2596 -186519 -94464 -71623 -4303 53906 -6427 253820 940 -9559 66567 42191 108805 -175281 -31968 60573 -31429 77010 -37210 -41384 116767 100303 79182 -119012 153195 -76729 -63379 -1321 -136360 84661 111128 112586 
-201017 -121098 102008 -45320 91364 -7577 231006 -24079 100051 44516 6090 28636 55469 176924 -8531 72289 -78414 101868 -15474 -109857 -83595 -89494 -90102 -64990 -50327 53143 -86139 -59059 34314 10104 18473 -142688 92960 -5299 69760 -8486 -28934 170236 5592 -140393 216246 26316 141531 -52738 -93928 -3352 77014 7366 -69954 17770 -49879 -68639 176128 200391 -30334 -53801 78346 -241237 -176632 -134658 -73206 -37031 -150578 -67945 -132959 80182 -103227 -60849 8002 12002 -122415 -98700 249794 87874 -28135 -98103 138407 63114 -204834 -2354 -90325 16938 99991 -225683 -148398 -9009 129373 -84488 -146600 217820 -44643 -43208 -94438 11544 -8603 -7817 -118189 122288 -113009 -105938 -6838 -52770 -112022 -201279 108818 184626 -99217 11442 39658 158944 43330 144887 166995 -19625 28858 59435 149538 -39702 -88593 -21903 -4629 -113747 -15975 253 4871 -75840 68609 141812 106685 5155 -42293 103458 86821 89428 30145 53030 -104703 107449 -95974 90643 -173425 -64220 13913 73018 -31671 -185910 8177 119184 -23602 -129527 -105223 -26235 -11989 -56238 238044 9956 6707 -137377 -84221 -76703 166405 73241 -52002 -93417 -51245 185743 14 -99595 -20023 24530 175310 -119384 151033 90822 43408 -13025 16774 -31687 -51780 59786 -59036 84436 129064 126237 29930 127742 46889 176489 65201 -139639 -60492 66286 -64391 20526 94543 146956 -152402 172650 -17802 43964 -134966 -4622 -82401 127491 39532 -220217 -221668 -68891 126127 119978 43760 -50512 6819 -222416 -88802 -100068 -40613 136336 -118144 127144 -99092 -47433 82594 156131 114217 -116929 -45567 30367 12581 47507 -84883 -58046 127578 121258 146760 -125350 186256 -69039 -152421 -15439 -104478 -52576 124800 60965 
-195137 -182829 -29773 -43898 102304 -69384 261991 13808 51387 54608 17162 50353 81153 199513 -70161 124056 -112002 71406 52926 -137421 -104747 -62513 -89198 48052 -102107 17481 -83798 -135133 43675 1262 18286 -71898 31865 -10127 20841 15873 -27203 73863 6193 -142167 150023 65879 122291 -65335 -86608 30806 49566 80426 55242 -6950 -92041 -73906 54185 64320 114634 29703 63439 -222587 -183141 -117767 -62121 -66838 -141813 -33376 -207687 50621 -64123 -70160 -92764 2812 -137410 -136825 236309 93021 -35087 -94917 229185 82879 -196099 13898 -93089 57533 -34159 -223086 -94065 -62680 111617 -24832 -145317 175634 -157755 -57561 -84150 -102575 -104343 -27040 -75560 24528 -167257 -120203 -37107 -55964 -124778 -103026 87881 190197 -153020 59135 63044 31951 60429 15193 166506 -6031 50159 -23609 29108 -101710 -40658 56439 7080 -120004 -160031 22493 52065 -97740 69542 119652 74275 36645 -43100 114941 122106 92827 6192 76792 -11726 49774 34751 90822 -118226 -76596 57206 59644 -49802 -163796 4811 47256 90304 -75255 -182179 -157314 -68546 -59104 221696 13837 5959 -207055 -94487 -73340 136911 66703 -109641 -96824 -101281 134934 76786 -93242 -12237 30733 181912 -99731 133836 -81017 34612 -6450 69653 -31259 37346 54987 -73756 64027 113376 94572 -121623 186622 92382 175177 68001 -36431 -53394 44069 -69881 -69539 85717 130581 -211526 -15514 -10903 -16998 -165220 -5309 52939 138601 -14952 -167581 -123364 -76350 46969 122701 46674 -7645 56217 -209771 -73101 -110066 -71210 39917 -131519 -36699 -90920 -12541 55802 234293 106550 -14931 -55672 42667 33798 53006 -19220 -74344 108134 73828 152131 -134343 61796 -62025 -59581 3901 -22645 -188571 131075 6177 
-187585 -112024 13756 6338 97006 -117340 237332 -4839 -52534 60224 25106 97230 93680 2105 -112047 25245 -137593 83621 147221 -91152 -105516 -30265 -3196 180613 -55353 -51713 -89473 -120368 33877 -34632 16500 44124 -12970 8646 -43876 24932 10501 18252 -671 -139585 125310 67578 78761 -116719 -77890 19041 -5659 139445 103210 -12059 -75275 -81685 -125303 -57520 212948 90322 90990 -203038 -163822 -87951 -74778 -68365 -137867 -28389 -232792 64974 -34061 -40035 -198247 9662 -127061 -63008 257465 80346 -49683 -95228 164857 78708 -78684 45351 -82335 59195 -4391 -222345 -70065 -92998 121096 -16031 -133559 85368 -255506 -70528 -80180 -190044 -113412 -17185 -72198 -103185 -158755 -79116 -35626 -81072 -195455 -3100 46612 156506 -167467 107056 43212 -113513 50717 -108279 123602 18620 38970 -82695 -102647 -108337 -25902 -37089 92207 -100798 -183268 50971 81172 -72621 70152 6727 37491 61993 -46156 97056 101301 93533 -44761 119213 198608 -22516 45607 98260 23625 -124713 90483 53963 -56572 -45213 6906 -16900 155010 10191 -108837 -107979 -111655 -57046 197080 26341 4487 -198049 -81078 -148144 145037 69913 -148987 -90045 -85704 78414 76629 -80530 88 54998 177949 -47011 104404 -16045 -44546 -22902 87242 -46551 145372 51911 -5269 70226 42774 16186 -242897 193647 135092 132624 9123 106343 -67143 47949 -63588 -73428 88898 72847 -126286 -135434 -19508 -109992 -166098 -6455 146748 122774 -38512 -188931 -1253 -67520 -25966 118619 46399 17543 88742 -198168 -60456 -132195 -94456 -76407 -3288 -93915 -64261 -12587 24129 268758 100997 66898 -40401 83914 30589 22145 91073 -62127 95239 -24952 91800 -108906 -96567 -54145 115795 35430 21271 -230299 123911 -39265 
-178684 7146 132060 11811 91919 -128140 192216 -64899 -104490 29766 32711 128833 61398 -119421 -83745 -106687 -149154 110725 171912 -1840 -116044 -25561 95630 230479 43947 -87856 -81038 -25899 32181 -31529 13610 176179 -39403 -7054 -71154 50930 29998 67891 -14944 -133965 3039 15594 16733 -121205 -97229 13472 -29339 173748 -3746 -4901 -71858 -68936 -130087 -32712 178902 56074 124594 -159249 -125929 -59770 -82703 -70375 -120113 -71757 -189215 110710 -19064 -54670 -219249 10638 -131036 73797 242552 -5195 -37076 -87463 -18180 42330 59258 75004 -78511 16973 135653 -213227 -252 -88219 90646 -59983 -112303 -22071 -249640 -74398 -80428 -160253 3096 -30996 -37883 -98356 -107249 -53637 -3827 -70617 -154984 48598 14936 99640 -162303 102797 12519 -185645 55069 -104160 47244 60309 81941 -128792 -140070 -83223 -1702 -77463 157630 -146661 -125426 35125 106404 -43710 70762 -120826 5969 79754 -67667 104857 69859 80883 -26727 101467 255812 -88256 -56863 94349 163988 -141288 102300 39879 -54784 72715 11371 -30182 71937 99810 73685 -15759 -123986 -75672 163783 -836 10315 -164723 -81806 -144667 112391 70229 -136173 -96954 8384 23859 24585 -72009 29217 68743 159205 -36062 87327 198809 -50119 -24270 65766 1203 191886 45236 -24044 78702 25529 352 -254529 82603 157416 61500 -36351 168322 -39564 59259 -43492 24498 79616 -15809 -31281 -38058 -58363 -195068 -192565 -8087 97724 128762 -79337 -173904 86601 -88937 -27838 118413 47047 46298 122036 -168714 -31896 -122952 -109902 -80798 88187 -7811 -49243 7528 -56107 232715 87882 108026 15260 108944 18471 36835 124078 -39228 114751 -50435 43507 -111619 -80353 -42854 123812 24254 -13283 -149515 112520 -63992 
-161845 46078 162994 -21150 79336 -101222 104601 -127583 -169543 24032 28529 137146 37376 -23281 -40450 -157391 -137511 95657 56843 115408 -105082 -41350 86595 163289 152562 -109287 -53647 -46356 -1074 -76238 16201 208011 -34348 24896 -42305 97383 8504 157135 10921 -131307 9207 -37937 -49832 -154635 -37298 12291 18921 153375 -135333 -324 -58994 -57089 8133 97302 17760 -44158 119347 -118742 -67301 -16051 -81616 -37899 -105889 -164294 -146579 120139 6879 -75300 -177595 -8770 -142844 164218 223846 -144168 -63415 -64466 -47594 9045 118120 90408 -94967 -17860 215873 -200687 18680 -49888 45156 -88956 -73041 -113718 -192236 -73305 -84010 -33302 121344 -19753 -56039 6002 -48474 -31064 56278 -82336 -135699 -24552 -26583 54574 -177522 44019 -47964 -139776 55634 4641 -31112 25117 58864 -129468 -56816 10202 48405 -164177 197944 -108299 11710 17564 97866 -17412 71661 -161139 -26619 89580 -71264 106728 13781 91430 1249 137375 82689 -89064 -177324 103473 188303 -103429 106593 25476 -44511 33878 13915 -89436 -69370 166907 138086 119906 -100581 -104826 130091 27982 8796 -75580 -91559 -133124 66448 75813 -57161 -98818 73788 -23938 -78778 -86437 34457 83941 128795 43848 67798 278458 -34869 -25876 14261 83747 191838 47121 -23453 59589 71532 -49898 -100717 9251 166829 -5290 -26431 95200 -43991 81183 -12845 86706 86388 -57694 71115 154598 -70346 -245468 -196661 -10184 -44038 140632 -94393 -182379 114666 -74175 -35026 103126 47199 43901 94237 -234849 -35178 -114317 -110628 22345 64807 156235 -59833 3078 -135152 138261 78037 151258 68540 93215 68536 18398 30178 -31140 94449 -125044 64734 -58234 40562 -33242 -58540 28427 -80463 -488 102885 -73112 
-156901 -39765 126743 -866 67927 -49562 24806 -138405 -226701 -434 36793 156138 9946 165791 -7919 -99882 -119814 117195 -2973 159533 -24733 -69246 88916 -23197 220017 -114143 -43443 -26758 -20574 -53855 16277 143611 -6022 -16176 14518 101063 18724 175759 38859 -118339 -52871 -69106 -67336 -163010 -34985 8081 66831 63416 -151011 5416 -56885 -54126 162738 191303 -77156 -75079 86576 -62398 23830 3069 -108571 -40663 -102769 -126658 -36951 166674 -18253 -88655 -81967 21928 -117578 135653 194583 -226630 -45015 -83437 67036 4110 57261 57237 -86430 -13801 110207 -181136 55964 7789 20811 -92125 -27261 -132011 -73126 -81369 -70157 21322 89506 -6193 15857 143556 -10510 -75877 68443 -45897 -69516 -146155 -73676 -3141 -126464 -11547 -51446 -28335 62032 122204 -121216 36219 52067 -52587 85677 74295 55854 -188622 148875 -105979 63794 -10168 88478 9441 72210 -62209 -51837 89180 -66992 90065 -38809 89377 20417 110216 -96716 -60902 -256390 106907 113653 -143698 88137 28017 -21816 -104544 12359 -77287 -137468 207225 -18255 138962 -50849 -62583 90362 8179 9195 51959 -78734 -65749 39391 73415 17594 -90848 49318 -62671 -184975 -84141 39079 78211 77342 -40960 29472 111607 7882 -31260 -45104 116246 132153 46951 -40185 63144 154167 -24248 20714 -48692 152305 2012 -1985 -60017 -21681 97160 -4156 36377 79048 -108056 61102 186286 -36634 -212639 -193838 -12686 -103242 96542 -37570 -180453 -18125 -58488 -26307 95166 47622 15347 51379 -191065 23406 -115999 -104358 100856 -59524 267372 -10976 8497 -148344 -1395 78660 112197 119300 52195 36688 -5647 -74583 -19985 69695 -85064 134237 -64004 183677 -28481 -151312 30323 -110226 111637 77094 -50097 
-145107 -158819 20408 -63612 50221 28388 -38566 -90757 -255783 26490 31611 161421 -47147 206303 -51054 41143 -80362 89679 34498 142084 -24315 -96711 -8870 -131263 244321 -139252 -40080 -22963 -64531 -38400 13841 15184 48247 -19520 55665 71756 8891 81777 65189 -113859 -69856 -85544 -105694 -197061 -73613 31464 60418 12053 -83793 39831 -52415 -72773 126596 107638 -23047 -17494 56736 -12131 75527 45867 -116199 -35745 -81185 -101204 53450 117767 -36482 -101780 22017 23076 -101435 15578 137908 -270823 -66301 -96569 213375 16113 -82412 66567 -111896 33025 -23395 -171417 59189 67874 8672 -75798 18929 -93201 24601 -68019 -69961 -53419 -53756 -5055 18081 134281 -18260 -115661 28530 -54152 -8606 -175939 -27867 -19521 -59809 -34636 -49805 94514 72944 95658 -168377 -17632 80165 55342 171034 124531 79149 -213801 91696 -53027 8626 12210 59234 24305 72884 95480 -75350 82723 -55548 108918 -70964 96038 38241 114992 -46459 11316 -148820 105621 -3156 -150379 46815 24996 6521 -196470 14823 -106356 -69242 208158 -196410 65396 5216 -90001 52753 -8777 12133 103202 -92139 -44732 32324 75402 46075 -91135 -45548 -91532 -256583 -92347 26392 97312 48546 -101536 1797 -69026 48995 -21494 -85162 170933 -4136 42687 -37588 71377 150371 28660 92306 13007 118574 59148 49648 -136871 32741 107348 -16440 -63457 74013 -146716 -26985 26815 -9296 -125561 -225943 -15613 -11928 63304 -17200 -194056 -174328 -65156 14878 81371 48266 -21656 15176 -173370 66207 -84993 -85058 51700 -138712 218918 27559 -13250 -125548 -69183 72270 76411 146297 29886 78196 9571 -77069 -41125 87343 -4184 139683 -68287 183280 -19068 -53961 15824 -117183 109359 72624 -10701 
-134121 -173978 21331 -38024 47240 104050 -64393 -31069 -234820 14824 32177 175658 -72100 62823 -99394 115772 -44477 87779 150168 44359 -22075 -102109 -60229 -99224 178325 -200977 -61043 -80705 -85718 267 15935 -113183 106056 -14455 48677 95287 -63493 23791 92178 -104010 -64214 -48318 -47659 -187587 -77963 14359 -889 17995 30261 37955 -84856 -42040 -30498 -37912 114283 81323 48814 42362 136709 81181 -107795 -14898 -68121 -20988 85350 75495 -74058 -92686 74331 -3097 -87062 -102404 118732 -255756 -36347 -76151 219020 91536 -199568 74427 -96412 61183 -6638 -141444 76777 108427 25693 -30288 68900 -16255 103217 -61413 -67320 -180937 -134611 -10352 64560 14467 -81015 -104945 1491 -47492 66782 -204423 -3420 -10308 13236 -15805 -7913 166150 56154 -48651 -187620 -35050 92363 90136 140176 107117 103326 -204830 32638 -61760 -145030 40556 45806 35838 73614 149847 -87198 64817 -49870 87914 -70954 91275 48741 80633 177974 56899 12533 112653 -111141 -94774 4871 27631 38894 -143528 9342 -103160 100320 166699 -152515 -94207 45080 -97218 15344 -42014 8672 113116 -72786 -48328 -44853 77707 -779 -85614 -85679 -101366 -282086 -97881 37055 105596 8275 -97442 -11421 -45663 76782 -29684 -85536 180798 -86728 42035 -41179 62479 125024 72802 18728 74899 69672 129162 59231 -70273 76495 88979 -33673 -90043 71654 -155057 -146210 -133362 -29957 -50065 -223204 -18937 114603 56339 56528 -170258 -193751 -60612 106131 62189 49231 -58885 -796 -164375 62793 -58110 -56837 -21025 -83990 59058 77840 1353 -75063 -76018 65191 -11849 179098 49424 70136 -29358 24179 -58803 50767 69561 99173 -59131 98284 -23174 101398 14600 -26341 -4766 92352 36144 
-108970 -69915 58747 5302 36660 156549 -40386 15031 -183990 48679 16519 164853 -113576 -164862 -107073 57246 -7016 78648 159615 -63346 -44039 -83242 -89404 -10925 65776 -134902 -78977 -89367 -139749 42567 12982 -128502 161633 -3811 -7462 61524 -44159 63759 112381 -86463 -55927 13980 16116 -228887 -101072 14268 -30014 73631 89164 75266 -69440 -13618 -145912 -66450 205944 75585 94585 84400 166045 101897 -101137 -7688 -57181 -53418 14586 56419 -94563 -95070 52474 2712 -69122 -129858 69398 -205026 -47026 -89780 56356 88925 -203707 88611 -101848 54911 135445 -128185 53923 118223 44216 -40894 114430 90494 98221 -54042 -70148 -188382 -86933 -15149 89739 -114274 -138377 -79234 -32271 -79673 136840 -85453 59842 38101 73726 32712 -31080 114616 59297 -125800 -173443 -25085 119485 62698 2809 49663 137087 -169407 -12336 -49960 -212861 49708 2023 13873 74373 80212 -94045 40220 -34544 103929 -49979 80596 73598 81223 273782 131922 58378 107958 -200230 -94565 -22236 35541 69912 -12334 12763 -25540 130839 88895 30123 -163478 52719 -65279 -23646 -58324 12274 92112 -95761 -61072 -66265 68702 -39598 -88682 -116115 -94771 -212045 -94108 50209 108072 -37446 -92988 -40399 174446 80005 -20391 -47528 213420 -90698 33996 -28320 43680 45111 126938 -114161 165394 29252 165548 50412 77350 87469 77949 -49167 9720 61370 -66546 -182108 -72275 -78080 24953 -185208 -22643 125943 118354 85497 -182454 -126337 -71735 171980 55466 46069 -78812 -44447 -171250 89788 -34726 -24607 -53022 54294 -75356 127378 -6352 3608 -37051 50147 -101063 167953 90754 123647 -10890 127005 -63794 43182 101557 45110 -63561 -93939 -27590 95933 -5123 -7179 -151319 58634 96363 
-95316 32692 211315 1088 47815 180833 21150 -17246 -152676 72122 11705 130055 -94974 -49174 -38294 -77369 13862 81862 61339 -114110 -40123 -56903 -18235 131470 -50724 -126190 -104065 -89392 -149514 61186 10104 -54739 205762 -18627 -57063 34823 -33891 154689 141759 -93438 -22992 56392 96939 -204232 -56926 39356 11611 130614 18317 66367 -54519 -6930 -81794 83426 148689 -7600 98414 117179 166867 101684 -77709 19849 -38739 -83048 -79000 89190 -119888 -86262 -25491 3285 -63895 -44268 1961 -77006 -39958 -94885 -34799 85951 -95426 126372 -93232 4141 215816 -93892 2380 92641 54072 -56996 149898 176649 20547 -69855 -79906 -75438 55575 -14702 116379 -86617 -161936 -40571 -45542 -71297 134611 9818 107300 60409 75619 106153 47957 202 20882 -84372 -133081 29063 90576 2032 -119659 -20106 159522 -121643 23809 -60296 -130781 11811 -57908 -25963 74953 -65868 -95243 9744 -32893 106577 8169 84124 42330 76373 123871 116965 -3557 114469 -146749 -48806 -30026 59950 107482 64079 15399 -31014 74635 2153 124432 -83599 25061 -67830 -52838 -68111 6504 -46256 -92476 -103204 -100636 73113 -138898 -98392 12866 -74310 -110955 -110882 30587 101841 -59828 -38410 -70950 286189 54626 -19632 11373 141396 -68700 34020 5041 47523 46032 123994 -251992 191453 13908 171456 4298 186350 158787 53364 -49404 93897 65958 -20971 -120139 126282 -50152 80590 -200330 -26633 13389 108308 73828 -180088 50666 -63664 168065 47340 47321 -62980 -2598 -149842 80902 1162 13324 7588 87768 -75032 177221 -6587 49127 32828 35983 -186408 149965 109051 101477 -28886 100873 -40051 45014 114425 58907 -69612 -89053 -33565 -57104 -12599 -573 -231852 37749 151374 
-82711 25900 152502 -22666 41704 172170 109508 -71862 -69040 35254 3000 107480 -80668 193496 -889 -161213 17078 83518 -49877 -129141 -69291 -36843 41316 242749 -100620 -86094 -81142 -120187 -170036 63537 15986 64135 222008 -23388 -71264 2540 -25070 165498 166993 -69698 18240 68861 137773 -204826 -69741 24570 65075 154904 -96812 65152 -48416 -8040 106344 200575 -4932 -73100 117678 141321 140099 84675 -64175 49822 -17361 -133280 -144872 135442 -133964 -54874 -124052 2581 -56125 90597 -35658 42793 -37148 -87112 -5675 35210 51252 103917 -79840 -17343 130134 -78099 -27322 40308 102541 -78934 177371 221817 -95853 -47805 -71723 8578 102897 -6502 114323 30843 -143715 -46606 23293 -58384 121295 32560 138201 118712 134185 121300 57448 -127089 15656 52024 -45374 37058 114783 -75627 -133973 -86965 156470 -76624 86280 -95652 -537 2017 -84130 -53763 75683 -164239 -81864 -26370 -33685 87530 71440 71223 20538 78716 -78521 102977 -150545 118562 -32316 -23991 -34037 73769 134564 11358 17163 41740 -31502 -76395 51491 73091 -26778 -79218 -79100 -98281 10869 -114392 -88589 -127424 -92559 72859 -185680 -110079 28883 -37325 -7232 -97609 21049 105092 -77537 -30792 -86607 144354 20585 -14557 65095 68542 42178 30957 -20731 48130 71040 104551 -254311 146906 19946 105564 -27510 115770 187263 47359 -74533 42683 66658 94577 -13823 198612 -47198 57998 -151980 -30931 -102915 107128 36920 -157514 110581 -67059 170316 44754 46488 -27680 34547 -141920 125741 36707 51885 92977 12830 57665 187745 -4230 78803 179488 39881 -235961 104803 85131 127618 -44892 -12977 -39989 18084 54691 123111 -95893 33946 -42779 -177656 -20776 -59811 -186907 22750 180300 
-76531 -89916 46346 -45321 55258 132276 190816 -122495 21268 50134 -10206 81973 -44421 235369 -51295 -127872 12153 53494 11458 -99166 -72207 -33734 103170 207736 -80090 -54331 -48757 -46861 -185018 65553 15427 176801 214799 -14776 -21825 -37287 10098 73405 190024 -44996 78532 30437 141611 -186718 -39305 2238 66154 152573 -152545 31192 -74273 -18424 178754 164596 -70078 -32901 114343 150797 85091 98766 -62163 69929 3965 -126498 -247457 150824 -107307 -32551 -205851 -14165 -52917 163666 -95460 79205 -46574 -97683 148160 -27 119108 91391 -90121 969 -14068 -43307 -72496 -21099 143205 -127757 190547 197469 -207103 -85180 -66120 -12147 33630 -23815 97559 144660 -82754 -84042 45587 -62047 64626 -36663 159171 157240 190836 80460 57529 -171483 46363 137641 38171 75519 108882 -134797 -25430 -120657 135265 -25204 144281 -92964 87413 6179 -128568 -70745 76528 -126126 -68111 -63554 -41669 84575 98561 80199 -40065 102076 -75771 49562 -242855 117405 84406 -36753 -3743 78296 153862 -120530 14940 95795 -132350 -132754 -172204 169086 -81956 -82214 -91744 -95306 15348 -192375 -75185 -107035 -96308 75030 -130559 -108951 34379 9008 69513 -102105 25957 107531 -79045 -26128 -103775 -61495 -34473 -21409 86479 -14011 146254 26065 14364 45366 128214 58255 -100403 76839 42242 51588 -39826 -28690 215870 54528 -44269 -63247 66042 94172 64002 53541 -20945 -4197 -107870 -35486 -67173 160393 10962 -189978 71933 -62103 123642 46783 46219 14219 87348 -105375 143490 67801 86483 74948 -111469 218659 202521 5397 70448 225934 11537 -256951 57219 44330 85826 -44589 -95409 -30178 23244 -11316 151771 -119054 154397 -52821 -72439 -9573 -120692 -50642 1590 196909 
-61271 -177065 -17879 -16776 72350 60529 249717 -141949 64996 29384 -11028 45217 -6140 34357 -114189 11834 -17197 30987 137872 3960 -86723 -46403 36128 92507 1289 -24204 -36445 -25333 -160681 60198 11636 218395 184766 4142 38106 -26865 -5872 21014 194273 -54944 129731 -14097 97875 -200731 -90854 13032 9151 80533 -131691 20932 -24929 -9753 65980 3398 -28176 58836 67860 155704 23398 85176 -69925 80713 13731 -72536 -232989 125367 -98680 -46135 -210138 11282 -56981 119278 -104323 116691 -51862 -78278 234299 14934 68565 44868 -106551 43436 -25398 -11143 -132331 -71512 129072 -56329 187245 102032 -236403 -59136 -70004 -141442 -120246 -2572 40603 129618 -26622 -120781 55817 -33687 -15072 -106639 127192 189133 194247 4776 8048 -113990 35381 57940 104925 15919 122068 -139103 113422 -82929 137670 17361 176424 -179759 5593 20099 -152801 -98468 77097 15437 -42908 -104005 -55214 98021 112628 73949 -55170 110412 154852 -10314 -190167 119200 192468 -38721 29203 84372 170456 -194818 20481 130593 -86919 -143803 -194145 131483 -118296 -100645 -101018 -97507 15096 -207752 -84429 -125471 -93093 70234 -59593 -87401 -18693 63799 86032 -95802 10678 106335 -56279 -55995 -116674 -60187 -46966 -6173 70274 -19039 211360 25354 -19765 53350 163917 5620 31432 -29365 84644 3915 13250 -133993 215542 73227 -21196 -95312 78040 144646 63289 -126136 -61821 -99300 -105070 -40246 71433 103590 -51672 -196302 -9448 -46622 35257 49774 45645 51406 106529 -102234 153817 108064 117341 -26270 -145030 263931 178341 16845 3405 279884 11701 -230788 -14444 30430 162366 -37569 -44804 -16686 30224 -95622 109621 -117087 200150 -64989 90423 -7645 -118013 84937 -20349 189638 
-38631 -145722 75569 -38015 78675 -10487 263300 -81887 104017 12530 -16859 28785 30250 -130651 -108703 118621 -48930 24724 177093 95321 -105189 -74412 -2689 -74009 105578 25123 -48871 -18507 -154739 59572 12147 121385 132076 2798 66008 -33867 5966 78377 196233 -26087 207496 -68342 40124 -167959 -87937 10850 -29705 14167 21374 13137 -21372 46030 -106040 -69783 120440 94665 56178 138046 -45403 45274 -70536 104780 34106 -29955 -173993 60587 -57419 -74257 -155254 19964 -62126 -5586 -147087 65144 -55509 -76491 107155 26932 -75225 52526 -80994 68301 112275 1527 -178512 -95637 108085 -38230 173809 7756 -243572 -35847 -64984 -194393 -119418 -9652 17537 -1632 -9576 -114595 37017 -85735 -115975 -223898 87461 171657 206991 -38262 7981 13027 28830 -92469 159845 -11699 129649 -81162 179070 -8374 100942 16308 158750 -120434 -142420 61606 -156304 -88306 77861 129407 -16158 -142473 -79810 110970 96795 65879 -3649 107009 279465 -75530 -58042 117898 165115 -61081 67368 85742 173622 -122885 12262 169995 84212 -118703 -7691 -9202 -121188 -77067 -98081 -108819 18045 -194015 -86624 -127366 -42326 73026 39208 -84471 -127358 116172 24369 -90596 2598 91868 -46129 -86399 -117318 146631 -50111 4774 19641 -14349 219448 21857 29596 33396 129511 -15039 94104 -37678 127896 6761 47150 -96554 162149 96763 -15290 5332 79900 124367 -58078 -101509 -42472 -193740 -32732 -45162 142705 115657 -79978 -160020 -132327 -60348 9883 62613 44222 49606 53050 -89520 156962 133742 140934 -57591 -36633 157302 156940 6671 -67170 206307 -1465 -180985 -46941 58228 126214 -43627 73630 -30288 -263 -108926 47326 -118597 76935 -76123 107523 3603 -68951 123867 -38469 144755 
-17521 -28109 134491 -21764 97469 -77285 227919 -19588 76912 38228 -17101 -4133 73050 -58582 -41178 81217 -84366 31012 99541 144378 -128657 -100763 -53057 -129875 198113 45916 -46943 3043 -107992 29208 9804 2434 69382 22718 37401 -65594 -23535 150544 178409 -31406 260564 -87728 -44063 -155805 -62187 6253 2480 23218 88635 -8752 -2704 16758 -143492 65393 223420 20889 60716 107983 -117848 13644 -76261 121246 41334 -69160 -93524 60808 -43848 -90026 -47938 11010 -81685 -109507 -125558 -36576 -6620 -89804 -32389 41696 -196261 43374 -83587 47678 207145 12124 -215593 -83111 83347 -41003 142198 -88450 -139297 -37991 -73510 -109693 -17788 -147 -5435 -103244 -43007 -66152 -8405 -86814 -140724 -184796 49454 149349 143596 -47040 -32744 123560 59011 -137919 171006 -46069 121540 26253 113630 74613 122519 -8079 92836 -154674 -209983 29371 -163963 -54154 78383 134121 15050 -176032 -71038 92496 46778 65460 -11334 83258 152520 -111376 68081 113664 79134 -115985 106994 82376 168993 15794 12929 243211 168821 -54244 142753 -127690 -89470 -101028 -87615 -90201 7904 -83160 -86863 -122173 -5104 82252 70398 -81264 -101663 173255 -76919 -83581 -31966 93660 2327 -93906 -124054 277138 -18714 7925 -40571 -1788 145088 16688 -11820 28386 63326 -42064 8003 9135 158504 62253 63575 50811 174784 101240 6413 85156 104575 114253 -123819 96018 -64263 -221933 -5418 -50205 65335 66372 -102265 -170650 -222820 -63418 -28630 72219 43670 27742 36741 -44120 154114 158056 153744 -9344 72911 -7497 145272 16616 -132489 110811 -11740 -72155 -65309 98250 96691 -36030 129792 -53146 3024 -35621 51069 -109980 -72307 -76740 -27710 22007 9695 42668 -66645 92462 
-6126 46503 167981 12644 94987 -121036 157680 15226 33597 61634 -17719 -34289 79823 148763 -8139 -56686 -114644 -5247 322 119914 -103395 -100776 -108278 -72873 237573 112105 -41679 -63190 -74818 2256 9851 -107693 16937 19555 -32519 -40928 -4436 163462 172842 -6895 248858 -64665 -77521 -119739 -37945 14510 61203 50548 65453 -16012 -18773 8892 11107 164126 173046 -60979 98874 66115 -164410 -11109 -96466 139913 64123 -101117 8341 58629 -3536 -110605 45158 3228 -82048 -122976 -130896 -120903 -21481 -65056 -52054 85799 -208152 33960 -106278 -1123 139858 18520 -235422 -40324 43271 -53908 106617 -126888 -59402 -52620 -69844 -2874 87574 -9899 -74324 -92226 -98592 -52831 -36703 -36454 -195661 -107155 -29441 115830 47883 9918 -71593 170308 57106 -39038 151184 680 129507 66363 -28639 109705 106145 -56301 43042 -99492 -151961 2565 -142082 -42044 79203 26277 54501 -207323 -67661 90168 -643 64978 18097 59639 -73544 -71749 39624 120018 -69531 -140261 115902 73750 153304 70815 11043 253090 72238 36045 78145 -137376 -35892 -86740 -67897 -97434 12781 40294 -87933 -34432 3527 71613 8478 -103456 -25788 217481 -183272 -85596 -31780 70182 32676 -57317 -118592 166532 38565 25327 -82634 87405 17863 15915 17540 23870 31623 -10237 -184177 109827 163275 126666 58377 157963 111805 87615 -15105 45256 116865 26557 -190513 204704 -61572 -210785 -18896 -55338 -60632 52196 -72565 -170867 -92485 -71466 -49037 93862 41552 -6254 8176 -66338 123724 183524 156481 85091 86206 -98518 49470 -8488 -149298 43281 -33246 4881 -55856 107712 117674 -45888 70599 -73340 10111 -3599 117070 -72985 -122623 -81106 -145170 5533 31946 -104483 -84684 34651 
--7174 -5405 103804 8585 101106 -125525 71080 -12972 -41411 82594 -19727 -48394 84206 221254 -36184 -166275 -136507 -13899 4850 63026 -117489 -80171 -81058 73012 198265 111407 -54663 -76205 -43826 -7414 12460 -129399 -24260 12697 -68968 -53042 -19166 83642 145999 -3244 266387 -9600 -97409 -101579 -100959 7657 73103 130589 -66445 -18297 -18483 10255 149285 163645 23877 -60242 82265 20581 -179470 -40316 -109364 125343 75949 -147280 66199 89565 -1588 -86950 78940 5648 -117316 -36944 -124099 -215663 -38644 -103230 97689 80760 -107149 44547 -96368 -20531 -7611 34970 -237498 19784 29391 -103078 62610 -122858 38376 -81492 -70086 12913 95311 -2702 -81340 48888 -158022 -66274 -29673 -68939 -172584 16260 -36235 61366 22792 83903 -31076 73022 60520 98728 88731 44065 136285 66342 -131097 118636 63243 -152516 -8147 -88664 -30434 2032 -117396 18973 79931 -116545 86406 -231088 -57682 85651 -47273 46313 36069 77118 -83778 -11639 -106240 115109 -145536 -163344 109294 67816 130162 -20440 8298 250296 -65895 120484 -103907 -18959 18009 -94448 -40670 -63050 13255 74410 -75214 -26243 93948 67158 -83211 -99340 73944 251898 -258390 -84305 -55879 56137 74436 -18511 -122946 -38929 64481 27695 -87720 116204 -75213 13882 -39860 19396 57412 54241 -290685 180036 155976 175928 3728 142460 58570 81093 -22149 -50951 100792 -44504 -102976 88673 -21747 -173006 11224 -60496 -98817 52506 -15614 -161459 21901 -80223 -3756 110183 40684 -50010 -27103 -29500 108415 190284 147326 93217 -38543 -26466 49805 16179 -143946 -61451 -34560 74564 -22978 76479 89721 -45956 -60095 -55059 6337 80618 150287 -99015 15392 -77269 -90362 28872 -15526 -216466 -116480 -10923 
--15140 -129450 -3025 -42253 97753 -90318 -1590 -66509 -91720 50008 -6458 -66616 69596 26161 -99222 -149060 -147612 -21089 122036 -45068 -55021 -53674 8731 198367 110099 175752 -107901 -117206 7571 -9095 15344 -50938 -42604 10941 -51878 -51943 -23474 12511 124144 7903 261525 45458 -52643 -49686 -66296 6114 18383 179018 -153272 6933 -38439 37431 135649 37621 -75389 22458 131826 -30288 -182169 -70087 -108745 120297 83981 -127144 65952 139081 -13958 -90718 29379 7724 -122982 84444 -72789 -265207 -19929 -82459 211441 22261 38572 41022 -93751 13956 -34117 50844 -211765 77860 7360 -124427 13109 -41313 120813 -89578 -70097 -112017 -46199 1225 -102324 134544 -170071 -99979 6756 -26552 -147967 47621 -41300 27272 -38982 104755 -21615 -33985 30815 119951 14803 84675 135380 -15503 -119643 63970 26366 -200878 31603 -94166 54776 37260 -84578 22067 80503 -162540 122501 -244467 -43063 85701 -67016 49692 65596 85008 109695 72242 -222085 125176 -203824 -142729 76052 59064 102203 -166069 4851 277354 -151208 182907 -197177 129482 50565 -102030 -8614 -50767 15398 91620 -76877 -88304 124409 67651 -151163 -104570 66992 271157 -272976 -83545 -76516 51776 119352 -37696 -102634 -66190 76182 25550 -52811 183150 -80584 15118 -16249 23895 135013 115595 -214319 168226 128358 165903 -34413 -3976 42265 59748 -46514 -78831 128058 -118917 35976 -99597 -19243 -80332 47834 -65652 21529 77514 34706 -186213 90343 -74306 48193 114572 39621 -80022 -3013 -38158 100722 198868 129821 -17680 -140272 142869 -16710 -3440 -78730 -97348 -45214 117191 10023 38164 79507 -24281 -89982 -41893 5839 101627 124839 -75842 189082 -74666 77771 34011 -81345 -217073 -113073 -52510 
--40054 -182386 -11299 -4237 82095 -39089 -53655 -127311 -186621 76423 -1914 -43915 51533 -116391 -101118 -16564 -134853 -43511 171292 -123992 -49719 -31341 85612 223517 -5239 205322 -95405 -111342 26061 -15629 13009 89889 -25065 21769 -855 3687 -66109 61561 90345 12911 268026 65550 11526 -50512 -107292 10873 -28126 165608 -152527 8955 -39008 54055 -60239 -65615 -6571 94695 69008 -82076 -135083 -111407 -98627 123751 103016 -101955 -30287 136370 -47769 -76041 -61591 -10486 -127802 160223 -49484 -242753 -4393 -98764 177178 -2961 119961 10446 -74478 47992 98613 40898 -209291 113982 24298 -57412 -39607 67252 87454 -34177 -76926 -190984 -133706 14439 -96961 112808 -125178 -110420 52301 -90776 -115342 -13715 -38199 -1963 -86916 102614 24644 -153450 35507 2703 -73408 42407 157966 -85324 3412 -11671 5871 -174179 78810 -57296 8799 39333 -32089 29372 81013 -78601 154884 -251185 -46710 84206 -77953 51275 48197 82311 285835 103009 -237326 118541 -105148 -163904 47712 38898 68993 -203604 9527 233858 -75624 214287 -44928 162950 48690 -129638 25749 -31373 19373 82300 -81876 -129170 145904 73079 -170701 -92607 -19582 273892 -215526 -90781 -88015 43143 143594 -49792 -89516 112700 69703 35142 5815 213553 -67406 11961 -4707 30265 154541 118299 -70488 111226 86352 106377 -28701 -123255 -20810 56765 -75401 -10710 123575 -150987 73928 -119625 -46705 7655 71134 -70762 135549 92795 76178 -175986 73582 -55854 99133 130222 39873 -70855 71818 -4548 63358 198961 102563 -78703 -112405 262923 -46194 12402 5956 -77185 -67494 135575 79870 32829 40549 -17836 -1829 -33103 13762 87220 52577 -48044 213688 -62932 117203 30586 -138995 -103043 -132639 -69717 
--37386 -108202 129696 -19426 68738 33329 -65855 -135160 -222760 49922 761 -44969 8060 -90158 -63331 85301 -113621 -40694 95085 -136451 -42728 -31544 100192 140354 -67278 232011 -51789 -34591 26740 -33394 14842 200539 9547 23248 46143 14579 -45372 150809 71320 6213 187722 42857 74788 -24341 -74657 26860 -6688 81604 -41752 29555 -33559 30120 -145858 -8450 118694 54361 67158 -133495 -87316 -125548 -96148 95462 111678 -30894 -148161 128104 -61590 -49355 -153122 -3581 -148421 131706 26948 -230446 15679 -76102 30111 12971 71823 14803 -95355 59597 206357 45593 -171232 115449 32912 -32246 -76909 159794 -20912 -62464 -58110 -147995 -81350 12992 -82451 -5405 -59969 -101086 66188 -71094 -14107 -172901 11366 1029 -129067 49785 36431 -168420 44648 -127297 -139442 11003 148651 -147106 133062 -90567 -16273 -220403 147694 -53912 -115219 55276 15418 -1800 82053 73660 184450 -248637 -27156 104717 -27274 45716 22478 92625 184837 118952 -96758 123839 13097 -164538 5276 30801 39174 -96235 5277 191510 55565 196382 161167 36390 13136 -123707 67150 -12091 16947 -9291 -103695 -139738 152283 75800 -128501 -82070 -80709 262120 -113439 -85302 -93070 18426 179620 -99088 -72302 270676 56638 44041 60470 183664 36935 6173 -29798 2335 140835 146528 52802 34016 46090 25447 7051 -105940 -31669 53621 -84530 76095 136820 -155252 44617 55743 -49943 61803 89405 -75743 111239 112608 102900 -165745 -63092 -66613 133324 120710 39634 -46036 110974 17650 18274 190559 69292 -17974 33397 231333 -46661 19517 61910 41447 -79776 125169 112412 66487 89316 -48495 102955 -38894 23179 36047 53514 -50671 77136 -55955 -9726 9767 -68939 45521 -155527 -60007 
--57823 10395 244230 -56779 56309 107965 -22330 -77894 -244259 35891 18052 -34166 -31145 181399 -1419 102229 -76083 -44824 -23876 -101193 -48043 -48429 48581 11202 -88976 241818 -25258 -9868 41539 -47826 16946 201186 63312 47043 54227 48297 -58322 164925 45994 9126 122314 4775 141729 -12684 -53957 13822 60499 16156 98295 46023 -32208 41484 -60587 153374 220382 -34730 59658 -171440 -17827 -151906 -74634 75293 124930 -46237 -181634 86148 -134534 -24710 -206804 961 -134571 -10549 71129 -94981 -18251 -82216 -68953 49612 -56627 33399 -100546 36986 156325 23313 -106560 83746 58535 -40413 -114985 215901 -155159 -59761 -67878 -31807 62748 24072 -44553 -102240 -14011 -63535 27403 -61005 51424 -222646 63558 17608 -119212 -10669 28670 -87744 60707 -116108 -176209 -31221 159753 -129353 174104 -131129 -75686 -174328 155933 -98549 -209731 4529 52779 -11828 82787 155476 202739 -237370 -34179 68923 30331 43030 2935 93041 -36168 124861 41199 120526 118729 -104312 -25874 29647 7062 39514 4936 138469 145014 141521 109479 -90811 -41801 -114491 107584 2883 12773 -112295 -89724 -141974 200567 68283 -46209 -100389 -100161 235326 -1150 -98770 -110467 10557 179838 -110252 -52993 196810 -41519 26741 87560 135825 150183 5475 -7921 23293 61331 96485 90091 -38922 18751 -18473 65720 20128 -67577 62021 -63828 57980 139295 -112036 -76238 205222 -54845 61055 97580 -80578 -10585 161424 57921 -192001 -172834 -59971 180869 118953 37815 4828 103366 32854 26176 167438 32207 85620 108818 88084 -70572 35817 78803 134847 -81150 38868 156371 102934 46250 1279 113307 -47877 22512 -55370 114593 -82666 -92166 -41193 -156133 19174 -18208 125600 -138865 -34569 
--54224 43682 154323 -43613 49727 163369 53980 -23047 -227203 49907 22992 -524 -52531 195426 -41366 -10141 -41902 -84558 -30931 4550 -46051 -85429 -20778 -105607 -39228 205444 -11636 -41454 36894 -53974 13896 123404 126930 25344 3792 82856 -8175 89937 7606 15138 59865 -53262 115660 16816 -67621 -15180 76012 5473 75033 62625 -45860 19037 110909 189531 154891 -77840 104372 -213632 46400 -160020 -68528 39716 132207 -79173 -244609 64948 -140838 -37349 -201777 -2050 -120469 -110932 84253 33718 -11984 -80679 38583 46821 -190546 53738 -88126 -11186 -3447 8470 -56676 27901 111115 -58925 -139557 206729 -233437 -86694 -72424 17042 109830 22358 -12295 -70640 -11474 -40783 -24318 -64784 107232 -170420 90912 38299 -172796 -53455 29424 41344 25942 27708 -185501 -8106 155621 -77137 89223 -98303 -108682 -109359 142643 -100017 -169123 -6335 73585 -43399 83285 94253 217094 -216210 -59926 79357 86459 30532 -48500 68374 -108062 63944 50652 128192 211236 -85355 -36874 25138 -22978 57493 3627 67587 61201 63415 -69521 -149208 -94043 -124825 145181 21950 16583 -184390 -85198 -150787 184968 69386 44203 -107651 -49714 194204 81829 -100091 -122210 6064 181458 -43119 -26837 -9512 -39530 38214 74353 74608 238828 3116 -33735 2317 8583 74193 -55552 -17385 11382 24929 62099 159144 -64700 85575 -30338 -37120 125198 -14196 -177788 123966 -33636 14003 112989 -85222 -103971 105256 -23479 -186743 -191311 -65128 140850 105324 36361 28554 113200 46177 -1483 147891 -7023 98432 23252 -62822 -99869 2938 57249 227153 -103862 -24078 182504 104916 80988 7290 15824 -44137 58417 -79735 146362 -110485 -121507 -33935 -109596 13414 32508 80638 -152305 4986 
--70799 -47469 23641 -30514 33897 185564 139664 18935 -157100 69483 25664 23972 -96366 38005 -79651 -136807 -4881 -59860 94725 74917 -50602 -101787 -85072 -117396 69574 204688 -45359 -51307 4063 -15929 14186 -21442 176563 27193 -59878 70256 16215 10230 6692 439 4276 -81217 115612 24508 -87934 30584 25064 57557 -37304 72907 -36930 32164 161217 64090 -12666 -6140 113323 -240699 98985 -159454 -54726 16950 128419 -120586 -231721 46362 -113904 -81037 -134707 5690 -110028 -124901 149657 72388 15830 -77763 202553 108149 -210113 41734 -97486 -20111 -35077 -5964 2987 -32508 134696 -114879 -145663 133034 -272187 -68903 -71372 -68596 61386 24247 9646 61952 -63947 -69708 -41863 -39400 124478 -80153 140398 109404 -147298 -28955 15642 127136 60763 134750 -160812 2775 129030 -8127 -56532 -13196 -116949 -67092 97832 -121173 -18867 2542 102550 -84704 83918 -49209 224388 -189132 -77067 100225 114363 40932 -40159 93967 73391 -27393 -58727 130101 123325 -57989 -27926 26075 -42313 -50070 12355 6498 -28138 -24114 -223906 -68118 -122586 -136688 180018 21255 11504 -196585 -85765 -118669 147848 79292 45339 -102365 53526 140915 82558 -96447 -124310 -22802 159439 8344 -1972 -91133 -48528 29498 26153 12465 187274 -420 -41400 -337 51157 18975 -192306 39700 29342 90948 51388 162111 -65653 102807 -19411 -97887 132792 48765 -192752 -74376 -13708 -97887 96126 -89665 -43313 95400 -80340 -163301 -105511 -66267 134356 96968 37840 58475 58659 17821 -36863 110895 -44668 -11677 -89397 -88067 -47215 -15083 8344 281795 -110184 -125249 176009 67857 12827 21692 -89492 -59189 53041 -93767 121570 -120860 -6658 -29599 58167 -13840 -33519 -55795 -159549 59719 
--69854 -160771 16476 -1872 36426 170430 212898 -9954 -125988 76712 39120 50224 -100169 -106732 -109550 -155758 11764 -67858 189341 158726 -73294 -101367 -60327 -16188 162012 208213 -92988 -79534 -33324 -17132 15983 -121375 214419 46809 -68075 57022 17988 61670 -10194 8356 -53809 -80868 39116 26745 -86864 39696 -26486 135605 -140684 75096 -74702 -12384 43804 -76238 -76461 74265 94728 -246567 155161 -158978 -61956 -291 138664 -135443 -153809 128208 -94262 -91314 -25839 3826 -96623 -23167 206081 77721 -18453 -94617 220786 71573 -112716 112794 -90921 22057 79253 -35556 33056 -79415 132606 -103887 -142586 43104 -213025 -36024 -59266 -184826 -102661 26565 36181 146643 -124101 -93728 -15833 -81103 109352 28704 138322 153884 -137668 34711 -16761 153073 62615 80742 -92956 -13492 149195 112922 -143957 43834 -129839 -24448 27542 -137897 43004 47321 96180 -67388 84736 -163951 221792 -157604 -72547 110804 109362 24476 -33666 85759 266301 -67061 -179150 116825 29487 -35737 -1881 43363 -54243 -181380 13548 -31458 -122361 -98786 -123309 62018 -115956 -136694 208423 34049 14177 -155301 -90129 -58988 158645 74239 10244 -83973 85385 88313 23274 -104936 -136198 -29218 130620 11620 19228 78936 -15676 28491 -33999 -55711 97907 -599 -3208 -8557 100860 -36254 -264990 155010 62248 162314 -8438 33402 -4022 105067 -9365 -20723 128424 132371 -91788 -137191 -38463 -185274 59107 -93796 100709 96921 -81285 -167155 -165 -67238 73460 80539 33941 35917 66363 70094 -57114 88573 -73533 -60785 -128550 29593 -45036 -13057 -78562 212740 -121078 -170573 148896 32871 16306 25777 -73535 -59598 38791 -65542 58496 -127742 151061 -22470 102824 -18751 -112912 -191663 -162175 119218 
--75855 -170922 90597 -15341 38824 127085 258391 -79486 -60044 82705 35210 92969 -103186 -62486 -72167 -51163 26009 -59923 92929 137189 -100732 -77892 -16436 142396 211413 203404 -106506 -110037 -57487 59385 16190 -125074 226232 38330 -28539 78158 1571 149333 -5188 -11724 -101689 -27043 -23428 8134 -94387 24407 -12600 161150 -162557 60898 -52232 23260 -119994 12636 -9686 77019 139877 -242918 165068 -145953 -69753 -13190 133227 -35204 -63539 133744 -55673 -100247 56045 17246 -73517 111290 211465 79680 8887 -107761 69495 46960 25501 113127 -90890 54698 205667 -44854 38329 -95308 110232 -56726 -128586 -73126 -133878 -92849 -77536 -174706 -104852 36243 96734 100201 -164701 -116600 23826 -75239 49559 48466 150455 182137 -47299 105923 -7310 73745 58500 -61661 -33879 43756 114281 115178 -100096 103832 -138810 7577 -13241 -143780 13809 47438 103362 -92615 85229 -144799 210782 -121155 -72725 75730 72395 21766 21775 91959 201886 -98055 -240361 121137 -87710 -39064 37344 46192 -57053 -176177 18070 -66973 -124765 -140044 100652 149245 -76820 -116716 229626 22136 18869 -137949 -84913 -55881 49634 71100 -76621 -96282 -6984 36361 -72056 -95818 -128937 -29329 99509 -44098 53589 254206 52131 29984 -80310 -49801 15348 -2901 -27147 -17671 153813 -12959 -205567 189153 109127 181203 -26439 -117658 -26268 101472 -11730 70973 122365 141215 17569 23221 -61476 -221943 45192 -97641 136165 71176 -85969 -159510 123459 -76483 -2216 67545 34784 -918 10512 53622 -80345 47513 -94685 -36735 -36881 192998 6987 884 -128556 153443 -128169 -230389 106023 36653 -1107 37957 51591 -50417 78046 55070 50718 -161451 195356 -24209 -29825 -15152 -125035 -230754 -155657 165229 
--81082 -60806 158906 -55933 46213 54952 252342 -127052 35104 13936 35201 124686 -66388 170055 -8869 74552 3861 -61552 -5829 66131 -130648 -40495 67100 227447 212656 137362 -104267 -92690 -94274 33334 19837 -16725 213298 10394 25586 41732 -51401 167923 11366 -2225 -79553 28326 -96852 -1642 -83144 5542 46029 174086 -29555 34132 -21134 5204 -123925 116639 135694 -10522 77596 -219786 158113 -134241 -88303 -53071 126825 -24792 13996 123674 -17398 -93802 67615 83 -66153 172620 223997 -15940 17785 -112172 -52834 -16382 118952 121577 -70765 55471 157518 -89613 54872 -76843 94155 -42562 -93184 -129406 565 -65861 -64999 -56305 -28558 37941 94712 -26768 -149598 -75087 55819 -91489 27764 -24780 69930 181648 3741 118297 -59871 -62955 38475 -151996 72473 42437 106426 33988 27495 120080 -119748 3459 42537 -119160 -119662 18609 75285 -56229 85989 -8634 195325 -80242 -69594 107535 21665 14229 23445 92202 -21199 -48452 -149107 117163 -178904 -55098 78369 58417 -48384 -46445 12715 -76362 30241 -140864 150849 103185 -20908 -122861 243508 7670 17546 16139 -71199 -55072 36930 82651 -153097 -92867 -93780 -16758 -186016 -96035 -115511 -27483 62833 -91352 79143 223059 79053 14363 -88312 24517 -60595 -4599 -55800 -9743 179620 19982 -64022 172633 152664 153135 -30184 -130092 56921 70791 -24280 71146 105020 149780 73613 189011 -67735 -210330 27473 -101106 46441 51509 -44783 -178656 62361 -69404 -62807 58034 34985 -34479 -13931 53820 -86977 15395 -110497 82294 62704 265635 84972 -374 -154594 31201 -133973 -240553 55861 75548 -24202 19338 130202 -21985 95417 100790 95126 -123592 103184 -24203 -168803 -3872 -105138 -147750 -140634 186748 
--79825 38217 161240 -35548 58330 -20476 204731 -127433 83744 39592 28243 146057 -28399 211310 -20686 110618 -19165 -74138 -6379 -20561 -120928 -26789 118959 194071 143698 146567 -27828 -63778 -137247 93791 16467 120582 172785 42320 67820 49029 -54026 89021 30453 -11818 -108318 58262 -81385 -5768 -49575 31981 78062 88174 82025 29780 -72472 -763 22409 198046 220599 -79349 33521 -194858 105026 -88409 -98520 -47379 124656 -19186 41596 71419 -1811 -89020 15255 2085 -55763 107105 255987 -149618 1331 -66036 -44121 -11230 78396 112111 -92186 19529 23943 -119246 58093 -28740 40630 -28586 -51149 -123908 69455 -75065 -56763 12642 115617 47000 132074 -114998 -100501 -51067 61921 -41074 -60863 -151619 55260 153335 30628 74521 -51844 -153485 27211 -62615 132462 26777 113171 -46954 150519 83448 -106538 -34346 71222 -54813 -183994 -3642 32768 -37923 86764 115244 172744 -43254 -57344 94854 -34350 15846 69753 68177 -104420 -16617 13561 120184 -196898 -91734 98741 74550 -31246 62099 18010 -59116 142896 -98160 -42663 -52275 29300 -135674 250563 -27563 14406 82240 -72052 -51673 -28064 71471 -174388 -98233 -97486 -57537 -261595 -90165 -120685 -42133 12928 -90181 102909 22397 93509 7006 -57994 38128 -101202 -8133 -47576 -9490 76411 69619 104480 84755 166619 95219 26820 -22132 99935 55788 -37980 -28782 100373 135974 33086 154500 -43478 -188786 18089 -104218 -77068 91256 -12106 -191085 -68770 -78295 -14624 50147 34229 -66085 41302 71919 -90247 -27881 -111785 92749 78540 184719 98423 5654 -146122 -78558 -144246 -223254 -8669 106546 -30621 35448 81264 -30718 84712 124562 146445 -99491 -99962 -31331 -92540 6181 -22827 814 -157673 196720 
--88861 23812 71753 -27175 73167 -84778 127907 -73611 111446 12163 20069 162806 17355 15972 -73111 27159 -48638 -73106 121298 -105996 -101521 -35660 97985 66434 42860 58898 -80912 -13357 -167972 58697 20457 213750 115777 11203 38780 23654 -52994 20790 48445 -36550 -49105 57771 -58424 -14799 -15600 -5667 31819 32314 99821 15442 -36229 -20055 150919 102152 157941 -33047 76244 -154866 53928 -74495 -107601 -61935 128954 -73204 41204 60295 -19561 -53543 -90936 167 -49815 -22057 247784 -204176 42623 -74808 129631 55461 -50193 113605 -111190 -17985 -40893 -131719 31385 32706 30378 -77421 -9665 -45251 95075 -69690 -64524 -34322 99335 48702 111347 -71414 -44926 -28518 25317 -81823 -115946 -234268 11074 124429 115705 11189 -36051 -159490 28223 80130 173101 -18208 131605 -157117 164790 -2959 -74371 -52598 160598 -75110 -177605 6777 -13041 7316 87256 142201 142628 -3538 -31816 98405 -67004 20498 49785 102697 48375 62190 66064 114042 -80349 -106861 110461 84032 -6545 53390 19614 -80419 113633 -23857 -189736 -131427 53628 -125407 246296 -24133 17563 104307 -80640 -86488 -88948 66205 -92437 -92752 -46085 -86337 -272870 -95954 -121305 -39680 -24178 -77719 134550 -87373 56793 -4775 -619 144707 -70016 -10073 -47581 -29324 37111 77780 117011 -16731 164503 38239 64335 120838 105233 54202 -48397 -99899 95382 73035 -80241 -45189 -32148 -63706 -34602 -106916 -80894 90196 47785 -169380 -175869 -64694 -40125 44348 34809 -82270 38761 75003 -104358 -56984 -105194 22567 -21910 22282 116726 -413 -55578 -118858 -157858 -161127 -42796 98562 -31981 62652 -35669 -33512 108759 86579 129922 -98022 -137883 -38464 87783 10535 10824 113508 -119543 173358 
--81279 -89503 -3998 -24557 83422 -124438 39503 -2597 76683 9361 17318 171828 64468 -128527 -110148 -117859 -88452 -56621 179923 -144507 -93458 -58780 26962 -72798 -57831 16216 -68841 11541 -176744 27539 20877 204083 49614 -6256 -16635 11071 -37031 56281 76956 -32723 -30158 21632 3671 -43080 -90588 28665 -20264 24544 -6011 -26822 -25494 -24462 114116 -45856 -6556 55374 76294 -104525 -27644 -21633 -106962 -49018 115716 -152832 -63031 62473 -13758 -32552 -182088 -6617 -45953 -125776 234683 -237710 54820 -72477 226334 58507 -179476 105495 -86581 -10675 60061 -162481 8903 87193 4397 -122430 42986 35422 42761 -88495 -64881 -159366 -32341 47002 61613 82116 -5161 -69218 -18733 -8324 -178836 -175861 -63125 62751 179198 -34192 13109 -68526 48298 143122 167102 -39870 115845 -132066 64583 -72661 -55972 -121437 173739 -49766 -10816 42989 -39685 34754 88244 37935 109649 28735 -41113 91254 -74489 11864 70776 88288 246225 120562 15770 112660 62748 -130118 102061 95112 23146 -81553 16595 -46097 -25378 68546 -147901 -137765 42292 -133499 234144 -54741 21779 119486 -81068 -132171 -77613 74864 -33359 -103538 72669 -100820 -204027 -85994 -99864 -28691 -48558 -12413 146660 43692 15765 -902 55237 154126 44016 -12646 -46206 -23672 30355 131856 -68481 -46933 141472 -4505 48386 174200 145847 69893 -58012 -38712 86105 -1963 -186823 -139538 -18973 30258 -54532 -109227 42343 113440 47199 -125799 -204512 -80545 27764 44613 34131 -63060 75129 61815 -85028 -90524 -83245 -46652 -116826 -89563 112612 28525 6237 -56446 -159947 -68130 -50538 60148 -68416 50219 -94991 -47208 99455 16893 66907 -91989 6792 -51308 113459 39415 -12909 108591 -135172 124223 
--79877 -180408 61622 37632 98075 -123524 -25403 21058 52796 69496 6435 165311 97755 -53887 -76195 -167796 -122672 -85831 114422 -80480 -36113 -83547 -67180 -133923 -92439 -4953 -40547 -25422 -190292 68326 19896 104874 -724 13179 -71497 -19114 -1436 149574 110276 -37359 25868 -42415 69313 -45427 -108220 48974 -21587 26558 -119950 -28503 -83026 -58985 -48718 -18686 -96714 93744 104574 -52871 -92893 8179 -110281 -49702 109144 -84308 -121681 127179 -54521 -47133 -224496 -11653 -59881 -109235 178443 -244010 44504 -117312 155178 45728 -209847 111199 -94940 35988 201097 -178362 -23964 117234 12115 -82997 88310 141075 -61402 -65600 -64835 -201696 -130263 52817 59557 153083 -31185 -113086 -40574 -40921 -178682 -32198 -63508 23484 193174 -48550 37513 83012 43019 29435 136878 -32660 75962 -85681 -82571 -115466 -52363 -178706 154832 -17814 60324 61315 -71355 36855 88647 -105226 77943 55168 -46702 93055 -52776 -5827 -8082 91665 226499 150250 -151237 112102 146414 -141805 71667 89285 54557 -184481 17986 -32519 -142382 145249 84831 -387 -150 -134978 214151 -98481 13099 -18389 -87231 -116698 -86678 59588 36823 -91536 89292 -98281 -114093 -75306 -95424 -34365 -67424 -959 173486 241211 -9336 -12789 86011 210507 144515 -13911 -43871 -31457 99067 105670 -246076 10406 97890 18827 41176 70603 205667 84346 -75476 70990 90500 -77815 -179175 -15436 -61857 102317 -111843 -111096 139476 147698 109481 -139884 -100682 -76999 100860 51379 36612 -15746 127041 74232 -104612 -98574 -56601 -38573 -92869 -53903 185572 12762 73709 40769 -174089 34602 -64035 30602 -44037 91466 -23733 -62556 118862 -32610 55080 -61401 160500 -58685 -29985 39716 -102468 -7116 -105707 78982 
--76519 -138180 153886 -30345 98630 -90007 -62286 -21709 -20267 72307 -350 152505 71577 209376 -26899 -82621 -142465 -65537 -6472 -9959 -42999 -106288 -124282 -62298 -78233 -63570 -13060 -26645 -170507 29959 23060 -33321 -34505 4633 -68487 -40958 -20783 170623 144791 -62655 105148 -82025 138872 -93790 -96486 18095 39190 110010 -162189 7010 -51583 -56312 -151438 126574 -14232 30526 94164 -3729 -133755 36056 -88803 -30828 87914 -71921 -213284 141989 -77027 -45875 -185573 -783 -74273 -9049 149291 -211187 48735 -75293 -10478 77307 -127320 71104 -98931 64223 178849 -198017 -101425 111296 49877 -61685 130364 206539 -179487 -68148 -77293 -100983 -66479 57126 22581 87737 -88853 -116020 -31921 -71651 -168831 31556 -47545 -7008 180066 12481 50006 156736 47279 -106030 65364 48074 90446 -14699 -144245 -95934 -21833 -200144 88147 -120622 17046 33331 -128599 13696 89261 -165892 40433 75749 -44586 79321 -8112 1993 -35890 101159 35782 93573 -228474 106418 180665 -169912 23888 76730 87962 -161395 12913 2372 -79130 198234 146827 126625 -56637 -123185 184331 -96691 24935 -95187 -90177 -139524 -68506 72924 57122 -92361 7719 -76736 -542 -89714 -85731 -21688 -76943 -7765 181696 244616 -24089 -11000 76452 209674 193264 -15850 -56304 -46511 163135 65376 -256300 80712 58863 88516 11654 -79595 201483 109708 -56143 83697 78464 -128348 -84572 175780 -76896 57482 -144056 -112438 95370 143121 76628 -133820 83095 -62270 157731 63062 36377 26498 94628 47339 -71266 -116326 -22728 50958 -1627 100002 173446 18612 103331 148823 -178041 115006 -25066 42770 -67668 47156 81255 -72600 123566 -105363 94616 -53218 184989 -69178 -151764 16982 -130937 -152695 -78706 16451 
--74221 -18392 152629 -37178 108452 -30918 -50152 -86632 -123735 56143 -18165 140898 89968 254270 -6848 49952 -145920 -21104 -8275 87904 -37857 -96975 -82216 93313 22000 -112020 -60620 -105815 -147453 -25710 20067 -123866 -38269 -12804 -5557 -38926 3927 87299 157673 -71163 174198 -82942 153876 -97515 -108435 28017 82273 175625 -80259 -5291 -72397 -56017 -78544 192587 141049 -57489 95272 48240 -177514 61397 -79043 -34456 86793 -56449 -251601 143861 -112431 -55540 -94221 10891 -71985 126680 91952 -127184 58424 -84573 -73389 66037 18678 92284 -116175 59299 28458 -205301 -132709 73678 74340 -36503 163379 211111 -251048 -81705 -78443 10542 22808 45239 -53338 -45482 -146585 -75631 35811 -49701 -116195 52305 24649 -14568 211072 68349 53217 140272 51410 -133074 -14915 38591 82639 69003 -79480 -43416 7599 -206042 39636 -115075 -91099 12740 -168176 8334 89944 -96404 4397 87602 -58442 81781 45576 -5909 -30132 97755 -109284 53074 -185961 105305 98576 -145548 -7368 70669 121536 -20592 8109 60860 44049 212712 -2596 161874 -104460 -122626 150040 -105945 19754 -194235 -91312 -92194 -65242 72958 -37749 -84097 -76930 -45823 68413 -84772 -63061 -15693 -60341 -87403 202810 48863 -22337 -25610 31517 164162 196533 -19517 -50974 -66135 152205 36210 -159660 170635 24487 154650 -26539 -160917 195391 108320 -48963 -35017 67688 -158190 22894 174853 -48176 16666 -166886 -113340 -36439 151449 16575 -155607 164354 -63723 196120 77529 36765 58813 57705 59628 -41459 -131805 14372 103254 114068 240769 168876 27459 37210 215865 -190881 136665 34879 83713 -104246 87827 132449 -37821 142422 -85181 146917 -57705 68596 -76118 -78805 45112 -104755 -231060 -69009 -21212 
--74412 50024 132492 -43076 92999 43040 -3456 -134012 -157443 40108 -6908 108406 37447 44335 -63451 115775 -130740 -51822 114908 143208 -48769 -73150 36306 205462 111683 -110758 -117908 -100283 -119022 2927 23474 -106123 -17851 30354 44805 -36979 -12522 24547 176399 -85463 222216 -47327 125041 -117340 -66973 17326 44341 154135 75050 21901 -75824 -73526 113604 112622 218640 -65013 75632 87036 -183814 83253 -59440 -20597 68759 -34731 -211832 120248 -122730 -82471 11924 3389 -104237 166871 50331 5475 82867 -104947 78027 16702 115960 58444 -109984 12942 -43324 -224517 -181314 16681 120519 -36077 185137 145702 -252206 -82570 -75160 1017 107829 61926 -93545 -102418 -168530 -43436 52334 -66721 -66111 -69657 43479 13954 142735 104789 13038 31755 41557 1590 -98154 53960 63474 87582 57237 20829 51556 -172954 11190 -106979 -192738 5882 -165547 -45627 90531 50569 -25480 91338 -73037 99526 93053 -7024 -44087 100654 18828 -18624 -47638 102725 -44573 -120025 -28388 69214 147666 71194 11786 113992 103430 189592 -184330 40297 -124400 -149866 113363 -118964 17224 -185946 -72052 -86415 -72780 74399 -73175 -97397 -121569 2218 83671 -72767 -52471 -5939 -47066 -100566 212850 -89388 -18616 -32208 -27959 109849 111071 -22654 -72891 -52910 95433 -778 4581 184979 13745 187342 -20264 -48737 161780 103146 -13076 -98521 67640 -147101 94278 -4258 -26584 -79857 -203509 -113791 -110287 105861 -46395 -129440 75370 -46653 159187 96022 37196 39427 29631 24205 -21959 -126445 50593 33302 39224 251740 141087 38187 -10538 251199 -197974 146878 75212 109115 -115476 74075 35882 -19095 121813 -35769 135605 -88915 -106491 -81887 41556 15647 -45866 -185627 -37673 -58914 
--63146 -14579 1987 -72399 87575 114961 77302 -125778 -216351 35102 -21441 84724 33225 -145908 -122366 49178 -110680 -22629 199882 141013 -63794 -36628 75808 237741 216712 -157508 -64130 -65798 -87014 -20476 24107 -21537 24631 -14654 52698 -26424 19412 61788 182019 -89135 258769 14400 67046 -169217 -56678 7036 -12606 96509 103574 57318 -85571 -21131 162390 -12735 146563 26698 43032 126118 -161739 94400 -59390 -4258 55500 -120622 -135969 71853 -112041 -104221 67756 5422 -117274 104473 1537 68249 68220 -99841 201729 14854 91464 46748 -98289 -19337 47813 -227379 -212581 -44257 124414 -82895 189656 50967 -222215 -80486 -80600 -127736 64895 84478 -74334 -43485 -136779 -51252 35561 -39009 39601 -167825 67679 33033 47113 89129 2151 -101804 50555 130890 -151174 -15978 53989 37675 163326 94426 76622 -138115 41005 -154058 -171103 33266 -169378 -69682 91328 148078 -52764 85444 -69800 95417 121197 -24393 -24955 126046 221145 -45820 94677 92782 -143702 -93880 -37239 46207 162267 8160 2807 126250 138969 122414 -171072 -78325 -108439 -133957 75096 -106784 18954 -197470 -85316 -24863 -18114 74221 -170597 -94675 -22826 55019 29882 -107926 -34878 4509 -12916 -93666 211768 9847 45034 -40011 -75422 28664 40460 -25206 -104620 -65830 42804 -9807 118096 146153 26413 152461 34210 104068 119180 76119 -12061 -39546 63583 -60199 31999 -134132 -22944 -171029 -192486 -113707 -15503 117210 -86348 -132612 -79774 -51942 101661 102067 38804 20878 6551 47790 14378 -122732 89850 -82084 -79611 120378 141162 45685 -68302 237366 -195727 91478 120544 92574 -86558 87725 -65385 -22120 128895 28704 70801 -104209 -127202 -78401 128597 10048 30061 -50168 -23123 -70988 
--52243 -131386 31655 -31371 70667 166161 170974 -70465 -244013 35718 -17109 54423 -21740 -40078 -94652 -97574 -77761 -1870 163403 79959 -39509 -29260 97956 140139 231493 -148411 -44878 -86368 -35595 -37989 25387 138000 83292 30697 9401 -38472 -44066 145030 199047 -99305 276026 51514 -12850 -152178 -79397 25166 -23509 41766 14484 67153 -68628 -84240 65826 -49315 172 88762 73626 145648 -103263 100197 -62466 38662 32237 -144641 -14764 57853 -122363 -100774 71208 -5565 -118245 -34988 -41283 120625 67733 -108279 197029 17346 -37841 37087 -82363 -1317 184755 -210332 -213172 -85007 116106 -100055 180229 -49738 -72080 -57104 -66070 -204391 -64641 72909 -94906 84730 -74081 -88201 20383 -59887 119785 -249534 159916 84296 -20875 51178 -78153 -166980 56866 96976 -191066 -30719 51201 -46661 152218 130134 102159 -102783 78327 -126040 -30908 60270 -132280 -89578 91863 107237 -75881 70729 -75622 88254 106235 -21243 19153 121548 264900 -82456 37164 97989 -211884 -78811 -14897 43102 175078 -108071 4460 195368 -19601 35857 29001 -118499 -62990 -150861 32632 -101811 18934 -110259 -82926 -32689 -18795 70530 -182416 -85975 58068 104489 -73552 -94333 2598 29862 31600 -72133 220367 224589 76174 -34192 -90072 -28306 -77367 -25425 -82237 -67097 62538 -4859 63110 64352 48914 75592 60163 178265 123091 48150 -55949 66365 57674 -1016 -104876 -46838 -65253 -226851 -201442 -113153 113361 81156 -82632 -115281 -216254 -70724 91712 111180 39641 -27172 -16243 12926 38586 -104350 119477 -66629 -154561 -40876 94736 7682 -138158 127156 -200101 15566 171756 51670 -125563 64395 -80540 -29459 117894 106103 39546 -145309 10237 -71922 -9518 -13537 3407 85273 -21442 -53038 
--43915 -179930 83573 4636 44560 186128 230976 -18179 -226602 53274 -17927 18076 -58739 191706 -44234 -162143 -33109 2201 37881 -13981 -108391 -35051 72447 -19057 173506 -130775 -32424 -13978 -1058 -18474 31078 230525 140877 3170 -52124 3160 -45473 165272 196697 -116992 238638 71527 -75764 -166745 -51531 20251 29120 8500 -114565 74636 -87235 -55575 -128059 57214 -78081 58217 97589 153301 -46944 95393 -78743 50981 19285 -137713 60090 81937 -68450 -86432 -15466 6214 -125537 -120949 -95463 70478 41003 -82663 45054 72396 -170175 37276 -69775 35151 186282 -211823 -230587 -94182 111383 -76867 160438 -125431 30415 -102635 -67519 -134901 -122250 88779 -109869 144310 -20767 -121146 -18370 -82800 158139 -183515 154494 122348 -31435 -11563 -57376 -134594 26328 -24888 -178203 -34323 46359 -129183 34415 79949 147593 -27027 140367 -120305 54426 32007 -121301 -101419 92397 -31881 -88142 49252 -36741 87531 80265 -23922 42175 119773 44808 -65941 -92973 100631 -156827 -12379 15354 23660 173364 -207730 9852 265004 -130547 -50767 129021 -69193 -6327 -154656 -4948 -68046 22586 -39260 -76526 -90950 79266 66261 -120151 -81222 57580 160464 -182343 -88754 12944 38019 63041 13560 215056 274506 101923 -31607 -63218 -21909 -81556 -26703 -78288 -76053 120080 14525 -103573 -19670 95555 15184 76669 91289 80856 38450 -42055 77658 68402 101647 -189165 149211 -69942 -232552 -223092 -112108 133439 79574 -108129 -124888 -212093 -72383 10039 121876 41606 -56766 21422 38002 71158 -84799 140849 56445 -48833 -92225 37578 29459 -170624 30141 -213508 -57640 169800 30204 -94157 84345 15963 -41703 111650 102311 87801 -132827 157509 -63296 -140625 -10395 -58747 124560 14508 -22800 
--28604 -101541 174436 -19237 50676 169421 267240 7511 -208444 32426 -7396 -10489 -77972 220873 -11312 -127997 223 -21854 888 -124926 -84137 -63815 -44175 -122732 86178 -111252 -20408 -24191 23203 -28430 29124 190975 192093 -11493 -74421 -4954 -57604 98523 180955 -121655 225358 35633 -84219 -190214 -39494 38676 74742 27295 -134265 73750 -62930 -89738 -136039 187566 -7175 -30837 94388 152293 22971 83177 -76827 79069 4901 -48828 57398 131816 -72213 -74959 -116379 563 -130596 -110171 -114373 -8966 83709 -103727 -74326 52563 -221698 7277 -118646 52173 47235 -197000 -216122 -69924 89992 -44812 127942 -134483 98161 -75716 -68433 -17789 -32647 71247 -87471 77533 -10521 -94313 -35789 -66810 171207 -93908 145085 166760 -75804 -40187 -46808 -29845 24982 -120758 -151804 964 50055 -139701 -102308 9924 138386 26926 167311 -100502 36278 17485 -71707 -73235 93173 -144632 -95598 18841 -29644 98371 12253 -34354 49284 82288 -99724 -5276 -222570 95468 -37626 -12608 48948 18140 161317 -134411 9563 228298 -119391 -116992 74043 84917 38772 -127490 -34768 -38424 20439 59786 -76123 -88776 92355 69709 -19877 -98179 9884 209388 -263640 -97246 17766 54801 102685 -24284 198925 76020 65438 -23897 -7580 -3353 -58428 -26678 -109978 -76845 169421 82641 -212462 -32867 138196 6293 35625 -53079 13140 53574 -42230 -11543 73103 117121 -185155 194491 -49398 -176150 -218110 -110623 18417 71237 -53142 -112672 -75979 -45172 -24817 119933 39718 -72467 57762 3882 96208 -57436 153813 131674 64935 279 6336 20096 -107228 -63000 -210339 -129169 152905 50424 -100321 86496 115015 -80286 100803 63402 147280 -122595 204091 -55512 -110191 -8323 -120613 42380 37660 27119 
--19752 17561 146798 -3664 40386 116193 241156 -15724 -113134 78554 -1719 -32330 -88392 24628 -53180 21474 12709 37606 58084 -138776 -119984 -90156 -99543 -109323 -19680 -115648 -62743 -45268 27057 -21345 31115 84531 222086 13001 -34941 59712 -19173 25877 168679 -132450 216329 -13749 -91732 -212545 -83897 25181 61437 106778 -104320 50919 -57109 -72855 11796 138121 133546 -74991 130908 130707 83746 56476 -95832 95075 -19658 -35993 -9739 154490 -30297 -36010 -191801 5873 -121023 130 -140460 -126024 65652 -93384 5594 59208 -137003 29277 -94812 47016 -49080 -194552 -203608 -16348 56114 -19951 87404 -88657 109124 -83478 -74537 14917 89613 80799 -16496 -68328 -49669 -61672 -12178 -71048 105447 1222 108822 176745 -141660 -18132 -16017 95692 32298 -101446 -68963 40822 54831 -117289 -144357 -71279 171144 29020 147123 -60900 -111831 6631 -37180 -46423 93829 -149492 -93999 -16401 -27698 105463 -32090 -42961 53628 69086 -19094 45729 -246801 92563 129412 -40265 84532 22220 143832 -1445 6177 259411 -26114 -144210 -151963 144822 54184 -119952 -62883 -32635 17862 132476 -66151 -94151 134787 83909 65195 -86298 -115349 246639 -270213 -106152 23001 53370 142933 -41297 181852 -84183 35938 -29089 50531 41577 36178 -29616 -113925 -71477 135469 124751 -217937 45170 167376 28039 -8382 -150666 -9841 66961 -37386 -90029 56244 154823 -51336 24922 -45307 -74121 -206631 -108652 -96305 103894 9545 -95833 58507 -69208 -61645 118987 43514 -65137 137932 23143 117428 -17657 156058 50517 89315 166699 -75749 -675 -68297 -74259 -217976 -229381 137796 91032 -88944 55725 102803 -54432 88428 -14432 136078 -145199 70426 -44146 55134 -4673 -96415 -107740 64823 84070 
--2970 39423 31711 -47963 42520 48897 179924 -86564 -4353 52150 6974 -49521 -84461 -115405 -114791 115154 22100 35282 187709 -100649 -123100 -101480 -94172 8659 -93219 -63033 -55727 -101773 38685 -23350 33430 -42718 220166 8078 23672 54126 -27663 52623 130996 -132313 145462 -74635 -8212 -202334 -97697 3829 -12295 153546 32456 42507 -45533 -67658 184524 12459 213309 -23422 71511 99973 132000 37630 -104396 109626 -32369 -72920 -71178 116116 3242 -67039 -222611 448 -122898 123200 -120814 -243700 59174 -86709 175587 48363 10231 45077 -101646 4685 33380 -166288 -152408 45178 12339 -55548 39653 26214 9248 -89008 -87149 -86476 103679 94312 20366 -121417 -108017 -39089 30695 -34969 59762 52142 34910 183202 -163001 32349 5664 164303 42740 59820 7256 51021 24550 17514 -53176 -104363 168081 -3375 94656 -73438 -195476 2521 -1363 12710 94536 -31783 -84637 -53594 -33426 105700 -68773 -44203 37953 92240 208949 112611 -96267 90124 167313 -60923 107321 31761 117920 76380 7172 231715 119263 -131854 -207042 112087 33708 -135275 -84175 -506 20912 99379 -62926 -147993 140612 73249 63509 -107958 -126623 267168 -217816 -95510 35848 69413 167520 -106583 174556 -9701 -38700 -14179 85990 119956 177030 -30292 -136484 -84537 111255 118864 -140203 135100 173319 88900 -39548 -75379 -41669 93684 -63684 -56692 86044 123808 40372 -121793 -21164 -14684 -195975 -106224 -70892 118050 56664 -96157 146082 -56897 -14794 106110 43966 -23030 95508 -28324 138320 9548 147503 -61664 2454 263761 -78669 31916 -1384 -75449 -222098 -244511 107618 109218 -102321 30926 -9198 -49452 86141 -62203 72406 -130685 -60831 -29210 122455 11070 -57956 -216265 52896 130468 
-10394 -56920 -13354 -48227 45248 -24324 97834 -135961 45576 31012 10714 -54896 -74887 -20109 -97301 83017 8958 30767 156726 -26227 -86250 -91791 -32698 163162 -82047 -26874 -103252 -78878 27249 1152 34766 -119091 199568 -5044 60800 72643 -31309 147406 97877 -142752 93942 -84857 31482 -202672 -89481 24453 -25928 170432 98314 23656 -40911 -71703 122949 -55845 132894 74577 48601 64139 163128 14700 -110322 124820 -46748 -109116 -191201 69113 -11219 -54873 -175443 5842 -100432 165680 -123036 -268974 71074 -52495 233285 -7949 107057 65732 -81387 -31003 175939 -147277 -138600 95916 572 -71865 -9023 119714 -93596 -80696 -68398 -184778 -24194 94310 53756 -37611 -158191 -67552 68349 -50110 -25962 -66440 -14969 131821 -138322 104533 38060 107411 59992 147102 93460 41957 -10 61836 86637 -105228 180584 -39441 48182 -60172 -168704 59627 59429 23154 94969 107253 -67998 -92399 -45069 108760 -66340 -46616 -4780 85987 263756 141554 35863 79973 172605 -65131 106148 45384 83757 -11987 9245 191368 104734 -79051 10225 -30471 -14422 -146090 -98041 -1991 14763 37150 -93284 -127562 185175 69530 -34489 -97266 -32153 274311 -111259 -73272 43129 87949 180916 -125396 156140 203706 -65564 -13388 79682 170667 191779 -34936 -140586 -84771 22225 103207 21678 178435 145458 155675 -19891 70436 -52278 116751 -48398 48226 85786 66453 95779 -78261 -14049 42219 -155853 -103374 65422 116797 84988 -98082 54037 -72026 21297 92203 44170 6913 77178 -26723 121225 55897 128445 -45589 -143419 209458 -89232 34645 82334 16324 -230382 -233069 27320 84833 -84494 61088 -87678 -35034 73464 -73113 44064 -89577 -156376 -32734 -20719 -5832 19160 -214000 80374 169249 
-21933 -164201 79700 -37808 54762 -89398 18343 -123406 31383 11383 18088 -58188 -31103 164895 -38229 -39228 -21467 39862 17217 90097 -72769 -65617 40174 233547 -31143 -15884 -61120 -145276 16503 79902 33122 -88712 150986 31735 40138 91260 2685 170075 79366 -148553 47813 -67807 121618 -199553 -106201 24577 23792 107866 58003 19673 -53837 -40995 -60027 55647 -9297 72817 53858 11279 159854 -31074 -104718 112143 -58798 -143162 -257285 44244 -47323 -69816 -71715 23023 -90209 76958 -121112 -255903 70667 -80506 126295 4803 96236 66765 -92533 20 202920 -127411 -65906 118587 29739 -111612 -58077 193090 -199314 -101899 -89298 -163796 -156390 105365 72578 114284 -159569 -105467 48623 -67834 -90090 -176816 -59455 95773 -152204 112092 30122 -3020 49207 62868 150062 2614 14162 121532 176927 -60152 162483 -102766 7494 -33106 -62826 47814 78885 48010 95612 153751 -44669 -130202 -57510 103064 -44558 -64210 -56046 90580 80586 98345 67950 86882 49678 -112389 95333 57052 51641 -150103 9220 175938 17601 1880 137586 -137535 -70861 -168827 -100188 5524 18861 -83011 -70358 -81560 139411 68574 -104361 -83125 90058 267069 -1511 -95391 49400 95765 177224 -69466 133322 279807 -46942 -382 37002 227679 192183 -36398 -108436 -86845 22709 60966 91097 194353 113620 186802 36339 162823 -91364 98525 -6593 87069 104398 -11052 14089 116025 -46765 68779 -113889 -100181 145155 87076 53383 -68030 -117173 -56281 93326 82107 46244 41273 -3625 -61729 138607 90481 100449 36286 -108195 47349 -71562 53451 86973 118997 -230797 -200317 -3170 44075 -79838 51849 -45501 -29848 56192 -61611 79908 -77382 -39249 -20691 -148192 3573 34560 -100715 95913 199187 
-27913 -165072 165009 124 69714 -123139 -40126 -50860 107759 20408 21283 -46605 11061 239463 -1147 -160941 -59892 77165 -28473 132652 -74573 -37343 79189 192649 81982 23721 -137605 -36748 -11636 42078 37409 7054 94281 -4958 -15934 75247 -46680 91098 43099 -142680 -27252 -9625 147610 -193154 -77342 21573 69417 41059 -66218 -2681 -27893 -44036 -144632 162526 -67641 1019 66319 -37557 128794 -63713 -84756 109826 -75254 -124028 -217996 49271 -66686 -71410 35590 6301 -79480 -45320 -80328 -209529 64026 -104254 -51266 27223 -17485 85560 -78819 34686 60257 -102892 -32103 107197 65308 -83336 -94421 211334 -263683 -69858 -89598 -41183 -110734 96758 96691 150218 -112390 -122744 5059 -56532 -154898 -209527 -75043 52811 -163500 73575 24365 -106188 54506 -90606 179063 -10318 -445 63917 137333 16324 135928 -166843 28724 -79661 56931 -674 94925 32066 96511 59945 -17218 -167596 -58688 96944 5692 -59287 -51238 106837 -98715 40343 -59153 72174 -82874 -129097 64000 67965 15959 -190658 16029 120953 -106855 92604 83226 -128711 -112919 -155950 -95113 12644 19644 -177467 -76090 -74156 166432 65221 -175445 -87003 94080 239452 76128 -82737 24273 110055 166300 -11533 105309 109891 -27478 -7294 -22665 185945 111146 -38589 -127699 -80394 84346 -34217 35526 106444 70760 130218 57875 119292 -49388 82522 -11968 -2418 101224 -74083 -105021 205881 -86466 5958 -122961 -96587 75906 111426 49157 -86462 -202929 -68689 151904 73147 46054 48087 3097 -50994 144814 109540 68027 116586 14308 -83435 -71347 39298 34363 272952 -231346 -128286 -38463 30510 -109679 38561 64368 -34265 63535 5018 141095 -78285 121674 -19597 -119558 17069 -56744 47061 119885 186479 
-44940 -57532 147004 32777 76442 -122825 -66578 4780 109057 45151 29944 -30726 40493 23661 -56477 -143767 -96598 89450 61676 160994 -67023 -24479 107264 68451 188201 102790 -93500 -45267 -66321 56442 38753 150432 33467 16372 -64993 77629 -43824 19006 22139 -143236 -79462 39611 129921 -147771 -35375 -9508 57605 15714 -151933 -13575 -30912 -23360 -59380 180677 -10804 -71396 70752 -90238 79814 -104033 -76899 88965 -101097 -56200 -150866 118847 -97004 -94221 74860 3614 -62254 -135935 -44204 -103215 69792 -85426 -51014 73772 -163842 111189 -100941 57686 -42949 -84898 19227 64213 87987 -45890 -127184 173593 -258928 -71296 -104871 23848 17226 107251 101228 48388 -54820 -98516 -33402 -83050 -174381 -155271 -9847 19664 -60393 26127 13639 -165368 43782 -131552 165422 -21813 -1939 -19572 1923 71343 93782 -218484 76880 -125926 36950 -4310 108571 -2183 96966 -85094 16041 -198675 -83891 123972 61954 -71675 -22556 97769 -54349 -21571 -198449 66277 -186341 -147620 14167 76026 -12973 -102916 15388 63621 -126638 166726 -131276 -9427 -123692 -162020 -79207 29967 21359 -204033 -76108 -46230 140828 67834 -180313 -93394 9694 200285 87404 -78986 21689 94688 150802 3098 96771 -76907 37621 -2806 -72881 180020 39763 -37206 -168097 -104886 122492 -29797 -127887 5648 24203 39406 70192 -19693 -30665 53834 -13079 -91603 100390 -108745 -179697 63810 -46520 -47540 -80865 -92651 -65253 102454 -22957 -49594 -173455 -58704 191595 56749 47628 30158 -28934 -90590 150068 149221 30730 45860 94641 -71837 -33942 26932 -16148 247211 -231020 -53733 -70564 57622 -97741 24537 134246 -45427 41022 73158 143330 -54844 223868 -26587 55026 37730 -100944 125433 117746 157792 
-64001 38893 85079 1441 88745 -90430 -39823 962 50792 39119 40984 -7593 63389 -155938 -118399 -12889 -128996 106471 163294 75252 -53333 -44959 11733 -77447 226593 126473 -32028 -23349 -89273 54035 38786 220978 -15280 -1705 -69217 62367 -21763 56048 12471 -153825 -78358 68938 73266 -132454 -75977 37397 191 39796 -139350 612 -33735 -38513 98775 25904 139490 -43120 114813 -137411 18510 -130670 -63303 76574 -105866 -44329 -74232 126285 -100746 -100782 49226 -3003 -46643 -96267 -5707 -26595 56345 -52072 90414 50485 -221013 70188 -106451 31883 18851 -48382 34727 3502 123548 -41772 -145283 87336 -160556 -82662 -90050 -44507 123766 114249 125156 -83250 -10751 -56400 -48831 -61644 -172175 -45378 31455 -6230 -1734 -23034 -2503 -127550 28831 -52544 105045 11081 17773 -89211 -118489 126118 75150 -239296 135430 -123613 -102644 227 96295 -28313 97672 -161887 50905 -223413 -88777 101642 98684 -71403 -5427 112896 164012 -41501 -217282 63028 -184581 -150619 -15802 87345 -34250 36211 14543 26223 -55069 212209 -212617 145379 -98848 -167000 -59220 22760 15570 -208001 -69314 -42212 90229 67192 -108778 -106470 -81547 151765 30235 -78093 27440 107695 113176 -26757 47901 -33255 79329 9532 -90158 102858 -56713 -39752 -148982 -93363 169943 -37569 -262921 -54713 21228 10205 37131 -140832 -11200 48022 -34388 -59565 106619 -159099 -162206 -111776 -14582 -144492 -32403 -88416 -97296 73566 -103088 -79395 -50448 -69801 173021 48018 46985 -8191 -2465 -76247 127822 172330 -7979 -43686 61615 68229 -16131 49182 -94079 238732 -226023 57546 -43870 98030 -63063 13915 77003 -57415 30734 105578 83253 -84173 102274 -28167 116722 3776 -124114 81002 104065 119256 
-79930 17477 28294 7108 93659 -22753 25377 -46864 -26591 71167 34001 25268 70243 -49731 -114162 105241 -140167 91129 142265 -9219 -47312 -71781 -73155 -118924 225797 171003 -4529 -53897 -126603 53488 40518 193079 -37264 -9813 -16946 37751 -35868 146745 4663 -138188 -81263 45232 6829 -133759 -69588 -506 -27869 83972 -12338 7664 -25610 -18485 142966 -44613 205861 53347 115565 -185797 -56634 -148203 -63276 88322 -118817 -50338 5052 151287 -131125 -103840 -43127 209 -47009 21632 47554 77057 89503 -96606 227821 57200 -147834 125701 -92152 -11203 164749 -38045 79859 -54367 137215 -64313 -150965 -31874 -49879 -83162 -96630 -171548 74982 115588 84553 -108389 -21185 -50889 -3980 -60081 -143603 28739 59905 -16434 78707 -30781 -37848 8372 19414 107984 40500 35142 1985 -109990 -131172 80391 39246 -164995 180828 -132824 -204307 15979 67906 -45369 98292 -98825 86416 -240678 -69074 116149 121055 -82589 23185 71390 277424 -84361 -135822 65314 -81090 -162539 -29888 90556 -50859 58043 13432 -51222 115006 204934 -34511 169306 -48374 -151611 -28550 1364 14742 -144678 -66983 -94394 28177 68479 8974 -102270 -116531 96749 -74208 -74686 19060 102966 77970 -36399 23477 175985 100062 6815 -67505 38400 -114062 -42589 -160469 -98781 119227 -10373 -238863 2001 17273 -1255 -7310 -96340 29648 48790 -24613 54942 131857 -137459 -45456 -106299 -18144 -203435 -29970 -83936 225 36717 -109185 -68021 41695 -77489 140940 42619 47284 -42205 45451 -143955 131916 185950 -43876 -43478 -84566 225704 69443 9926 -140874 158296 -229279 125352 -17908 107164 -53437 27815 -47268 -70000 29706 125567 38151 -105491 -90855 -41483 13913 28798 -85373 -55859 109401 60299 
-94782 -97825 3585 -58726 108555 48859 110525 -98976 -116167 45216 31703 54830 78548 166081 -63099 100705 -150696 99191 57886 -103532 -62772 -97405 -92674 -42972 131089 209350 -58370 -86459 -157076 13322 46373 59181 -34031 -1714 43644 45134 -84965 171977 4781 -126961 -58909 -1630 -47280 -84431 -71212 31712 9487 149307 84120 15473 -58529 -13484 54105 18364 134150 95713 55301 -216498 -124495 -152128 -66570 49472 -127702 -114685 78230 81323 -110334 -88702 -152723 1202 -54525 138845 75509 146155 80172 -89809 169087 65524 4789 98672 -76322 -15903 196463 -3479 43997 -89430 134997 -102722 -138676 -102908 56071 -78677 -93921 -190951 -79248 124543 67185 -17049 -67851 -56301 41900 -52081 -63769 20084 88696 10160 78581 18020 -52630 110233 40657 120972 -42220 45809 16406 -101407 -31668 26946 12556 -156883 148484 -171814 -184497 44453 46453 -101764 98901 37896 119838 -249933 -53403 98186 92989 -90661 22560 82839 146147 -64039 -20555 57206 14654 -77938 -38067 88753 -56344 -49869 5639 -92430 118536 168516 114350 60555 7439 -132080 6244 -15906 17939 -39857 -63428 -120563 587 78564 40313 -98924 -74336 41184 -172049 -109001 7037 103386 23061 -96417 2797 276859 50538 21544 -13546 -30519 -42837 -46222 -178213 -108212 60890 51022 -97582 78030 47565 52294 -43494 53468 73995 62253 -32162 88544 125453 -114715 74048 90074 -71495 -236504 42292 -79234 133270 38810 -75944 -39443 102401 -80269 87418 45376 47695 -79645 97249 -182670 85083 191171 -73969 22077 -159011 257984 118207 52786 -129765 24350 -222535 157735 27268 77155 -44327 -1657 -96769 -57252 40848 74173 74290 -97651 -139252 -51804 -150151 14036 4798 -190926 102853 -2273 
-115151 -181357 172308 -35181 96180 123060 193665 -136755 -172908 50984 24751 82729 63877 191166 -8801 -11923 -134978 104335 -21539 -141651 -71064 -103671 -77133 76667 34759 200103 -9146 -98398 -182862 15707 45030 -58790 -4651 -9790 60208 -23203 -44423 91001 8003 -131286 -55591 -48521 -88682 -77707 -73453 22658 68057 176056 51052 26388 -33342 2920 -127233 160324 -5792 32003 53408 -236252 -153748 -166418 -78748 26042 -129033 -157313 48952 42278 -93357 -61850 -216660 2964 -57000 161854 141632 85951 75151 -80861 -854 22032 109955 97239 -103277 7084 74172 16959 51251 -92592 97281 -113812 -115595 -136009 107677 -104716 -97369 -87506 -148161 118640 32745 118174 -132814 -105608 62320 -68773 7337 -58181 124546 68130 158992 63456 -11335 174613 30451 18230 -117723 42412 15251 -29880 111307 -38695 -36543 -92815 91862 -147580 -66177 25906 -1625 -85820 99520 144077 153807 -249315 -33740 102803 54927 -99517 71657 117082 -69447 -5003 81936 64457 160602 -59393 -15040 75930 -53877 -167753 15266 -72337 47408 95364 105509 -83486 46159 -162788 44496 -26995 21026 38514 -67747 -129848 -42028 63292 60698 -89664 58055 -5512 -248861 -94683 -5093 104488 -6356 -71225 -24892 145456 41715 29060 45603 -27961 36439 -44558 -123491 -105626 31381 112296 55787 145049 87077 123776 -1481 151593 123039 84800 -57345 6999 141215 -31866 82784 205473 -82055 -186016 35449 -74351 118659 112575 -48062 -50031 2262 -57261 9981 46822 47452 -66661 116033 -144436 89727 199462 -94304 87878 -75855 150285 109583 23680 -120985 -66076 -226731 118343 88327 37537 -25015 -13509 -13964 -28341 20112 -29501 141047 -116800 6370 -62181 -119493 1105 2557 -228457 103148 -37191 
-124484 -138388 171360 -14349 90047 172928 249618 -112592 -237973 16126 14236 130387 29131 44904 -47025 -142044 -101528 92007 21218 -97953 -102150 -80657 1498 199817 -60073 239696 -70386 -135293 -183769 -8584 45618 -121548 39962 28946 23253 -50711 -44047 20179 16318 -115755 2143 -89338 -75706 -64259 -40627 15472 71489 134238 -22612 46168 -42384 19270 -123299 155746 -59423 -49043 62980 -243992 -174623 -152769 -88110 22545 -149261 -127204 -30467 53106 -67117 -42246 -212146 2294 -70632 77319 208161 -16724 81144 -104678 -66836 -19193 101611 91372 -90630 57314 -40692 24933 22129 -59960 70530 -77064 -78181 -104586 69242 -80366 -99058 8870 -47626 107489 -33241 131521 -161253 -106811 44835 -78967 92817 -191842 155217 135906 218447 117595 19005 102036 39361 -118033 -175323 17793 21115 39052 179015 -113344 -74408 -55994 40355 -59705 58259 -2628 -41334 -76449 100110 121849 180396 -241536 -36214 98312 530 -96343 30625 109381 -76115 57005 1553 65827 210675 -63838 18432 67336 -41619 -182961 17209 -102622 -73707 4839 -96603 -163734 52217 -137886 85222 -59030 16202 109729 -68039 -123465 -51310 60221 14421 -87358 73232 -53373 -272147 -103921 -26854 86827 -40895 -33669 -56296 -48462 3452 30119 84392 16306 169447 -47748 -170021 -114438 58547 121324 131260 198183 124631 179250 44928 140511 132440 109023 -66991 -81107 136855 61484 14686 96926 -39779 -99086 89364 -69328 -14144 116083 42976 -47431 -130075 -72823 -51610 64787 48298 -40159 109593 -146448 46879 193471 -110048 66176 55377 -14142 131421 36678 -41414 -116420 -231562 71569 128787 32183 748 -2593 101767 -31961 -4305 -106509 156912 -123325 127325 -71433 45549 -2339 -34995 -148096 100266 -67718 
-145631 -15341 110850 15684 75131 184219 262004 -67122 -250670 42447 5091 131749 -10793 -132275 -88151 -148044 -66433 99336 145078 -31769 -93891 -56723 83631 232549 -88809 248854 -115905 -63963 -177388 -4218 47395 -74353 101648 23048 -34801 -30312 -18164 55385 45764 -111154 89906 -75688 -21125 -28029 -75345 5120 10249 60943 -142741 86735 -30923 17044 30436 35851 -7023 -66035 93631 -238755 -175571 -151656 -97244 -36866 -150071 -66398 -90607 78320 -31314 -50374 -138600 7979 -78358 -50554 225129 -114879 57392 -77711 40193 2202 -9955 76395 -103381 61132 6631 30834 -18168 -5308 41836 -35372 -36052 -4304 -14353 -88289 -98987 -16471 72412 107485 -56424 48235 -144389 -84843 4118 -69013 138983 -233738 129794 152887 162731 102008 12174 -33954 49139 -132638 -201580 -16310 11276 86529 114860 -116366 -88715 14995 -8116 -49872 49204 -3093 -83998 -13734 100702 -5596 199171 -223501 -32941 116039 -49902 -102979 27785 134234 131070 112803 -144829 45290 128302 5987 64956 39707 -18813 -65620 8498 -51472 -126160 -75732 -190884 -71383 23180 -135333 124757 -72162 14499 142821 -63386 -115199 -86936 71191 -104481 -96878 4292 -80690 -218907 -106882 -47154 73067 -59463 -7789 -74347 -56963 -75051 39445 82425 41374 208664 -49149 -179589 -115539 124437 95799 7945 157365 157258 155213 74413 12792 209589 113432 -53419 -61762 146985 124788 -119646 -89917 -23676 -4646 93758 -64226 -105243 122661 71798 -19444 -221439 -91484 -49454 71419 47261 10320 39588 -161711 5570 178053 -110506 -57285 86239 -97690 151476 31314 2717 -90422 -218750 26226 154791 67038 -22082 -17873 118714 -36632 8767 -112097 97398 -150109 203644 -75463 124158 -18398 -112046 1282 88128 -65685 
-150776 47512 -11404 18588 58061 166020 227809 -5278 -200235 62914 -3734 151846 -45685 -79611 -114317 -52238 -32883 84525 179900 73031 -136295 -35594 114701 146618 -60917 210333 -75826 -77891 -142825 -17879 50018 24113 154112 23880 -69060 -62222 -8638 145133 65250 -91402 150528 -30365 34113 -37074 -72239 25122 -31805 34627 -138869 70063 -22760 24531 163864 -62053 148624 19055 129114 -217244 -135578 -127039 -98706 -20695 -151570 -769 -190080 132796 -4335 -60223 -36611 13253 -97183 -144988 257865 -212625 71943 -85696 213801 70801 -153109 66707 -93850 25549 146116 52783 -83503 55704 316 -1317 11113 87439 -148316 -63809 -109798 -132109 119759 123029 -101181 -84668 -90528 -39783 -33609 -52574 146467 -144377 98260 179139 177557 41933 21681 -139659 38725 1304 -174974 -54905 37174 73798 -28026 -71586 -91388 8519 36148 -28760 -64013 33364 -109260 -8363 101388 -133335 213798 -198415 -54287 110556 -71721 -97858 -23048 109782 273979 123781 -256373 40372 -3856 -32629 96509 44694 6317 55555 8277 -62686 -33489 -125981 -109008 82214 -29280 -137768 159666 -100984 15734 48568 -70819 -100558 -118136 73536 -162422 -94850 -83185 -102913 -110762 -93501 -68139 82672 -66772 -3632 -82584 146226 -58418 33744 42703 115546 187586 -52130 -161797 -108355 147584 85106 -192369 61717 164619 139530 68386 -121866 196376 83775 -13832 39919 125029 166973 -193741 -118598 -19543 75342 76440 -59059 -49754 107226 74700 -18429 -164124 -61607 -60907 87328 45532 43458 25603 -198401 -24734 161324 -102340 -74286 15582 -27595 197083 38919 98721 15741 -200447 -106869 190634 103268 11139 -31829 17096 -47388 -6817 -37150 46065 -123786 123066 -80105 35935 1214 -137735 112173 66162 -43757 
-172136 -15264 2586 7571 46423 110219 151307 23345 -166938 73973 -5324 173112 -54348 164433 -60017 86620 4862 97564 69237 128941 -104540 -27579 18271 -38636 31592 195322 -75822 -47859 -126260 -57977 51575 173212 203690 47784 -44633 -47790 -2216 174538 90442 -84348 184118 30731 101657 -13423 -63091 24140 3349 31621 -64016 61132 -24579 37354 108509 -2227 196439 87001 77723 -190021 -73295 -99739 -105859 -42209 -155728 -76369 -234974 169438 -25148 -72009 61084 17303 -109827 -95297 248815 -269151 47232 -117041 231216 99979 -217134 15765 -90738 -9517 211508 42807 -117026 102826 7663 -75799 59912 183111 -232563 -108514 -121136 -202563 -10150 112148 -103134 -96075 -32068 -35394 -29141 -56609 130549 -55642 63869 191487 159893 -15259 48562 -185991 49839 139687 -124563 -19089 25299 -30328 -133452 14609 -115604 -11576 63477 -17211 -190292 52726 -150630 24255 101843 -157058 223638 -166934 -48813 91762 -72035 -109702 -41394 70561 173549 139705 -206021 38400 -119456 -16034 110130 18098 40142 48319 8515 -50195 75983 -147767 89503 150328 -84074 -135039 193157 -108381 17576 -20872 -67066 -49927 -104580 76708 -178538 -112962 -125130 -100077 -8614 -88429 -80299 62849 -57420 -19845 -99718 275027 -728 39024 -16460 161636 131959 -49785 -119665 -103363 106641 32366 -286498 -42129 158046 50785 4846 -122514 208826 65097 -6129 91536 116055 160224 -155878 49136 -20060 95375 86205 -53880 80187 140847 66374 2073 -10954 -70645 26485 103343 45838 58761 -39057 -195015 -13367 135788 -83352 22002 -114861 146703 148880 37562 79336 128710 -214126 -174536 164362 104269 3367 -21825 -83021 -66353 2139 6756 66633 -82366 -56929 -79661 -124648 -29881 -76940 109558 50465 -5151 
-172489 -136957 72790 -13651 51625 37243 71772 -36198 -113447 70356 -23150 166970 -88962 195019 -7575 100557 13524 104528 7177 139443 -77139 -42944 -39743 -124909 139953 203269 -26782 21674 -77685 -20565 55665 233924 222626 32211 14339 -31865 -108 95894 124834 -68200 220525 66909 133934 7035 -98226 17624 63491 83676 81370 58569 -27254 29475 -37380 127099 108903 68907 78265 -145448 -10103 -51012 -98546 -65488 -150867 -127239 -237890 119256 -24264 -85267 75781 3905 -128567 25203 259105 -261286 51603 -84827 65559 58296 -159571 34505 -75361 -18258 93875 47187 -173299 119026 23277 -83958 104314 219043 -250618 -77134 -109136 -124470 -125513 115097 -89643 -7185 -5921 -75537 -13746 -66777 82118 64128 -23593 141631 64164 -49768 23631 -103243 49458 93995 -46388 32768 43348 -90109 -122234 75507 -122085 -18347 152235 -97606 -199099 38600 -152565 41007 102525 -59952 221013 -131360 -56281 83500 -35812 -101568 -21421 98014 -59502 38195 -53577 29381 -182160 -68338 106206 26095 75806 -79269 11518 20625 133966 -118200 132724 147867 -119177 -153368 220117 -100335 10974 -143069 -41573 -52839 -82536 69617 -96331 -94474 -63642 -84266 74479 -97231 -97732 46242 -50165 -119974 -112670 167081 48520 32624 -68485 206019 15588 -49554 -140592 -110727 54461 -3341 -191169 -16102 122441 7032 -15187 3945 194272 50933 -14317 25348 118086 137381 -9406 196412 -64755 33966 78104 -48770 143826 102885 51045 -7136 112358 -76151 86095 112925 45860 48774 -26873 -219233 -54364 112838 -56304 91811 -123818 258263 146394 22266 75756 215608 -196993 -244258 132573 67679 61012 -34808 -66341 -67932 16292 87538 121737 -103479 -145864 -69739 -136662 -1511 -3509 -6380 50165 44728 
-181913 -181100 166346 -55977 27306 -34463 -15204 -113589 -7028 49621 -17163 155905 -78149 45354 -31538 10722 17799 96835 47569 96807 -97248 -76189 -89610 -86342 215162 152000 -1377 -5916 -47981 -43460 57167 170164 216992 22010 54343 -48480 -11586 18665 147323 -73358 262359 61393 134789 -4025 -82704 44840 76302 150964 98197 8467 -30534 12879 -147244 184701 -18213 -35101 78903 -89504 41022 -23778 -85195 -58244 -147168 -148034 -138800 63370 -37847 -93880 25933 3704 -132394 144736 208567 -201720 61915 -83827 -46496 35240 -17735 41872 -99064 26540 -30815 21803 -191482 100185 60831 -117640 142609 188068 -221451 -74028 -104809 -2242 -101381 123067 -48494 116016 -32495 -114235 28536 -56866 18442 -2032 -40110 109226 24663 -18764 -42223 23005 40936 -70386 39264 48399 53833 -110611 -1525 108275 -131728 -116707 180922 -106970 -58093 2201 -153167 10823 103120 91373 210827 -92409 -69651 104711 26518 -108741 -17857 88144 -89119 -22620 68061 28531 -134194 -106948 87147 28972 107198 -200900 5543 94168 3945 -55451 -51971 -5284 -120481 -137057 238321 -114699 13501 -221185 -68251 -88688 -56919 73773 -5409 -79446 18602 -53105 80803 -89355 -118012 39926 -30472 -91946 -112442 -30948 71686 22706 -90384 223750 -60073 -52993 -140201 -121134 34745 -28832 -46840 12051 87231 20289 -33166 150944 137432 44060 3960 -77408 106831 32033 79622 128864 -79712 -46764 88737 -43763 47163 64616 -21170 -5451 149125 -60043 124754 119880 44396 5282 79181 -202796 -65899 67091 -21301 101512 -19503 232444 138499 41623 -11823 266669 -190644 -249750 84484 33606 59113 -49880 30960 -54658 18461 125181 144907 -82594 -26115 -66395 23946 6002 3824 -153919 12734 104533 
-203784 -94899 132097 -30486 39955 -95653 -58005 -137437 36113 54245 -21767 138091 -76969 -129354 -79223 -120383 4417 97485 152579 -47586 -37611 -102299 -131284 18924 221273 109836 -65066 -84916 -14658 -47087 58579 70962 184469 30159 53861 -15074 -27891 48884 177595 -48495 255551 11724 94681 21761 -64382 15761 14863 163192 -11640 21452 -28349 69305 -58581 77145 -80201 -75075 92326 -46455 107115 -14763 -70013 -81749 -143095 -103931 -50333 51313 -94231 -97243 -78875 3950 -137107 160777 197359 -132678 42283 -86511 -15810 16854 105637 45785 -107489 59339 -4461 4962 -229919 52942 71448 -73335 169442 115910 -123484 -77356 -110553 12742 -6746 123162 -62363 149377 -95791 -116214 64429 -27697 -92167 -87664 -67705 46571 -34568 35913 17220 147735 36756 -131960 116191 62974 40362 -113146 133417 89558 -113704 -120876 143571 -167121 41426 6815 -143827 -12902 103698 152358 195284 -53965 -65005 123665 75500 -118967 41006 88285 99295 -58363 43425 29145 -18083 -109470 52645 30184 136840 -168634 8237 103928 -116835 33834 -179317 -145468 -87455 -164818 247976 -111411 9416 -209157 -53717 -62441 -15139 71876 69924 -91370 89633 -8825 30831 -70132 -98227 22870 12872 -37798 -114966 -75291 103562 22731 -71798 173601 -98471 -53001 -154667 -112483 70670 -22936 102550 110658 45730 50845 -14439 163765 124758 60157 -45901 -71483 106951 -39673 76178 -60618 -1784 -146749 63976 -38867 -75927 74409 -46841 3768 36899 -66505 173048 122355 42883 -43945 42876 -209238 -90682 28732 16176 -36197 93175 86470 70934 87495 -99929 218244 -183662 -243732 46827 37301 52518 -30176 129912 -31794 29506 100329 111500 -51449 144866 -52333 121474 3582 -610 -231267 -1739 151316 
-211606 20069 67577 -39240 56395 -123651 -57819 -111173 99133 -8996 -20499 116673 -40515 -32105 -111184 -166228 -23840 84016 177006 -92113 -27777 -104986 -26381 188159 174630 75320 -87507 -134844 27022 40131 59607 -89217 135336 14379 20 11543 -47528 140620 187928 -34903 267767 -42784 31129 13717 -32582 21026 -26442 146722 -153077 11028 -63697 54961 103910 -48155 -16629 -20280 68274 4681 146819 33907 -70397 -43680 -134645 -60915 27187 68568 -108014 -93308 -178419 2823 -128700 61803 150243 -9356 42540 -89514 156420 -12814 110945 57229 -69105 51782 133969 -12631 -221921 -8043 106796 -26333 188594 5012 -20384 -122919 -119657 -101162 110019 119230 -22687 16705 -150116 -74900 44125 -68462 -135142 -197038 -41029 10681 -83729 80486 -8550 142442 38946 -88065 171556 51106 89345 -44474 175588 27525 -79473 -170896 87020 -128479 62097 27318 -124234 -30986 104273 78223 171792 -15687 -67886 81408 101027 -121675 49099 97251 266483 -91530 -92803 22841 75926 -141791 8118 39932 156176 -35679 4427 197994 -155431 115277 -134342 -122891 -33382 -141842 250322 -75598 12541 -147620 -59562 -132057 21953 76981 26974 -109267 82196 44004 -69969 -93917 -138824 -1373 60966 -12929 -127136 116581 88870 19823 -20096 117423 -52375 -49864 -137819 -133635 77233 11732 67504 199004 15711 132291 43748 44772 76767 89919 -77495 34709 91940 -99988 -17519 -138079 -27483 -223716 17295 -34146 -88706 47294 -99536 52752 -112955 -61799 208341 117967 41718 -73172 102478 -196997 -84632 -4046 54467 -76355 60672 -64267 60183 52363 -123008 138540 -183987 -190289 -11143 76005 94052 -41548 85358 -27643 32089 34168 60782 -79634 247420 -42135 11924 23617 -96076 -185165 -25296 182565 
-215635 38910 -13261 -16501 61953 -121568 -16014 -53452 104740 30413 -8535 86314 -19654 155495 -84878 -82977 -66799 77615 43996 -150813 -44879 -82188 57511 232310 73252 -1411 -112541 -103427 53385 26139 63044 -138198 72644 30217 -53941 44253 -29096 178247 199865 -30942 227927 -77045 -49301 -7515 -77133 -10373 -8074 58977 -156620 -21682 -41543 40739 179944 -24080 168763 71995 110026 53117 151654 41963 -61395 -39196 -124370 7090 26951 120127 -108730 -49138 -208789 8808 -110753 -71814 82746 76193 11759 -99178 238517 4217 2800 21238 -94892 11988 199886 -23443 -262724 -63253 141050 -30850 194224 -97958 74976 -101084 -103892 -186852 90352 121393 51135 -102161 -166031 -34945 9220 -58518 -178284 -217774 -11202 10134 -146416 126993 -63403 92385 36361 67075 165107 -45689 63505 22583 88041 -41502 -105461 -232809 19995 -170505 -84889 37665 -78932 -48259 104882 -57167 143826 19806 -46739 95755 114185 -132135 62608 113665 203267 -92144 -201059 19993 190160 -140837 -21228 52736 171130 62192 10909 213191 -28545 184684 44118 28090 20007 -144285 239639 -72209 13883 -62177 -45592 -135139 55031 66599 -61360 -94370 -74848 100678 -180211 -82234 -148180 -2323 101817 36684 -123643 276505 36349 13142 39961 34471 54989 -54530 -122101 -132419 158243 72595 -38304 182197 9960 179980 64938 -105926 54586 103331 -48336 90946 89781 -140944 -145466 21999 -51546 -263167 5725 -29690 30303 91353 -99861 48572 -238449 -59464 137175 113725 40676 -66049 121627 -226477 -101284 -33138 89232 -38321 -47328 -94084 2061 54701 -173647 19348 -173782 -102012 -46391 107303 119811 -37295 -26778 -31843 58504 -80335 58002 -82207 108169 -37786 -121527 8021 -136635 -47830 -38525 202692 
-222947 -61283 41735 -21926 77823 -84160 55190 10754 95677 48733 -7857 58383 32021 237160 -14294 51866 -100915 45594 -16533 -120224 -44755 -50944 100237 160499 -35564 -17100 -110219 -68567 43370 54397 66638 -91345 21404 25020 -73301 67229 -48637 106460 196012 -17551 166975 -89111 -82810 9852 -105815 9790 55102 21145 -78569 -15020 -51009 39683 54491 112208 205782 83548 102722 90923 150721 80364 -73570 -29120 -120263 -65963 -12120 151481 -110300 -53124 -194538 4628 -102997 -136691 48418 119349 26351 -70426 128682 67236 -145801 70111 -89466 -20795 118766 -48739 -214089 -93146 123914 -76016 174584 -133816 103004 -98388 -114611 -159737 -67420 117090 66917 -106196 -132100 -49066 -39603 -64673 -177601 -121112 52436 -12161 -139419 77562 -39292 -49080 53736 130211 140872 -1403 87300 103497 -55578 -114492 -59828 -186440 -14772 -130966 -182946 53555 -37486 -91380 105412 -161379 113078 49157 -26044 83542 89678 -126684 75805 132879 -25618 6511 -230580 16571 175430 -188836 -35832 58042 173792 31802 22761 248180 119914 213729 149190 143129 51247 -145750 226360 -33463 9739 27628 -56798 -160825 99040 72692 -112655 -93754 -113011 153466 -249099 -85884 -141832 -24101 141472 -34903 -105074 196737 -29370 2891 80613 9017 152698 -59694 -105244 -114090 141294 129996 -216738 123996 37632 181946 51948 -134235 2407 111813 -58972 27368 78855 -112737 -199617 190304 -87266 -189933 -45977 -25490 143605 87989 -55758 25344 -152658 -66859 106895 99074 40950 -47062 92273 -233365 -94771 -66639 119305 97143 -154767 38184 -31073 59709 -122473 -64328 -165732 11595 -54545 98996 112780 -35167 -89485 -37326 52676 -69896 127321 -78798 -77893 -29671 -154386 21926 -94843 87320 -61966 176083 
-226329 -166483 136119 -45650 81935 -12527 138201 9945 19419 64325 -471 20553 58244 -9670 -29464 126340 -125840 54369 45527 -16092 -62413 -29773 70631 32040 -96262 -37956 -86237 -52873 17505 91750 70459 37684 -20783 48475 -22724 67823 -9125 22617 182792 -8716 123409 -53716 -84225 -32173 -86043 17647 76053 24869 39402 5344 -86491 29729 -120395 170059 122909 8001 90605 127674 108320 91098 -80852 14137 -109111 -117449 -54689 131348 -105960 -60435 -108729 6183 -93133 -82528 13776 74345 -8414 -59103 -26032 90825 -218940 80156 -77578 -17281 -22320 -83615 -165619 -88276 107460 -117381 149485 -118979 60228 -117026 -120004 -31755 -126757 125590 85428 -3510 -71431 -90132 -36453 -39323 -145550 -33505 81399 1450 -185225 13386 29182 -161384 56793 46291 92968 118 100620 67859 -141940 -118460 -20766 -155266 10015 -93127 -188996 8106 3346 -80055 106083 -132767 78508 72976 -30066 108753 46111 -139362 40399 106796 -99793 70700 -89373 9070 85919 -137985 -29711 85082 166818 -105824 12891 235377 127644 200307 31466 130008 47782 -161023 202380 -19521 7205 90955 -52323 -137837 139719 77060 -152437 -87797 -35216 203180 -267598 -85669 -135205 -21163 149424 -70939 -88700 -18667 -51436 5472 84371 -22648 195973 -57482 -100103 -119647 66117 131690 -254185 18878 69641 117028 423 -28215 -64986 103799 -62923 -76372 74200 -147487 -116220 160798 -68548 -110847 -56799 -21576 105079 108499 2271 40894 -26605 -46014 17254 87571 39168 -22092 21832 -212510 -68336 -87547 142099 87410 -119142 197086 -88425 67943 -9245 -106202 -164022 75342 -55909 59864 92792 -21589 -31559 -49915 66240 -87071 157354 -115900 -112082 -21216 20703 25183 -33837 121716 -99997 142355 
-230688 -160770 185549 -58990 100746 55674 218267 -46580 -37003 58099 2250 -2207 76222 -166991 -76589 53825 -143133 31697 136264 81593 -50764 -29519 -5907 -89449 -80589 -112883 -76739 -11103 6757 87286 70600 168723 -37733 -5876 34851 67574 -15056 44150 173999 -1039 61361 10243 -62450 -70950 -64556 11678 21721 65499 112613 -3767 -58515 20099 -140181 99712 -4129 -72679 80891 151454 52605 106065 -88731 21401 -91040 -128918 -124224 79782 -59765 -52728 -6114 4697 -76827 45493 -48578 -8436 17598 -78675 -54168 76332 -165332 52876 -88111 36629 -19301 -101112 -120196 -51450 75837 -123333 115280 -44668 -73287 -112197 -102083 14702 -77516 121713 107679 117706 -16692 -110342 1572 -33980 -89125 56582 141516 39907 -178946 -27054 17004 -159173 48661 -101523 11561 55795 69291 -24949 -106656 -80725 -23456 -106911 65465 -56590 -65798 -85 40654 -93378 106605 4772 41704 85810 -39329 108578 -9815 -142289 -26084 99425 71703 95527 62939 -1154 -55019 -106574 -6031 85923 151960 -204933 19467 240843 53020 146478 -161362 48743 11006 -124508 170869 349 6475 139713 -41771 -79384 162002 67237 -160972 -92379 35418 241030 -217498 -108244 -127443 -29754 169536 -131371 -66514 -73538 -47178 4131 48480 -2098 212606 -59846 -137090 -103971 20439 113319 -172920 -40952 115535 42613 -19071 126622 -64884 71049 -34550 -92579 68331 -79406 -2944 -36285 -49249 -26904 -92096 -17988 -27587 164059 54078 51863 114108 -46377 -32467 69698 38946 24733 20973 -203260 -82651 -112267 152360 -17741 27599 258304 -66636 69808 37620 -84161 -151892 131423 -15520 30761 126072 -17578 97455 -51401 88919 -47072 101677 -147263 -35818 -22488 106682 17277 21691 40329 -100272 88141 
-224957 -49970 90317 -28473 100149 128358 263386 -108839 -95006 60154 14597 -45630 60496 -54301 -118077 -92016 -140396 34307 157156 153370 -90725 -53048 -83779 -137888 6059 -84669 -31988 -26544 -23238 74728 71960 221738 -28539 23019 64994 77011 -8543 144275 145685 3408 -8172 54285 20548 -89017 -59700 16597 -34732 159911 33059 22139 -50672 21463 19417 -23647 -87635 -50165 73337 155609 -22263 101222 -95883 41299 -71560 -103736 -215557 68571 -39340 -61832 75724 6399 -62360 150236 -74016 -120638 2622 -120145 67269 65528 -24013 88194 -82870 75406 120764 -133951 -66183 7919 34762 -56009 68529 68711 -169060 -78587 -122444 -67309 53967 112571 101233 132309 -10324 -102302 36514 -85391 -18037 27366 128743 111119 -126931 -27927 55482 -81774 68525 -152268 -73159 48211 87399 -93391 27239 0 29211 -26598 125105 -54171 31960 5144 70936 -53971 107235 122246 7337 90928 -45249 73681 -58160 -141363 -12017 97937 257276 127885 64998 3783 -163723 -105260 32505 89523 129180 -135450 17127 233689 -60276 67414 -152312 -84631 -44301 -156416 135603 6955 12962 86519 -35831 -70486 209420 76281 -90105 -98132 105422 263067 -107089 -123418 -125478 -40862 193458 -85331 -48315 76610 -41610 -17224 -10669 46737 131368 -57315 -131904 -116798 83197 60215 -40199 -42653 148803 -7875 -36509 168069 -60992 53533 -24823 9109 69088 26347 61607 -142023 -33784 30032 -138916 -14772 -102235 159455 71506 57899 111690 -63018 -60712 59252 35953 48499 -40192 -173623 -56906 -126520 154593 -51146 115666 180119 -72734 65367 81458 11225 -134731 136616 33955 43156 96859 -34625 130401 -53324 91648 45490 54683 -130565 171003 -22928 52455 8968 2183 -107564 -119784 38743 
-229643 41103 16004 12136 106005 176319 247524 -136746 -163076 26017 18793 -41262 74373 184527 -89605 -168820 -131461 9960 45261 134320 -128971 -82447 -95741 -25473 93820 -139336 -39896 -34096 -50732 77604 74303 165717 2498 20835 27293 75753 33543 174319 123756 15711 -32416 70990 94630 -104385 -58276 18128 -11964 169007 -56045 45299 -85932 -12599 180357 -51862 3354 43135 43087 151604 -86884 89548 -109090 74159 -57097 -37923 -260035 28279 -7782 -75450 62122 6162 -51764 151366 -112406 -257459 9244 -82817 231002 21072 92744 118365 -95475 43778 208335 -143575 -51699 67368 16588 -16264 19388 159894 -256605 -112478 -125975 -179474 117518 107995 105617 30431 -56509 -56003 60209 -66720 81732 -96527 143866 153099 -58282 6660 37245 73271 50738 -16377 -140498 20079 95701 -121231 152045 80174 47683 -8707 164714 -70669 43463 58430 110772 -15328 107809 135743 -24940 87592 -64497 103235 -88742 -147243 -33404 111713 213928 122354 -44830 -3358 -152502 -38480 67787 82013 100733 4592 11683 189741 -164472 -18403 -3799 -156035 -95904 -157571 96836 28062 13081 7970 -55929 -54816 162908 77632 12900 -99985 17493 274818 493 -100869 -120669 -36074 179252 -51882 -26045 260844 36062 -17778 -64048 129067 23813 -62747 -135446 -122114 127844 11560 84664 40215 165020 9785 2349 66061 -58336 38006 25920 90317 60378 101201 72732 -25957 -46469 78779 -174479 -11930 -28855 80797 69357 45355 -6961 -53785 -27763 45347 36497 50986 8728 -173902 -34842 -126130 148393 16061 18923 12772 -77651 66457 80587 123605 -126507 102336 77206 83339 70252 4419 44471 -39256 97399 104049 47613 -130014 175127 -28998 -98571 -5964 -40631 -219820 -129740 -15017 
-234250 10733 19928 -23962 90773 188035 203363 -100388 -247385 19634 31932 -62255 45292 255537 -24547 -116535 -95322 7503 -2060 104655 -81565 -95681 -57874 124481 186538 -152171 -17825 -111651 -89828 26632 75245 35600 58272 14582 -32987 34265 -1534 98342 93802 -4368 -63682 37812 150174 -122940 -59798 -8144 42835 144988 -151261 59566 -87358 -22168 108552 76262 161927 93322 82889 126754 -147535 62294 -96955 94576 -40488 -8251 -207050 85257 23042 -92386 -3466 -7679 -51740 56942 -159423 -293409 10106 -72668 184851 -5415 112044 128961 -128746 4964 122212 -181955 3805 108324 22161 -29345 -28065 216249 -277566 -119055 -115276 -182320 39074 105394 82907 -93258 -115543 -46837 40479 -55670 133962 -191673 105950 172457 16863 73841 14654 135523 32782 101739 -190235 2279 120408 -100158 164376 114814 81029 26220 152906 -69200 -48142 50886 106670 6401 108233 16559 -51741 75600 -69308 92202 -57620 -147487 -23093 85895 7741 74404 -189265 1853 -92328 -46854 97808 73361 68050 58845 10421 133399 -59112 -89843 128993 -76096 -123061 -135047 56632 45084 7967 -97056 -46837 -45336 153227 64796 64813 -94951 -64922 267391 79386 -95218 -108726 -36706 146955 29263 -9761 225103 59088 -23200 -91044 169040 -80104 -59026 -116934 -114100 162829 -7550 49538 140829 155479 69323 45835 -71013 52 47119 -2587 46589 66910 125737 -32985 173547 -41553 47726 -184019 -9542 100680 93347 45030 66253 -162316 -67106 9831 44718 33647 17616 53064 -155262 -28388 -122077 128105 102726 -101845 -98629 -9593 45086 31916 204189 -119145 59960 144533 109303 136611 -9371 -63415 -43103 105335 153281 106378 -121008 146741 -40548 -142061 -10345 -122728 -214350 -156702 -43230 
-238086 -105672 91599 1191 81445 164274 127681 -35421 -262791 22957 35657 -58449 10628 3312 -11359 25918 -61339 -9408 -8235 -13887 -98559 -103445 48471 228805 227019 -135790 -49121 -100603 -131836 -5709 79660 -96325 117846 -5360 -70506 54962 -1338 33184 55047 8903 -80106 -26110 125434 -160624 -68833 15790 75690 84626 -97573 64935 -50972 -3393 -52183 181068 213495 30620 117742 97362 -178510 37512 -91499 90094 -25213 -108569 -92938 119059 -14527 -102230 -108999 -2179 -48092 -76525 -131797 -251168 -7084 -112268 23205 3534 8551 112239 -101811 -22338 -19284 -194122 39274 118451 3234 -70164 -69799 209845 -203781 -107733 -121071 -60349 -132553 126462 43654 -99787 -159801 -45339 -9939 -45081 119670 -227135 62558 179885 42589 91736 -23795 146882 21379 128089 -187339 -32643 115230 -32957 61687 104314 114476 880 88251 -99939 -178915 14095 100638 31357 108909 -113879 -74538 55546 -69302 104901 -19186 -160268 14947 115053 -99898 -6032 -239605 -15313 46746 -1079 119136 58928 31945 2622 17610 68513 80302 -131045 88944 48181 -114853 -185806 17147 22115 8925 -205203 -45078 -95500 99678 70946 35697 -92126 -101496 244950 84880 -90584 -92185 -37226 116120 -13218 32406 10829 76247 -17276 -75019 187732 -104431 -63107 -112095 -107632 143712 -18241 -82191 185901 138088 133917 76350 -143461 6898 74535 -35063 -69072 67664 139667 -152717 173602 -54791 -47144 -172349 -7603 133618 93915 18007 75462 -244672 -55034 66985 46734 33744 -18754 78445 -117940 15963 -115147 99767 112521 -127741 -43853 7639 56239 -62231 283691 -109420 -17198 177523 92212 114793 10571 -87692 -41636 101710 100216 158084 -109828 -71557 -51602 25562 -18572 -126107 -98967 -163681 -69407 
-227951 -182059 218417 -43018 67533 103831 39684 8803 -220060 42117 37784 -49192 -42230 -109067 -62186 111115 -32181 -30053 141043 -112076 -76074 -79824 80934 208985 193598 -119744 -68065 -125427 -149644 -20183 80836 -128397 173290 -2610 -54986 24389 -26284 55152 38805 12906 -92601 -68756 105175 -176022 -59642 32912 41857 29844 37569 55292 -87426 -27994 -151109 141522 129473 -56360 80456 56576 -167150 14245 -82851 120003 -9423 -109264 -6817 137397 -16162 -101743 -194014 -2612 -62271 -135283 -165832 -211882 -22089 -83053 -81862 69380 -132219 106881 -97873 -2578 -24632 -205884 58573 93261 41843 -93969 -108358 134599 -107121 -70081 -127539 20519 -112761 114700 -1382 23368 -156994 -90601 -32385 -44656 122176 -102796 4471 181545 113261 96853 -6799 42836 24725 -24134 -157363 -12182 110534 44068 -76650 43461 126646 -28188 44931 -147322 -205195 2813 80660 33996 109551 -172344 -87408 29002 -63754 92972 30787 -158273 27756 96518 25477 -64376 -155402 -18231 147877 -37266 100285 58881 2442 -136083 21758 20988 135290 -144011 -156341 156307 -74584 -96905 -17709 11860 3450 -234857 -31359 -109907 60916 83218 -50628 -87305 -72231 212346 33761 -78645 -66448 -27651 79741 -83487 55795 -84658 72197 -24797 -25587 219272 -22253 -59842 -104768 -114835 97054 -3041 -230725 157048 92458 171613 56507 -53111 53658 111508 -66585 -80951 58978 150122 -170767 4680 -32092 -137378 -240256 -6086 20387 57954 -31669 72132 -160173 -61370 120721 45432 35895 -50015 101007 -135434 39158 -91380 67227 6826 -23271 102382 39623 51704 -112331 257287 -108413 -111380 189283 51886 89284 19845 16817 -38864 123576 27521 123797 -74000 -111762 -61495 116068 -14006 -54369 48562 -167187 -71048 
-215449 -129728 146089 -29346 62205 35301 -31437 12976 -143797 31637 26822 -32630 -27823 -50470 -102722 75493 2413 -20969 155125 -152608 -60620 -46071 103167 108606 108973 -120606 -66834 -79948 -160923 -45038 80831 -81205 218688 -17244 4702 -11213 -42437 139045 19549 18126 -50216 -87202 42680 -204065 -65133 13696 -23963 32789 76043 48122 -84534 -29651 -63779 2423 -21453 -74442 78661 6989 -152054 -38876 -78745 98091 10745 -135551 53277 138286 -53274 -71452 -222322 10829 -74283 -70956 -139806 -75925 -32831 -94899 9862 86872 -219588 93745 -102824 41461 110927 -227296 71720 40497 74136 -101323 -139231 39897 28516 -86700 -112863 -18671 6783 94288 -51612 132522 -107024 -122984 -37154 -53896 75392 -1428 -24944 128614 152113 47377 -64828 -82327 34482 -140367 -89749 12777 148459 78439 -146599 -27867 145406 -62978 -2723 -145713 -82685 5214 42967 11833 109931 -76710 -94639 -5189 -59059 98348 72505 -155609 88116 90945 253669 -74350 -8890 -12205 202453 -64604 75049 44211 -24580 -199051 17397 -25591 65160 -103456 -209426 141532 -18437 -156718 -47326 1097 11721 -141123 -29448 -145496 1731 75947 -160003 -96614 43817 163713 -68567 -78096 -54334 -24527 38306 -110936 74430 54023 78924 -23802 33539 163686 52973 -60321 -89917 -98240 38121 56209 -261756 78063 51565 162409 2167 107106 64178 124672 -35159 12173 72653 61569 -118307 -139643 -7412 -205712 -232687 -5063 -90723 36036 -75234 96082 -31329 -58112 198237 55325 32849 -78626 88366 -125648 65383 -73489 27641 -69750 67955 250824 96516 74246 -166410 156965 -89360 -201458 161275 29497 120053 39589 119422 -75047 124400 -69889 48169 -54956 -14158 -66401 63780 110 15686 125962 -150836 -37669 
-214101 -9943 34453 -57183 46841 -39537 -62706 -49007 -111101 96907 21208 -14026 -91400 141612 -102880 -53879 19986 -41221 91721 -116820 -60178 -26297 32127 -55309 16467 -57182 -72396 -37583 -183696 -13939 85684 43916 225416 -6146 58115 -26337 -58238 170165 -9446 8353 7189 -59472 -12884 -195473 -61790 9635 -15770 86382 50079 30439 -92290 -44062 94497 -46618 -77388 9979 45481 -44566 -113571 -59810 -67106 138002 25578 -74774 47725 83430 -75751 -62579 -182839 4154 -82719 47707 -99263 15052 -50097 -105562 159399 85003 -173253 81713 -111969 61794 220257 -228807 44535 -21264 122946 -43388 -144175 -75012 85168 -110373 -119847 -160439 95746 94656 -76275 132394 -42723 -91148 5958 -40282 40073 33698 -36434 84527 186934 -13156 -62384 -159643 40938 -117203 -6176 20697 142344 69180 -81818 -100947 132197 -161711 10085 -118310 27712 58222 -651 -28823 110524 73330 -92843 -41207 -39665 85379 106887 -169724 52388 89243 240213 -66656 59756 -20655 120692 -89564 34609 39812 -45092 -123785 6974 -46987 -44600 -33998 -38897 -18499 31015 -144411 -73983 -17543 12008 -104278 -37426 -132436 -6682 72874 -154979 -96807 104854 106330 -182617 -76025 -43565 -18523 -4055 -97760 102165 242449 -2368 -9321 78272 122864 152481 -63118 -96541 -124242 26343 87212 -136608 -6937 24191 102132 -38878 187460 151160 104504 -77241 90770 78367 11562 -3778 -55105 -21024 -226315 -229429 -4496 -71209 62497 -72381 70221 62850 -71064 166576 73091 34406 -59028 53975 -127511 98703 -46035 -10054 -33230 52338 242885 137586 53701 -144087 35109 -82180 -236781 135841 50104 83784 27895 109820 -52830 128274 -85961 42850 -46431 157179 -79335 -105239 1645 17897 79500 -155449 8033 
-204480 48057 14559 -27646 46513 -98488 -48469 -120536 -1461 45284 16901 31068 -83322 237898 -29968 -158463 19453 -52282 1503 -28659 -77635 -29816 -51361 -119133 -66907 -45129 -49271 -10445 -181311 -22835 86402 184203 214590 -5895 55315 -47690 -41171 98112 -2145 -3123 55732 -6390 -76309 -214394 -83769 7542 39754 144875 -62174 22115 -61109 -55524 161120 67968 22252 84523 54820 -100354 -59899 -91172 -51845 121197 48754 -61683 -546 46413 -121928 -27878 -80847 4623 -94063 160881 -65968 64672 -21669 -87686 231606 49292 -38893 72871 -88524 48805 140028 -222745 27674 -71521 116723 -16248 -143441 -126337 84072 -90526 -120691 -196294 93632 94193 -62164 -3145 -8734 -51451 35109 -66012 -72904 32451 -53184 33483 201156 -38706 -13235 -147735 49057 51152 68070 61684 175733 15676 56880 -110049 142388 -223574 92324 -122153 50725 59851 -33016 -43567 111075 161974 -86681 -81384 -36937 91805 105671 -161778 45031 99062 24670 -18474 -4066 -26816 16847 -143924 2444 24315 -54507 36932 10146 -89201 -129336 57397 107665 -142155 53897 -134789 -91406 -35223 8107 -18283 -24911 -132044 -55565 69797 -155440 -104393 47231 55004 -247615 -85155 -17545 2578 -30585 -35561 131070 251185 -74383 -25712 85858 61560 200199 -64536 -66875 -107823 98757 127817 20155 -56478 5591 27282 -40210 97250 185014 84842 -55885 53391 80611 -101729 72241 144571 -81652 -203283 -222620 -4462 58110 64569 -40123 82913 59925 -82775 171810 83060 33433 -15949 23061 -80701 117251 -2081 -44759 67306 -46213 106371 188793 57370 -91988 -74156 -63509 -254944 74349 91791 106481 51774 -171 -59804 125163 -98836 114745 -55200 211670 -76653 -123078 27020 -45073 -58121 -139766 69790 
-198819 -25114 90396 -19264 38757 -124742 6508 -147574 17731 -13998 11065 56489 -73921 66812 -6692 -134891 599 -69899 49101 100182 -56022 -57215 -115209 -84056 -83685 -12456 -41072 -39367 -160706 -47575 89460 223784 172095 1011 5418 -62739 -28709 26424 -8247 -2826 120121 41820 -80545 -206494 -79708 22046 76310 178772 -152386 -5254 -84875 -44383 33572 164195 154833 69751 86242 -148741 18868 -126373 -65460 108010 62529 -24562 -86864 41266 -148283 -50467 18412 16383 -110150 145364 804 125021 -18699 -56823 89827 36269 91995 65789 -92671 1615 -10330 -218203 -16252 -94630 128096 -33601 -129483 -127396 20426 -126338 -131643 -102138 -62943 85364 -71114 -105752 -23214 -39243 53411 -45562 -119021 -105966 -9068 -4641 157315 -24439 -9802 -42919 50979 131213 130814 11080 135940 -58513 161795 -90408 145932 -233193 144896 -80129 -48420 33124 -86438 -90588 111708 96930 -68563 -120551 -40824 83063 78985 -165620 33634 74175 -113959 49720 -164707 -28857 -121461 -115602 -27529 19125 -55730 64499 11821 -96809 -72845 139823 120780 -135717 41006 -128187 -99227 -51582 10848 85677 -44463 -90138 -86593 72822 -76114 -91945 -50793 -203 -269307 -79860 -15696 7983 -57947 18214 154512 42419 -19784 -22007 52950 -26929 206757 -62004 -61684 -99258 172832 144076 113930 -19031 24471 -1601 813 -42904 201890 60667 -54486 -53543 76330 -140727 66145 192897 -74136 -125795 -213383 -4893 146636 115008 -20003 148957 -25215 -49059 106901 97663 35157 14443 -24514 -67298 124387 17426 -74753 97057 -163474 -45066 166011 76668 -26176 -99384 -47139 -214445 29048 108992 87311 78114 -89863 -53021 112602 -7679 147853 -65243 109469 -79190 -16417 20570 -117694 -191043 -156266 119706 
-192954 -143697 208155 -20584 41585 -119827 86575 -89013 82421 42729 3162 86085 -68393 -116738 -52028 -7822 -26501 -71855 115488 135750 -33069 -81667 -82891 59611 -39011 41635 -76970 -49009 -115870 -8572 90278 146532 117198 -30743 -49610 -57821 -11070 49865 8683 -11354 148363 58799 -44110 -214730 -92850 32153 40942 161957 -120421 -14681 -35524 -72137 -135495 160154 200673 -26730 94953 -185927 82090 -131110 -71360 99505 70508 -69325 -163083 98807 -118579 -74356 71857 9889 -126595 41119 48441 65983 -32907 -60537 -54544 15859 118961 78298 -71910 -17249 -37367 -202946 -91752 -82914 108072 -91876 -100891 -56625 -136678 -113129 -113105 11535 -122210 91732 -95341 -94168 -75483 -71731 31622 -64157 -181505 -203520 26492 -22620 173888 35657 30918 83883 49062 83169 173557 3954 120573 -137196 154620 -11514 124355 -240288 170015 -54605 -175823 1985 -112751 -102371 112231 -50365 -44722 -155839 -36718 98147 16011 -172445 -20097 91636 3634 99650 -256210 -32065 -188315 -153121 -33590 28475 -47909 -20741 12921 -85808 80965 195302 -105797 -17055 -2470 -105141 -99549 -83557 6347 159209 -40730 -41064 -97582 67953 20726 -81545 -72692 -47109 -210039 -87655 629 22573 -65587 -1090 177491 -82115 3812 -25410 -2058 -65083 132718 -63615 -53561 -88981 157968 103405 26003 102346 65835 17207 57696 -148456 203902 52519 9832 -86427 96977 -169244 -28640 43947 -38842 -36841 -172269 -5814 87352 136579 52294 94542 -142855 -74704 13083 112534 35535 45327 -13976 -44125 155384 55101 -96635 6335 -95991 -92234 177277 80332 57163 -83269 -43003 -167053 -31819 84546 66452 60204 -52773 -41592 119331 47522 126228 -106152 -51504 -72213 110947 17883 -147847 -229099 -146818 157450 
-175056 -179506 163917 -4953 56934 -75587 170079 -25043 91337 33031 867 115455 -9943 -56177 -107857 108240 -70698 -79671 156215 129155 -97813 -104465 -48249 186381 46400 65277 -66016 -89702 -85526 -11751 90929 17118 56075 -7507 -75455 -30433 35424 135241 32147 -37510 225543 51354 -5251 -202423 -63778 27834 -17313 96261 -15563 -15567 -46296 -81940 -135344 20058 138025 -77891 111034 -224249 143956 -164180 -82040 54189 82736 -119929 -215394 154768 -83370 -89511 52576 -8876 -135227 -78214 91819 -5952 -34318 -73333 -41272 -15840 16783 37284 -94381 14525 93352 -202041 -116725 -39328 74392 -98119 -67006 35883 -200688 -112513 -123206 1711 -79194 72385 -52313 52382 -136810 -114951 -1064 -76706 -173994 -227067 97708 3193 83687 103694 35439 178694 63027 -81244 167838 -53230 125711 -124297 35469 68240 128027 -191469 168937 -82968 -206861 1863 -148068 -88354 112660 -160607 -15849 -189694 -78611 101011 -15000 -176193 -29050 122920 213829 138907 -195143 -33209 -148792 -117153 -23303 41450 -31586 -165575 14065 -49716 161523 214694 -212835 118992 -59061 -93305 -90773 -95294 6804 62837 -26632 -83191 -99264 71548 55117 -86221 -67553 -75682 -117506 -92354 22078 29047 -75563 -32720 185980 24099 31029 -21549 -58794 6133 -12018 -60739 -93440 -104527 94758 46564 -151946 168820 105008 97540 61201 -78140 191367 54305 -23449 2293 122709 -145406 -125752 -130377 -14940 44494 -140745 -7207 -47452 155389 62298 131357 -214703 -58332 -9102 123929 35026 49649 32378 -26149 145913 103489 -110667 -69891 7531 8686 134063 68798 106223 -4095 -39829 -63645 -54660 44056 99179 78480 62312 -30319 120255 93703 64555 -132642 -114773 -60549 66907 13027 -84186 -145273 -134645 189971 
-169533 -88172 68648 -56063 60165 -8549 233663 17473 64934 69531 -11940 136952 13357 155429 -99338 95276 -104513 -51089 127817 85936 -94900 -95244 59317 234157 159572 128194 -97974 -94787 -50399 9506 93887 -90005 2676 -14467 -36907 -10975 7172 172047 55230 -28264 238523 644 60321 -191869 -31468 2416 -18366 43889 76280 -20519 -46697 -80035 32831 -47130 -44814 -26500 103109 -237667 162423 -156426 -97309 37043 103023 -121144 -231428 133974 -34605 -97904 -33687 -14209 -124742 -131730 138345 -119209 -36658 -102051 125290 60635 -125689 44593 -85009 47394 211364 -181885 -160634 20739 45687 -79931 -17049 144507 -237833 -124292 -122016 -123119 69642 83919 -39497 152916 -160659 -112520 -44689 -50141 -156573 -126560 120589 40930 38072 122431 65940 143192 40115 -160009 125628 -41192 145414 -65017 -102387 114815 100916 -114589 109058 -52211 -87119 26906 -160089 -50429 113334 -138535 14788 -217747 -74527 90642 -70149 -178311 -28112 138328 256915 129826 -62072 -43162 -69372 -101602 3768 44768 -3658 -197384 12521 -12311 86149 188549 -78897 152853 -106016 -149630 -71185 -109371 6099 -15786 -36710 -50172 -96889 84904 2549 -106530 17965 -96457 873 -92358 39304 34714 -68987 -121503 197574 236199 60032 -3942 -89204 43049 -77832 -63515 -76466 -85858 40959 22152 -259440 178248 143603 131345 54404 61151 211694 71443 4021 87193 111675 -79691 -180207 -82084 -28358 73140 -124650 -9077 -92778 99805 122542 139756 -127881 -79187 -49653 121029 39023 25856 67557 -10360 143940 121753 -110687 -34224 99797 176150 155287 68539 86340 150208 -29867 37400 -88124 30764 31269 73382 136523 -24517 105006 121479 39883 -160002 118 -53705 -113613 19705 2208 1814 -111959 179904 
-156204 26143 -30175 -41754 79166 66908 261885 5792 2365 52685 -16178 150913 42434 268509 -33537 -26557 -131675 -73910 -26615 -3539 -112832 -68890 123718 147316 231016 147173 -99675 -60901 -10666 27849 100025 -125800 -30426 -19616 29743 20371 -12167 106343 73683 -35802 246575 -52689 118618 -163889 -81852 11703 32361 -7458 69899 -3542 -50033 -68039 160150 8431 -65442 69247 87680 -247688 166048 -160009 -108620 24830 104262 -80734 -189840 69024 7758 -102710 -137180 3591 -123688 -66128 197320 -225147 -7586 -75750 224452 70860 -211033 -4951 -87593 61979 155253 -159896 -214031 77877 14446 -61583 29273 204263 -261719 -150129 -123201 -203423 113697 69888 -13666 94592 -140890 -82072 -29507 -50396 -91053 21659 150424 80071 -45593 74468 23223 21342 16554 -59109 63653 145 172085 29440 -141266 105192 52971 -58712 31689 -71265 16371 64871 -171421 -17361 113720 -4212 48512 -237160 -58173 102083 -75800 -159916 -12035 125014 69167 76906 76526 -51980 111877 -103820 45388 56262 25456 -76864 14814 23149 -81672 126212 82036 52869 -124460 -133285 -45447 -105693 192 -125581 -25383 -67284 -79742 67896 -62643 -116472 88303 -101803 68477 -100277 42777 68078 -33645 -86533 207968 253857 79036 12315 -77351 105837 -101628 -63994 -40939 -78155 57412 -14441 -238214 128393 159763 170327 14682 177312 147670 87605 -15913 61029 130302 -42212 -96547 111796 -53694 47884 -69024 -11342 -17571 113133 57200 127905 25667 -54083 -40308 117604 36860 -27666 86182 -14441 134593 153362 -101239 61941 36587 262951 106467 53270 20886 222738 -14755 97407 -44390 59302 81774 69567 70951 -43907 99860 66992 90705 -143343 183754 -48594 -145051 21485 13320 112194 -94383 168996 
-140835 38643 31297 -42143 90779 130450 242144 -61093 -57511 32076 -23901 167204 66512 33605 -5830 -147793 -143457 -75762 -4020 -100932 -120934 -43005 80560 34099 228465 193047 -62981 -34977 10953 51722 102201 -62071 -39872 -19217 60861 18040 -26673 24650 103292 -48284 261871 -80799 156526 -135420 -67815 36271 73706 58945 -22211 41644 -48711 -57423 122439 146861 25115 83201 69813 -235502 130555 -153888 -109692 16535 125863 -51932 -98910 30902 -5774 -85367 -205552 -13326 -112868 76183 223971 -261208 -46505 -101092 161279 106092 -179146 13061 -101205 28518 4605 -123796 -201724 113355 12117 -35602 77509 208673 -162710 -126250 -105009 -141417 39709 62602 66098 -24021 -80839 -39868 -408 -83104 -34646 23636 144441 123597 -105565 28020 -19373 -116483 40659 103624 -20230 53122 153058 79168 -55431 57280 55293 29095 -1672 -60902 38806 27262 -145801 20883 114406 122579 86487 -248935 -66640 83213 -57443 -165450 16276 87690 -98558 -2153 69870 -50092 214924 -61841 80990 68489 61040 48036 15812 73928 -106254 40135 122219 -102052 -106976 -132906 -13980 -67685 -237 -179315 -16496 -137618 -63095 68616 -147150 -93800 41169 -88587 86680 -86387 32518 82858 -11428 -69610 218527 69406 92774 2904 -32329 159070 -62394 -64756 -51652 -88251 96967 -27452 -140016 49338 169233 154411 -30273 131468 109942 113580 -46939 -54415 146773 64820 29755 202202 -67093 -14788 -41718 -14113 116239 95445 24803 148072 116093 -74726 56613 110537 39988 -48086 112196 -16545 120809 177998 -82984 102007 -76543 206991 73014 82797 -47017 253928 -2410 135651 -14144 98642 17045 90677 -40977 -57762 75578 1456 153399 -126938 187356 -34169 13415 -3954 -38483 108469 -84710 119254 
-131832 -65703 99369 -34590 96038 174140 178459 -128032 -124044 33662 -21612 157817 86339 -95735 -36009 -155363 -140104 -53704 117655 -150979 -120052 -25705 -5024 -113369 170424 231971 -54654 -21823 34830 48848 103847 81399 -21496 -19526 41626 34524 -87358 46251 135329 -75779 248408 -76886 102197 -137117 -102400 15183 51443 105661 -122588 52477 -64734 -55697 -50733 168571 165214 6463 70922 -214399 78265 -122178 -92665 -18641 117056 -20460 -16344 59893 -7897 -76004 -210478 13539 -100613 154240 242691 -264891 -55908 -99540 -16420 54516 -50521 51919 -105956 -6908 -40996 -102134 -228532 116463 9864 -30221 125002 164463 -60717 -92038 -107984 -20982 -117249 55675 61316 -115596 -27951 -35233 56113 -62365 77275 25650 87980 163614 -151530 -19663 -48056 -164990 21952 135761 -103842 82341 149936 100584 86569 -29922 -22170 30545 37008 -129544 -44426 10722 -126199 39992 114809 133453 118004 -250886 -60130 69843 -5156 -179040 39343 103813 -31185 -50952 -95319 -50980 157983 -24054 104010 79953 93974 55695 17113 112259 -90456 -45585 -75905 -153376 -60596 -132859 21373 -95133 1485 -223947 -17492 -139653 -3356 69176 -196243 -87666 -69423 -60195 31385 -102210 32779 85097 41271 -14450 214662 -88422 41615 11356 27159 199433 33670 -63420 -64258 -70205 105453 27480 34711 -41497 146363 75770 -17528 -18971 63851 100914 -42314 -98395 128171 127906 83267 70098 -69082 -107470 1153 -17223 129398 60185 -30494 139098 105815 -69604 92083 105501 40930 -61050 64296 14246 101358 193343 -53844 29877 -133942 37685 26909 77806 -88636 253977 9347 138641 28429 107340 32551 83160 -88438 -53734 66249 -101941 138937 -117526 83763 -28960 143857 -4675 -149561 -5579 -73870 71047 
-110071 -172352 194960 -22861 105116 185069 93707 -141393 -174619 42059 -13777 165820 79599 -44856 -102031 -47398 -125551 -63417 186701 -128653 -86019 -38401 -68268 -125513 57473 231872 -22122 12409 54550 61611 106125 191007 22052 12316 -21258 79339 -59689 138262 161791 -84557 201981 -31912 45296 -76133 -88806 36477 -9024 177156 -143543 68508 -31072 -59195 -175067 55070 210590 -78648 88721 -179406 2065 -102757 -87629 -27982 138296 -48648 64821 80890 -31241 -62126 -143072 11151 -81678 144476 270187 -229779 -60194 -90637 -72712 29851 84104 50508 -86414 -11099 79558 -71644 -246412 84432 67392 -78256 159680 69356 66916 -113293 -121285 23086 -103648 46745 84141 -73192 -6777 -86327 56384 -59408 56969 -128036 61940 186747 -183191 -32488 -47687 -139601 38636 18854 -159741 29632 123676 37287 168372 -84130 -40697 -22600 71880 -138514 -165000 13637 -76491 26207 115298 36181 150363 -244095 -48126 81413 49434 -170009 59337 81800 189780 -74936 -223770 -58668 101716 -29870 110529 90059 125552 -62973 23407 154975 54991 -111189 -216204 -66456 -3963 -84895 59170 -75515 -1307 -179409 -27470 -133755 17035 73465 -149326 -84950 -131506 -15860 -69914 -101890 29158 98063 91994 -5472 209368 -5356 -5553 18979 75967 206389 128078 -62355 -46696 -78703 151783 61005 121599 -46507 103640 11428 9960 -139663 26756 76445 -84451 -18353 135452 166799 45518 -119020 -40512 -180522 1672 -20691 11035 87046 -72997 148309 -41539 -67299 112452 88865 42089 -63858 55575 56386 74638 201151 -20021 -66887 -46210 -86325 -14149 80123 -151825 166757 18657 90473 91503 76577 -2110 84547 -11186 -39997 73860 -98808 67789 -68862 -62555 -25816 77390 -11618 -129705 -154474 -39174 24142 
-95244 -156473 125945 -46897 91975 157852 15720 -98584 -240137 44433 -13947 143834 62729 147134 -110073 93471 -96633 -90864 128505 -18625 -75595 -61840 -113169 -24726 -39774 187500 -49408 -19312 32893 73560 106884 216745 78068 -4362 -62468 55348 -45443 169172 179612 -101054 180669 27308 -34436 -77844 -23977 19095 -24457 163650 -64390 75638 -15976 -32283 -53635 -63697 95767 -47562 98637 -134622 -58104 -79097 -69489 -39628 137372 -146298 53771 128381 -46069 -56634 -44020 8460 -70466 32491 251140 -134973 -63281 -89319 45021 20232 120513 82382 -86833 18867 201248 -57324 -205210 28198 100704 -98751 182644 -48186 110369 -141514 -110546 -74754 -19027 50250 168763 60399 -39433 -118744 29895 -36148 114044 -182821 -8653 171015 -177766 -2072 -4756 -11307 56645 -142954 -184402 -1959 116599 -90801 136157 -112632 -64920 -53565 130625 -139701 -189784 28360 -44248 17569 115810 -106388 178238 -228425 -33770 82716 107881 -182348 53394 54935 277468 -44755 -227353 -57041 -39758 -15684 100827 84182 148248 -183195 13489 167642 100253 -146464 -130348 57591 40156 -119998 99863 -43007 -5886 -127373 -17237 -80711 66684 68437 -48443 -88915 -75961 32880 -178745 -93517 35207 89243 129437 -13421 201422 206248 -62959 27384 87160 192943 216585 -59240 -14647 -60698 90953 109004 10096 17498 65935 13001 66941 -97439 -28889 63294 -57865 82692 136505 141769 -54645 -103313 -10079 -202241 45549 -24554 -99084 83018 -86564 153729 -176244 -50373 169917 73941 43225 -36845 -10435 64858 71559 194277 17408 -80542 84936 -75107 -41068 80253 -144795 40594 38701 28740 130745 38102 -20705 66650 103420 -30186 49980 -94866 38199 -77970 -163914 -19410 -86915 -12027 -91389 -229156 -15737 -26570 
-79017 -42541 11224 -5609 93971 97767 -49745 -27784 -232413 16630 -7608 110211 20176 251412 -57919 114290 -61349 -62380 21266 66613 -74842 -88843 -40099 114864 -89151 216347 -39983 -99300 18923 4211 110908 135134 136754 1835 -64317 85061 -1807 94892 179146 -94633 116267 57655 -60219 -64878 -67426 25013 26991 95969 34904 62028 -22655 -36897 110195 7777 -29652 41479 99129 -94570 -102878 -44616 -66634 -49674 133834 -155282 -47056 121315 -96740 -62392 42574 13745 -60706 -101661 220248 -11912 -49071 -85768 197736 26186 31546 68825 -101151 58945 159845 -29552 -171197 -32615 124754 -106049 185238 -123005 77474 -110573 -114500 -191081 79477 43500 93857 142999 -108194 -102940 -6339 -84754 149804 -234559 -27625 136572 -105583 75005 -43977 105846 51087 -136013 -177210 -50435 100134 -139878 4518 -83726 -84615 -92351 167204 -161904 -109603 37954 2648 -5100 116366 -159570 198982 -206170 -30754 116730 106787 -175134 22548 81670 108080 -38776 -112898 -61074 -145141 -68506 69076 81771 166030 -178494 11576 231255 109876 -135729 68166 135034 54031 -97234 139181 -20126 -77 -10660 -27224 -99641 132623 70762 33671 -104195 39936 91154 -255492 -88623 36029 104119 152316 -105331 193877 264751 -57862 30972 58523 139929 222492 -60142 -25602 -61278 41575 146376 -140170 128298 30083 37852 68954 44943 -43282 43030 -32787 55230 131860 127157 -181080 77784 -49548 -204345 68501 -28693 -58031 102574 -57926 205151 -221013 -44591 179906 59912 45151 570 -8726 46990 29305 197119 56594 69410 98082 79051 -86811 77826 -87401 -61777 35016 -54103 166755 33276 -29674 40575 127635 -16402 37357 -3413 89965 -47127 -46251 -20871 -120138 -20802 -11675 -185695 -11766 -64349 
-70401 45099 -14021 -8886 80701 24685 -66341 20412 -220619 53038 995 82179 -24779 80527 -5157 9684 -23056 -71994 -22114 138676 -67491 -102870 4879 236532 -78669 221744 -49262 -129693 -5330 6105 112357 5549 191138 11692 -11620 81171 -40674 25777 202556 -118456 35972 59333 -78919 -28651 -49911 -15469 70120 35559 91034 41506 -23792 -35969 159692 118662 -78014 89808 113704 -32220 -174418 -4162 -58450 -47647 136114 -118542 -133465 105977 -126658 -53736 72613 -5860 -49617 -142398 194751 57814 -51376 -76621 215848 50055 -109089 101468 -97121 51743 22922 -1810 -103212 -79298 133361 -45995 186732 -133743 -8319 -115697 -106673 -173355 87600 41150 85066 101918 -156766 -75186 -57844 -62197 84216 -102535 -36286 83909 -134363 103469 36344 146966 48235 -3168 -142245 2125 132275 -136545 -118020 -26884 -107756 -171620 136737 -104466 19033 33179 32947 -47484 116874 -85233 215622 -175905 -51486 130419 109410 -179777 15858 104396 -93907 42649 47374 -65644 -171279 -45753 22186 74860 172750 -49762 15433 238818 -58364 -80237 118090 124710 32079 -96237 173646 6426 -229 62490 -20848 -37640 154951 64839 48539 -96852 74284 145443 -265766 -71554 16681 100428 173073 -101881 178135 108070 -11800 25522 2126 36633 127742 -59456 -9521 -65493 25301 122325 -271543 181644 11528 109076 35896 148597 -97387 49636 -9058 -49774 124818 59630 -175985 203411 -95547 -119735 76137 -33131 73579 119526 -46559 159183 -131753 -50499 167011 46673 44748 49824 23777 59025 7969 176250 93419 108587 -24873 229388 -60449 75200 -13574 -103443 63655 -144026 161185 67146 -61131 25676 29140 -29518 27495 71180 148797 -57358 162696 -29955 -33529 12118 28159 -45361 19997 -71742 
-51786 9051 97604 -25059 59901 -49001 -33010 8459 -163914 65574 7536 50962 -61600 -146783 -31332 -134469 4652 -46602 90367 177090 -23960 -91773 92038 202310 2923 198291 -111971 -65503 -64586 -1300 113021 -112218 218191 31693 42446 67216 33928 45898 190527 -119533 -13251 6851 -60580 -25039 -71290 -5666 56444 13177 -11242 62592 -56207 -40921 34379 174314 20422 41891 46252 12169 -174498 23163 -66567 -48537 130367 -30676 -218144 80436 -138752 -67118 37156 -5272 -39508 -51649 161258 132752 -31209 -92465 71971 74261 -209316 120511 -89793 15872 -48221 12847 -83790 -95725 125247 -29813 161965 -90806 -129885 -118713 -106087 -47792 -29062 40437 29501 -44070 -169851 -44341 -22784 -71156 35814 7484 -58624 39936 -71756 92974 29881 124435 49499 115813 -64073 -13811 123640 -85865 -135293 51654 -95001 -195195 97474 -79714 57318 12300 73998 -89427 117505 54801 221855 -141809 -44588 118741 61557 -176538 -10563 128279 -46234 89747 65760 -64498 -111237 -96902 -5931 66059 173753 58972 8127 220033 -116499 -5141 -30170 -7658 -16872 -110343 205022 4567 150 122073 -9124 -61531 165974 78650 11688 -92524 64683 191539 -215930 -68172 -6818 105218 184343 -73254 157238 -78754 28542 24257 -54358 -1061 18752 -60332 -10382 -60795 54344 58551 -245467 168648 21810 150791 -2147 145601 -82449 51302 82 -97105 131259 -15252 -73162 106141 -49741 -55451 104093 -37805 147870 133916 13319 147631 32806 -61593 96316 42940 45381 54244 34621 53738 -27443 152658 121872 24622 -122580 262124 -64602 70907 48690 -73989 56361 -193948 156380 103752 -110808 33496 -83346 -52873 20838 150301 141351 -52719 186056 -33565 122742 -6979 -21627 85594 39297 -52261 
-35277 -109342 177982 -25636 47714 -102624 31379 -57370 -89223 73310 18365 27183 -95189 -65468 -82243 -163474 17266 -38708 191005 77003 -44422 -65645 115719 119296 120819 149999 -89624 -48484 -103600 -19342 116469 -125489 225829 33029 55304 85209 22765 133462 186595 -126918 -58222 -42318 -15785 146 -82932 42260 1635 34714 -108300 26829 -29496 -17045 -125114 101562 187739 -40863 52867 61789 -170192 54819 -72085 -44455 122746 -44689 -222766 13003 -122694 -103859 -50769 -2947 -50655 78159 117725 65857 -29346 -85464 -70640 115363 -186568 146989 -102264 -14387 64038 24837 -49892 -76923 111174 -41686 134222 17994 -226606 -109046 -109693 28884 -129580 45916 35082 -114198 -121140 -54189 10230 -24412 -38817 64750 -4747 3890 12891 43570 81519 -9650 25392 104510 27689 47544 74746 -12348 -28959 106106 -120950 -249382 29140 -66179 -45910 -5303 100848 -79281 117908 147551 218638 -104246 -66089 95957 17225 -167885 -38223 93636 165929 125551 -53474 -68793 668 -154479 -27289 52459 160404 41978 10658 249586 -119989 86994 -182716 -148106 -73145 -115109 229097 17333 -4079 86784 -14616 -105017 150913 76544 -92012 -96955 -27776 236997 -117238 -79065 -14003 97899 165355 -23276 127277 -32345 84003 25782 -88538 -20402 -96651 -57198 16138 -61415 135456 31011 -88261 84263 47437 188734 -32114 21675 -67069 86466 -18716 -21715 113690 -98014 28064 -85507 -18673 54377 77610 -42614 62884 102860 82972 150109 71096 -47465 6836 45132 46921 39259 90609 87358 -51072 124136 144027 -78808 -120912 142255 -41698 99118 73979 31994 67130 -236330 120425 104466 -60300 70596 -62743 -56126 3947 117825 75749 -73974 136404 -48093 75654 12871 -99444 125219 64470 -18925 
-23727 -179268 192089 -22865 44927 -126211 113917 -124869 -64254 70058 28558 -6607 -87574 148589 -121361 -77232 17934 -6663 116541 4263 -52945 -36832 3979 -41797 204063 107691 -95199 -67815 -115257 -48756 118962 -47679 201922 45676 15632 38743 -25447 174658 160931 -131185 -50273 -83094 55697 17113 -85773 35309 -28324 120755 -176007 23679 -38059 -13743 -130642 -46371 202929 -60942 89304 101356 -139535 81646 -88940 -33217 115412 -57143 -207329 63563 -87215 -97750 -163884 6050 -55856 166563 62859 19253 -43637 -81253 -7911 76060 -58893 127494 -86246 -6186 194961 39931 -19410 -29030 82820 -109913 95626 128124 -263737 -93318 -103305 -41625 -74109 28916 -1853 -69757 -60112 -92880 52601 -62621 -116130 -14300 21237 -18241 39025 -1863 22690 -137196 56379 -31152 99954 56086 90829 84502 111343 111621 -144248 -195500 -5675 -44542 -174150 15341 105653 -77540 118289 115139 213648 -63318 -64181 98739 -43483 -179457 -44787 107889 268245 108984 -174407 -66943 151996 -151504 -34546 36915 143680 -105290 12863 181255 61654 159568 -154318 -116425 -114121 -105099 243433 18770 -4071 18160 -1596 -105719 141050 57091 -157511 -78682 -122960 262533 -472 -96379 -8156 101372 158952 -23358 108001 172820 97904 27696 -79873 -7479 -110955 -58354 -23303 -27110 147745 -18257 46439 -5960 90437 150600 -34876 -109421 -12554 97367 -26072 68848 108586 -147675 88105 -128423 9906 65918 82537 -47628 -61397 104932 91814 179520 65155 -51044 -8929 50038 48109 1800 105387 56248 -60433 93137 154488 -67609 10730 -17845 2796 97369 72904 111403 80556 -251187 72935 67473 -51616 3052 29576 -64327 -375 53820 40133 -96975 -85216 -60292 -99069 20872 -136710 39756 78864 30665 
-12200 -126514 10039 45299 40988 -120706 200617 -133103 27778 35683 37228 -24688 -108295 199983 -68815 61417 -2484 2945 7248 -82407 -58130 -26169 -59139 -148454 226371 105395 -23689 -37453 -160173 -33069 118260 91922 155341 40766 -38612 -19438 -31039 111849 135275 -136213 -84652 -84888 125936 28500 -49087 29583 13516 168249 -71850 -6407 -23943 20071 39401 -42454 94639 3420 97870 132217 -73832 90869 -91725 -40461 107389 -123159 -142494 133730 -50011 -90249 -218345 -300 -72493 134330 135 -125572 -61973 -94978 153385 12063 76831 146673 -90392 37085 174139 40351 50012 32626 60018 -114454 47690 197422 -237974 -123947 -94219 -164045 28606 37159 -36625 75548 -15306 -117738 63052 -82279 -183715 -101596 80254 943 92258 -52544 25265 -198075 19116 -143133 147674 54642 73208 97992 178692 62081 -130439 -165024 10731 -51495 -208014 40005 93289 -62219 118868 -37246 195643 -26112 -66103 86439 -73956 -169405 4049 98372 144324 58875 -216377 -66141 165714 -148131 -11489 33003 116342 -185882 10245 170932 122405 206410 32781 13373 -123329 -108750 250493 54281 -8247 -78334 -17383 -123885 130668 70685 -150259 -103935 -97302 277066 77322 -83342 -66085 95531 126933 20035 78747 284370 70905 7157 -37072 25818 -70021 -61231 -12074 -33135 117529 -38177 108624 -44583 134816 84047 22556 -136259 5476 95177 -44315 66872 93911 -116548 49941 47770 -32454 73590 70243 -52758 -86923 77465 75734 217398 -59845 -47810 -53708 52413 49264 -40127 87958 74654 -90157 53868 155689 49774 88765 -92760 18345 99308 30475 235060 82294 -187072 14697 33237 -100254 41510 132193 -49559 4012 -2988 79617 -99340 -111681 -68385 -160283 43787 -77249 -108483 87270 97673 
--9327 -3186 28943 -37986 39172 -70658 252022 -89733 99439 13466 35643 -58914 -76036 7759 2449 122032 -32826 8336 -9852 -180355 -108575 -38904 -82920 -92516 195791 50202 -45520 -21862 -170505 -53140 123426 188849 101497 41021 -73807 -53531 -45989 26756 98424 -140190 -42004 -43539 156874 17001 -94846 -797 65621 167296 29195 -4966 -28935 4919 166124 128299 -39297 80003 92564 153210 -8070 106034 -112403 5955 99091 -129297 -49569 104300 1720 -82374 -201219 15404 -82203 11198 -44783 -211740 -53442 -77069 209386 36470 117580 99391 -101090 52591 31474 47250 75144 87021 8430 -58614 1732 218883 -132508 -105763 -98959 -180925 109184 24788 -95003 161463 -14752 -106662 21149 -42884 -183424 -214404 135140 35035 160632 -15529 -19703 -105114 38997 -86782 172753 13311 88723 57305 115092 -10557 -96269 -103852 73449 -80861 -123779 32198 82387 -15010 119218 -142646 172551 9985 -58720 120974 -66916 -178020 26735 101087 -63267 14823 -147316 -68490 139730 -124090 16820 29584 82528 -154771 7262 102787 94874 210979 122603 143423 -97064 -75669 247641 36583 -7153 -165498 -11622 -139705 122908 78081 -125211 -105745 28385 271493 83626 -93950 -66742 86196 89193 -60989 51601 127439 50091 24934 21936 76895 19326 -59143 -47615 -25676 33355 -24059 -28159 -22017 151611 14746 53963 752 53339 97905 -58010 -30802 76629 -120934 -74711 197499 -76840 9338 57890 -57873 18811 102113 30541 177064 -242444 -62321 -46625 67311 48967 -68484 104492 72564 -99742 21928 145768 122346 54332 -20384 95070 85225 -59882 299010 85425 -140598 -19965 37837 -123838 18409 92296 -34728 930 -57690 156656 -121448 -23073 -74359 -11331 23929 -40479 -219447 92184 139960 
--25559 47174 88026 -12297 44726 1549 262313 -30006 90653 43104 27923 -56083 -44031 -124072 -13415 36791 -65430 3511 63529 -112443 -54853 -68262 -97573 49540 103743 -12090 -4052 -33011 -164685 -19100 126973 212401 35361 32366 -44425 -44327 -59069 32889 73591 -160035 -17026 10117 120398 1047 -93683 27426 60990 106735 105541 -13156 -34998 6947 125677 225537 -71236 75033 90536 159857 54364 106404 -105476 22618 81768 -89573 13777 140116 1641 -84358 -121626 9147 -109470 -101668 -77186 -272686 -48036 -80666 128599 11046 47736 90200 -91866 47294 -42945 29016 57270 116695 12546 -52806 -44080 168136 -23484 -110458 -99638 -94789 47036 23469 -114508 93691 -62217 -55774 -15892 -17626 -178677 -190417 147404 74624 200272 39879 -17471 32102 35082 54801 156670 -25551 62824 -42606 -22394 -85592 -66630 -37557 132962 -109802 20233 29505 34906 12664 119705 -140305 146645 41112 -57046 110944 -56184 -163932 65755 87259 -75234 -72257 10756 -67133 21233 -129539 44920 24934 46118 -17200 10553 100429 -49692 172995 37497 146044 -45887 -99980 235615 -5617 -8838 -222216 7456 -134898 93032 79254 -50798 -90581 71227 251842 30714 -88070 -74843 63900 56850 -96495 26416 -57195 -11064 29781 71786 135867 132832 -59223 -126 -29216 2424 14870 -165201 68181 163464 -8879 67972 139056 90634 71742 -63246 -96806 76507 -79443 -206171 133279 -82093 -96923 48517 -63038 135159 64836 -37267 195011 -248548 -49664 -8052 81570 46539 -79139 -11016 69672 -80649 -4826 125733 64793 -52346 147512 75839 96768 -148386 225670 102741 -50719 -42889 75216 -80619 2578 -18888 -40978 -1139 -118188 146617 -125669 134712 -83807 129572 23344 25745 -215947 98306 173614 
--30740 -28871 159955 -38433 56721 73213 220107 10844 74443 33511 21796 -60195 303 -71462 -62744 -103184 -107232 48952 164312 -46344 -119549 -97470 -43371 194736 4286 -43990 -38043 -85331 -163464 2627 125377 130078 -8688 5154 12915 -59148 -43835 132448 49538 -146015 16046 55190 81042 1483 -84644 10609 9797 57568 20191 5210 758 20766 -54030 94271 41926 -16933 86377 147100 111663 88592 -89963 46800 75604 -31724 62580 91531 -15688 -55571 -8549 10545 -124222 -133109 -118563 -272721 -50736 -71596 -9165 48135 -104195 77943 -100203 10346 40082 30191 67652 112189 27969 -29157 -89665 77941 76940 -127097 -99178 8314 -92737 17198 -82801 -40876 -126161 -30142 -39799 -13483 -129635 -95540 128345 111675 183957 81779 -58396 133728 47875 134197 104222 6606 61814 -163128 -130362 -113645 -53241 -26544 177009 -147918 70142 2347 5059 41324 120332 -36079 112440 66872 -24112 102876 4377 -166389 71275 102237 120431 -58307 74181 -73931 -79627 -99314 86428 31479 15343 74917 10717 23341 -138864 104673 -172342 43716 9631 -92269 215533 -5864 -9753 -197173 2443 -126180 26683 69106 26794 -77967 35836 216878 -77374 -95602 -98560 66791 15844 -96903 885 -60391 -49135 4068 87837 193812 175683 -56534 -10834 -31095 81512 108830 -298633 157475 154944 30872 45687 154774 113351 54836 -38499 -33792 74614 26518 -173573 -53136 -43325 -192059 -16398 -68164 119948 80466 -53633 200125 -78297 -60983 79170 89073 48467 -44068 -11440 42029 -119961 -46678 98521 -38686 -150006 259101 114880 91276 -152824 122885 107742 63568 -54144 106716 -116872 -12627 -86345 -15926 391 -56293 87060 -108592 195478 -78974 55590 3241 -13929 -98810 106827 187272 
--37862 -149848 197397 -30442 68780 137890 150184 -7357 11522 48703 22048 -53316 43595 148807 -103350 -167718 -126996 19469 127917 76174 -85402 -108281 56230 242122 -74279 -77323 -48770 -91558 -161309 38809 130057 -7857 -38436 29758 60713 -74261 -39949 181566 14109 -158194 77419 58584 -2564 -12748 -89925 28044 -25973 21611 -139287 28526 -17920 32955 -142918 -32578 180503 -76066 86543 124193 142471 66470 -89718 82673 50942 -63615 8430 52434 -30779 -59788 64146 10353 -131895 -42574 -141646 -218846 -46279 -96092 -46829 74531 -204011 68591 -108144 -19470 191057 14786 13968 74737 37040 -48392 -120823 -20308 104454 -116649 -94609 -11795 -132337 15919 -76209 -103108 -161429 -62311 -21214 -51406 -62084 -14647 84887 147824 177153 104948 -18548 167033 41054 61435 33355 1616 69550 -120798 -120007 -81662 -24990 19079 160902 -186285 -9296 16048 -43238 48915 120719 112207 76302 81524 -50359 90672 64008 -164345 44682 105178 261932 -83145 6504 -78546 -201857 -42478 110477 39159 -14973 5763 12405 -77910 -94043 14436 -183137 -79710 47172 -121873 189204 -28515 -10048 -154822 -11285 -75855 -52633 72423 40119 -76208 -47258 172311 -182972 -99378 -99432 47326 -21747 -79661 -26413 140347 -50263 -4590 62934 199067 207622 -57358 -11436 -13377 103354 128912 -194314 202454 119884 119073 -10509 43789 183520 46729 -31273 55929 65455 107289 -86899 -139535 -21623 -214394 -17993 -73200 -24757 87785 -107340 168580 37498 -60272 131269 111922 48290 8223 -20942 33689 -91714 -74178 64205 -68837 -67127 228800 171802 101939 -170782 43107 129705 108195 -45571 98961 -109750 1674 -49723 -41162 6695 19776 42926 -117373 96180 -75015 -85875 -3035 -50515 49787 99986 190214 
--58643 -176257 97006 -19812 85302 180090 63557 -69832 -40025 69057 6994 -35644 63211 196697 -81485 -108721 -147664 32347 24197 134828 -108087 -96608 111134 148176 -98574 -118196 -82327 -115778 -133627 31983 128506 -115375 -40315 40849 39318 -41199 20685 106513 11516 -142177 136933 39605 -53526 -27917 -59855 36747 3779 31270 -128053 40528 -34531 75884 -55075 -49409 194868 -25352 59158 87593 158813 49477 -74524 98671 41767 -90467 -39629 68439 -48925 -35184 74137 -7193 -138593 81201 -137431 -128578 -16088 -120933 82112 114064 -186474 47572 -109698 -2690 193609 -13374 -12803 16266 101569 -83367 -140584 -102705 67094 -115619 -85807 -132066 -38709 8152 -38461 -56853 -150966 -101132 28294 -69039 11326 33485 85832 182520 131400 82019 -33848 96610 58443 -105314 -51426 36413 62770 -71987 -124 -31064 5128 14537 105389 -133139 -163045 43006 -88986 18839 121187 141078 41636 90642 -46262 101705 102928 -159825 41208 86809 173283 -24094 -129575 -75711 -168056 -23664 110138 56639 -36931 -124059 14236 -63339 14047 -67505 16550 -159631 51645 -81313 157190 -58495 -19199 -19998 19648 -53380 -44008 73746 -32192 -92475 -127163 118019 -253933 -98781 -120920 35620 -67336 -17028 -49414 285169 -33547 -4446 9671 175091 121470 -60026 358 -5772 176636 126858 -53255 164677 75680 178570 -33352 -104713 220070 43363 -32770 71743 72012 120538 36390 11170 -12306 -220142 -36550 -78126 -104726 135683 -84813 210894 119924 -69418 205948 121645 47224 18738 -682 36081 -65376 -98698 26599 18398 57994 75673 183117 90280 -76099 -40107 122007 137929 -424 59720 -115498 -39241 82241 -59107 17639 99407 74325 -95113 -52487 -65121 -143402 -1269 -133512 125712 121508 155715 
--73933 -81581 7890 5980 95470 179896 -13580 -121839 -106092 34323 -14 -11051 85884 74920 -16149 27912 -135002 60162 -3891 153570 -70470 -63980 117968 26569 -28398 -127377 -92708 -85536 -73190 49638 131057 -136986 -7220 12966 -15173 -23407 21537 25100 -497 -131347 208614 -19143 -89159 -64034 -69533 15068 66150 109503 -92132 59224 -58282 42181 108772 101622 94059 65596 79280 44744 145751 19871 -71755 118281 24487 -117061 -166217 100424 -101594 -76088 11562 -1241 -135151 156627 -157982 -9311 -24251 -82278 233327 77003 -73962 31589 -117561 35855 47784 -20525 -54994 -43543 114150 -125582 -146981 -138823 -63422 -106513 -92079 -196153 107294 -2705 13120 71712 -95768 -111679 56880 -75216 100042 -9332 5026 179279 91824 15299 28742 -53563 62704 -154562 -118570 28555 42265 -4660 133188 41544 45193 -36762 37228 -112253 -197934 51168 -131846 4346 121791 40929 7935 90621 -62844 98727 118099 -167616 -1614 113122 -44160 53762 -246292 -70078 -82145 -47857 92874 68870 -52148 -198300 16887 -90551 99735 -121628 140987 -46330 21254 -109956 119100 -80539 -5886 20984 18716 -108918 -75683 64757 -87249 -106212 -98512 59372 -271518 -99348 -117584 16534 -65386 5418 -72701 169699 6316 -10176 -49359 115467 26259 -56500 -11927 -17465 82599 75625 93911 55129 39269 183329 -21907 -131939 201610 72716 9598 -25882 59992 137968 85312 178197 -58095 -158182 -96156 -82888 -39857 153927 -20419 213411 42793 -67335 213556 119351 46588 53862 25542 42916 -55745 -115758 -12324 123230 81032 -68989 169703 101437 -18900 -98199 128291 150707 46350 30607 -121995 -19454 134776 -59802 32894 96046 150966 -58709 -105671 -57278 -22017 -22147 -109577 79208 112598 108488 
--70780 29281 377 -14877 99704 156085 -55897 -132620 -174181 21738 569 20738 82019 -144353 -17526 106587 -121718 66789 81178 84994 -96214 -32604 -13176 -111469 74738 -126789 -98488 -15692 -59001 38100 134384 -37311 33715 50137 -66467 -9762 5117 38781 -2811 -132831 256190 -69539 -75877 -61441 -59743 7433 73224 169071 67846 58034 -49744 55920 170310 152441 -30018 84037 114616 -1548 100086 -36300 -63638 129399 5479 -111578 -204653 138609 -108303 -93853 -88828 299 -116101 125166 -135306 88698 -19201 -101270 183659 26370 66158 16713 -114710 64994 -39696 -43592 -110222 -83843 132449 -72089 -142065 -96877 -149198 -131277 -78240 -125762 103040 -11972 38715 150798 -36649 -89351 45890 -88447 133318 -142063 -24688 133229 -1984 -35345 47479 -148899 54813 -36220 -176547 46026 27666 54358 173520 110980 51865 -56753 1032 -75230 -101171 38609 -152717 -30132 122132 -87770 -19661 80397 -64733 86021 94000 -159168 -37632 65820 -86159 84434 -222043 -75111 78374 -44034 58055 67227 -55243 -119088 17031 -80129 109786 -148722 78803 52042 -31785 -106370 78902 -104365 -11010 113094 7124 -89489 -106218 65312 -138998 -108615 -24638 10162 -221403 -95252 -130249 4349 -67688 -43085 -86598 -44167 74608 -24661 -86602 67708 -58507 -53963 -21308 74 16609 45666 55777 -9161 19095 130893 5221 -27219 198830 93766 -148 -94204 48001 119142 17041 161916 -65572 -71470 -113321 -87427 94510 142758 3579 186730 -106122 -83022 161332 123543 47649 48531 80768 23530 -33499 -132912 -49189 95826 5541 -88519 166276 120755 62410 -70866 119761 74977 111092 43861 -105028 -31683 51297 -69998 43486 109497 150255 -56135 -55968 -50989 96096 -8708 -28443 -57904 108975 52700 
--77356 31449 144623 -14906 110649 94995 -58738 -77738 -225556 37900 -13193 48536 54278 -62952 -75822 60958 -88735 72392 156494 -14293 -58486 -28146 -74023 -116849 170094 -131793 -40501 16112 4073 60617 138248 121681 97941 30525 -68295 -2103 26840 133826 6990 -111224 250094 -86189 -23412 -98863 -94711 32510 15616 166380 90945 62307 -71261 59367 29876 133577 -63220 9768 114656 -51237 38043 -48540 -72174 118614 -8767 -71591 -227533 153108 -119592 -101494 -187601 4925 -114274 9660 -95782 84812 4740 -86798 7533 -5591 120923 14724 -89057 42449 31679 -76961 -160116 -93954 117014 -44131 -119066 -14429 -235886 -137008 -87715 -3857 -18152 3385 74674 82203 -8669 -45393 26676 -70950 130235 -198923 -49908 119607 -48690 -24282 29437 -172702 28075 110153 -184314 9023 34498 100116 90519 108147 82448 -136404 18671 -56406 4280 10105 -161790 -69624 122659 -173732 -50047 62611 -60049 87362 53174 -152175 -38364 65704 88194 129276 -56208 -69190 177821 -61065 18679 83550 -52875 15390 16273 -51885 -1058 -126000 -123054 176162 -86154 -69491 41672 -92859 -17000 125301 18258 -124860 -95020 70065 -181780 -99666 76480 -37868 -117679 -93795 -145366 -5243 -63373 -104344 -103192 -66614 107959 -17101 -83313 11918 -89062 -52769 -16069 5488 40675 963 -83275 -44053 18501 70610 54761 115288 167759 110285 4719 -42162 69226 64320 -103944 -24545 -58473 17405 -150799 -91706 141781 106004 34486 210510 -219218 -72856 109923 114937 44926 27016 123649 5751 -20640 -133460 -77371 -25501 -99440 43726 174215 125355 115267 31443 138957 14163 139210 83765 -111269 -19405 -64126 -27571 56483 37914 86868 -71576 123600 -35016 92677 5548 23095 -193012 93692 6194 
--78092 -74697 160634 -31277 91316 21192 -13243 -7986 -227892 54803 -19023 90552 27557 157174 -103699 -71460 -50847 90392 122670 -102693 -70221 -45588 -111929 -11459 223447 -138824 -67771 -13145 19319 69849 138924 215197 158217 3977 -17759 -2174 -7144 185843 17265 -105906 271407 -62027 33342 -130132 -94596 48515 -24398 130831 57799 60592 -85843 10857 -123160 -14396 37461 -66592 111091 -107163 -17181 -98560 -73455 130748 -15541 -20199 -194516 135961 -109208 -88267 -218492 5240 -100472 -118655 -78351 62409 30496 -92799 -69874 -21906 50517 5835 -88157 4902 174820 -100646 -181679 -69224 103004 -14398 -82962 93459 -277082 -123168 -80527 -5206 -136328 4906 75169 -49333 -30470 -43944 -20204 -33728 98464 -206576 -74539 67908 -96706 -5539 52387 -93479 35752 122615 -169565 -48480 28995 27608 -54086 78903 114214 -177266 74915 -62923 79163 823 -162759 -82779 123084 -98117 -71117 36042 -62046 95598 -299 -160777 -12912 97851 272422 120128 71620 -72716 178588 -67381 -18619 85694 -39554 75970 19461 -56617 -126254 -58145 -196472 108155 -119995 -83241 -309 -84138 -13859 28734 9198 -123923 -89214 73586 -107353 -79162 93576 -74229 -2888 -89419 -125731 -5559 -47977 -99996 -103856 118041 76017 -24052 -42764 -12604 -45535 -53640 -29484 8118 33477 -33953 -229087 20082 33881 7162 67925 172742 153929 103544 -22367 57067 72388 2635 -195820 -138144 -12209 68947 -166909 -95722 46737 85126 55537 180007 -198083 -58165 27916 104848 44018 -35558 86954 -2142 -12973 -121488 -99103 -73730 -114960 205461 105741 108567 63861 114315 138857 -61481 166633 109215 -99664 -22539 -90284 -34176 73761 -56094 49192 -40783 233567 -30647 -90186 -14239 -4597 -228984 72492 -51759 
--91111 -174243 111672 -43398 78112 -57839 66058 20618 -207657 59807 -12879 108632 22927 201348 -83215 -159569 -17569 96214 38712 -118865 -51203 -73944 -51624 143661 239325 -102279 -39562 -69698 31751 24885 139342 198901 203679 28862 42967 30013 -37512 105997 37007 -101653 245094 -4880 107400 -146831 -84288 14649 -4972 25210 -67180 45249 -81994 33914 -131025 -51685 166332 -52989 61395 -154983 -97798 -107801 -88649 99388 -41466 -48375 -87497 63053 -95324 -83510 -175099 15352 -80259 -120894 -4831 13034 -21090 -81041 17507 37670 -87185 34562 -94839 -19848 198054 -126577 -258459 -16873 72807 -42873 -44087 182767 -201386 -133353 -91733 -99494 -98760 -9743 113748 -116789 -85610 -74873 -40835 -72598 55157 -47019 -24350 28813 -157237 75751 12089 55770 41630 -3223 -106847 3402 -1522 -29486 -144420 5799 141584 -167146 124658 -27749 -13551 22055 -146605 -94327 123534 48484 -86451 4548 -71709 116490 -45133 -156761 15967 81932 196597 71032 29342 -78462 83746 -85518 -35253 90137 -17623 -20343 13157 -46328 -122358 24316 -77022 -16401 -119706 -71919 -32659 -106134 -14868 -53376 21298 -155808 -55627 70716 -880 -98369 -37634 -94440 76304 -88516 -128378 -26244 -1240 -62778 -124223 286928 59315 -25469 15692 -17589 35996 -51380 -20690 8739 119896 -36480 -262079 89041 70215 1692 42550 82588 104355 79699 -49413 77021 66665 -84045 -170768 -27031 -42617 86441 -198391 -99367 -86113 66525 71409 193180 -87780 -58917 -9741 93997 43271 -57197 48494 -29741 53420 -100735 -110354 -8081 6790 268992 42604 104918 16760 206992 146256 -173833 194747 93070 -81998 -46400 15099 -24901 83486 -103227 58579 -109332 123622 -21849 -146895 2636 -74944 -148708 59045 -49924 
--84692 -154032 17234 -21600 68253 -107640 145291 -17116 -168836 63029 -21275 136806 -37444 78460 -21235 -133200 13467 96310 -26349 -115368 -69083 -101944 50047 236389 164357 -90771 -49811 -91584 45997 30639 142019 102980 222009 7051 67427 63002 -39580 36002 67980 -78993 246441 47217 133213 -187280 -89474 43062 54038 -7076 -155019 12232 -68775 60799 23410 60467 194771 33418 73570 -194534 -147449 -145697 -101885 81004 -57364 -94142 -24212 48983 -79287 -59681 -90257 7696 -69635 -28642 46512 -95468 13906 -66388 175846 75686 -204660 48231 -110010 6642 57847 -147923 -226878 44123 31457 -98717 -83 217041 -96331 -101894 -69557 -188776 18465 -7428 114971 -21094 -147666 -106493 -24092 -38124 -41005 33214 51335 3264 -190338 109935 -22244 142104 60685 -128370 -35765 -18870 26981 -107367 -104307 -66631 127438 -191303 193655 -77298 -154333 50920 -105647 -68266 124011 142561 -95834 -30378 -56185 98406 -86183 -144123 31520 100934 -28032 625 -107293 -69020 -38507 -127376 -28608 73423 11295 -153814 17790 291 55262 109649 129364 -139439 -85401 -68975 -58187 -86313 -14047 -136352 12819 -109317 -43048 64408 29705 -103005 -90039 -101332 85069 -78754 -114244 -30668 37804 -31142 -111587 188797 39665 -31317 67416 1615 119233 -51281 -23777 24204 163942 15710 -151136 166665 115757 34688 -1076 -69014 40845 58702 -46467 -4040 98503 -165169 -43595 163279 -25099 12145 -201149 -102699 -80747 57284 63958 210274 29321 -60388 -63120 81866 43399 -70742 -9594 -51767 66283 -92123 -109267 106250 95278 170508 -3921 104819 -36992 256061 134224 -218247 153748 50930 -101584 -34190 112217 -37023 89831 -81219 127981 -89152 -78454 -18913 -35309 18779 -116520 2836 48273 -69861 
--83100 -40937 -11179 4814 53529 -126293 217095 -85226 -120067 25233 -22604 148909 -74399 -104911 4779 -5034 21711 84761 38671 -40658 -62715 -103517 82239 209812 36025 -63904 -81368 -98737 33623 22979 143605 -17996 218916 21007 28950 78687 -68845 34870 89008 -80877 188549 63693 118832 -216154 -56761 2407 77848 21076 -141978 8744 -77063 14328 163839 177848 96248 88580 52732 -224352 -176782 -137090 -106175 82142 -74044 -118927 16618 63400 -41035 -47585 9349 -2631 -59903 98571 72617 -204206 12780 -112732 218870 92483 -196767 94436 -87749 46375 -51560 -166405 -229624 95231 9197 -111833 50634 198070 16822 -104827 -64336 -158645 106286 -21734 85866 90927 -164501 -110251 24690 -68182 -85207 25050 66376 5355 -193589 105840 -54434 145239 40879 -105946 55028 27254 21346 -135750 29444 -102679 154815 -193900 158498 -111228 -196148 43308 -78047 -31184 124345 127547 -95353 -69584 -45663 94871 -64537 -139105 62783 70108 -101142 -57140 -245721 -71866 -135105 -128015 -5111 59497 43200 -200547 16472 91584 119013 176131 104751 -134032 -30873 -92068 -81572 -62114 -14529 -171670 13640 -88786 21398 69743 63465 -103403 -76092 -90123 29321 -79458 -115550 -30555 85371 -10722 -122986 -11292 -36487 -28190 87938 55073 208894 -41465 -72115 11122 126374 75850 3432 205864 151646 130644 -30287 -133599 1358 31758 -91967 -92608 86846 -162847 53077 181035 -88293 -80772 -212282 -105615 38719 88380 -25514 214839 70204 -62122 -32351 59683 39757 -70495 -21264 -47384 91959 -61471 -100931 64814 68065 3826 -26916 101399 -138719 245908 139599 -240251 123165 30092 -64279 -31781 109683 -63751 90780 -48440 154525 -142608 -110791 -22396 126292 24403 -134466 113276 40257 -42470 
--84919 47146 80207 1450 52712 -112278 253369 -134685 -16558 43672 -17973 165671 -85197 -59805 -66039 104984 15238 100501 162989 63519 -96002 -86976 108910 74148 -69640 -59857 -80721 -81159 12192 -21964 145597 -128197 186815 4390 -39014 106131 -39887 132985 119160 -74893 147904 51289 76355 -196625 -60873 -13267 23427 95053 -18121 -1527 -48424 30121 125637 127721 -46565 44577 103509 -239370 -178592 -165389 -102551 46129 -102061 -144403 51132 112659 3041 -52095 67815 -6279 -42613 169140 156053 -272251 9459 -101314 82521 72022 -85008 55263 -70808 64731 21877 -194306 -214364 119173 12815 -90877 97007 107816 81342 -123312 -85213 -39978 62637 -996 80728 144185 -136132 -74319 64286 -72996 -174630 -32973 144307 -6048 -160993 59963 -83547 49831 57534 23809 125007 50268 13635 -79049 149003 -96186 154169 -115525 108508 -108741 -137230 15504 -52450 2304 124791 -11765 -85406 -108251 -41215 91738 -21125 -140998 46813 96459 59995 -65322 -223444 -71657 -163440 -153457 21867 48677 80372 -84349 7542 156834 129016 209522 -87650 10967 21961 -66737 -92176 -65144 -18699 -193249 26831 -56907 41688 64609 -10721 -103922 -13458 -65094 -73195 -105612 -99245 -27188 112892 -19874 -113568 -82075 -71785 -36260 67628 126670 194964 -46198 -28478 7219 36112 108491 96822 135922 170065 179341 -22580 -52998 -15182 54929 -40175 -55167 108171 -142305 69845 7277 -60370 -170370 -217486 -108118 145285 62773 -63876 230314 29133 -79987 -3540 53584 41141 -23228 893 -51858 107718 -33601 -80843 -32944 -55785 -99302 -27755 120349 -124154 137295 138715 -246948 63804 50888 -41303 -28410 6685 -54291 102547 33528 99665 -115486 -30988 -26363 92823 34207 -63708 108088 1200 -4386 
--82300 4513 194295 -20776 37373 -65615 246892 -123262 19276 15632 -7482 169052 -90629 135280 -110369 88307 -9664 120312 150312 144617 -101386 -55570 20634 -40207 -105189 25659 -99192 -44152 -1119 -4097 146822 -108788 138110 -31096 -71273 98943 -2377 177300 149743 -54835 81231 -5924 823 -192993 -64711 31795 -29953 163025 74952 -2470 -81601 7994 -52235 7699 -71792 -44369 105364 -243287 -153778 -165460 -85056 42255 -108275 -51097 -6235 134369 9260 -67257 54559 -1820 -48417 110365 193285 -285580 21397 -103021 -49055 27482 55592 88137 -93945 34432 157962 -201016 -174452 107305 20119 -31516 141544 9603 101486 -113450 -78418 24442 -68855 -22782 36266 75540 -73653 -46504 44440 -62791 -187337 -177378 125185 46632 -136398 -1917 2026 -66300 53370 134229 160698 -5285 27086 -1301 169057 -54863 155041 -55788 46406 -98759 770 -24685 4884 40305 125275 -128617 -69855 -145799 -26529 90509 21179 -134770 31771 123984 256235 -68637 -84776 -77076 -125200 -144292 60508 38185 111204 35701 11270 177661 5966 198643 -213330 149073 51861 -68049 -101303 -42263 -24590 -136251 21921 -44258 79184 75524 -86707 -102932 50189 -22912 -176799 -94089 -79365 -37286 137257 -33142 -91735 85945 -23978 -32629 15028 182556 136977 -45406 -29687 28960 73373 131834 56098 39199 158157 181459 24908 102498 -47599 69214 -29638 62332 120806 -92443 -1557 -132233 -45822 -234857 -209340 -110203 87464 118364 -70810 204716 -87982 -58120 37314 46375 40156 29234 20521 -109227 127013 7178 -52726 -92916 -140631 -41231 -77408 117416 -143623 69144 143786 -173030 19602 91571 -35076 -15523 -90324 -46181 105964 121139 33587 -136343 92656 -40391 -82778 13878 29405 -9834 -4811 52433 
--83119 -113893 135257 -26314 38452 4367 197876 -73933 64133 13630 -1933 151963 -75395 205038 -96121 -35348 -39824 103762 31665 145638 -90361 -30786 -61761 -131284 -71631 58972 -77577 -9005 -52268 -58316 150278 -6852 79762 13042 -50891 44652 17231 113253 165348 -50316 17863 -63197 -59951 -201906 -46650 31580 -14544 144313 80961 -4460 -47578 14652 -159732 -63587 60472 -73210 102658 -234934 -101498 -146076 -91705 27759 -112545 -34575 -89958 98099 -2332 -72410 -25170 4092 -58476 -22750 217376 -216752 32972 -76096 -26487 9968 120354 103022 -104958 -8852 196477 -211963 -124156 63734 50967 -12586 166786 -95732 36218 -124193 -69451 -59757 -161583 -6460 -2712 -55603 -21084 -34881 7745 -49553 -198907 -223414 134639 94836 -80943 -41251 -30063 -169840 24986 70139 171686 -11530 27346 69089 59323 34523 138018 -19144 -9303 -134129 53564 3050 37508 44184 125667 -161309 -48100 -180319 -36427 99520 69291 -135873 7862 81411 219170 -40853 27122 -68129 5481 -122491 92755 30987 136729 57299 4188 207510 -148651 152717 -89162 161437 46811 -66160 -98346 -5374 -24932 -47379 34676 -44592 113215 76247 -169368 -81615 55236 25594 -255329 -87843 -70926 -30555 164565 -126866 -87522 271858 37545 -15845 -44834 175975 26911 -43671 -62926 35227 35023 103671 -84710 -40857 132027 112412 66987 168804 -78493 92141 1274 85615 114617 -46924 -108962 -68022 -26882 -216838 -213529 -111815 -47593 100835 -65028 209604 -236971 -62322 123015 44954 38204 41635 77321 -93179 132790 38259 -18820 15595 -74554 115594 -102507 129192 -70601 -67165 141281 -114290 -48279 109117 22678 -7086 -66535 -38368 121662 154865 60201 -150551 188307 -46389 -138490 8330 8316 -153929 -33565 103548 
--69100 -182259 42874 -5124 42816 83677 119444 -13624 87602 12874 6306 157992 -31929 35688 -41934 -144453 -75969 110286 -32179 69800 -65072 -32105 -82640 -75087 11271 83483 -48572 -36218 -80408 -54363 151534 127099 22751 -9848 3744 31601 23824 37162 187143 -30678 -34477 -85190 -97034 -215314 -53591 25961 46909 127726 -23224 -7689 -84837 -31222 -65642 13617 170868 5104 86627 -218034 -42197 -126572 -69951 -14518 -123543 -35256 -175009 75815 -39708 -95203 -121314 -5722 -56123 -114556 229071 -99235 50261 -72986 129971 -6009 60665 106389 -92931 -21338 76601 -231743 -98863 3953 96533 -64243 189020 -124948 -92297 -124976 -61500 -173075 -46126 -14663 -13818 -116270 -7405 -85499 -32991 -41815 -163502 -164822 122640 146073 -11766 -17260 31333 -173392 33092 -79399 144344 -46637 32331 92298 -77327 97463 108158 21458 10600 -128356 6691 37049 68035 37507 126111 -51690 -20547 -209316 -48654 108361 96238 -131231 -16754 82048 11892 47382 87703 -66867 87301 -76421 111499 28421 159174 -54994 11984 214372 -114961 80284 98174 61393 8837 -67698 -81877 -8126 -13461 29303 29872 -80867 141412 73707 -133751 -92582 -27989 80172 -274433 -84122 -46444 -32614 190245 -106553 -70866 222118 74009 -11571 -83754 211764 -67596 -42249 -28599 38807 113198 65465 -223731 -38722 93747 47633 55429 113651 -69570 102612 -18632 -1224 120585 81436 -186079 139660 -52133 -155602 -169891 -112958 -90432 137258 -45054 213827 -193935 -60589 175031 49478 35842 39795 130704 -151645 147107 68850 21096 115907 51308 246401 -73989 128018 6305 -122954 149414 -2125 -55939 84495 6544 -6124 53653 -18444 121057 119327 118487 -126442 154924 -57392 -32870 16943 -39638 -230365 -41020 162292 
--59456 -119334 -5104 -38473 46144 141564 31777 18348 73407 75168 14566 113636 -22331 -95266 5234 -157809 -109268 108530 66380 7105 -69389 -50031 -70329 54351 134737 123814 -28407 -62654 -121795 -50056 153320 231014 -18009 14615 54467 11377 30105 38081 194399 -14747 -87562 -73815 -64131 -202443 -83383 17208 72884 60038 -160001 6377 -72775 -32109 121260 173800 199854 80442 55033 -174669 23227 -112145 -63303 -29974 -129894 -106446 -249379 46833 -94735 -93953 -202544 6201 -86769 -128488 242359 -7436 44211 -83266 247239 37139 -82027 128375 -102580 14464 -43686 -222836 -58502 -53848 116802 -106275 189696 -114419 -207192 -148050 -79413 -181125 86185 -16931 -110477 -7173 -53253 -106942 -41743 -91243 -68896 -56412 61607 177726 48302 38501 4351 -59419 29564 -135841 77697 -5665 19410 79735 -144987 122251 76626 16265 85353 -128617 -145733 51814 114077 -2495 126694 101451 12522 -230977 -71642 112296 119164 -127596 -32024 99785 -110983 103157 -48569 -74408 175988 -65926 109401 21199 170998 -168100 4628 253789 13685 -15839 148164 -86751 -46793 -18877 -59610 17906 -27517 98911 26769 -125747 176859 67840 -110874 -100080 -73912 134530 -221307 -119410 -32894 -24559 183361 -58053 -44757 4823 90306 -4521 -86746 156024 -102024 -41491 -82123 45349 153929 36848 -239873 52663 48564 2825 24378 -40324 -55906 105810 8358 -90733 117454 153090 -149538 192747 -76441 -75707 -161719 -113604 -21105 102455 -12842 199030 -60508 -57176 186692 47663 36018 26758 128203 -142630 132172 112254 58694 108954 88515 243451 -61305 114477 51435 -65569 129876 43917 -61172 44250 11536 19643 135496 -30431 105063 18257 161168 -99258 -60599 -71307 81817 1474 -120071 -183579 -69546 183725 
--55150 392 24430 16748 62151 181460 -30665 -17753 60685 76012 21344 84692 29104 -47043 -37384 -34097 -134576 99247 145303 -90552 -55733 -78333 11776 189510 210718 178929 -28141 -99962 -158913 -35534 151466 201110 -40103 -29261 43031 -20190 -3852 134218 197506 -11673 -73570 -32594 -25029 -193599 -74280 -2143 40867 5843 -150253 25964 -64230 -14902 172472 166200 88463 76008 45403 -131237 97067 -80838 -54706 -66696 -141576 -138021 -235662 67784 -111292 -106924 -218050 6057 -94698 -23868 247650 57822 41721 -81678 165068 69579 -191800 77628 -105240 54496 9979 -223178 -3530 -89692 127035 -106012 180333 -47352 -255384 -97722 -70821 -75167 102082 -22864 -105366 100602 -111433 -98289 -18561 -49565 3771 14137 -9311 192185 129643 92934 42518 80801 38087 -75383 -3876 23431 18609 -3928 -81711 85902 27926 15560 139277 -67324 -192541 22053 111055 -23433 126859 144843 46820 -246650 -51374 131293 101360 -119648 -29688 95403 24675 134234 -212470 -71489 168539 -57722 81388 31366 171904 -177626 7128 236989 134653 -91433 -42018 -142002 -97720 -77584 -33002 25881 -26352 114164 39136 -143900 169236 75023 -19601 -107492 -87993 185636 -112000 -94113 -17282 -7590 165402 12815 -19161 -92415 92732 -7275 -49121 83831 -70890 -37477 -51078 46550 161016 -15490 -150857 137334 22393 -1731 -18572 -139549 -26235 81740 -28578 -60977 133962 160637 -43097 48475 -61270 -2125 -123422 -113807 115606 91709 68570 209030 85261 -63101 151420 68052 34867 -15260 77248 -170335 131650 144535 92589 -8699 27458 97125 -25567 104606 100970 -16858 145439 145183 -29925 30723 20285 27111 86133 -42536 125114 -71152 112422 -78235 -113992 -76632 72549 -7052 -124994 -45321 -86554 190028 
--55883 46698 137047 30946 79510 181777 -58925 -86726 -56121 83772 32130 54813 70863 136414 -116411 81242 -146837 82528 166339 -142981 -43829 -102710 104631 217423 236929 207746 -49925 -113654 -158767 -1566 160065 85907 -31214 -15006 6208 -53797 -40594 181563 174909 -10300 -90125 27545 31866 -169931 -81076 -1326 -25234 40158 -63365 33521 -76387 -11456 27562 54563 -41750 -20895 79649 -78613 148793 -48789 -76756 -63334 -149406 -146524 -159366 78172 -122123 -80662 -156608 14371 -112193 108177 235713 92911 31172 -69163 -9110 75274 -203688 104447 -62765 63678 150727 -207776 42401 -92554 102087 -65707 160169 68412 -241569 -121959 -53871 12196 -3541 -12359 -90025 150912 -157878 -70596 37874 -67508 88559 47392 -44061 159369 167629 118809 54455 157295 32672 96822 -79684 50498 14938 -110348 55816 27549 -5134 -71873 168729 -9392 -136422 2905 96219 -66381 127391 79301 80575 -250536 -70795 95575 37679 -126732 11197 126696 233654 132912 -234235 -70342 46691 -53678 40581 41466 165636 -61678 14004 223438 128509 -136681 -199188 -81025 -123470 -54794 1510 40747 -24498 64307 47182 -91970 148513 62224 71134 -90726 4576 231419 -6148 -92939 1422 -2683 144810 -3396 -13441 59077 57170 -8017 9544 31467 34769 -37385 -69437 51210 86880 -7307 7030 172785 12377 60051 -30812 -88077 23216 69315 -58914 44057 142051 151670 74534 -128568 -31870 40836 -118986 -113510 129991 94434 96534 224767 129705 -54976 106132 80311 33832 -59597 28997 -175620 107581 166424 122074 -81746 -113304 -47045 9654 121486 62409 114093 134694 133517 24285 58624 40542 34361 -40918 -61513 124368 -114018 42840 -45830 -66477 -79496 -80824 -7536 -85182 86549 -105377 173519 
--42093 -35217 181428 -11280 90434 146113 -50918 -132382 -123590 40931 31049 16031 77014 262170 -98026 107953 -139681 79984 19198 -112289 -32984 -97684 89551 153753 187724 209958 -71316 -86954 -177696 12114 159437 -47878 130 4489 -53544 -44811 -55475 121699 160691 -4901 -67383 62243 96471 -143401 -61351 21989 -21323 110751 61300 63400 -56357 -49747 -126859 -83675 -64859 -66321 114146 -29705 164362 -16479 -68559 -62168 -157901 -81256 -82889 112875 -152935 -83537 -56291 -4805 -123725 165232 217440 99690 52021 -90204 -82630 31842 -96271 66620 -98989 23144 200864 -196376 50616 -60368 99670 -6170 120241 170154 -186362 -137567 -66102 -28358 -117733 -9590 -74754 42093 -161034 -43319 68063 -40724 126348 -54405 -77770 134815 158749 74542 20501 146282 39755 149574 -152484 57360 63410 -128845 168654 -61175 3838 -136488 169722 -101828 3691 9457 78713 -110331 127711 -70055 115949 -245768 -66571 117611 -13801 -113826 41088 109189 250883 69600 -159843 -71282 -74777 -35706 12835 47535 148380 63318 8910 197957 29175 -143022 -116195 71539 -113691 -48634 40125 28445 -22980 -57793 39558 -117557 123126 74519 70779 -93544 99274 257662 64226 -89891 12071 8545 101320 -51137 32174 254161 -9235 11419 63515 -4540 132110 -34821 -85531 62642 21454 -5026 96170 173620 27101 134856 -19104 69816 58411 42913 -54070 83605 136325 99224 61663 -81516 -29306 72265 -64571 -112707 14106 65542 74623 198389 20486 -86211 29331 94607 34995 -75420 -4123 -194539 110677 185058 143524 -58298 -135744 -95131 56576 115200 -1622 238753 129058 115382 65468 98134 11533 12259 -96469 -63397 114334 -101534 53728 -61184 128363 -79143 -152396 -2278 48 123954 -124785 144158 
--37871 -155212 81876 -3649 103388 84066 8784 -127049 -228795 29622 34311 2152 75151 86433 -43863 2956 -125049 58700 -20549 -54397 -52655 -74476 73669 12412 87035 233689 -105079 -79560 -170463 28450 161021 -123800 54794 3591 -68951 -51277 -49606 30689 159634 14046 -20331 49943 119172 -122718 -55025 33458 41002 152343 61695 48067 -62969 -35182 -127770 4362 71898 -21456 126444 21315 166236 22558 -93805 -58863 -148239 -19609 18162 129101 -113268 -53882 33379 2259 -124664 111477 182472 -1869 81656 -119797 42503 -6299 44462 43285 -116523 -13824 90097 -177630 56074 -4376 68088 -34645 77727 215723 -67021 -138031 -64270 -129743 -122828 -9623 -60547 -90933 -120422 -46211 60414 -21841 151068 -115965 -24028 85604 196301 25582 -19055 25158 56464 40635 -185332 38118 39887 -89322 153756 -101461 -21630 -203374 127924 -9295 74266 38179 51064 -66478 128109 -158637 147696 -235156 -48285 112229 -65266 -98689 22362 120581 56072 8984 946 -62648 -161314 -61100 -21327 57570 128361 42756 11624 146296 -124196 -106004 72694 160146 -72316 -36227 78162 41223 -25958 -118175 38095 -96200 107293 71163 -38211 -84464 82435 274932 95915 -98107 13837 17211 71697 -95164 54230 244988 -40354 7645 87970 -23399 195509 -37923 -79548 66524 19334 52515 29854 91055 59360 176895 39520 164469 121450 42450 -62471 20551 117915 29913 8076 99722 -51716 5337 -34309 -111422 -94753 65601 65375 230399 -100491 -75943 -13644 108559 35167 -63833 -20517 -188903 90958 195621 154108 89197 -38070 15585 127765 107978 -121047 273343 131207 91259 98909 107592 97963 33473 -9234 -60909 93093 -18801 114100 -92125 232487 -73655 -62712 10870 8076 38708 -141878 81823 
--26140 -170850 -12485 -33275 92423 16309 91822 -64721 -235598 4767 30293 -23668 75016 -137227 -6843 -132819 -81532 46349 59654 67052 -47588 -47884 7799 -108660 -16618 217775 -112620 -54175 -159326 33456 162002 -109689 115809 -9512 -30645 -65901 -48405 34478 116857 3221 47532 11841 133903 -100145 -84562 13941 85599 168648 31179 75620 -50692 -68502 42258 125929 181964 58579 93281 69447 130573 37689 -94288 -38318 -156759 -44348 42135 120836 -77206 -40525 71868 10764 -130019 -19480 101043 -130996 73299 -89458 206846 -7822 118127 85636 -107796 -13096 -30102 -152783 65104 55795 36830 -70493 34356 207578 36402 -143205 -64059 -192665 -694 -18145 -3926 -104825 -55094 -97757 13499 -51391 101558 -184894 -13290 37416 195522 -30271 -29961 -99504 43466 -114973 -192283 12746 44697 -33662 32037 -107627 -80661 -218442 34017 -82530 -4654 48066 1622 -73301 128609 -126063 175794 -212680 -36036 103343 -67581 -102962 70885 82208 -110267 -43217 69322 -52583 -187804 -60449 -39145 73007 98422 -69824 17228 110208 -124651 -34881 127827 89644 -15978 -38379 118457 -23121 -29724 -187784 48317 -50227 44513 70595 -87331 -100000 -2753 276225 38205 -82056 31376 28505 25297 -94710 66591 42387 -49561 11719 71893 7122 214876 -29602 -134355 55562 94414 116844 -124130 -2877 111450 176408 71010 137229 143647 59819 -42982 -88045 135537 -39706 -141715 201466 -43398 -66962 -3759 -109693 -64511 72859 -5870 192850 -191252 -58300 -50884 117767 33734 -29808 50815 -201980 64952 202492 155512 93336 92543 182871 178496 135240 -136238 248184 109798 -24898 143582 76882 85685 46594 89078 -38160 100495 36885 157671 -88274 135276 -69049 78827 -2070 -15501 -110302 -149034 24489 
--3997 -74706 18579 -41649 91887 -57358 169719 -11101 -243958 26968 26770 -47908 65875 -46755 -40835 -161905 -43767 41744 158678 113541 -47575 -34152 -80462 -113837 -88088 210266 -57251 -3591 -131900 43844 162195 4900 169392 -14718 29378 -40394 -35813 122010 99352 17629 98337 -36143 96730 -72780 -54078 3603 47155 150206 -118616 54807 -76914 -48151 157341 175150 191361 94934 95993 103645 79407 78326 -104642 -21095 -149073 -105949 22547 58455 -47817 -66118 37577 2762 -139219 -128543 79564 -187244 96493 -57147 218923 8598 76466 61769 -89354 21357 -7122 -135908 34537 102386 21920 -104870 -18702 138052 101062 -130384 -61561 -115350 106931 -8439 18499 -1917 -11807 -117643 -40675 -65659 82579 -199201 22110 14562 115114 -30019 -40582 -173961 62195 -120376 -143901 -56298 66603 52148 -101658 -55146 -86001 -195902 -1867 -119351 -112829 37303 -36977 -36755 128919 25266 197884 -185498 -35512 103935 -47404 -89880 22588 96342 -6372 -92015 2158 -53102 -124332 -110722 -21448 84903 63563 -200231 17253 51665 -53086 49619 3360 -26837 32678 -21947 154158 -21375 -31690 -224213 47282 -21864 -10133 68776 -150767 -116172 -119643 258495 -57973 -80265 37326 41129 -15493 -65000 107002 -91185 -29771 33907 21074 71133 122335 -33148 -83383 39861 136601 115214 -242807 -38992 140785 114228 51374 -9429 157230 84977 -52197 -74561 109278 -119217 -177405 79113 -70864 -168401 43301 -107503 67270 107164 -45408 199549 -211071 -74583 -17995 123985 34413 6726 78094 -204299 20934 195734 145443 -4673 67504 266341 184019 139396 -157738 171735 115170 -99961 164421 37926 86849 64306 124855 -43858 94800 114479 117525 -99590 -1007 -53324 76090 21462 -96419 -220190 -171841 -13546 
-13573 32799 77255 -14536 88552 -108590 239837 8437 -218014 89716 13227 -54973 18926 152330 -100153 -73939 -9817 35474 170150 148580 -73169 -29797 -65679 5153 -89488 204664 -54999 -30648 -94933 74161 163978 131687 213108 -544 60220 -41517 9004 181568 65865 8279 157499 -79282 43002 -54459 -101740 10087 -14493 43259 -168540 44401 -49164 -72133 107495 69681 59354 15074 60491 132604 18904 92943 -94786 -46002 -135839 -133973 -23850 24784 -29169 -64507 -52135 -8438 -121844 -119760 25109 -257855 58428 -80411 36466 67554 -65162 69138 -104698 55685 142684 -118850 -14481 119622 24499 -93000 -66468 30641 83577 -101878 -57805 9869 74763 -7904 104289 132483 -21538 -91187 -42714 -76358 -17405 -61816 95753 -28252 116137 9314 -66844 -144791 56990 192 -82910 -23129 62075 87494 -143112 19007 -103117 -213144 13995 -201289 -196533 -5727 -87872 -7217 129299 138326 215836 -152189 -39768 120703 600 -102839 29009 59108 224875 -74217 -150637 -53016 60043 -131126 108 85563 29878 -157301 14229 -39725 91919 135006 -159287 -138033 54094 -63272 187492 -57285 -38650 -182324 54994 -85599 -33075 67573 -178803 -96252 -95091 222225 -175107 -77039 41070 60906 -49860 -31529 127283 21678 10336 36348 -39217 145802 39562 -29329 -94291 64167 150982 123401 -248759 -27386 168770 44756 27350 -121951 203321 106107 -27852 37187 114462 -115352 -166442 -95972 -28959 -211440 55374 -104900 145610 64269 -82101 188580 -31216 -51009 3309 121589 33544 33291 110879 -191749 23705 190345 125014 -89578 -33457 201005 187819 98826 -111875 35457 99407 -188072 172874 33665 77655 24696 33141 -43126 85543 134471 56044 -143022 -127941 -46991 -42602 35171 -140336 -214524 -162504 -53684 
-22604 28689 202595 -19690 72135 -125304 260279 -31725 -151449 55801 9147 -65124 -19601 207571 -107081 74752 5358 8945 56363 112056 -99649 -56739 -56192 137996 -12415 180113 -43700 -62538 -70430 31931 163261 219748 222931 2937 35212 -3904 6156 113055 37331 76 202318 -83720 -40679 -49498 -60723 21403 -25747 -183 -108589 19480 -17481 -36809 -52541 -53226 -52847 -63264 78850 152744 -53216 91008 -97785 5387 -138680 -111634 -128888 89971 -15101 -71167 -160324 11570 -106053 -2522 -35919 -258890 74451 -96159 -77512 39178 -188648 25444 -92776 54332 204766 -89949 -47099 100757 30694 -43025 -102218 -70213 834 -126451 -78807 12463 -76751 -2779 62412 138223 -72988 -59173 -6012 -91630 -69284 28600 115198 5473 53401 76606 15803 -22935 28914 129141 -505 10711 65597 118099 -58706 84859 -143762 -130589 75403 -131843 -159250 -8093 -115172 11352 129647 121196 220837 -115061 -54685 106253 43642 -91322 16570 91554 264484 -17247 -231745 -51335 155055 -139415 44108 87171 -2802 -31099 13844 -70360 144068 194390 -173928 -111408 39661 -49917 214695 -66831 -27318 -62227 55665 -109089 -83834 68169 -93490 -92338 -15257 183316 -259476 -91316 52928 60835 -67371 -3009 160547 233694 85943 34486 -80079 177060 -59698 -27421 -126636 67211 67181 95261 -126857 93690 162350 -8504 -20195 -107133 193920 111061 5804 92858 104026 -165821 -32936 -117140 -13191 -224291 76975 -101839 68764 137657 -51962 168847 82953 -68802 46382 116172 34375 36075 102599 -207701 -9801 164254 95661 -47124 -138721 32859 173164 118753 -60754 -7877 94447 -232755 162126 66942 101598 52999 -72666 -46840 77414 77119 48402 -141061 -14991 -36442 -141124 25502 -77643 -96875 -182132 -68848 
-40532 -80675 145002 -21022 65243 -110193 241293 -90084 -79371 66325 -3885 -42196 -61816 51506 -60324 120651 21149 -2766 -25217 6241 -109818 -81764 36057 250312 87872 113372 -27263 -99444 -10872 20158 168174 192787 216197 6985 -24305 10747 -29144 32865 14475 7339 251027 -43831 -94551 10819 -34523 38248 30706 25918 34805 -23723 -18075 -82711 -144593 -21606 -87948 -59661 107713 155194 -120615 108346 -78887 6525 -127265 -52389 -221925 123686 6870 -100859 -223197 25632 -93754 120102 -76141 -215829 94189 -102357 -12866 80241 -210627 51227 -98293 4936 107461 -57927 -114847 52580 70627 -2828 -129059 -125858 -127370 -110740 -65534 -105621 -144646 -155 81306 28096 -128556 -37723 35299 -32971 -170791 56253 162376 36953 -43231 101756 39455 90800 24592 113349 69520 30109 79530 12151 85038 117980 -118826 -43139 135347 -168243 5683 42278 -141258 33053 129959 6250 221153 -74560 -56225 114907 88299 -78793 -44312 107582 75528 29570 -182066 -43160 191715 -161074 74316 84487 -24557 65726 12283 -57727 59874 212136 12067 13379 -4820 -19189 235058 -95027 -37275 8902 52201 -147208 -104587 65856 -14940 -93719 76342 124991 -269346 -90300 32740 68240 -79982 -50184 179253 256029 71273 38358 -86947 172742 -97773 -25692 -106591 49098 60889 40744 52155 168722 147693 3578 -29337 24571 167954 88324 -23619 24326 93973 -138137 80850 70844 -17597 -186871 97018 -98450 -67899 134301 -62962 189894 118739 -78917 118641 105441 35856 40925 76478 -193591 -42794 134545 59167 114499 -103662 -85453 161661 118504 18266 -133247 90737 -246690 102883 103530 94408 56639 -73899 -50947 61928 -18486 107677 -141448 135184 -26256 -57765 21551 -22046 49674 -174038 -63786 
-50567 -172477 21043 -2060 49362 -59008 173198 -135511 -44604 44280 -2626 -50115 -78869 -137241 -11535 37595 16022 -3115 21235 -82564 -105571 -107674 93427 192226 185820 86027 -36090 -59824 28963 34596 170141 71137 175076 4868 -67500 44045 6447 41580 -5441 -4910 270648 16678 -87974 -21731 -71051 42851 79553 82227 98894 -8533 -16304 -62868 -55369 97795 41678 33932 116922 143919 -144604 108927 -85051 45156 -119460 -17466 -266241 128094 -26170 -90977 -207256 8627 -74859 171920 -103800 -119593 92606 -108594 153234 56239 -102993 54536 -91228 -26051 -27052 -33140 -144018 -8862 100511 -36838 -144601 -122481 -229810 -139264 -71062 -194276 -52875 -12834 90656 -90856 -163182 -54670 69243 -40293 -169735 -37174 136437 100534 -85380 112139 34904 157040 33378 -44870 143901 57699 86572 -95496 173452 85047 -122744 2183 163897 -117948 66267 58994 -155443 50674 130499 -125814 212273 -36594 -73764 113261 110099 -68590 -24272 80762 -99649 90968 -49919 -46158 129570 -163197 102716 66711 -46849 17650 12699 -80221 -100776 189729 138580 131285 -61472 -31460 246065 -100068 -34508 90887 59172 -138370 -90329 66306 51889 -90346 86278 70219 -211313 -103477 38866 89924 -74060 -61103 188794 77852 66839 38647 -54279 209013 -53197 -24769 -123206 65686 34747 6710 90557 214219 103683 83208 -5192 161715 154570 82024 -10168 -79119 87832 -7537 86787 202493 -34370 -88138 116707 -94687 -102416 76672 -34137 178387 -21113 -84929 165369 94734 37816 5183 25537 -188144 -53346 110616 23117 95098 32289 -59381 143902 117979 78202 -84632 85791 -245655 52869 103482 126370 43541 30825 -61079 59432 -89249 154658 -103786 220826 -23118 75494 37275 12601 126339 -157913 -21582 
-62759 -149472 -28547 -4641 42182 11069 88877 -118775 23734 33309 -17635 -9310 -102934 -59437 -29909 -103431 -11017 -30742 133264 -142976 -93284 -101869 122590 74914 232972 59867 -103543 -54984 18199 4084 169776 -63840 120021 18350 -59133 41732 -31796 121548 -26181 -12367 250384 56020 -57116 6659 -67628 39217 50720 140867 21202 2554 -22511 -62274 118875 197116 175730 95174 124425 119409 -189628 74039 -70303 49118 -105380 -65758 -228830 124535 -70350 -90345 -124421 16090 -61744 99548 -120913 22037 74517 -83740 226273 3481 37716 22429 -92923 -2067 -9681 -13551 -187135 -62777 127323 -77614 -147249 -56791 -285279 -115177 -63271 -151977 100874 -1330 115538 -104166 -147198 -110827 40141 -75960 -172784 -155837 97444 153211 -144055 61762 73540 104086 36265 -131547 176934 537 78664 -142693 140043 33649 -124317 46847 156983 -42293 11430 37624 -164984 3084 130629 -163089 200642 -594 -67089 84220 104140 -72185 -19105 121252 -33913 144991 94176 -32231 -14932 -114766 114969 58895 -58342 -119642 13777 -73754 -87660 131306 28973 150675 -107513 -13299 249710 -112961 -36843 121964 61266 -152162 -111164 77135 38736 -120314 -23228 15679 -118331 -95839 34525 108763 -33701 -104826 196531 -84424 49240 30023 3159 182376 50872 -17637 -109632 73892 62782 -25197 -3465 139971 62144 148403 24086 157145 110956 49770 -78682 -78072 63001 49133 -53805 109024 -79720 -826 101377 -90618 7719 110530 37255 152719 -116896 -72692 204339 79124 38490 -49295 6136 -189071 -74386 76147 -14508 5566 100079 88219 72258 153884 75890 -13474 82473 -171952 14232 67879 109014 55827 119213 -68983 46952 -148568 118430 -113884 114050 -17770 122687 35477 -24956 77955 -155471 23857 
-77371 -31683 53860 -43117 36790 91336 8178 -63030 88551 5959 -24020 23636 -94466 105780 -98939 -170074 -37975 -45482 192023 -143690 -111965 -72683 35398 -56487 213657 -32372 -103430 -40038 47366 -34015 169851 -132896 60445 15760 3879 36112 -49197 178288 4664 -13293 251625 62055 18265 -3915 -108998 -1467 -10390 173236 -95804 -1226 -56345 -57368 145168 96449 183563 48887 75083 82653 -165194 65604 -59231 78519 -87769 -87766 -138016 128790 -114283 -91888 -19797 7217 -49094 -36017 -147036 72682 80733 -69355 98953 -2759 117125 100511 -88304 38944 119060 2058 -237667 -92864 131052 -98978 -134961 30395 -236457 -125025 -55733 -39873 113192 1205 104016 26816 -93999 -127421 912 -48228 -153011 -230144 35448 170049 -153584 -12777 28631 -14778 27709 -93093 165635 -19180 86994 -141491 3453 -50903 -81892 48634 115630 -58083 -99058 18997 -161475 -27741 131058 -67157 177188 33794 -62176 95523 82947 -55212 24127 97956 179294 123452 30230 -42145 -121012 -113740 99127 42600 -55126 -192067 10573 -65151 -34730 48665 -153927 69042 -124445 -44947 241515 -69791 -36699 102387 42597 -129382 -71463 75387 -51529 -102513 -111091 -28982 -10795 -84707 10082 105490 -13948 -81405 210465 -1163 5919 27475 58592 64741 162605 -16739 -122749 80819 144532 -32033 -164965 42317 32349 175737 70997 14919 67084 47695 -57831 26095 65314 121424 -143587 -81163 -79981 53317 88924 -86261 134358 112758 86029 162793 -194438 -71171 153678 66704 39230 -71973 -26289 -172675 -100805 46949 -50277 -66822 40135 231548 55139 147664 69979 122726 69606 -75715 -35692 33385 129443 99995 99481 -45389 34046 -82434 59834 -92166 -42591 -26178 -29142 14281 -81548 -59480 -140919 75918 
-99910 44936 168479 -37721 42233 147050 -43318 -941 80363 24955 -18952 47479 -36267 240322 -104335 -97249 -84188 -26906 107230 -58923 -41388 -43976 -89912 -118436 134825 6531 -102739 -10140 43272 -27123 171931 -101971 8966 21864 55294 96401 -35752 123233 11444 -21825 182212 32498 87482 36476 -57498 -2665 -30261 145468 -130593 15463 -29418 -60586 32066 -8797 69643 -42616 81151 36696 -147722 20450 -63582 77241 -76543 -151932 -66793 57458 -99656 -36482 49379 1803 -39929 -129208 -144645 91218 97501 -72220 -39754 25031 76787 55766 -88555 63263 213095 28767 -230152 -88282 131893 -93588 -107772 143686 -132049 -107203 -60803 26124 2163 4998 26775 136439 -33495 -84302 -31666 -55889 -94748 -182453 10344 173019 -159185 -43120 -12213 -108217 46970 63491 120789 -40444 102994 -65549 -116584 -102287 -65275 -8206 45233 -56176 -190341 -893 -123124 -63587 131489 88515 148401 58595 -59879 98887 12303 -55127 36268 62991 271532 102673 -90537 -39304 -176849 -46332 76711 28939 -45216 -140294 13597 -59240 104537 -39160 -187497 -92993 -105456 -33940 227912 -91643 -41384 -42607 55095 -86336 -44404 71662 -109087 -95688 -102693 -71101 61740 -98900 -5245 112760 11518 -13591 224756 205266 -55252 25920 87229 11806 250858 -16773 -131179 52274 159328 26754 -265584 -15547 10991 155829 47403 -109071 61147 55584 -83011 98148 58968 134816 -195177 -129166 -30004 51249 79644 -81651 118736 59275 107143 157348 -124104 -62488 116438 50211 39502 -68658 -5556 -204595 -83904 15288 -79020 -52661 -78300 253790 49398 146399 -2821 227207 57604 -9233 -49449 37706 104291 75504 -19118 -19917 12810 -8372 51441 -64765 -125325 -29268 -163393 7574 -128388 -194201 -126377 131388 
-113530 -4476 183751 -7668 48425 181317 -65806 7895 68803 58023 -18188 82429 -15076 83993 -64961 33708 -113262 -27566 3919 44556 -68219 -27004 -92894 -68226 15869 -66941 -26508 -18096 33878 -62266 172966 16325 -31053 29125 59021 64449 -59350 31050 35376 -45053 129099 -23552 136876 -2683 -77831 22195 23932 74206 -84565 18895 -14338 -28736 -126858 -42237 -27955 -76127 73817 -8753 -72390 -5256 -80878 145961 -65943 -121379 4703 55123 -131739 -35744 81232 5835 -60810 -106598 -149687 60671 62522 -84641 -36645 26578 -53402 62704 -109618 42055 124474 31822 -249419 -50178 94116 -66942 -72360 207434 -20569 -102298 -70402 -77324 -107013 8985 30056 117009 -8258 -46697 -37574 -67799 -37506 -49267 -13871 188764 -175759 -25463 -20834 -176022 65476 118953 53531 5591 96204 19840 -134096 -110788 -54870 -46095 -4933 -68171 -151220 15807 -84239 -71129 131689 134495 117822 76079 -56230 97434 -35160 -55059 61072 105179 110248 26965 -203596 -24516 -186028 -36741 31684 27653 -26832 1234 12618 -2065 138129 -104712 -42234 -143477 -58183 -46624 206844 -86622 -46007 -95743 67008 -20461 -41744 74762 -156523 -100132 -16410 -96332 97961 -103279 -17092 106530 52451 10046 218508 266339 -59410 20894 74147 -10730 217186 -14288 -150567 71075 61622 58948 -263161 -54955 17348 77920 8533 -119206 26602 72558 -48944 30648 65314 172723 -136988 28205 -14295 16305 40354 -76865 -20215 45867 36290 155097 -28534 -71677 33729 51194 41702 -44424 10108 -181306 -94324 -31958 -98953 39772 -139933 134534 -40632 137834 -91602 253076 45527 87271 -55358 75901 98978 58059 -91813 -40729 19889 65064 99224 -50393 -59168 -36274 -78664 1101 -81017 -229629 -119245 172494 
-123145 -123690 95796 14950 62920 180433 -28553 -32682 60851 49385 -11192 119022 17262 -119866 -12158 121013 -139368 -81227 5901 138751 -54512 -33815 -89528 82209 -67519 -130186 -58335 -39187 -31825 -36523 174101 161717 -40887 31943 2290 26470 -47295 41031 52623 -36760 92105 -77112 141234 -4309 -81136 22389 62227 98 11670 55757 7181 -26000 -138886 79741 -62712 -6073 83123 -62526 -21044 -55129 -90997 85436 -43290 -63289 61950 80391 -118185 -40204 14901 7113 -58104 6127 -109765 14495 92669 -86764 90715 79293 -186144 106142 -75000 9899 -14504 44211 -233204 7382 57397 -29273 -28832 209064 58801 -97525 -76139 -185536 -117578 9872 -49758 10025 -38829 -39162 -8139 -34162 42275 17241 -83161 134825 -145070 45724 -82195 -119416 45255 71447 -39580 19711 120966 80765 -29018 -70700 1059 -94842 11975 -96470 -25117 51049 -38927 -87620 132082 84061 82631 89262 -47605 88233 -63982 -34862 70548 120128 -97846 -61223 -229463 -24359 -62032 -34493 -5666 24542 -2310 71241 7417 32499 46219 -141646 138537 -64181 -1623 -21595 176381 -78969 -37908 -179419 66809 -56729 14312 66824 -141972 -96695 47959 -101300 28389 -100400 -37104 105136 114945 -22695 203100 109297 -11500 10853 28000 -36660 130992 -13441 -102153 54891 40452 137876 -60476 29120 46003 31351 -25052 -1927 -13700 99752 -25455 -74934 53251 145967 749 203152 -46839 -74619 46598 -71901 -102501 83779 7366 171574 86195 -42160 -10431 41543 40978 -2499 92844 -145689 -104487 -54698 -108648 97061 -51886 -25807 -59542 142056 -145742 245950 35538 135294 -41666 107108 110074 50044 -47702 -34559 4909 124265 161165 -67025 126005 -47782 72270 1415 -54955 -143415 -103640 191530 
-139755 -183710 -5649 15326 75417 142627 37367 -102964 -32604 54997 -18755 141235 41456 -61742 -25323 58207 -143014 -64176 116953 139630 -35134 -63670 8589 203808 -89142 -124741 -9932 -75728 -63024 -78077 169624 218582 -23208 24768 -50410 38242 -55172 122509 72121 -52824 56753 -86988 108617 -10553 -78956 19451 62516 11137 80791 59908 -13231 -11466 22199 185049 79060 82950 98352 -116920 49538 -82277 -103430 150989 -33684 -17629 48795 131706 -100240 -56453 -84432 9905 -76634 127625 -68033 -85830 75574 -78329 224497 92737 -211123 154560 -101907 -14672 -33213 38774 -183126 67478 21967 -26088 21487 158019 93248 -115328 -73191 -163736 -7845 24634 -80812 -106125 -94521 -70618 40119 -30072 103407 19137 -69416 79897 -87284 107411 -68385 -4995 36269 -84004 -109328 38397 146454 92068 108495 12409 37350 -166619 62609 -93670 47310 32676 8264 -72695 132433 -54201 44396 90932 -27622 99485 -64058 -27945 55128 103844 -70343 -82677 -111909 -22663 108021 -27214 -25011 20263 30546 -8058 5478 99270 -88638 -135738 111247 63424 41480 -36310 140515 -48135 -42784 -230515 54196 -89201 71686 78300 -62009 -85897 59844 -94266 -68724 -91850 -70564 108278 130621 -71358 206294 -76385 21661 423 -33243 10993 29026 -9289 -137956 66474 18401 121575 77671 125519 90260 -11374 -39660 129608 -43163 112475 -1820 -85369 67394 58242 73005 144735 -72986 -141737 -6070 -66819 -48168 124763 -35576 165359 79966 -79848 -55709 44703 43271 21409 87925 -152907 -77096 -87160 -109600 47604 79624 -96500 -61050 139810 -179059 160380 28818 127341 12131 98817 105874 78638 78296 -47449 -7660 131425 135032 -77984 232835 -56263 94911 -19824 13440 5449 -72112 185217 
-161696 -115743 30584 -65570 89491 78954 123381 -134439 -99127 16454 -2207 158788 74374 170613 -91402 -72467 -136227 -82380 162292 117088 -59846 -88983 75902 230222 -65977 -146876 -70074 -99634 -85131 -38856 171900 192131 15637 32786 -67060 24142 16069 176477 123926 -75544 1704 -58398 39733 -35754 -78689 10206 -3262 59020 34400 77586 -36394 3325 158635 150737 203715 75632 107795 -160802 122311 -100317 -107989 122408 -13768 -65723 -32643 144429 -60806 -66356 -173792 12042 -87419 159706 -5444 -184597 68225 -86875 177057 41134 -122010 113931 -109210 -1522 116597 36364 -155859 108983 12615 -66891 69392 59610 56055 -114495 -64195 -60003 102360 22920 -90849 -82485 -147951 -108953 63817 -42913 151691 -78750 -13667 44384 -42898 115443 -46881 133464 38640 -132946 -158548 33222 144616 18378 179502 92193 30556 -212043 118418 -147665 13213 28875 31902 -39996 132892 -155886 12604 85486 -48529 97795 -55984 -30263 24077 81152 151912 -59752 23136 -16714 173019 -24850 -30837 28632 62179 -151561 9524 155694 -153137 -84621 -103207 132965 53807 -20221 104631 -14105 -43984 -188327 68190 -91768 104941 71190 -3614 -102373 -26566 -69466 -169265 -85337 -67758 90135 168129 -136989 187307 -30725 62184 507 -79287 48588 -36760 -7200 -139069 77519 68431 111446 97312 187552 129836 17379 1298 174954 -61387 104372 21631 17142 70148 -4559 75391 -56777 -77946 -192779 -55862 -61704 99685 143854 -98191 140167 -47255 -78644 -28232 49455 44641 44786 132746 -141133 -76359 -105693 -100473 -71428 75412 -12690 -68298 122434 -122719 40776 24544 130984 66906 59242 80949 57978 132143 -58710 1560 50928 69760 -109118 139939 -65914 -42198 -7229 4781 115537 -64730 165210 
-165715 6197 121323 -23278 94406 -1120 204870 -120378 -202455 33891 12086 168506 84439 222448 -115194 -155375 -117808 -72798 111819 35448 -77135 -103935 96542 147487 35714 -133967 -75404 -129678 -125706 -752 175629 53944 73487 33563 -34046 28313 32582 123087 151964 -93244 -66358 -9192 -25806 -58592 -88424 -1747 -33324 147074 -56681 69479 -55301 -6222 126371 -15638 203490 -8711 106485 -202709 146907 -131637 -109798 129588 3107 -100139 -151255 100298 -30784 -87801 -219744 12635 -102114 90617 37539 -248434 77112 -98108 -17689 31499 24744 90977 -107609 37763 206905 32118 -103659 118530 807 -113201 111583 -45876 -39889 -119741 -68963 25812 73851 28222 -81464 29696 -165505 -106193 47431 -90909 123579 -174151 45036 7447 53196 75322 21477 172436 51018 -32950 -188183 9178 141946 -71521 114051 116606 49131 -230726 173488 -150298 -114345 20903 59705 -6051 133149 -137361 -19635 68311 -55186 110686 8491 -34199 -21281 105565 285088 -24611 67471 -10105 154830 -76811 -19633 41685 94709 -199953 9483 181378 -54289 -8840 -213662 124978 30398 -31868 63951 -10340 -48102 -100981 74643 -162330 128344 72197 53758 -106191 -101592 -32043 -261589 -86726 -83265 99944 181012 -98649 169043 182453 77170 -11176 -88922 99829 -89606 -6376 -175926 78967 169546 95251 -46641 176298 166444 92753 45898 44780 -86676 81501 31888 84565 78076 -86521 -46094 -139261 -20188 -226324 -81350 -56519 145058 132403 -95202 139698 -162556 -61934 -21341 61473 46434 45645 82830 -167607 -58407 -122746 -79577 -37713 -14180 149831 -83855 139980 -33566 -28278 8101 45284 109291 30363 59302 45392 57001 -51694 11505 -4775 37365 -120490 -36870 -75638 -184155 -1591 -63682 106333 -39403 118236 
-183983 44440 188570 -1330 96098 -67756 256794 -52940 -222404 24833 10475 163389 76059 89056 -74945 -132797 -81149 -55247 -5197 -90980 -73407 -96466 49560 -15532 142549 -138004 -115633 -52894 -168298 19269 176586 -61775 130598 22757 22166 -27476 19260 29519 162959 -91297 -83715 45491 -57764 -90717 -50418 28472 7857 179212 -184126 54750 -46534 10906 -58016 -53429 83313 -79027 85247 -232087 163717 -151366 -94688 91812 17897 -135766 -222048 86164 -19679 -97831 -189797 12812 -113325 -37779 68522 -251376 48193 -106488 -64217 8837 118426 143295 -97062 62354 136357 13788 -45826 92861 27226 -91473 151301 -119715 -174846 -111895 -65252 -35178 -67850 26688 -102840 136786 -128923 -78108 5395 -43508 106284 -192735 83367 9284 95090 16417 42722 97469 39969 119628 -178802 -38755 144196 -160317 -24933 109042 119115 -161502 166681 -186973 -205110 15454 104206 5386 133380 -6215 -46503 45494 -79266 102920 53223 -19281 -35922 77811 156045 36451 -43203 -15810 62518 -126213 5641 62097 126840 -108806 18015 241494 78214 83429 -63896 -20070 -19336 -39282 24234 7200 -42045 3300 69958 -153944 183583 74088 34781 -70051 -97747 16335 -278417 -76527 -85752 68164 186803 -50225 142408 283698 82565 -3192 -59465 165868 -64428 -1794 -111259 58091 136589 27921 -207366 123064 170354 166872 68434 -96435 -39041 49997 -26732 37028 77264 -145600 -150915 -2804 -17642 -191053 -110201 -51383 43947 125458 -82996 140914 -201373 -60601 57140 73584 45277 20510 3472 -111492 -25586 -132672 -50507 55700 -140790 263250 -25105 136431 29503 -89688 -7947 -47359 156608 44125 80140 50794 -61341 -45732 14805 -116698 86535 -143036 -125164 -77353 -79765 12218 -122061 -10736 -29465 59804 
-189384 -42847 107349 -23599 102736 -113833 258793 -2916 -252042 40190 18499 159744 48188 -117527 -18682 -819 -42403 -56273 -26214 -152546 -83359 -71450 -14181 -119159 208362 -103684 -87110 -39779 -160563 38480 181396 -133954 185641 30418 64414 -47103 -1161 36602 201514 -96654 -79344 69968 -98044 -84360 -91158 35022 73891 143342 -128412 34617 -60808 33605 -131888 96035 -70976 -32690 54793 -240705 159718 -151820 -78453 61624 41995 -106608 -245225 81390 -16134 -82317 -98600 12833 -122945 -129027 147114 -233451 42124 -74034 30104 -778 90258 145534 -65793 36244 -6322 5530 -10835 41188 70987 -53698 171466 -120664 -239558 -123812 -64468 -163564 -111867 34195 -46769 138421 -66730 -29446 -40461 -69022 30977 -158992 76286 4355 171572 -26579 27391 -36908 30373 124216 -131957 -48675 160769 -125638 -132206 40239 102898 -122065 88692 -116607 -158114 61071 110452 28480 133744 123821 -68808 14293 -80446 85434 95442 -30386 -30192 79803 -68307 82231 -188468 -12856 -51909 -131966 49206 73073 147452 43949 4130 240903 149797 159052 106945 -134334 -75401 -41969 -13673 24948 -49321 81231 82087 -115101 165424 75547 -34899 -93324 -5951 69572 -217987 -96434 -107884 66412 158930 -9966 124849 137770 49399 -13321 -2173 192704 50752 -332 -152675 82299 115606 -78 -271512 34321 154506 176206 51801 -135705 -7270 45293 -43845 -59064 76322 -139808 -190348 175051 -46087 -111579 -144947 -46321 -83655 102483 -11266 146484 -149978 -59230 92254 89615 46724 -35382 -22792 -113346 -6534 -128487 -14511 101314 -98516 222088 -23713 138813 57873 -82462 -23447 -117293 190946 83380 43306 6609 -91967 -36775 7846 -108032 153753 -141891 -40008 -82269 58544 15266 -112100 -156038 7116 14603 
-202142 -155945 20645 22448 87340 -127888 222116 13255 -225170 34153 28744 146093 13550 -62732 -1850 112101 -11782 -67604 109499 -140460 -103288 -37980 -82789 -109406 223831 -94577 -83841 2939 -175614 50220 176830 -86826 222138 -8631 43439 -33457 -8760 123899 190138 -114063 -51245 43812 -66216 -130949 -71375 -733 76912 65250 -17815 23093 -37006 30489 -50713 186421 -60628 54234 87593 -245183 107690 -149666 -59524 42546 57732 -46702 -210303 49046 -17316 -71442 -619 -9308 -131910 -102030 196121 -124182 48374 -96871 213340 36690 -36436 47204 -87453 -10408 -33225 -26683 42724 -20948 87180 -5420 187586 -92601 -265871 -125842 -88162 -187528 -74245 42397 -20366 2647 -18374 -46411 -47283 -38952 -66849 -9710 164583 9762 193923 -52045 34586 -140346 42788 -10272 -55899 -11617 127616 -57825 -117052 -45323 127549 -76658 39941 -86268 -31028 61470 115522 40444 134115 134829 -87539 -20944 -71169 82610 119265 -21854 -17614 85758 -89597 128875 -230954 -5191 -175202 -182213 89753 80641 166170 61450 19039 234867 63613 206909 122241 -143593 -115263 -44997 -42381 26755 -47099 111341 67959 -54546 164809 58861 -123128 -91767 76084 125364 -118082 -95813 -128319 52286 147340 6971 107044 -65262 -9702 -18528 53835 205104 146015 3576 -136479 81830 35953 -21803 -195157 -37735 117917 142725 -5791 -36090 23673 47365 -70453 -86696 84394 -128122 -120863 163769 -47448 -5298 -176231 -41369 -85609 58156 40771 124911 -13607 -64094 170092 99916 47487 -64509 -927 -85813 17386 -115629 20673 25861 6033 62346 15848 140429 82297 -3963 -24275 -198118 173875 109487 8086 35771 -4079 -35753 12833 -64358 134602 -161887 97984 -72816 90336 43843 -68161 -232908 23851 -42121 
-206620 -170584 -24485 41758 79613 -104396 153910 -49697 -147199 68035 39805 131370 -7553 156636 -67766 85210 15968 -62317 203135 -71047 -77096 -22902 -86915 28943 169999 -71445 -85203 -39488 -166129 63281 178572 44288 223048 27175 -14518 -56160 -73776 175630 197761 -130641 -64962 -1068 -4116 -129364 -78048 27716 9426 14271 106522 -20045 -50937 15674 120686 151055 82559 90343 93055 -230760 46287 -151204 -63460 37889 74399 -24734 -92408 106460 -49128 -52093 64140 -5989 -130177 24896 201486 -28277 66230 -78114 222004 73350 -178135 76427 -80801 -17816 95084 -48090 34864 -71059 130329 -56375 187842 5011 -201375 -144731 -64841 -105863 52189 49505 12266 -103535 -17968 -77414 -9389 -23534 -115818 53728 150962 87718 149635 7148 23819 -163830 29864 -121766 19006 46405 126376 48704 -3353 -95836 127838 -1596 -8305 -92386 64228 47450 85995 9918 134442 29255 -95362 -58135 -65502 106647 105774 3374 30679 82058 126213 137817 -173489 -3143 -195360 -158896 104683 89733 176145 -38041 8111 237171 -72825 209480 -72000 -1926 -122896 -31117 -69795 31976 -51675 86821 79819 -86214 170026 70824 -169161 -100773 92659 179794 -7439 -102910 -120905 40292 122264 -81701 76478 -45494 -78911 -17292 86271 175717 195287 5126 -173867 64636 4629 -16417 -28784 -21866 80029 94381 -28968 101947 70417 68130 -51325 1805 102302 -61837 8208 -16767 -58696 35661 -175618 -36574 34302 75307 80989 134692 55508 -59920 172670 116398 48579 -67387 16876 -63453 35404 -107607 59723 -41423 98649 -72776 23458 149118 85864 88601 -43837 -230805 155641 91943 19388 24810 108227 -51776 16937 31336 75797 -124649 224478 -68641 -35571 28523 7967 -182031 23066 -70599 
-205682 -70585 126960 4220 72661 -49897 61043 -94489 -89053 49939 32414 95467 -55547 213160 -108801 -33986 25589 -63202 117985 55895 -106014 -35325 -56422 165939 60204 2038 -66105 -1746 -137932 62860 177014 165757 202498 40726 -66258 -45180 -53486 126102 199124 -127771 6747 -52582 75278 -185040 -102849 23318 -31804 21544 73607 -18735 -51845 20260 158009 -4222 199921 28713 118057 -211147 -14876 -129201 -61644 2439 77852 -67399 -22786 139543 -78401 -66367 66496 1709 -121968 131514 247216 72706 25846 -69403 70082 65041 -211662 65192 -118541 4776 207178 -67050 43273 -94286 123332 -91717 167890 124920 -96480 -146195 -67356 7014 101211 44431 3025 -84963 -59689 -112460 31403 -62378 -148087 15339 107265 123033 169099 59472 -7989 -92786 73314 -132245 106894 26788 131943 74488 132932 -118514 158242 4287 7473 -32907 19148 22621 49598 -11934 134728 -115533 -96558 -98986 -49252 81796 57628 -2585 45599 108574 282173 87274 818 2217 -94938 -125774 112676 84144 170883 -167753 9381 196885 -151001 175889 -215898 125413 -95233 -14342 -86009 -4812 -51536 23953 80315 -48558 113922 75317 -151752 -101919 36963 223782 70798 -98760 -127104 19572 69053 -78449 61525 149163 -61759 -16769 77995 89980 199199 7618 -143684 71401 55439 11405 81723 46662 34700 12255 -31837 157716 130260 88196 -37016 100023 99024 -20972 85710 -139959 -46499 44599 -203012 -31960 139023 70541 78653 120686 99353 -79580 195294 119020 47920 -53680 33710 -50650 79354 -82172 95761 -74480 46344 -79459 115531 161051 -23924 211905 -43442 -239839 120116 49911 -11940 2210 119157 -45634 28690 73561 50435 -99095 163086 -60210 -156840 21556 14954 -45426 71851 -69503 
-225942 32393 193513 6559 48379 22283 -17666 -135564 -23335 38629 33242 59770 -51524 67900 -90697 -149938 12755 -55040 26622 172310 -65852 -64514 35790 242803 -42200 4034 -35614 -97275 -131243 77993 178534 207926 160127 38910 -60128 -56163 -42186 37141 175533 -131351 66292 -85816 133180 -181805 -38516 7688 4574 55946 -42177 -20150 -63591 29509 27563 -64615 221197 -58954 108862 -172522 -85579 -107084 -79007 -19571 104275 -122977 29816 103923 -98882 -42484 -6589 4353 -118553 162470 276353 91632 47072 -83280 -69163 62418 -123192 21746 -114246 51691 148752 -90687 81161 -82990 122817 -121742 141834 207189 5396 -139574 -58298 873 28686 52932 70294 43572 -120501 -111855 59217 -70516 -200099 -89903 70963 154742 111018 104878 -23268 38281 69930 12014 152142 44031 142816 99254 174649 -88641 143691 23636 65523 -57531 -102743 -7134 7759 -38320 135030 -165671 -83859 -136051 -28683 95718 10804 3459 62120 115081 178836 4801 79953 5775 45629 -112867 99058 87263 157409 -173571 26040 155694 -55585 105506 -104950 144133 -43393 -31003 -100698 -7827 -47372 -85613 80122 -56564 92038 75002 -57027 -99161 -70555 255811 88152 -98009 -136766 -170 19582 -118035 31328 280250 -32767 -27399 32851 16859 145873 8750 -166131 58222 98096 61904 55566 173085 14461 -14918 16043 77112 146567 103360 -65812 49134 113027 85180 52402 -37563 -1791 29078 -243143 -27582 106429 32676 67924 132538 -35408 -54295 104757 119643 49819 -33370 101347 -44107 78728 -46453 122964 40287 -94604 57834 152298 155219 -90388 243098 -64298 -200972 64509 29794 -21153 12503 10675 -63712 40301 111311 91305 -67539 -34992 -46683 -98487 16412 -37524 89054 78409 -50257 
-234165 25947 124584 -31698 47278 94709 -57150 -121477 38536 13514 30915 14601 -90153 -139478 -32570 -140109 -13763 -63671 -25189 152879 -46074 -92515 84254 190269 -102399 55560 -39622 -116260 -87688 60915 174733 173086 103353 10623 -13510 -9402 -13197 35097 146984 -132459 132780 -74240 138316 -178762 -63395 5282 65154 151436 -114843 -25364 -47746 53724 -118090 19767 55986 -57660 83346 -127740 -152572 -76611 -64609 -23651 104448 -151356 43842 84898 -122224 -39267 -110553 4806 -106872 81834 256060 104136 37644 -92568 -38159 33685 15179 31444 -87697 63595 4380 -126421 19486 -39887 94668 -99858 109005 221716 60223 -130142 -90023 -125415 -114743 56460 105090 153832 -160847 -59341 44455 -71388 -181682 -208450 -346 193808 110080 112856 -21511 134942 39461 120514 184017 62180 124685 35101 92551 -7168 122249 3184 118185 -74243 -189411 35659 -34913 -83845 135257 -84138 -72257 -170614 -39769 117109 -27729 3762 53691 102317 -43440 -25736 -6984 16167 134282 -91015 67934 78658 136548 -77982 9753 127013 85925 21606 100597 55875 11785 -11584 -98611 -10053 -48831 -185720 72153 -88154 58739 77547 23882 -79837 -140752 273652 34752 -91471 -128292 7498 1326 -63043 -3612 166921 17464 -27040 -26902 -11049 39876 11492 -151772 58063 181119 119200 -63751 180595 15516 37057 41805 -74451 197898 110716 13237 -58322 131230 138550 -34079 158484 -43683 -50080 -251657 -23513 -36512 99842 23382 109030 -138271 -69925 75795 115882 48382 11184 90690 -6234 104715 -19379 145346 100955 -124586 211373 175476 172783 -142790 237765 -70053 -147328 2618 50788 -19964 -17755 -85360 -66505 52733 92700 147614 -74135 -151465 -42908 84483 -7491 -100390 122910 85637 -20806 
-230914 -86650 59128 -21648 43311 154265 -59343 -45141 110048 22742 18630 88 -73472 -92573 -5541 -28948 -49523 -42516 118388 126073 -18651 -98235 121134 76273 -76181 81996 -57809 -114851 -47802 22171 177623 40607 43697 24755 35509 -20668 80 122975 126618 -137673 205667 -30084 118952 -184769 -73928 38902 74677 176620 -142896 -18013 -67280 40919 -136646 169718 -75276 26053 37409 -74544 -189564 -56529 -85173 -69836 113328 -102415 38999 52656 -108066 -84556 -200595 11760 -83112 -56787 237122 14640 40965 -60919 150877 -819 114297 57142 -95621 31765 -40600 -150162 -24033 19959 76279 -39424 56017 171471 90693 -147773 -68434 -196540 -114443 54743 96702 101631 -155737 -40951 -2361 -48175 -128744 -215212 -27892 177575 16701 50448 -34926 158077 39807 96623 157134 8443 110985 -69711 -52475 66282 144977 -36200 171178 -150395 -164257 36433 -76116 -87468 135594 59429 -51227 -201513 -35080 103021 -79098 10983 46232 124503 -91507 -82911 -125551 13783 188825 -53932 29555 63204 108869 60816 21153 65495 145206 -62409 134108 -92671 48122 -30488 -90553 -35607 -54995 -207606 86660 -101198 20835 74241 56634 -95141 -42372 274435 -58831 -90683 -128058 -8185 -34683 1583 -25192 -39460 53578 -34383 -75606 -25371 -62326 10567 -120457 46419 141455 136050 -247542 148574 40826 93551 59965 -140330 187575 83759 -17059 -91530 123590 155419 -169227 181952 -63935 -148051 -220357 -19766 -103273 118381 -60337 117496 -221672 -89514 2846 105408 48697 50657 85684 -14405 128376 13895 153693 54849 -54107 266638 230628 146969 -148121 186487 -95897 -48093 -42402 91543 -25025 -27495 -60439 -37801 70344 34011 139591 -55743 -59784 -24468 113498 -3289 -115690 38402 104733 41848 
-234469 -177889 -14358 -18851 31653 185572 -7989 -599 85028 58525 11842 -27065 -76968 168550 -44127 84792 -86997 -37774 167875 10311 -34196 -92648 8303 -83023 -11210 136408 -81245 -37261 -16801 15897 177974 -95502 -10407 3548 64096 21942 -4357 177622 103583 -149862 277806 24008 66121 -209760 -79087 27013 19563 140203 -29160 16637 -56959 35022 35933 152955 -68604 92403 62205 -27730 -177007 -22121 -100950 -65413 122427 -54597 -75231 55806 -102763 -82090 -225356 19747 -74811 -133065 214952 -104223 38407 -108918 241060 38590 90602 60283 -111410 -14027 87268 -172218 -40672 77237 47507 -29518 10017 86122 27347 -135173 -80574 -138067 -5752 69926 132425 -15272 -103226 -47337 -32545 -69318 -35569 -161530 -52349 151689 -45537 -4010 -9053 74694 -5620 -51782 92371 -27923 140084 -103445 -143110 115750 77895 -107966 153131 -123529 -43413 52827 -114032 -76802 135880 139475 -22263 -226591 -42905 96941 -69743 6990 -23947 67625 83338 -77097 -255257 28520 156743 -22201 -6811 52954 79415 55920 11957 9841 87522 -123063 -1162 -143648 51007 -46086 -73243 -61948 -54641 -182936 85301 -145981 -53421 60500 32095 -102563 46916 258249 -181711 -90586 -126195 -24823 -61499 2757 -49719 -70259 49534 -18138 -89786 -17110 -108105 18921 -106951 51123 51126 102832 -268772 49875 78756 153015 53617 -81446 194833 45862 29861 -8686 128143 138830 -159072 15135 -58352 -179827 -202880 -16360 -20093 154703 -60020 88542 -131589 -76470 -29561 94963 47357 38466 31636 29246 167184 49238 155274 -38392 77960 163768 172898 160553 -122896 39069 -95795 19632 -56236 108933 -36752 -45511 46692 -33163 97071 -49966 92772 -62815 122699 -25607 -44497 -9382 -81960 -110567 97491 86247 
-234000 -145620 30856 -35173 42823 177445 68567 4244 78845 95523 109 -45456 -32144 246663 -106095 109585 -117551 -32210 115792 -75243 -48078 -62895 -75076 -142810 88771 215563 -109062 -66447 13055 -4076 176619 -133853 -36697 30651 19232 22723 8860 129947 75028 -136750 261476 61139 -22633 -198152 -90282 22237 -29928 76177 65421 47996 -64984 41571 178193 35044 94325 50695 79232 27091 -151495 23797 -102753 -53987 134119 -19382 -163536 114686 -69041 -105797 -154321 701 -59235 -99490 189120 -227981 22176 -83558 157757 14470 -29654 74996 -113353 -10364 198644 -195268 -87598 113427 -3986 -36387 -37221 -23953 -106890 -126826 -80547 -13447 121501 69382 95129 -113202 -45551 -90811 -35289 -49943 32438 -26480 -63176 110054 -81722 -25687 33148 -64853 21073 -146019 17798 -33923 167934 -127979 -104004 109354 83222 -173449 103588 -171136 53752 5127 -148920 -64217 136083 107858 12697 -243071 -51489 111448 -34954 15710 -31283 84308 265159 -22014 -210302 26216 14304 -25437 -28710 38489 43975 -86170 8947 -17559 -7165 -143728 -185029 -83909 19284 -22359 -50177 -101208 -59627 -119314 87943 -152707 -68297 73032 -53822 -104517 95948 230262 -251463 -77910 -113438 -31102 -76886 -54820 -68858 131498 56161 -12107 -64005 65827 -54377 16740 -130626 54982 27738 79219 -196127 -22229 122429 182249 5464 75354 188253 65918 -30088 76122 127875 97673 -127406 -134845 -56766 -231789 -182769 -13333 109635 105739 -73320 75724 1530 -71224 -49158 84600 46672 22346 20752 35225 151537 86494 144735 -78908 73531 -5397 162707 147925 -44836 -68777 -101050 95083 -37718 84695 -48368 -8949 133231 -30808 92841 -102667 38889 -80866 225564 -24449 -147656 -2780 -5115 -220715 108845 154999 
-229852 -26900 118938 -31128 47490 134969 148296 -41922 34507 48651 -3610 -64891 -11440 6826 -95849 -1642 -144385 -12692 -22746 -140050 -43794 -35683 -110576 -57017 192563 163653 -51692 -4757 27959 -40095 176752 -65353 -33952 -22284 -40198 110663 -5959 49274 43541 -130754 298437 57495 -60491 -192755 -76714 10200 -4423 14617 104751 60261 -74268 7737 117693 -50257 213576 -44078 96471 74377 -117718 44030 -107692 -61484 131991 -70131 -219907 123128 -38788 -77580 -67386 -2623 -59628 27835 123573 -213962 7821 -84180 -33104 35407 -167849 76675 -79273 24083 162827 -203741 -159062 115829 12356 -82723 -80795 -109683 -188369 -121694 -106111 15584 99324 76528 61987 -84293 -7527 -119740 -3415 -82573 103210 59129 -23055 49569 -157974 -11813 24533 -158074 49027 -80177 -61378 12187 122126 -89092 26112 41534 44026 -192421 54450 -131084 37742 9270 -180052 -28545 136452 -39508 44628 -249929 -65419 97498 3523 18622 -26427 83589 204055 32450 -56681 30466 -137650 -62885 -31519 22916 13885 -182581 9676 -56344 -118917 -125783 -154234 81897 -34294 -42971 -17336 -95386 -47718 -4105 83507 -107802 -102427 73651 -123932 -92709 31361 185915 -275339 -81440 -83342 -34453 -69264 -83544 -85549 283403 67887 -12515 -7629 80048 48672 22010 -155254 52053 75563 32377 -4442 -32944 160156 151084 -24576 180650 172305 43076 -23561 48462 139196 30332 -8944 -64788 -30400 -194859 -182996 -10689 141268 129477 -68624 65373 101554 -56747 5863 69466 46797 -7137 -19560 17159 134908 129516 123266 25610 10182 -92248 115577 180956 22739 -104211 -113909 126341 -30249 44309 -71408 -32757 84518 -40106 84926 -101269 74738 -88198 124939 -26668 -106178 4295 23018 -212241 105644 182972 
-232368 45958 212401 -49399 66501 71200 224632 -105308 -49862 70409 -4421 -63336 29411 -111187 -34506 -141125 -144091 -9113 -9164 -132121 -101070 -33025 -56849 92078 230679 234286 -45609 -15736 48226 -33879 176739 50495 -12202 14076 -70372 76710 -37612 31583 32356 -131594 274410 18752 -73788 -181139 -21248 188 57152 -5937 10159 79339 -73501 35585 -69225 6792 167909 -76603 92662 111344 -55267 72624 -93799 -39021 137909 -101291 -257415 162626 -32291 -95015 30678 -626 -51018 145857 64904 -255931 2296 -80482 -89736 86797 -207977 65769 -105015 58200 18957 -220908 -201169 84321 33254 -93026 -114938 -145878 -251796 -129402 -90291 -94937 -45521 90674 53277 67215 -24753 -95960 71882 -77987 112120 34279 18885 22105 -168249 38379 63155 -168133 30373 70595 -130262 70613 134757 17490 151192 -19317 -14439 -218668 -7583 -152550 -97446 32880 -163594 12634 136574 -138408 82186 -249805 -62755 85884 73310 33612 -26349 96397 -12339 108678 50890 35172 -186678 -96931 -15334 26762 -15371 -165168 9850 -133319 -47021 -64062 28540 155460 -88193 -63451 14411 -100442 -59297 89278 98838 -130766 -85333 66148 -175500 -99817 -76060 136774 -209692 -76290 -64517 -31354 -50204 -108502 -108426 185332 9703 -4797 49068 160258 155252 26134 -118405 56831 144302 -28695 91060 16975 164814 71417 -33726 109088 131490 67961 -32551 -53751 145882 -62721 83488 125329 -29480 -107150 -139515 -8521 22589 96182 -908 56655 103099 -61337 30997 55828 44453 -55079 14897 48039 130908 155683 94229 106263 -117664 -38632 93223 165912 91047 -74596 -120662 147024 25820 30331 -84292 -20816 -37496 -58721 116165 -33192 129486 -135803 -63957 -24290 84326 -4739 -40429 -96119 118766 203085 
-222987 -9906 99916 -51134 71662 -4590 260898 -138139 -133366 30255 -23199 -50099 65838 -90525 -5241 -159184 -135379 21609 97474 -54790 -82889 -45528 19339 208955 204206 262876 -9239 -45750 37311 -61945 176349 188487 31164 -6996 -49534 90920 -57862 108320 8991 -128641 238065 -41324 -62287 -161653 -72046 26013 74209 63297 -99230 58126 -64768 28686 -153268 145809 82624 -5924 145557 136092 22212 102022 -75238 -51053 132623 -133086 -160758 116451 -13120 -45782 80977 9689 -54438 161343 60508 -227057 1543 -67321 64675 40813 -138356 88161 -93911 54831 -33995 -221430 -198101 28629 62961 -82263 -141185 -96933 -253726 -119353 -92902 -190708 -143747 82613 353 157014 -79668 -60204 66812 -18797 169763 -97179 74477 -22434 -168921 82002 11132 -72609 39832 117564 -173865 46623 109635 81983 164740 -83543 21634 -188116 -4942 -104549 -199164 39524 -143484 31814 136937 -145404 115714 -238476 -54777 98139 95363 40407 -18777 113086 -107666 138156 57710 39745 -190326 -92941 12381 30146 -39655 -38440 5318 -103659 31980 19451 125026 105070 -120745 -30986 56977 -100252 -59094 114987 80995 -60781 -62917 83554 -137898 -86665 -144604 84921 -116132 -64941 -71914 -31056 -37007 -78572 -106129 -18896 -53212 9243 84072 187047 236252 25974 -72875 41662 143466 -18123 34212 120699 161996 14907 764 -34598 85989 74352 -80262 -99726 121727 -106156 45020 198230 -56264 -13653 -100143 -6806 -98796 92784 31415 60447 -42073 -82815 117040 51967 43357 -63307 53455 59769 139004 167364 62333 73048 -136887 127693 10205 145138 97181 -12983 -137969 95837 71440 59368 -101738 -64158 -92094 -74682 113367 31654 150849 -130025 -110951 -35221 138161 -6423 -75783 50048 93156 178772 
-219418 -128777 -13531 -35613 86841 -72462 246773 -113248 -175176 6362 -26915 -26113 75815 152076 -39449 -63925 -107883 11309 195886 34733 -101507 -75809 72451 236328 107520 230984 -54802 -85329 27020 -23834 179675 220759 90670 1914 4337 91031 -43471 181499 -13093 -123658 184478 -87992 -9899 -137920 -59307 43992 24248 125848 -167801 66493 -72708 1027 -48856 190600 -55182 79089 81619 154997 103654 101437 -58856 -1029 130662 -95593 -59005 75579 -11848 -49224 48646 -5978 -65172 66446 -39834 -139296 24654 -98285 205772 22378 4432 120442 -85159 13629 63476 -224829 -206361 -32394 92570 -58205 -151727 -15940 -181059 -124574 -81113 -160831 -91232 99488 -45612 102429 -139215 -45709 38764 -25453 121087 -177092 142839 10276 -120776 115927 7241 81428 57903 35830 -192468 29091 91868 103888 59110 -117182 -48286 -173435 69130 -72999 -154551 57545 -132227 42793 137281 -25113 146249 -221405 -55475 103519 103424 44847 52681 125472 51773 121584 -107066 47756 -64860 -130166 47842 23136 -53971 74258 12637 -81426 141325 108225 20783 -46301 -118865 -50017 97608 -111529 -69611 89310 88139 -19001 -71239 70078 -53338 -85063 -67428 27504 -11366 -76060 -42592 -37125 5887 -9507 -121438 -72878 -72031 9096 80125 216539 215852 32498 -86344 42162 148323 -4654 -98698 176718 129624 -5259 43952 -132816 38317 108393 -77017 -20489 143042 -136262 -59596 55559 -67756 54230 -61389 -5515 -75035 25759 92317 80098 -130739 -70919 157105 42996 42456 -59133 57964 66552 93829 193746 24000 -41951 -5793 251421 458 156187 50650 119872 -144499 35989 123576 98204 -76450 -20209 -21984 -79952 125327 102750 88251 -119265 -110867 -42477 -14964 14078 -129247 123111 106286 157308 
-215285 -181889 20242 -28787 97273 -117693 198957 -37219 -245291 23925 -17494 -12933 104231 229674 -109222 68135 -77701 24717 148459 115065 -121268 -95169 78747 117477 9493 220986 -88730 -116636 -4262 11972 176083 138875 151254 -4378 59802 71518 -46014 127452 -6325 -114887 114703 -77189 41216 -113355 -87123 27582 -27065 195077 -107532 33149 -79154 -1492 124991 61973 -80071 78105 63884 152990 135449 85613 -61942 -3776 125878 -53446 13722 60960 -24852 -54892 -39757 5236 -68644 -66323 -65434 -8024 -2181 -70765 193526 1838 106638 117020 -86111 -9633 197749 -208602 -232050 -78183 110218 -12261 -144881 85076 -71493 -125765 -100405 -51070 50294 105911 -77226 -48206 -174063 -61347 -15182 -55934 5617 -217331 155819 -698 -108136 80065 -32801 151788 54386 -95177 -169597 -84 65662 27581 -82066 -77186 -84713 -99265 125216 -43640 -56530 20152 -92697 6009 137392 123614 177359 -193582 -39096 94730 92901 47413 59520 93000 268348 113813 -214612 42354 68270 -118929 89591 32431 -59207 34217 7561 -77137 86405 175995 -183440 -109270 -83309 -10754 134967 -85187 -67720 43095 93166 -49702 -60013 71900 21646 -103584 27856 -23908 72370 -93278 -38627 -26512 47332 -11578 -109917 87025 -46873 18052 39222 174132 121877 31283 -94959 47852 70355 34425 -240173 208770 87436 31625 68369 -86646 -13839 101794 -27175 83916 117041 -108672 -165928 -118035 -61625 91943 -43027 -4720 64527 79303 88494 57126 -207344 -57237 193601 48693 41179 -22652 110291 48805 88157 199089 -16064 -78792 77715 235683 -51110 169869 -56921 241834 -158404 -70266 161562 107399 -83450 -51689 90390 -39039 125362 136209 35705 -101629 128485 -57827 -158634 23103 -76880 76855 89264 107827 
-203361 -105888 136111 32124 104218 -124933 115536 -1823 -188283 36556 -20820 11364 65577 108798 -108235 111609 -37503 56018 44956 131818 -110978 -106456 28635 -13440 -83871 221599 -138334 -102174 -42086 22680 176796 26762 198168 -22349 46275 37568 -33880 47388 -6832 -107573 58692 -47209 111838 -107748 -103760 1275 -14727 160458 8153 28443 -36466 -14455 170229 -59396 100920 -1155 80241 138803 154152 91179 -59957 18200 120081 -38746 36086 77194 -60055 -57802 -147139 -634 -84339 -132813 -125473 53301 -22513 -60279 44044 -6271 98166 89461 -98459 -10567 170908 -225465 -197220 -95505 132706 -12111 -122542 184919 44995 -131008 -84839 15192 131405 97663 -107056 -117276 -137670 -106686 -38705 -54941 -6205 -128758 155375 55480 -54834 12600 -64934 143754 50733 -138142 -100102 -14616 82363 -32944 -139615 -18090 -95773 -56879 154253 -50973 51709 2732 -44508 -1750 137508 142424 197658 -161886 -50659 123402 39924 47779 58351 76746 234172 13367 -225979 58274 172145 -170910 105804 46463 -51323 -109934 8245 -17952 -28889 209992 -161894 -106509 -28367 -50633 167877 -63655 -66312 -53659 91471 -81928 2861 71269 44798 -94815 72708 -61763 86599 -88462 -27985 -29696 88752 2209 -117328 276249 9541 10778 -21619 110849 17791 30555 -63530 38616 62468 74827 -247541 118842 49156 119502 50588 59790 -37500 91349 -36315 72229 128574 -94784 -182128 -93171 -35282 54736 16144 -4417 145789 64391 55511 12576 -127722 -56453 200347 47734 40871 -2004 139051 108961 47716 198092 -52635 7981 75738 92153 -40994 171355 -101161 283668 -156574 -162339 188164 75841 -88502 -30450 120817 -30081 119658 77468 67818 -123137 180164 -67895 -116196 24992 -5285 -60140 85135 42577 
-202118 13116 160278 -25161 88054 -103411 29204 8082 -225615 38872 -10349 53716 47904 -136777 -50597 22564 -7035 52823 -46167 128111 -101053 -86351 -16631 -124671 -88797 176018 -70650 -34346 -74424 19184 175086 -82970 218355 9206 -9993 34214 -25940 24026 7610 -87893 21330 14797 149030 -84384 -59992 -6756 46750 84244 89496 17073 -48787 -21153 28205 -18565 196310 -70192 109128 114085 156587 86137 -83323 38655 109792 -67131 76029 144391 -128414 -66529 -211545 13220 -99006 -81628 -128768 62951 -16797 -85777 -53223 35939 -21187 95936 -105406 34453 39604 -197750 -191628 -76787 123873 -96712 -97213 215064 92781 -149597 -86557 -50117 26536 91318 -92433 -59765 -79523 -111483 -11033 -76689 -91895 9407 106056 99412 -55136 -32046 -25276 32847 38694 -11629 -21505 -6433 71255 -116791 -82237 52173 -132101 -9229 172761 -87150 55588 2257 11602 -40359 137922 47895 212419 -124915 -26335 90551 -15653 49822 67876 71952 33277 -54939 -98680 45644 181466 -155682 107582 67172 -36815 -178914 9899 -9856 -145857 203763 21307 -5504 23868 -24237 198885 -40880 -61500 -157592 105513 -125726 42509 71791 23897 -81949 26025 -86372 35866 -106997 -3377 -10074 116530 -104159 -114679 213839 83850 27729 -72597 55882 -86814 37372 -55960 29355 30583 117114 -169172 41352 19399 171746 -7797 165745 -69157 69969 -45261 -43082 107031 -34745 -83479 94300 -40177 -43212 41315 -4621 79325 88319 54957 13144 11564 -71422 143500 63561 39749 38850 93076 79705 35164 196768 -79298 82070 -54967 -61247 -89607 149237 -143506 255678 -170745 -198352 157808 37936 -121054 -41952 30810 -27010 128191 23040 135893 -85976 144875 -75050 45463 13038 -176 -194406 47405 -10376 
-186462 43874 139307 -33146 90596 -46183 -35737 -44234 -170829 44127 -8872 90160 -6713 -44783 -13070 -107542 13278 62355 47567 22565 -97514 -57620 -98271 -92957 -42796 148679 -115573 -25200 -107618 43973 178730 -134235 221034 -9075 -63575 -4127 14711 116205 47005 -75733 -44124 51719 132295 -68995 -33646 24316 77807 28156 29520 -16662 -52295 -25919 -132835 153684 203283 -39928 96734 73657 136213 51140 -74083 67709 102123 -136988 -11405 136646 -126399 -83874 -215239 3426 -116226 42884 -155447 71535 -50659 -81082 -6704 29258 -159001 117501 -85114 59182 -42144 -187761 -149681 -28942 103678 -81364 -52976 192051 80502 -124643 -106160 -164325 -127858 105527 -95854 65794 -25446 -87882 10968 -58570 -195363 53959 79553 146413 47146 -35298 -27712 -97541 29156 119719 57668 12656 38701 -138339 56607 107527 -103905 -1675 129439 -75935 -89020 27676 32721 -87312 138001 -99803 220934 -85698 -39143 99682 -45631 54604 15421 77064 -108514 -76020 35038 71679 63495 -135827 91760 68229 -14136 -138654 10785 85537 -108975 156855 156837 144856 52407 -64850 224202 -18234 -69467 -210327 91352 -135351 73591 71938 -33577 -87473 -79983 -102785 -66290 -101164 8672 -9278 154366 -115425 -110851 -5897 65361 40142 -91091 -36355 -116795 41450 -55468 14930 124900 138593 35676 -29139 16768 171947 -37928 149914 -66992 48946 4251 -85424 91205 61419 38779 206932 -53588 -128037 65529 -5281 -58936 128244 -77809 3394 110626 -67494 103639 75888 37379 40105 41680 72715 4569 183081 -98525 76174 -142757 -92950 -110698 178778 -154904 180180 -179119 -246377 146049 33998 -114469 -37499 -73749 -19698 106771 -40644 151416 -61610 -19038 -79370 97845 21375 -9574 -229314 29328 -47920 
+-99000 -18200 -17273 113146 -49936 37386 -155562 104416 -206322 47717 -18299 -54169 46932 111197 25129 -212673 53220 -119312 89304 20143 92900 -179050 -26188 -83396 80448 -57713 62647 -14016 76916 204944 91581 -8400 -13688 -206465 70917 61301 -55465 37521 -353 -16973 5167 -53769 -57260 70640 -102620 1224 -14711 62952 -199136 -5175 126068 -64128 194161 -53369 -16561 6403 -94295 -14800 68786 -38256 9182 24434 -105979 107465 47479 22773 4925 -87508 -67974 -47593 39313 -51450 -95709 148102 31153 -169550 68042 95132 3130 171053 -215400 39751 -71434 20842 68051 -230126 -63069 -81941 -35570 -19873 23575 73946 60928 -15555 46542 189717 -13157 -57033 -71009 -185270 8245 -85997 66887 137235 54137 116480 -113736 52389 -195412 154462 -49023 76818 -34132 809 -1910 -75468 -16962 9493 -186 28636 -61988 -195356 19587 67434 131041 -45849 -83416 -110706 -75548 -19129 110007 52513 95826 13348 37943 -44162 35104 49132 1357 131336 33150 -53767 191351 -79678 87716 -14286 19848 -240840 37653 -52437 40888 -5146 40581 123156 112159 -51203 -24470 -80803 77167 -38969 -93198 -23677 132762 -183673 -67781 65011 -166318 -16316 -5273 140627 -233161 -29448 136151 -76687 83037 -42249 -28879 -204478 59831 -126889 7771 -90972 -102047 -166275 -127002 44220 -133944 -66085 -15680 56438 108290 -749 -5937 109422 36259 -26335 53415 -184800 42562 231481 104625 -100481 101835 -31378 52679 28332 -107432 -78400 -126322 34784 68872 -235742 16179 -13852 -80057 -57751 30092 250859 8609 -13229 115050 -88333 -80414 -127538 125316 100175 -52617 -91248 4397 77749 -95108 39717 156162 -28686 -13395 -93317 37556 -295024 5747 -11848 -84561 -114733 -81203 -11283 
+-90637 -29366 -22354 162214 -120060 67837 -25597 83063 -261375 19800 10771 -65403 50928 -2773 51802 -238595 2597 -72223 20786 50064 71457 -180514 -74234 -55210 78475 -26289 -26125 17128 26163 100249 13422 -39024 -15500 -197926 74357 -107627 -71080 40091 46045 -19943 -86432 -42049 -79431 123682 -92344 -1916 -142167 18335 -99366 -31870 169645 91215 118280 -61323 -78906 11157 -198039 -60925 74397 -38242 17208 26533 36234 37922 27357 -26569 -11678 -221657 -70075 94134 49469 -107538 41924 32781 69836 -157078 33719 125739 80578 144322 -220899 10603 -7032 -687 70113 -93451 53690 -103659 -17115 -14651 12257 76251 58744 30386 79253 182643 -40632 60512 -109751 -250604 14544 -35396 69203 92916 86063 156791 -116228 -79800 -241253 78971 -70907 92159 108808 -53353 132 -75037 -15171 -9670 -82931 -78691 -92738 -146318 10036 119760 146566 -53637 -58077 -140668 -98880 88110 95974 54417 60834 5135 44516 -66150 136851 62367 11841 100913 31036 -8124 171898 -30531 87341 49280 121249 -93779 191499 -38896 -22057 -36068 20914 17001 115515 -31489 -58214 -55019 150639 11276 -94170 -40113 177100 -209138 -97621 113422 -217116 -19443 -82229 121185 -221194 -71815 84741 -108397 88636 -38871 -31544 -209839 40792 -169647 -7300 -92245 -13993 -589 -120401 13103 -84096 -59690 12978 65672 194906 -1095 -72492 110734 13264 -16005 46433 -146232 50795 199498 127729 -121817 93270 -103798 -29369 76361 -101791 49906 -125102 119203 -3307 -192498 73241 -36236 -107460 -52904 23817 246392 14107 -71338 117598 -57907 -25501 -100721 143554 93954 39373 19275 -388 90530 -159399 40859 167681 -48255 53689 -114129 -19558 -287609 30819 -26398 -115556 -61570 -25843 5138 
+-75921 -120141 -5573 95553 -141983 53669 113918 58644 -104337 26180 26671 -60759 55529 -110579 87590 -243849 -26682 -17950 70582 68966 58832 -189770 -32483 -106780 75432 -23846 -103378 26173 -15024 -2146 -62657 -35302 -56619 -178085 69187 -121307 -76526 12585 61955 -29488 -188613 -58186 -36386 168283 -44139 18970 -181771 33781 -59413 -45661 131166 198048 33228 -47856 -141281 -22310 -204701 -42547 79817 -1538 24554 63087 144726 3755 17588 14021 87211 -171964 -64609 229151 -4325 -136236 183277 -28236 82467 8419 76804 118336 94943 74065 -237521 799 99714 -22335 32570 83151 82292 -115059 19245 33153 -16274 79697 41242 74139 22542 189698 -72987 168014 -119794 -247227 6155 -46305 64384 9474 99645 177919 -116858 -167234 -106950 -9720 -133870 82838 46466 -90743 -15017 -29018 -22544 -49713 955 -635 -63026 -83262 36849 159145 -710 -64214 -29840 -123026 -124089 123798 34436 56103 20677 -2853 64349 -73001 246141 37843 -16419 38097 31275 73605 175902 18283 73743 113103 8725 106287 186169 -49546 -115839 -48294 -2126 -165269 108790 -20306 -56258 -83540 124395 107451 -66828 20395 125319 -182804 -41389 38159 -245261 -40139 -152169 72315 -205377 -122121 -1456 -69961 17265 -17558 -28844 -204424 18632 -191904 -7860 -81813 96360 92180 -125460 5049 -72814 31875 15201 63371 137956 1247 -106575 128203 23184 -6701 -48456 -169980 43403 147494 177250 -52100 81483 -143815 5842 103567 -147613 58293 -89871 122860 -111363 -99625 75424 -27440 -120865 -70973 57489 219871 14932 -114024 -48301 -35977 -14343 -40077 110535 125401 29069 190152 4292 102130 -158374 51017 167824 -31436 12756 -126240 -48598 -282509 63326 -57323 -121515 2327 76621 9232 
+-74153 -132402 -30107 -36736 -111744 60576 78268 9425 50389 18373 18172 -48367 58000 -181128 105596 -221316 -41513 11624 29078 64470 21601 -154205 2428 -141302 80098 24541 -60657 19248 -55159 -77429 -119133 41982 -47639 -170033 72426 -20144 -94186 2962 94514 -2043 -158935 -92173 15283 185979 22055 35284 -96991 10542 22365 -48861 78644 154147 -65102 -48830 -184096 -99280 -125637 -29057 88824 28343 44694 37665 109271 30441 17909 48667 177126 7350 -74188 150754 12636 -132702 221279 32318 81042 77522 99295 77333 115556 7691 -224059 -72137 169709 -43460 -2474 59713 50474 -129275 18343 -14913 -38743 81129 50398 72774 -45917 209802 -72414 180974 -115341 -156199 -86488 -69432 75796 -17546 91557 199180 -115182 -135695 72864 -41851 -145216 105209 -164535 -119678 -25013 -77878 -17952 -108098 133309 122124 -23052 -93513 -4340 212012 -86806 -45174 -18636 -134338 -134099 86700 -28714 55287 -22116 1312 50662 -56888 233429 -12851 -10160 48772 33775 171265 129589 -16724 49138 172900 -174333 166729 113236 -68515 -169132 -36918 -21569 -225161 107362 -2984 -47849 -69839 112522 165640 -20277 18308 32277 -86907 -25476 -33661 -247339 -20791 -165892 37046 -184023 -145812 -122150 35046 31422 -13780 -35731 -128284 5083 -144163 15470 -67776 182175 26302 -115537 -28989 -36508 135788 12516 48233 1633 -7171 -78420 129960 63204 2091 -115413 -195216 79352 93705 233776 -50708 63405 -190322 126248 181089 -103062 -62762 -69384 110916 -164921 27521 57640 -64651 -118793 -87605 47604 171943 -2583 -87919 -173248 -42970 76465 113498 117242 156516 -46283 253404 4102 107446 -95850 31352 117518 -36676 -73260 -98749 -10640 -277521 80779 -30315 -69222 76189 116265 -15017 
+-72733 -96202 -26364 -69522 -11239 79809 -97074 1938 69210 -8662 -551 -45081 58048 -177736 118547 -180809 -7988 50115 13801 31521 -4912 -152297 25336 -71937 81110 43564 62935 15905 -101920 -92596 -183399 67109 -16433 -140799 92739 163605 -96919 6307 27793 -29009 -37956 -133286 111558 166250 6815 24734 28179 5990 86646 -35866 51749 13040 -142757 -53913 -231380 -123489 6325 -36480 89718 31090 53708 64789 -10682 99732 32144 74172 104150 75797 -81270 -13628 37670 -95451 193075 149233 70724 59881 89315 -3986 138067 -75931 -195061 -94586 155662 -48779 29305 -116232 -10970 -155358 15900 19025 -44838 81658 29049 92861 -111647 200169 -94431 88793 -105367 -31016 11574 -140342 66381 20532 63979 207859 -111464 -23088 112874 -6898 -170754 51183 -187391 -125975 355 -95608 -18998 -145922 122921 236259 49040 -16517 11635 229167 -43228 -41498 -2095 -111731 -79494 11162 -99971 56722 -64291 -27138 69290 -46313 184613 -53539 -16950 -10847 36319 223939 64457 -80319 23099 205565 -207917 4705 -37407 -100193 -201952 -53774 -37409 -135368 107914 -27903 -11515 -76474 57167 197197 24616 38921 -9302 20372 -32329 -51301 -203609 -54078 -143048 38223 -153228 -142029 -177873 50310 11862 25528 -26222 -47697 -19662 -121704 25098 -42388 242868 -139957 -98374 -2525 1294 129497 33157 27074 -49186 -6201 -60264 89792 114235 10502 -158181 -186744 84316 37862 234025 -2604 27453 -201664 225126 128445 -95017 -168614 -43071 68329 -137473 108969 -5081 -89438 -100758 -90700 61421 114629 14976 -58622 -173026 -74845 141790 198155 98336 161040 -114550 205748 -12033 105231 -22815 43229 124675 -49275 -105454 -60747 69190 -252521 35616 -60486 -59667 -75969 38456 36362 
+-75885 -34688 -37317 3939 24607 59186 -170493 -13103 -32075 36544 -26663 -44050 55371 -104764 33030 -144925 60970 52930 33446 19086 -44203 -109656 48876 -89200 86891 67464 110139 -16868 -138186 -10194 -218866 105871 -64089 -116409 77054 174326 -91107 21813 -12427 -39588 37884 -144317 175742 132734 -62090 1703 9788 -21973 114077 -50514 -33618 -82761 -170426 -65352 -235882 -97366 94664 -15994 99823 -3527 60613 65558 -152702 136777 52645 36400 31975 -55224 -81503 -66795 75169 -34753 52614 214734 72856 -126290 117762 -58109 107496 -132818 -165230 -102002 67915 -52839 50954 -244095 -77479 -146906 7682 -6216 -9453 83035 33053 69587 -147552 209123 -79326 -35434 -35608 85029 1986 -145839 79791 96421 23346 207129 -105597 96345 -23310 82487 -147549 61699 -74122 -106913 -22097 -66160 -28225 -182917 -11816 207909 129545 56509 16019 256450 108115 -13076 12127 -115688 -37118 -115121 -96337 54339 -102985 -40325 44804 -68891 58980 -54055 -13880 23778 36966 230079 30254 -158502 6123 225054 -54701 -168238 -96101 -115839 -184864 -113633 -41378 45022 92812 -73087 38045 -75717 47535 110680 48798 61643 36296 9074 -19242 47888 -135936 -12749 -80106 51290 -121870 -93929 -151939 -1026 -27657 -17263 -29073 12703 -37670 -63786 2837 -47735 177962 -203808 -95434 40312 15717 44292 29326 -1599 46171 -8985 4954 90503 138476 17754 -102422 -143714 99669 -6500 259644 31552 4473 -171594 191179 148021 -34746 -152609 2157 211 -66307 156773 -59137 -32525 -70172 -75746 46186 48978 15658 15906 -34434 -107964 135671 195637 75394 96663 -59090 57680 -3156 95875 -57385 -966 89475 -22122 -40511 -43872 67160 -233106 15538 -47061 -54882 -91804 -54921 60987 
+-96867 -13207 -30310 131265 -48824 25842 -54320 -24877 -213941 41305 8107 -44866 49794 -15943 -19833 -90278 113526 69433 17297 24752 -86896 -94692 64427 -101875 83791 91452 51894 6107 -113943 87669 -219489 26919 -12050 -94583 77458 111146 -93873 -8242 -37529 -24418 -45059 -137318 185005 63400 -107503 -2238 -121095 -53920 105361 -35485 -31814 -38175 -123499 -50343 -220670 -40287 75938 -31362 106308 -38399 40923 19561 -183725 124898 69389 -21543 -22789 -208042 -92875 96685 113056 22496 -87586 145241 43956 -199440 122037 -99474 64859 -168401 -137120 -81728 -41964 -23738 69593 -185394 -134561 -159612 -17489 15398 15164 83134 21305 59684 -79335 191263 -78295 -94080 -19814 103582 -33580 -113278 69833 134072 -20061 179839 -98547 115794 -202029 163890 -166875 69005 85664 -71418 710 -95464 -26283 -192349 -76004 73280 230350 51430 -8758 239189 120374 -14808 17767 -86955 -93886 -136157 -48694 67350 -135843 -59458 81084 -65918 -30578 -22257 18940 67685 35314 167879 -11933 -188337 2910 210623 102273 -135851 -5038 -139394 -123419 -120850 -20996 99661 101723 -91293 56121 -82351 8431 49804 52107 78583 127255 -63088 -19988 121523 -57757 -68536 -4998 81764 -80505 -39601 -43166 -112082 -15920 -29665 -32502 97735 -50819 -29643 -24011 -43215 72404 -68444 -94154 22526 -51012 -38800 25191 -19304 194829 -8452 30637 65565 121234 24815 -55746 -143783 84851 -34209 275323 8909 -24678 -85540 85636 123346 -44090 433 24283 -51368 74624 200666 -109909 -41147 -31421 -58235 -665 -4430 12562 97589 114000 -146003 146056 66600 56987 68873 3883 -78979 -10585 83357 -154010 22734 99805 -20336 36517 -72546 -14534 -172161 -17562 -22877 -80457 -63826 -102218 51402 
+-107902 -91256 -27337 183793 -160352 12042 104588 -25552 -257134 37391 22861 -57318 45749 95647 -96868 -33178 144377 48793 23428 49231 -126473 -70932 61797 -86206 79309 97997 -47196 -17023 -92426 197318 -178976 -9542 -39496 -66573 43217 -46509 -76221 -2401 -99377 954 -151035 -127321 143945 8043 -105234 18278 -175814 -23385 69109 -6201 -84907 104589 -91506 -57134 -171644 9996 -49293 -10234 111961 -43691 22248 14016 -86178 52379 73817 -29112 46173 -196952 -104886 219289 104749 54915 -152892 24279 54026 -62854 151814 -83352 38528 -191348 -93295 -48397 -76661 -9031 32824 -20366 -116220 -174241 -27700 -49317 50918 81689 19939 10632 18477 178548 -60300 -40423 -13716 71206 -25000 -60889 74749 93538 -55970 155053 -88999 36804 -240163 208943 -151345 50791 55349 -30311 -8765 -107474 -37062 -182469 16915 -41298 274527 76228 29851 285768 29855 15100 17694 -65191 -150279 -117639 13712 52866 -159951 -73044 86274 -58312 -58183 25318 -1593 96984 32524 76667 -72462 -165044 14048 180287 77778 47466 124360 -132247 -39963 -117005 -11442 -9439 103674 -83796 94568 -79891 31559 -15789 25700 112677 177079 -152954 -16313 58169 48494 11040 71948 132582 -36363 37271 84949 -129825 -77105 -28599 -32867 94254 -65130 -6786 -27071 -97808 -3471 67996 -69004 19781 -86522 -70119 34029 -25866 168430 -7265 81501 101135 67921 29946 995 -102650 97567 -41326 225893 -7063 -46502 -34825 -24842 104841 2159 66046 51896 -51431 98197 55539 -123523 -46646 9832 -55838 4155 -41668 31443 170407 116663 -155306 150453 -39488 48188 53267 46576 -127500 -9434 70832 -175796 13785 29392 -10223 42785 -109152 -44006 -125224 -15765 -34781 -76602 44871 -72699 56764 
+-88701 -158645 -47636 89397 -150817 11376 93400 1167 -121222 -1698 40618 -58884 45882 167986 -200465 9525 137246 23782 44717 61260 -155891 -27192 12561 -102123 83849 100539 -103020 -29285 -49763 254468 -132286 -68257 -24563 -36948 49186 -142507 -61451 -24299 -122071 19508 -200545 -70924 50587 905 -29990 35177 -128695 -27454 -18910 19922 -61535 226395 -1557 -52450 -111149 37824 -150124 -24427 115952 -15512 10485 48343 51148 -322 66609 57629 157297 -27000 -114977 150155 134098 45092 -131884 -34217 85345 80952 70545 -49669 -4799 -172989 -65492 -4513 -38423 12446 58400 96870 -23190 -153521 -28958 -19065 -8795 80634 7953 -46316 78529 195502 -12203 82759 -20027 -46254 -6717 -43220 82817 21359 -74312 113290 -78329 -92057 -96403 174053 -141750 57943 -134535 27631 -16504 -116715 -33179 -146903 125184 -36521 284463 82804 15002 295220 -113683 628 8405 -72059 -140805 -57922 60900 53291 -174151 -101526 53295 -58487 -24062 54399 -13174 139273 30915 -12243 -50213 -88917 37308 127703 -110195 212819 178021 -84837 32894 -147257 -208 -170821 97958 -35064 95344 -51616 33395 -18609 -13834 87753 134281 -219562 -15130 -21246 103269 13711 132170 126426 11835 67687 146611 -32444 -69720 -50134 -29682 61501 -81056 -8819 26865 -76577 -54106 94825 -57848 -17751 -123376 -11928 44875 -21232 39089 -3973 90221 85221 27573 26942 36067 -89971 68830 -19549 198643 -25008 -67099 57161 -17849 55852 51890 8635 92672 -15280 143318 -43370 -84896 -20006 46696 -68822 52737 -56618 34318 216212 -25918 -158884 65155 -97769 52269 54975 43744 -8678 -17325 63069 -114160 17887 21854 4909 -46929 -132364 -5602 -82195 -36970 -40405 -87216 46170 20507 60161 
+-71874 -137123 -21638 -40368 -105433 33797 -72831 40538 42796 34367 1990 -60138 48082 171965 -209341 46808 94459 -10068 57814 45340 -184550 2745 -43905 -87314 78281 101088 -44369 -39311 -7886 243234 -36406 4111 -69939 -23340 60441 -42611 -55805 -38007 -102853 -16905 -110750 -58730 -20082 28693 26940 25362 -7803 -18130 -75847 41583 -51619 139342 92567 -51233 -54713 -33636 -205920 -29273 124528 28496 9219 40756 147905 41573 47848 78241 131402 85584 -124381 -36806 122218 7450 -9238 30273 74591 74938 117319 35519 -31815 -115103 -16609 24281 58914 21337 4422 -7915 49946 -158781 16285 -4283 -46959 78219 13794 -96754 56401 205241 17203 178624 -60495 -171611 -7468 -75651 59923 -29602 -71127 65704 -65807 -161141 79685 97709 -108644 50692 -216646 91380 -21894 -109129 -39991 -98873 123673 136091 256163 91881 15786 262543 -56988 -8337 1410 -73479 -69685 62452 128331 57921 -177043 -108063 70938 -29885 86893 57592 -20764 171324 31716 -46494 -78456 -13905 63070 75508 -219981 46102 177542 -82645 52676 -165529 26903 -235874 94596 -28371 103860 -62160 25455 78128 -56147 99051 41467 -193224 -40282 -63169 141080 84071 147594 109561 57626 105355 45545 62179 -28252 -83459 -29113 -3347 -75801 -31153 49312 1706 -83036 -56894 -49710 -21025 -111884 66190 60835 -8398 -57557 -4293 50803 59581 -13117 51606 -4089 -55903 85236 13121 176632 -27005 -80149 100433 95893 46647 114052 -123748 106848 56986 42224 -137768 -37584 -55562 74319 -87110 37911 -41140 -12783 225816 -179579 -130459 26817 -48196 26580 80681 -76801 162958 -23231 62648 -29571 -10533 -13525 -1777 -126357 -108441 60916 -18218 -44227 -64445 -55220 -37866 90501 72582 
+-78756 -51013 5302 -63257 6360 4711 -162954 44919 118302 -4354 -8950 -44080 51158 113235 -283155 86031 43393 -67850 54324 22344 -191091 35490 -71000 -110217 84158 66801 56858 -30861 18714 161138 26588 72347 -17504 -1042 82512 98861 -55326 -31291 -96417 22738 15095 -57208 -84703 74834 -535 2227 17916 -7029 -152486 71723 -10279 -7395 163629 -69143 28975 -88282 -156928 -16291 123622 35295 16846 57722 108228 94429 28422 60462 35572 -7057 -127043 -56408 96204 -54604 145486 150233 67320 -70117 52443 97562 -49022 -69415 19361 32941 152646 14275 63559 -181281 102009 -144828 21104 -8769 -60321 76236 -2499 -131982 -17589 189462 24309 171378 -92937 -250079 58370 -122655 65938 10690 -46096 27575 -51799 -127818 111755 16317 -79557 52368 -41090 144640 -32380 -76827 -38134 -52745 -4079 229387 217372 88548 24994 226620 82305 -43951 -20246 -57568 -59857 100781 118422 41248 -169166 -120486 78103 -54135 184037 4594 -11648 162396 34384 -25439 -7236 36464 83799 12696 -124039 -158685 42021 -51529 55921 -175460 47140 -109565 98349 -25857 43799 -62911 72511 165266 -91305 143931 -10162 -108837 -40525 2215 141669 41313 123859 73643 62826 83316 -53512 25609 -78797 -51986 -26987 -84434 -73529 -74094 -8454 -91008 -45044 -189954 -41244 -334 -66493 130411 48433 13588 1325 -14200 49351 64898 27045 55335 -69280 -43508 90929 50755 137167 -53530 -94094 158447 210850 47012 149189 -159045 133026 98573 -46126 -172492 26047 -53016 88093 -91099 25191 -402 35567 199005 -185290 -92802 -23470 50465 39861 102312 -81499 264466 -26481 69210 -18664 6015 -27997 27680 -110210 -82934 68769 18543 -70129 -27537 -41528 -108822 103120 76836 
+-81779 -3759 -26462 -14196 35509 867 -46225 73196 -13031 1998 -7762 -38920 56248 2573 -283467 91458 -33126 -123321 77607 19697 -189319 114482 -37205 -90396 81432 61745 113331 -21458 79844 48759 89558 92592 -27869 26140 89506 179234 -52703 -28752 -31574 -40938 8581 -10880 -95408 135387 -63096 -2546 -38779 -2621 -215235 81948 41744 -78522 204802 -46136 57219 -120141 -44623 -25359 130147 2514 42522 42841 -41543 146410 17415 7320 -8405 -191674 -126999 108846 71993 -109167 227626 213483 69687 -187908 64923 147789 -39365 2257 41551 23032 172030 2498 1206 -240380 39370 -134222 7512 -16111 -15269 73443 -6121 -159706 -106586 199199 26471 66693 -102397 -255491 25639 -143021 56704 95893 -8137 -26048 -36807 1227 -32347 -42966 -53932 54612 136131 181425 -13299 -100306 -41962 -3463 -74204 207272 156475 71442 37082 166172 144388 -58665 -39027 -48267 -61894 107950 94145 48323 -150280 -146739 39292 -26093 243962 -33624 20898 109864 36759 48078 22007 -7395 89318 -49553 61190 -182973 -57350 -65438 893 -192588 60289 96283 75055 34989 18792 -74796 73011 190340 -91953 112900 27537 -38022 -43791 110235 91579 60852 51869 48320 74823 45215 -123536 -45215 -90332 -35782 -29075 -159780 -67916 -115932 -9245 -89780 12672 -162035 -42671 30419 -7808 115797 66556 36901 121087 -17248 -19134 6371 66569 65851 -139632 -29447 99588 113054 83024 -105787 -119416 138256 223192 28712 183585 -85401 147672 111816 -168562 -184080 84063 -55182 86316 -79093 59012 50879 41999 140652 -35690 -56967 -95458 175464 6403 142004 -45462 207975 -36112 81117 -46799 -13713 -57424 22826 -10933 -49371 6895 81592 -17959 -48849 -70362 -75336 7854 82602 
+-97086 -73632 -43200 118017 -60960 -45688 95019 101443 -193994 13230 -816 -41614 57399 -113222 -288856 87632 -22150 -168734 36730 39775 -194836 115055 -49110 -99187 86568 34220 65471 -26071 133290 -49559 108947 36118 -1563 53457 66265 139234 -61059 -54893 9374 -50507 -117718 -71770 -26230 190175 -130633 17561 -194959 24988 -261365 106742 63743 2003 217273 -45919 54655 -98957 62105 -685 129570 -37447 53535 35515 -151763 126358 16533 -12751 5336 -207479 -127223 241945 51097 -139851 200912 150479 62718 -88471 36422 112623 -55139 75975 73563 -20329 101283 -22579 50597 -108406 -46489 -128298 -7175 -15120 2637 74386 -3097 -176731 -131385 180036 6147 -52449 -100203 -161093 11312 -130992 53285 136614 34785 -50640 -20409 105234 -207867 -11840 7236 76030 24461 207533 -16867 -156018 -39125 18441 691 92141 51696 9111 4518 170424 49015 -17752 -62851 -59852 -131162 62024 35295 64188 -122285 -155535 29044 -35783 208918 -37889 36132 80676 36810 149319 39509 -80117 80427 -91728 115707 -14446 -84314 -45395 -74894 -197984 78214 127935 80865 -10450 -36195 -84382 89859 134044 -68767 119241 124154 -15649 -54625 104223 34581 79272 -26496 36282 51508 -25920 -199496 -118341 -122771 -9578 -23700 -217458 -61928 -174504 888 -63869 148388 9221 -30068 22704 34877 17154 54454 56321 183375 -18264 -54023 2026 111733 64206 -131871 -14638 91507 167332 36519 -128325 -84296 111308 125851 70173 197854 27749 124121 95036 -159854 -111669 85335 -42043 68783 -57634 29157 114819 8243 63193 120980 -36419 -83098 165245 7268 122126 -2090 63422 -45221 93229 -201452 -16748 -67136 386 59213 -57062 -61857 119655 -11392 -37053 -79279 20618 -73504 72180 
+-98785 -159194 -53432 181188 -161817 -23960 99606 115147 -261913 32395 22983 -50173 55033 -170436 -228370 77508 956 -198536 51238 47036 -168286 109619 22033 -82928 81098 5918 -71225 -17959 160397 -96587 127433 -42707 -21091 68868 83713 -14024 -68527 -60349 35076 -18843 -191611 -109275 61118 188937 -83603 35049 -179157 27352 -266408 133135 101430 146846 167037 -78435 26791 -48633 72564 -41884 135543 -47932 58389 964 -183570 45336 30791 29199 125833 -64526 -125149 143679 22713 -133755 109310 31409 71907 74078 77432 61400 -5618 145440 75284 -71576 -1767 -45262 66912 62098 -120379 -92066 -29607 28420 37671 74480 -10385 -187771 -98975 199756 -36283 -92625 -98033 -41967 -8746 -100686 39114 100196 72365 -84902 -3410 113892 -235577 59667 -15601 73397 -135839 206739 -10109 -89170 -41646 13285 125493 -68487 -31507 -31905 17033 130377 -76279 -51550 -94760 -48840 -129107 -71948 -47907 64738 -86760 -162797 28289 -43659 146961 -35538 -2438 75663 34632 213112 141580 -144124 58975 -120897 -35503 170407 -1031 -79301 -161388 -218843 88397 -12228 79739 -93212 -71052 -78055 119003 52830 -26933 134464 173846 -49868 -68457 1852 -55151 44793 -99379 58003 34522 -96499 -146709 -96007 -125578 -3443 -24114 -219184 -49629 -162162 24581 -73684 191476 118942 -7095 874 22427 -82623 84140 66713 111904 -8741 -97778 24778 111027 80392 -75428 -614 52754 202984 7766 -137452 -77818 78775 995 63949 216339 26408 115120 44152 -99432 15689 40886 -34453 38457 -54132 58673 171885 13730 -14639 102800 -37458 -109803 95250 -20984 110089 58051 -84842 -45011 104260 -184522 -17961 -94535 19867 -54045 -83197 -19336 102752 38165 -18632 -86534 32089 -92150 71299 
+-78044 -139406 -41786 90416 -171932 4225 -50929 139904 -120116 -4196 38514 -62128 53050 -181787 -189372 42828 51244 -233469 -14278 65077 -156360 124469 35159 -68702 80522 -22356 -101798 -24858 161079 -60654 78366 -36829 -46924 88691 57675 -112912 -87332 -52376 38903 -37911 -170949 -128455 127488 165093 -51278 25951 -61545 37408 -172086 130794 121101 193088 74859 -48155 -22165 20412 8338 -43100 129876 -22205 46928 22967 -75629 -716 50517 72494 173683 75125 -121515 -14935 -3060 -93597 -47745 -27908 81621 109386 88520 -7264 9907 191016 70614 -89850 -75481 -52488 42653 84726 -133825 -94688 -25621 -12160 10308 73720 -7260 -174740 -18721 179327 -41429 -21819 -54492 76327 -11964 -39910 49020 20724 94611 -94772 14615 20539 -88261 154991 29640 87729 -232035 179595 -18209 -118746 -39444 -5799 134714 -59133 -74074 -46854 -111 39431 -62024 -55801 -111831 -85252 -122147 -111752 -94108 64977 -46134 -189209 62683 -35296 17510 -11984 -2032 58033 31992 236654 202774 -196486 33656 -115360 -200767 113511 135981 -110014 -180134 -179730 80001 -172072 80911 -79091 -75701 -96888 111456 12992 11390 155753 131060 -103779 -59338 -78143 -137658 83574 -161349 92542 -21456 -140819 27932 5593 -141193 28701 -23303 -188039 -32716 -172953 18682 -78115 189975 27894 -11640 2678 -33816 -47056 46604 58690 -26342 -12291 -64549 14599 123348 82987 26078 14167 63335 225215 -55132 -141431 -64115 -23658 -36539 87295 215439 -57080 107034 -17105 18003 84371 -7623 -35878 -127 -67724 20902 222701 46681 -73919 -34080 -62315 -126009 -15998 -27705 115441 5706 -138473 -48792 108032 -89177 -27387 -105171 27955 -99082 -121158 44337 93170 37175 -24440 -111252 -25333 -27196 37215 
+-96788 -39570 -33534 -43383 -102027 2693 -168099 150186 62242 6369 16817 -59849 51237 -127551 -119556 -8040 91154 -238572 27801 40350 -120325 158125 75021 -99010 81316 -43182 -70688 -34993 149709 20163 11646 -40540 -25630 91005 66511 -51710 -89156 -68037 66499 -8022 -51864 -166162 184591 96175 21596 2750 35767 67749 -125870 149076 124818 100782 2129 -41292 -83083 25995 -115504 -23659 125255 22536 24952 61629 71383 37448 67660 75119 91346 19789 -113502 -74846 37764 -35326 -149494 31412 88829 -79944 83532 -70716 73061 179644 61163 -88627 -61217 -36319 44402 -94066 -86930 -97841 -24990 2203 -49393 77769 -3323 -122419 61755 190952 -46959 104247 -25732 115197 225 -72188 46303 -23342 96746 -93054 32923 -107317 84982 198849 84101 64395 -20566 142546 -1669 -114416 -35970 -45996 15957 92719 -81389 -93078 53959 18179 57898 -33877 -137575 -75814 -59158 -135526 -70730 63667 -3196 -178185 72349 -23374 -53967 48637 -37737 49326 30810 188992 202384 -171967 11475 -87615 -187906 -128191 220498 -128667 -180204 -220083 62510 -224752 80422 -78931 -45000 -105713 78755 -16938 49925 160283 46136 -190274 -116685 -2493 -210338 113209 -170852 113608 -39406 -142738 126007 36998 -115597 -14218 -26136 -127157 -13139 -135632 3638 -69660 120668 -138463 -7061 4365 -80881 29179 78861 49911 -37246 -6106 -63921 30978 74762 91685 46715 30477 56592 229856 -53818 -138241 -38405 -44462 52375 131396 190891 -177759 97331 -47145 103130 172113 -80068 -8342 -41416 -83502 19649 247694 20157 -103501 -182723 -89030 -72579 -91665 -50086 63167 -75130 3908 -54106 104144 -5656 -29414 -98827 9654 -104844 -124640 62375 89739 68890 -54701 -85166 -116860 59002 63014 
+-68559 -16785 9811 -62900 -11970 -14695 -72070 151834 108314 9221 -17512 -51033 46351 -17805 -58223 -62684 129346 -209341 -17 24749 -73160 129934 55415 -106398 85148 -70132 55507 -29068 131521 133932 -68214 59141 -29156 105652 73720 41240 -95239 -90943 46648 79 42748 -150072 170766 33798 -11039 -2828 -20026 32438 -30981 149640 120495 -40674 -77270 -39437 -164498 -21839 -206002 -29343 128984 37978 10300 26827 156149 96624 74201 14811 -2766 -150989 -104517 81632 52785 18153 -131960 154695 89644 -187000 102822 -106617 89072 173798 40232 -61147 22208 -24919 62777 -234413 1752 -74820 13994 27591 -47950 79959 -610 -103159 78622 192173 -112116 185781 -41572 70393 9347 -84966 33701 8563 79172 -78163 51335 -165122 109247 178119 67153 67554 127768 90246 -16961 -132497 -42799 -99865 -76911 218876 -89622 -148307 5605 -40434 158313 -14268 -157212 -69642 -31775 -77928 -11702 77402 38642 -173886 65853 -34314 -29149 68290 1524 39225 32273 103944 185843 -89803 1999 -50177 -7737 -219161 134706 -123323 -127746 -221384 46196 -65075 69184 -93550 2793 -84465 72767 54769 53890 157872 -12414 -214201 -119906 64481 -248372 119140 -141385 142536 -97064 -115822 97776 20695 -132802 -34756 -24804 -24166 10625 -72857 -10827 -89768 30059 -196614 8204 12727 -125726 93212 65649 27272 74915 -9672 -45509 12265 24951 96930 17377 75002 50840 217652 -96307 -84434 -1412 -137118 196957 138288 152257 -130374 71867 -58706 159780 159515 -109546 -26835 -78427 -91406 71394 246869 37431 -94410 -197475 -134497 -50871 -83737 -47668 95635 -97010 116763 -49699 92487 -44873 -10153 -76498 -4560 -49571 -101814 7925 38366 97411 -33526 -28192 -76970 112638 45727 
+-81348 -57805 -6323 -14446 1371 15053 95957 135103 -45087 8945 945 -41097 47815 91098 -5247 -116822 125481 -182448 24820 17910 -44315 128318 9934 -90111 83336 -84042 104872 -16722 88013 230906 -151655 76746 -17405 104225 83009 177302 -93802 -110600 -39073 14749 -27426 -99234 104960 4082 -57992 16858 -146789 59010 37268 126479 64707 -88822 -170425 -60142 -221281 -78817 -199539 1010 121381 12802 18942 46757 92387 158703 66647 -21531 3845 -225333 -88012 226172 62569 50545 -42809 206742 68936 -112300 105241 -74527 96093 138145 4139 -20514 126440 -1151 2602 -199920 60239 -70995 19282 -20319 -8158 83595 -5326 -39094 18670 173434 -87530 158590 -21402 -34432 -15835 -142051 48835 86244 43654 -51443 70260 -113274 -42014 115416 87094 74530 26397 30436 -30234 -126016 -39006 -146769 -9130 203250 -26863 -190894 99 -64667 86405 -3142 -171915 -135734 -103817 10991 57312 68680 76454 -188947 43485 -35960 48906 46320 -9362 61462 35101 14332 185776 -20014 7914 11177 114889 -36643 -23415 -135968 -29191 -168867 25590 74078 62199 -61754 43645 -81084 88089 129245 27905 159181 25637 -148217 -117698 100330 -248134 128061 -67863 116871 -155560 -68022 19226 -84541 -79666 -39229 -23080 38522 38735 -33025 1322 -82410 -43598 -85527 18507 18761 -101277 167046 46570 -500 212706 3273 4841 2016 -9988 94410 -57319 43822 58741 168715 -111645 -76788 22660 -173988 236530 100811 101353 -38949 16779 -9429 144015 97001 -126078 -48533 -106163 -80166 32316 222192 35323 -50876 -53904 -157688 34067 25954 -50467 97182 -52453 253531 -47761 80325 -165533 4024 -88376 -7154 47702 -49231 -46342 -9344 51612 -23331 -82245 56638 86873 50239 
+-104067 -127111 -28291 103766 -68133 4637 116010 119506 -222650 -38362 22586 -35855 50372 164340 42403 -163304 74623 -151321 40184 43984 -12874 109919 -29096 -96863 81479 -86019 43221 11738 47535 258413 -196510 49055 -42774 107877 51886 183156 -91690 -72421 -78725 -39052 -150576 -77451 33601 -6683 -83953 34869 -189380 33437 105508 112035 47668 10740 -169587 -65251 -235714 -134062 -106126 -19214 120530 -23624 21900 35658 -50674 116822 49324 -859 108891 -96962 -74796 160384 104773 44657 88796 151383 37915 55794 116733 -38477 116269 42708 -29981 6677 173472 22115 17725 -45673 72814 -19729 19287 35936 15870 82168 -7502 -1929 -60724 183987 -109671 44314 -55234 -169519 1186 -144334 38285 136284 -1067 -8409 88788 7823 -214174 32501 62686 57931 -168231 -29649 -13547 -117197 -36139 -190128 127039 102450 50288 -189148 25922 -85088 -58006 -11549 -184348 -135058 -161801 110138 96677 53619 108718 -147621 83170 -58142 160321 -2634 14691 102641 36945 -42221 131306 31424 26457 73981 36273 156291 -84138 -98809 34423 -205733 -1724 112815 88220 14130 78027 -57862 10259 179987 -17896 137138 116226 -29718 -146350 5356 -216715 118674 12396 73853 -176251 -24837 -84819 -104388 -140368 -39298 -21621 89987 43264 -2628 30601 -83096 -60776 80514 9066 58363 -44318 50646 73305 -15235 153423 9189 53507 29649 38341 97960 -122014 65926 67500 115944 -85191 -36699 66827 -194188 145811 120487 60735 49063 -32844 52506 11198 -13987 -78820 -48810 -120688 -62447 28719 176122 20769 21340 113325 -166658 58750 151343 -90019 96355 24035 214621 -51518 67679 -164539 -14309 -62900 24017 13317 -48985 -24707 -55897 27278 -59902 -124359 55150 -28911 38496 
+-98983 -149872 -14326 158239 -142455 58942 -38613 77257 -275877 16771 32777 -42116 56928 170586 100897 -199862 23690 -103041 44515 51579 29417 104962 -60842 -109027 85572 -76200 -59612 -4045 -12106 214483 -232896 23978 -28246 96048 75000 54034 -71423 -89035 -92068 -40482 -195989 -32047 -58148 39089 -102531 26581 -112197 36367 100897 104385 40687 196367 -114733 -45626 -253482 -88869 24584 -40538 120913 -45275 35800 2867 -168946 53850 31491 57539 163787 56290 -67843 -8961 130473 3864 210027 30140 66463 115795 93506 47798 87820 -14684 -64909 36112 140854 16075 28529 93392 5159 -45389 -15587 34053 42707 84365 -12395 54771 -133722 162465 -66484 -67186 -89701 -250414 -11532 -141477 42856 100752 -39948 24016 106906 113812 -230367 -38336 28215 45925 -207880 -69714 -9101 -92824 -37121 -198972 117056 -34901 118835 -235259 -1240 -116295 -72941 14130 -188362 -139729 -127179 110378 141238 59256 132379 -120384 29751 -29437 221481 -42825 23307 111627 36437 -36928 93113 14251 52961 131062 -152703 127573 -58797 -40991 75933 -175831 -15872 -18223 91146 -22210 104961 -75999 53483 180408 -56267 133531 170905 -10916 -135176 -70874 -143434 113265 89751 44138 -198894 49922 -173349 -75348 -143307 -39216 -23935 96606 75362 24053 23814 -76367 -86360 81276 5617 11771 -28417 -22596 88699 -26778 5731 3363 99358 36087 75457 79291 -141298 81636 78129 63425 -72621 -16225 78497 -159694 22639 130884 61824 -16747 -41314 102817 -118370 -129171 -17369 -59329 -118815 -55276 13056 113764 24608 104975 109564 -142038 103038 218634 -72651 128791 51055 75264 -55152 62065 -95282 9908 -16980 8256 -57577 -64105 59093 -118576 3116 -49225 -132155 -57598 -94247 8898 
+-83479 -60708 8683 100739 -156587 55958 -168544 52045 -144328 9987 13995 -57632 59150 117952 88414 -218117 -25911 -57413 60679 65914 52474 64589 -54118 -70656 75243 -59545 -98428 5107 -72611 107426 -214282 -50848 -49511 96142 60536 -104572 -66232 -121092 -116388 -50797 -110590 -16723 -88032 105101 -48012 3293 15583 19975 102549 76189 -34810 189729 -49173 -38917 -211489 -42017 98746 -11759 110888 -32414 64315 27027 -163976 14095 16095 78785 104096 46518 -73445 -50150 113794 -56346 251906 -32079 79248 -65109 97916 122579 83141 -76603 -109854 26955 41934 11848 86212 22003 -95983 -39398 -23345 1758 8935 84854 -5403 65494 -124591 179163 -10237 -87720 -122590 -257504 -8910 -71028 41134 20410 -68234 81354 124747 105975 -78108 -17600 -7974 37793 -23754 -108794 -23110 -111591 -27713 -180838 -3294 -39730 195546 -269585 5381 -83291 38194 6027 -194096 -119728 -41498 67254 140138 55971 145297 -123090 50630 -57377 243225 -58210 -10739 148536 34013 23385 37787 -73915 76024 171301 -222382 -16498 81270 -40961 56652 -160231 -35803 -142163 93708 -26433 85280 -40381 19837 74160 -92249 144881 144516 -5970 -150970 -46944 -68639 88418 140335 30751 -211201 92719 -157653 19013 -132569 -18325 -26939 67589 75917 -4167 21972 -82370 25289 -77008 8302 -26370 15160 -45391 69630 -24954 -67789 -6551 95879 66130 115842 103751 -117566 100483 59076 7073 -44130 3259 84759 -155846 -40950 72157 -13879 -111781 -59514 134643 -164943 -185585 48190 -58141 -101813 -65841 13262 51191 56743 170312 -25113 -108411 140798 139641 -85482 146397 -27306 -58111 -47209 63485 -20170 45007 -16671 4861 -133489 -98238 66874 -171384 -14652 -34375 -97630 -149966 -82504 32309 
+-73999 -6978 -8626 -19167 -70729 57382 -78102 21699 71403 -6173 -16218 -62559 57774 20565 70678 -236062 -39798 -8158 38157 44355 84104 42226 -52621 -87324 78492 -47614 -14055 24054 -76997 5464 -168370 -51247 -33457 74814 58970 -132115 -62655 -97660 -104039 -27494 15466 -22502 -68156 174810 3504 -3110 18103 -46935 40740 60594 -30606 51638 50032 -49873 -182322 22885 47334 -21372 107829 75 49702 67144 -57787 32910 17261 48104 3210 -122586 -77999 58628 102982 -110870 149132 21142 87766 -225604 74780 127007 8795 -147225 -154020 24106 -58297 -6985 79062 -165301 -122220 -37848 -24927 -2369 -43403 82129 183 78339 -41006 185549 235 -1131 -121814 -184324 -30736 -62017 81079 -27535 -74547 126595 141377 3783 90791 37581 -36917 63071 91732 -126154 -14228 -68834 -29563 -154317 -85729 64789 259862 -205971 3959 -87165 157015 -3090 -183826 -127279 -52178 -2946 64447 63328 147581 -123325 43358 -42874 190442 -48623 -28789 167388 31472 117107 -13219 -146378 88990 217704 -81551 -199428 190328 -63424 -52079 -150359 -41555 -208812 100757 -27312 78554 -70803 56569 -13101 -91380 111077 48012 -107436 -125980 58712 18161 69150 145734 54961 -223976 101282 -30964 64275 -123791 -10128 -23201 -15025 89595 -54374 -17571 -60485 115996 -189869 10334 -8763 -828 -25415 73229 -7062 14865 -7268 86834 50859 146602 99046 15033 70278 65406 -20235 14012 3960 113384 -87868 38616 34191 -42279 -167571 -84188 108311 -163898 -163786 84404 -37217 -71476 -85301 60860 -2110 24871 224124 -180173 -67486 170035 22817 -94034 138337 -91897 -112425 -44858 71848 -1900 22070 30234 66269 -101765 -127475 27895 -180060 -19540 -35023 -45887 -117581 -1563 -25177 
+-83985 -27970 19635 -73108 -12189 55811 74539 -280 109609 -3472 1401 -58307 55815 -91719 32859 -232690 -15931 39475 69172 14441 82102 -28743 -5536 -76158 88866 -23508 83228 21162 -127654 -79510 -82375 37305 -16373 56835 73602 32784 -47358 -94072 -84688 -43355 25765 -87389 -1095 194166 -15034 16140 -77892 -25873 -38772 21522 -58770 -75511 117347 -60108 -110257 28463 -54974 -47172 99127 35787 48380 47253 87020 97817 30173 -3536 -31240 -232699 -66697 207075 62717 -138949 11145 144694 77173 -152978 99119 117756 -34574 -184360 -181835 -7723 -80805 -32896 57703 -255962 -121696 -31021 -17228 31995 -35696 80039 -2157 93481 43606 183706 13642 124034 -88407 -60839 21725 -60374 59850 4802 -60008 165173 157654 -123186 104700 126448 -65246 48248 39592 -123790 -8341 -49761 -26914 -105055 -13563 205665 281555 -201990 336 -74603 103813 -31278 -171567 -139933 -79982 -106608 -26009 42659 138839 -78545 38479 -71893 30572 32424 -17978 139694 30948 206136 -21425 -180645 86183 218264 87142 -134897 189451 -86544 -75833 -121982 -31595 -103483 110930 -70422 40754 -81665 60825 -19634 -70014 120274 -9096 -200107 -127751 116464 88811 106141 109674 99285 -205084 83948 83766 -39761 -110796 17826 -19326 -85409 89930 -130634 -5499 -61709 160760 -143412 -6363 4970 -53314 76252 71988 16077 171252 -12027 -12070 71739 110827 102244 13189 75770 78251 -34955 37461 38011 91401 25654 155819 9376 -63355 -73168 -121011 59559 -76426 -134573 72557 -35941 -33209 -90613 -15201 -40829 26809 218499 -184179 -35914 161326 -88562 -90311 108885 -78738 -29995 -38848 84853 -99326 29867 57144 38522 922 -109119 -53565 -241069 -30597 -28509 -50705 49226 88186 -6015 
+-88737 -125645 -46227 3977 28680 76316 120557 -7407 -8099 2740 -2471 -54453 49321 -171032 4561 -198692 41597 51373 33424 36258 98657 -8295 31659 -84646 80308 -3429 91209 17378 -108056 -99292 -23876 92128 -13850 34198 60869 129085 -52616 -105089 -17157 -8048 -95600 -137597 98028 184665 -61825 34694 -189976 -57044 -93075 20083 -77010 -66174 195679 -23042 -24295 -10411 -171607 -9688 91547 27003 19669 62522 153673 132981 49068 2507 50287 -132479 -70681 172982 24798 -135662 -115172 208984 73822 17465 58347 50208 -56675 -175768 -200104 -74414 -7168 -51488 31908 -147496 -58952 -31076 2232 50327 -21749 77865 119 65043 81694 169977 16864 189449 -45322 64779 32552 -100909 71960 84174 -28457 188235 172010 -165373 -51386 193050 -103431 56122 -189754 -93220 -3511 -80573 -26651 -54594 122011 226140 242509 -188367 7938 -46945 -35095 -43525 -154540 -127253 -139917 -153728 -83371 56674 119049 -72504 38440 -65152 -33097 46267 -9038 99444 32939 234256 -78396 -135038 68615 210804 92210 114453 84237 -122327 -166325 -93752 -9585 73218 115414 -80371 -21756 -83982 61540 50123 -36723 102407 19118 -219528 -120127 62349 137321 76439 39185 122475 -175414 13409 137131 -130311 -86463 12962 -18191 -174880 94003 -155444 9395 -63914 196929 16319 554 25054 -151985 140636 62562 36951 201342 -8961 -70465 91594 61419 101703 38491 87763 80510 -28939 106376 27151 64525 73438 241719 -20340 -91799 45437 -133970 -13364 56521 -31265 15370 -57987 7583 -78055 30771 -54952 28407 191041 -49073 -30991 64418 -99213 -83921 70340 -6486 114675 -35293 96969 -180762 46141 71876 -21757 30536 -85152 -21033 -271455 -44203 -22977 -62565 88131 117258 -34394 
+-76480 -149178 -33909 134566 -98739 51343 -18313 1858 -224616 19944 45728 -37882 45434 -180472 -49842 -170918 94357 65467 -25211 37836 93557 -66533 43143 -102253 83511 39242 57799 38270 -100331 -27959 54619 87630 -22407 4373 84929 186512 -57070 -114814 30979 24955 -193418 -139085 149417 143044 -110076 27151 -159142 -48099 -152270 -17756 -15551 74706 226422 -34010 28994 -70493 -211706 -21735 85171 -11242 16710 34690 75971 118625 67177 19830 143776 43013 -80801 5130 -15419 -96939 -154671 145391 56148 123730 43409 -23822 -43961 -154788 -227206 -100064 97532 -47849 29222 26278 30027 -59271 29734 16718 16901 75439 -3454 16257 45457 171883 21389 143217 -29379 105419 -15643 -151393 87065 132648 14370 208198 185459 -104849 -218691 194932 -120411 76889 -185335 -47901 -7861 -45278 -17623 -5880 127599 109889 217950 -141562 5850 5243 -98732 -39253 -140694 -92508 -174021 -89178 -105074 49956 90128 -43340 46730 -63219 -50076 53975 12992 92887 35699 203428 -39707 -101384 43328 164185 -82708 168015 -27942 -139941 -208115 -89234 5809 129756 104077 -89853 -50260 -71667 111851 117990 20312 94492 108628 -140323 -76885 -34578 140386 68306 -46371 128535 -142594 -47715 87311 -105527 -74087 15090 -22851 -218723 92271 -152070 17408 -77062 224567 89021 -8259 32348 -137478 102323 71347 58540 82762 -11037 -82827 99651 -5930 84146 -43280 79829 52626 -6789 147938 -55138 71865 108017 184625 26626 -111178 43258 -136529 -57504 120301 80665 -35272 -32179 45073 -60480 -10988 -39184 20405 136954 102622 -40364 53470 -31782 -89243 38325 37588 250182 -35636 105160 -168155 44097 113036 43801 -17580 -56049 37176 -305806 -24577 -44753 -82865 -16600 35363 -26645 
+-86293 -93367 -26775 178743 -139368 59039 -162581 -24381 -241367 -24698 -1949 -39878 47274 -129348 -192399 -124616 123202 62477 18741 55954 69007 -107709 42830 -92138 84515 57842 -33197 2183 -72026 81125 109116 24130 -73620 -10991 55324 122563 -64506 -107324 38487 -36763 -178552 -156489 182174 83134 -102448 3872 -37401 -72739 -215113 -20009 16851 194055 192897 -40555 54963 -134904 -151422 -29798 76897 -52659 14304 29099 -65731 56421 74206 81209 124439 68882 -95884 -80024 -2352 -35272 -94026 29786 45858 34269 17308 -85999 -35701 -114925 -223433 -106139 172601 -46233 15387 82515 92979 -55364 4926 17654 29767 74920 -1641 -8318 -43420 171291 29184 21738 -9327 87435 -32805 -137602 75259 108262 55741 216593 197628 22573 -227378 138350 -139946 42038 -31386 2184 -733 -72411 -14120 15555 7598 -16004 144741 -74999 -2332 50307 -2472 -55944 -113441 -99189 -104238 -700 -63827 68454 54108 -40819 35721 -47787 -1325 30761 5614 53068 37071 125635 -24729 -29064 19007 114716 -215304 11811 -84362 -150722 -176245 -70480 20039 2450 115906 -48369 -53586 -93738 106449 165484 52608 71004 173382 -71863 -76147 -67016 110948 92708 -120338 99425 -95758 -130429 -15847 -23378 -90053 20429 -23744 -215355 87310 -166328 33836 -76017 113187 28093 -6822 -4490 -121706 40674 66706 64464 -58086 -17615 -97821 131952 -3433 97885 -108098 73997 5427 29811 197832 -90162 41610 148872 55566 34197 -135570 -64851 -130609 -57719 133821 123498 -91776 -59946 73081 -54836 32488 -5004 26418 58027 120893 -82227 -18728 128033 -90213 78581 41168 215462 -22416 107264 -66339 36146 122459 40973 -108212 -50896 65876 -271682 4149 -31430 -107111 -142283 -64907 -50349 
+-88972 -5941 -26788 123415 -118273 52844 -102843 2958 -145081 -11114 9520 -46429 47237 -25772 -208854 -66928 119762 32899 -8679 70360 56809 -128378 14798 -71601 83890 87366 -117702 51406 -8395 187229 115602 -17870 -34060 -38809 66588 -22821 -82689 -121799 75919 -25444 -46092 -127169 149995 27961 -15168 -3325 33377 17307 -227300 -46844 77823 189268 152578 -64878 52349 -118696 -31764 -28884 68113 -39109 26162 5071 -184776 6523 67352 65604 56618 -88346 -97122 47204 30774 18676 46111 -27754 66944 -149123 78454 -89263 -1203 -46832 -230062 -69178 163219 -16298 40877 -43772 55387 -75284 -22057 23620 4742 73948 2585 -55490 -113412 148394 -21657 -78803 -47215 -20621 -45531 -112922 79483 34156 86785 209739 207905 116231 -68189 51421 -166929 94337 100325 61738 -16847 -49441 -13660 18601 -77181 -52749 53305 -58106 23727 129469 122120 -38029 -88177 -77233 -42062 78339 20822 72857 13428 -9514 39902 -84482 126757 -18707 -161 35165 35995 27213 10923 26651 3804 53263 -149863 -188001 -38226 -114741 -109978 -62254 52794 -165789 109669 -11888 -44642 -75338 104782 155440 48653 33362 150795 11074 -44277 49272 43538 15341 -162403 64978 -57990 -143943 -130943 56989 -34146 -21998 -24075 -167917 65353 -150710 16554 -77397 32949 -150147 -12207 -4801 -76313 -50596 52121 60618 -53615 -20364 -97676 103980 55784 105656 -156314 62001 61934 93400 219249 -105622 2394 148893 -34945 100425 -77554 -175445 -114788 5517 92557 171585 -129410 -58193 87786 -64574 49425 46225 40319 -18459 -24726 -112506 -83250 193881 -88031 77910 -62182 92947 -14101 100945 -10477 21615 153123 74060 -134628 -84211 20077 -287738 23793 -27274 -116204 -60385 -97711 -43417 
+-104556 -30273 -9240 -14236 -71850 17176 60505 952 34850 -16052 3728 -57674 54211 88563 -284539 24 76443 1051 30058 38554 16035 -153930 -24495 -91926 80414 83465 -61388 37766 26023 254015 112997 -32483 -34981 -76740 85084 -95245 -92841 -132075 46451 -18110 30128 -81153 89456 -9848 32766 15418 -56547 9498 -212005 -51910 69189 -1519 55104 -56090 18606 -36318 71060 -31958 64520 -191 43020 68575 -164179 40144 48085 12050 -36060 -218290 -97020 208147 75941 49682 170611 33525 84910 -167271 100092 -73066 1049 28159 -211722 -48568 73511 13413 66887 -217110 -16762 -81108 -37149 20737 -66822 72222 17274 -95772 -135177 155439 -54533 -79239 -60983 -146237 15190 -50875 72092 -30949 99776 175610 216673 103825 96144 -15687 -164783 80385 52404 119106 -13865 -30092 -17000 -4698 -7683 57509 -9370 -32340 20550 134997 125425 -17596 -66644 -93078 -52487 86324 68408 60275 -29808 -13363 70357 -76142 205493 -57503 -20054 33662 33284 -37860 66700 -1821 2882 -7111 28090 -180435 96120 -116202 -26526 -4445 65924 -202566 110065 -9214 -18318 -40140 77308 90236 27217 14528 59580 -9205 -33732 117669 -32112 55311 -165102 38162 -8113 -138513 -176711 25007 -65646 -27490 -23924 -91967 50032 -98854 -4557 -94320 -21123 -203374 -25886 23275 6462 -29587 60929 45211 117766 -12970 -67946 143534 88413 116359 -102714 55406 104094 139140 244048 -129954 -19825 124022 -6057 81782 -93142 -152767 -111456 51104 -45777 144504 -109177 -70464 86733 -85351 27495 110888 22205 -75616 -180854 -146592 -88216 164246 -99766 141239 -105863 -37441 -13308 90138 -69203 48327 158907 38664 -22327 -110219 -46700 -230221 60651 -68934 -25561 37573 -48786 -48102 
+-94091 -97784 -11076 -90573 21408 40543 123577 29119 114729 18148 -3252 -66653 56268 162712 -291998 43079 18562 -40950 51177 15491 -18327 -177857 -33291 -106664 72164 93170 66956 27009 95940 247815 55227 15175 29012 -90167 76174 -70061 -91702 -144146 -14081 -50677 -16870 -72655 -13367 6228 -11273 34456 -187642 5508 -198629 -58597 110836 -75045 -37769 -59335 -48522 14355 80937 -38287 60189 26979 51004 19854 -43177 113902 30906 -18695 34178 -165339 -104951 154701 94246 42873 231830 148703 95757 9208 93273 -8791 54646 87814 -180350 20059 -33279 16635 58836 -226847 -119582 -102859 -29618 44357 -38337 73695 8578 -142173 -83394 142667 -60395 20580 -94420 -238452 -17181 -66082 78093 1498 90527 154987 223335 -7916 99534 -35654 -138971 76129 -188046 163406 -11136 -33573 -17258 -44165 115604 199461 -69518 31324 -9355 183040 16474 -13478 -41369 -86787 -112890 76907 141954 68363 -71401 2925 42388 -65779 256119 -57153 -16024 87718 31170 -44901 130362 -37391 17806 -61962 126451 46663 211335 -81387 23655 -10745 87562 -91851 107827 18290 29983 -76363 61764 4950 -12210 -4128 -4941 -67599 -13457 69811 -114181 42685 -128372 40529 35664 -103012 -108491 -59661 -18212 -49190 -17774 -21907 32706 -50102 40158 -92710 -95894 -77682 -26935 11392 -5520 5803 27113 22790 203454 -4837 -17596 135381 136341 90374 -24247 42977 103864 192842 269471 -136611 -53127 33360 113115 162190 -61558 -19326 -76891 112341 -142745 -2914 -60945 -51134 69942 -88047 1989 172064 16516 -98215 -183251 -166234 -149643 91124 -67996 120159 -45107 -141146 -632 76949 -156534 45892 181554 62173 23734 -119932 -22450 -202207 51748 -40207 -59175 85768 51004 -80688 
+-78128 -165456 -538 21836 6623 13967 -13521 65424 11472 -22601 16331 -56322 57755 169724 -290173 75635 -36785 -91406 66354 28478 -75731 -164964 -81438 -84632 80340 91355 113066 22913 124624 176857 -9800 85564 -47230 -123597 66974 95687 -100876 -119323 -23932 -13075 -153161 -20447 -64758 57400 -78522 27728 -184617 33626 -96634 -45457 141131 -48698 -117560 -35479 -107392 46024 -7217 -53372 56453 32491 59536 4036 88224 139296 16587 13403 129812 14414 -118805 30521 113480 5325 178261 208013 61064 92042 98630 62136 83799 150712 -163359 28132 -82929 16425 52978 -64359 -135076 -104270 -9979 24702 6191 76264 23384 -159893 17465 138274 -82813 142145 -115952 -258442 -25012 -79729 49425 80154 62013 110128 228396 -129442 -60719 26316 -180050 64750 -188816 197306 -12156 -24208 -11336 -90070 121023 231525 -91816 64851 -4853 213715 -104453 2608 -16140 -62739 -153834 -2245 140138 55154 -109144 12622 37875 -71546 192675 -17825 -7223 109584 31288 4755 185182 -132218 43045 -104560 1163 183024 185107 -50524 83020 -285 86329 88254 107181 -29157 72575 -78091 37759 -41639 -54393 -13018 11110 -165529 -27437 -33536 -194712 -40430 -50426 68066 53325 -72745 -48915 -129527 16434 -25756 -22891 54998 17081 32085 9115 -86868 -66424 70297 -54833 30438 -61304 113611 16723 1506 126506 -13520 37896 95206 151785 68408 24075 -2205 39173 225586 256482 -149304 -72911 -62569 223966 124960 -17022 68463 -28630 127141 -153117 -110731 2530 -64559 39880 -81290 11831 220657 19105 -87864 -41323 -156470 -56182 -44872 -122027 120600 14895 -33849 -6060 65243 -189885 7602 187557 51273 17560 -96995 26630 -170086 54481 -43973 -80461 9263 93179 -70821 
+-76872 -99923 -9800 157767 -117137 -20130 -133445 89530 -192684 27153 29617 -46285 55846 121942 -253952 94356 -58110 -135739 69581 50325 -109591 -169246 -51072 -56485 77984 86393 10613 37096 155747 57794 -91061 99155 -56685 -134280 66798 205564 -101908 -106724 -78804 -17816 -200655 -64020 -82904 115824 -125140 4425 -66141 57004 593 -33892 138082 111256 -173699 -37200 -177500 2802 -128754 -47063 51197 4757 48399 -3972 152710 113636 16138 71439 163555 69910 -129151 -67114 139546 -55388 39815 147455 43017 54115 144599 112825 99701 172372 -108518 29596 -43720 1910 34407 81107 -129675 -118919 6111 41340 30325 79030 28094 -170404 71286 133229 -88592 189527 -123124 -202787 -15485 -133222 72896 142751 19976 56738 231328 -166629 -224311 109612 -138432 55665 -40454 206719 -24586 -57880 -21722 -137072 5916 146890 -75647 88236 5869 262823 -13090 12552 -1885 -58283 -113121 -95944 97636 55433 -140190 5034 45966 -55774 116584 32160 5568 159393 33527 95600 209693 -153343 68202 -125424 -189155 86699 87122 -52769 27245 -15529 81608 112931 116187 -91321 97242 -60571 25309 44260 -84887 -38431 98844 -203348 4626 -43127 -235092 18665 25820 107077 72970 5673 69393 -71668 -3408 6799 -16104 93269 -13979 22175 -8389 -82272 28979 76684 -60444 24966 -59151 150197 20428 -19097 1920 -2192 82472 117569 117836 83170 14869 -19433 80079 227792 235582 -133071 -79457 -106925 219201 141537 23176 -21100 -436 98496 -150618 -185971 60407 -37571 1515 -62987 39959 241095 6996 -47589 97494 -121584 -73389 -113626 -101263 120133 55116 73521 3060 61829 -99138 -13379 176984 77390 -50226 -67787 68353 -99033 34348 -58685 -112315 -138871 82184 -39127 
+-77858 -30225 -32409 164410 -178348 -8637 -115323 112258 -269055 -18960 19423 -38713 51368 15263 -203633 100411 -22385 -178294 68286 61808 -125384 -183214 -35270 -88460 79836 61826 -63228 9040 185469 -46411 -166543 33563 -40829 -157664 84060 143838 -88058 -124303 -113813 11598 -109774 -10462 -51694 174192 -83106 -3525 33972 67401 63938 -24405 129243 215383 -168788 -68714 -225207 -75898 -211701 -49482 41087 -38418 31654 14744 68519 65802 29038 72323 95772 -48688 -112664 66604 136362 -105189 -79550 30449 49142 -134064 150837 142703 151514 179441 -67811 22129 58084 -15524 40448 48759 5546 -137718 14605 1655 30961 80269 37198 -173448 62889 128624 -52560 125305 -105177 -71597 -1278 -145991 62700 110828 -21232 14212 232301 -85489 -223691 196360 -107961 59602 100235 195543 -8865 -32205 -20503 -187166 -76619 -544 -35373 108657 47613 254997 117340 -9671 8164 -39265 -45001 -138424 22363 57622 -163209 -1605 31949 -44877 -4551 60388 14528 162308 36217 180821 187944 -147692 85090 -111180 -201201 -124785 -57614 -56033 3742 6059 65536 -9545 106146 -57703 76691 -83531 19388 92318 -90606 -46534 174589 -164191 -12650 28007 -245809 -21110 96096 126934 56318 54711 120363 4488 71947 -7883 -14932 90424 -19635 18156 -3806 -116497 128295 -75926 -62563 -35139 -112632 89084 3732 -28521 -39722 -17407 73067 61065 43433 92777 -53542 -36091 86297 220772 212293 -134199 -95112 -165060 84509 113078 87940 -130316 46480 60269 -9445 -169991 75762 -34562 -39258 -51890 39223 247608 -16977 25620 126153 -86497 -16618 -18358 -74163 42584 -12188 236356 906 65372 -18621 9456 147165 59401 -170647 -49907 37242 -35038 36049 -15318 -93061 -100703 -13881 -62635 
+-76740 -17932 -19471 95834 -146686 -19393 57536 145697 -143179 6571 -5036 -39344 48461 -88960 -151980 72759 52119 -207087 82062 47747 -160853 -169665 1518 -82705 76567 54980 -117191 17966 149918 -93345 -199505 -13544 1790 -186440 69911 -16909 -79066 -86277 -115080 -18261 994 -117779 36803 190445 -24792 14726 23623 36306 99151 5894 94431 151314 -108949 -55430 -244829 -95157 -186750 -41212 45204 -47952 10469 26030 -84248 7052 48970 10629 -22241 -209315 -124977 195397 74445 -136074 -150114 -33672 77704 -172597 116281 108284 122828 159291 -33108 -24941 150165 -31666 38313 -129972 48981 -127696 12107 43324 -38796 80744 39331 -184401 1409 119862 -45310 71 -73478 48922 -18146 -121498 67996 34158 -55418 -27698 231446 43866 -59776 202950 -67517 47451 42205 166179 -31381 -40178 -21799 -202357 -7259 -60878 41260 101695 -17137 293880 127032 -38779 15670 -65325 -56857 -109614 -39743 47035 -175425 -18016 31720 -30880 -81064 46769 -7376 162825 37067 236887 162296 -115280 88928 -82999 -26617 -222119 -65317 -72726 -98292 65573 47135 -193424 111697 -86086 89461 -69944 43849 155848 -69767 -104829 152233 -103853 -2869 89931 -235830 -27893 142577 131398 46051 89318 81035 78171 55002 -15930 -21840 27797 -40859 -23100 -33394 -73259 185335 -199983 -80190 -5991 -131019 -3124 5072 -23686 21752 -4472 94243 95393 33117 81117 -110080 -7390 103545 192953 163632 -42828 -87351 -192162 -25237 109419 134376 -170149 46802 -4666 67659 -141104 69603 -25326 -76947 -65576 33873 222277 -6715 117863 -23927 -45272 30505 85957 -68895 58239 -93061 219067 -615 73893 -31969 -8205 141592 44930 -79096 -65586 -43931 20935 -3193 -13109 -64503 -6201 -81199 -63029 
+-92644 -96155 -48668 -34538 -52874 -9715 133420 155823 40631 -21110 -12847 -53760 46248 -167047 -62577 57735 95983 -225697 53760 31842 -182934 -131281 40180 -117916 79849 17730 -33167 29769 135042 -75614 -229152 -28859 3821 -193281 68092 -147431 -69127 -110976 -94673 -173 20814 -133312 119418 177045 17308 34206 -131143 83504 107600 21756 27235 -6208 -30458 -79086 -236212 -99529 -90933 -41237 42094 -8770 18258 58149 -176152 37795 66207 -7700 8592 -193441 -128418 185565 50233 -136134 -123130 34614 69233 -10887 85711 28552 77298 106217 12038 -61805 181305 -50570 22200 -254093 90993 -173090 -18242 28281 -22988 83902 44002 -136631 -86028 101442 -58244 -87193 -50419 100196 1997 -67391 46825 -31064 -73459 -63184 228205 125373 101004 148159 -69546 49251 -133445 122509 -25535 -22145 -28929 -191351 115302 31132 130546 86696 20114 274371 43438 -74282 14153 -37987 -107262 -75795 -82878 56623 -176590 -22531 49037 -36373 -45160 8935 -34535 166639 35407 223951 195907 -27793 77749 -27699 111815 -3629 11852 -120349 -140289 9070 19471 -197673 100561 -60587 43295 -88184 64066 201333 -30535 -99642 61688 2339 -29578 94776 -161088 -9196 147333 103490 20817 88328 -36516 2641 81259 1967 -12397 -21812 -55237 -67085 -10934 -100267 202044 -158966 -99780 -15128 -85137 -52700 -19348 -5980 166607 -1824 30798 78188 11923 66181 -126076 -63990 87582 131205 111701 -50580 -92098 -222912 -31281 33057 178930 -86251 98551 -52358 133697 -49112 10503 -49142 -105378 -84987 22013 174304 -613 176936 -173676 -38882 103760 188969 -65265 86035 -92398 114299 3384 88263 -102385 -11348 98730 39511 -8007 -100653 -32004 72276 -24252 -41386 -29818 100161 -105361 -73584 
+-98203 -159472 -11201 -99006 22559 -31343 -9203 162812 88303 -5779 -1263 -62491 48743 -183969 13616 11129 119800 -226384 22073 18690 -199918 -84767 44503 -87560 75751 -22420 74029 15010 84117 11390 -194329 10388 3247 -203537 64166 -90729 -56457 -98567 -18472 -51451 -74755 -129307 173344 120908 4570 28277 -204615 51965 118135 72552 25091 -103045 56239 -60708 -206185 -71211 32152 -26617 36585 17246 29981 26711 -149873 101894 73015 -14324 106345 -27318 -113643 -9995 24044 -94303 23402 147124 68865 122737 60316 -35485 69144 18834 30123 -82879 107130 -48166 37817 -175155 59210 -145532 -35359 14105 -37051 83948 51733 -76756 -142019 132246 -31508 -68029 13619 89463 12811 -48528 44726 -1153 -69291 -83288 223232 89775 95394 65462 8045 59002 -215524 59025 -22233 -46213 -31862 -163798 120933 171849 199219 51294 23851 258391 -80903 -74986 11631 -73529 -151027 43156 -97353 52496 -166286 -21783 50368 -32911 63524 -50956 -25388 91830 32609 152259 82636 32338 54147 34265 61389 182121 106699 -137154 -203706 51095 -6299 -98800 79325 -65336 9609 -83714 60771 108239 18654 -121019 -3625 12608 -50846 -5711 -92074 -10365 102286 66741 -21463 61998 -138018 -80385 118896 -20955 -19739 -107127 -60018 -120780 5544 -93016 163746 31192 -101158 8004 -29032 -8705 -28032 20591 156933 -1064 276 61893 39675 59516 -123564 -92341 78999 83994 83695 10225 -77808 -161430 74623 5480 187025 28207 103589 -53987 119457 87611 -56058 -37707 -120146 -91932 36568 117564 34742 218466 -182546 -35127 134191 201028 -44413 140498 -33065 -35986 5857 100289 -181745 660 89072 76665 42738 -125503 15019 70584 -31254 -37797 -62609 28781 -15363 -42659 
+-91100 -120506 -23785 24094 -4777 6167 -161186 133722 -15963 24501 32186 -61876 51469 -143209 39854 -46698 128800 -211641 11063 44408 -193511 -63752 46307 -88281 76139 -31317 100480 16317 23147 122773 -151329 57045 -8409 -214468 48910 14207 -43876 -136983 79 -38471 -192162 -152756 177278 73124 -82168 5057 -121218 -4593 51302 90338 -57441 16095 141976 -69330 -121008 6572 80860 -16724 38094 30871 43293 29560 -14120 139071 67021 24621 166904 82508 -106797 -52704 -22076 -28091 152489 213299 56373 83154 63985 -87071 14870 -51732 52211 -72779 10849 -30021 78123 6859 -39239 -169124 -31518 2866 12173 83250 70710 -37666 -117904 101302 17578 43002 -24787 4111 -16876 -64308 42292 62125 -45377 -99527 216426 -19905 -69256 -4151 29943 72261 -86089 1711 -7832 13311 -32504 -115969 22773 241811 233138 25705 15836 249095 -30775 -46134 828 -58678 -105397 120315 -55916 51003 -146126 -46896 74055 -38249 186414 -51171 -14746 110052 30974 54868 96618 -2099 28210 94093 -124528 99008 201486 -146877 -163107 42890 -14170 74927 99406 -8645 -33753 -68334 99281 13607 52429 -153088 8056 -46149 -5508 -36688 -486 3723 21220 41507 -67725 -3835 -182648 -110130 119553 -38334 -17818 -179578 -70487 -179001 16982 -111507 79150 110356 -112304 26124 -804 57317 -7314 43311 27666 -5530 -56605 62705 103135 57225 -59111 -104612 78142 36974 39724 39628 -51666 -103770 215994 50486 182374 53056 127757 -12536 42898 168626 -108215 -74625 -119700 -80234 28038 56264 -27156 223071 -54601 -62879 179930 101596 -43685 110501 33422 -134423 -2972 106271 -149946 4896 73240 52863 -36636 -117650 74799 107437 -35956 -41431 -80210 -100830 79798 -45897 
+-94029 -54327 -19077 124567 -82261 -8741 -125882 121769 -208623 399 53136 -51597 54169 -39465 87154 -81075 72329 -181732 6585 54964 -199140 -45063 14405 -67709 74061 -55915 31151 -11722 -14543 215758 -57544 155783 -47836 -213990 84397 211866 -51644 -102579 21873 -28659 -159552 -102398 136890 2769 -94649 -3692 -4087 -4336 -34973 92319 -80303 139434 193164 -39321 -60147 30717 35226 -24215 36204 16857 58142 18801 108632 107902 51936 73459 133327 -14806 -89346 55111 35039 20320 223818 149187 55300 -131204 43181 -106408 -18074 -119624 68327 -93508 -74008 -21104 79877 98857 -123421 -157913 -22600 -344 32601 82507 63961 22567 -14507 106384 37126 157901 -44318 -130810 -17511 -100721 41910 139735 -6092 -92062 207633 -137016 -229034 -36146 67929 71379 102806 -54603 -10634 -53168 -31108 -68865 -74576 162765 256572 7100 6426 194139 110900 -56655 -19269 -95857 -73729 109320 11422 56067 -116483 -49698 65836 -42139 239227 -30340 -8655 53442 31718 -28098 -43525 -34138 8091 150786 -218781 -100289 148383 -114922 -122992 33701 -35800 130197 83006 -10624 -43767 -49319 61568 9603 58789 -167009 100691 -122667 -26529 -38070 77838 -17160 -59698 31478 -103672 -69939 -97954 -29134 169451 -27414 -14779 -229502 -83338 -192498 -18300 -83925 2290 28040 -124059 40535 9637 131539 -6843 60155 -51300 927 -75032 34841 135403 30942 31597 -120578 69237 -8138 -22492 21968 -16699 -15880 214812 8139 187035 -88869 131800 53476 -89457 161054 -119575 -23094 -103090 -63658 10384 -702 -1558 188796 117685 -106892 168794 -39846 -26240 130343 33640 -69591 -13110 105850 -71259 -30004 -952 61731 -126214 -78305 36957 85944 -20825 -39911 -114144 -119116 115445 -65802 
+-89524 -17461 2187 175018 -154045 11580 47438 90129 -291737 11532 16440 -43926 57044 71731 139249 -152544 50921 -131407 24820 62350 -165452 -16016 -34085 -97103 73326 -75194 -73913 -4931 -84585 264012 -5753 49938 -53202 -207348 65281 163403 -57257 -78566 68237 -9874 -47395 -70719 51130 -8160 -77535 14022 50383 -20274 -140634 130500 -60269 221554 222072 -53830 -2580 -1001 -89161 -39409 41840 -34545 60416 36733 145661 53452 32664 61305 12151 -181451 -88927 224789 25245 49998 211913 27183 61509 -218209 67356 -68653 -49555 -150815 75237 -25572 -70172 8864 37871 -23727 -149577 -141417 22576 3794 30421 79729 76286 53955 52104 107568 17156 186109 -91859 -235073 -20983 -148536 33920 111190 36583 -71902 196928 -157246 -216921 17700 58509 77166 44821 -94764 -9370 -27669 -36460 -21531 -15800 1343 260864 -34795 30176 158541 145592 -5811 -32705 -94744 -51849 48000 82708 65770 -79744 -76174 84032 -23416 226380 13893 -16216 23312 34315 -47921 -36414 -131267 1598 193697 -103721 -209214 21205 -100269 -27491 22440 -36099 8574 90277 -34739 -59279 -70600 122739 20120 33118 -171444 169960 -228717 -47001 100007 129301 1215 -129982 62918 -162022 -125442 41894 53335 152782 -16964 -15112 -217067 -74486 -171568 -15311 -69170 -66596 -146094 -122437 -6690 -7551 119289 -1220 62987 -15654 -9758 -95013 8578 120088 31070 50318 -137212 59393 -38058 -36928 -14978 -5145 71379 122412 63662 205814 -157301 113125 109554 -165619 97134 -95737 -42741 -73507 -53869 13576 -39736 22197 126113 128749 -142164 125191 -107738 -21094 104686 -31569 91396 -21067 99657 -1336 -4391 15685 84549 -130489 -57701 -29924 69673 -50269 -45959 -124081 -33569 39870 -34020 
+-86512 -72854 -19739 110961 -163262 21034 129308 56206 -167536 9159 -20253 -38992 58497 157314 129012 -188840 -26361 -90945 70513 40985 -141557 3104 -59975 -100167 79827 -83163 -82292 -23373 -97853 227420 72003 -17225 -23370 -195586 72147 36808 -68921 -71092 70086 5184 34264 -37796 -29708 29155 -6880 33966 -64806 -30186 -202424 121666 -26274 106055 175757 -42326 41956 -74191 -180099 -55292 41014 -47913 42259 70654 55020 11200 17430 -12685 -5263 -213926 -82629 186049 77083 45125 63083 -26536 50324 -87287 111948 -825 -66900 -178822 53662 -2412 20609 25528 84080 -184009 -83459 -156504 37125 13172 -34055 76170 84711 77690 71903 99582 31511 105279 -115013 -256602 18564 -145283 54370 31440 73960 -56261 185166 -68628 -51136 91229 63937 91961 -153643 -118071 -6785 -20938 -39583 16102 103993 -67460 208552 -93431 -2639 111891 31916 -17612 -57637 -109875 -108342 -44311 146431 64555 -38916 -102826 57375 -48464 156801 36867 8503 31207 36674 -19747 -92295 -180783 11355 211359 72688 -86316 -114041 -34747 41268 -24038 -37323 -148654 92569 -67926 -19826 -88265 121460 102605 -13922 -197292 156101 -214526 -63215 133145 149887 -38691 -162925 97386 -195533 -162613 131816 45966 160720 -29161 -21799 -166176 -58909 -115364 10535 -67388 -55685 -195533 -131754 8401 -92329 46736 -3415 61112 110150 -5041 -61504 12181 88289 34677 -34635 -169510 77995 -28923 -66390 9165 33614 90193 -15508 51273 198307 -133785 122594 129582 -199824 28193 -45617 -50479 -34774 -65006 32037 -54360 17453 54329 -13363 -157744 87240 -60667 -10820 70382 -110994 226426 -23313 85988 -61613 -8765 -34242 69302 -15324 -46508 -43353 60129 -22528 -26408 -55500 80884 -46119 -11332 
+-101624 -136561 -3755 -15558 -38082 52163 -181 20757 16284 7192 -26197 -41455 54898 169718 63426 -200115 -11637 -30143 39621 17254 -122724 71399 -49860 -107501 74555 -84411 -10333 -26664 -123006 136321 110095 -61794 -13796 -195095 84498 -75050 -83723 -105913 26165 -29405 -24570 -30727 -87235 78084 17926 28845 -187300 -32112 -256348 152725 -18824 -12080 119492 -47630 63438 -139395 -205643 -37068 44423 -22883 36024 44825 -90124 49562 16455 -6320 78320 -49592 -80697 1249 112177 -1280 -55630 35222 73000 119346 57291 74827 -42507 -170968 47766 64174 115370 19458 2199 -242149 21413 -102249 5380 -13981 -78414 76391 86680 95720 27771 93985 -14552 -20555 -129080 -197864 -16927 -106489 33567 -27931 95571 -5589 171436 53985 105247 168794 61655 82318 -202080 -124891 -34952 -53539 -49928 22255 127433 18779 144396 -156313 -23610 69795 -80725 3421 -86146 -117651 -147712 -142152 123973 59390 4321 -116236 25138 -33205 15452 56360 -10340 40063 36779 71188 -100120 -150746 31658 219045 105357 120975 -40911 -46223 43713 -22789 -24415 -210832 93236 -79884 -5213 -69911 57151 162114 -57022 -213677 64730 -129843 -91456 26201 124799 -17398 -156203 131805 -214743 -139494 107487 -30831 181823 -4657 -15514 -82391 -55733 -84540 7022 -79783 -35589 -84503 -151817 20098 -123151 -52044 -14218 42266 210534 -2831 -35677 29949 47374 33485 -79985 -168716 49994 -19330 -67007 -49414 59413 145855 -45801 107136 112811 -17584 103925 101855 -127697 -109203 28457 -22776 5928 -83407 39569 -42962 26204 -33834 -174495 -156897 15384 26740 3263 62051 -95623 257110 -25190 73295 -148734 -7296 -61387 120134 41072 -82172 8089 30539 15561 -47084 -29782 42009 -106437 10182 
+-111498 -140844 -20807 -84883 27628 52815 -142522 7724 128456 -21482 13039 -51874 51365 127984 -45090 -240981 -3843 -1862 60100 3794 -68146 82688 -38768 -120983 77593 -76691 63793 -23142 -125722 10813 129198 -44167 -73893 -174394 81529 -112595 -103903 -69339 -15277 -18019 -149755 -25469 -73817 147899 -13464 5688 -145270 -28307 -227799 135354 46307 -88235 9995 -63723 39577 -100137 -139233 3899 48638 15209 21309 28716 -181737 116645 27527 27630 135687 69694 -62058 -76509 134055 -58130 -137527 149898 84998 68072 80498 124280 -9684 -142958 16846 1933 179433 3312 35780 -100187 68960 -93296 -14610 9447 -47282 74278 95511 77140 -58315 78260 -41023 -92467 -101022 -88896 15257 -49844 47016 -16522 98144 39501 156986 122522 90713 206007 38026 89469 -6230 -110115 -8231 -14314 -41486 2183 12675 166906 59580 -162520 7150 19366 -38921 18256 -107354 -109763 -123176 -148413 65820 56220 45738 -124638 64930 -37638 -22261 28755 -33357 79861 34769 165027 -77563 -119552 57952 200334 -55931 154607 59125 -37422 43785 -48780 -3020 -119952 79603 -70572 54588 -92914 63254 154544 -83038 -210839 -3480 -41844 -136460 -49610 61472 29451 -115273 132852 -231434 -88453 -2662 -100633 211324 -10289 -20232 -29439 -48486 934 9952 -111596 57579 75626 -137280 58245 -103613 -75144 -18321 20957 95294 -5301 -34682 7962 -3003 20393 -156419 -168529 74854 17843 -87493 -71768 80190 160695 58501 168147 119716 47124 79544 42510 23998 -176021 60760 -27239 43648 -92679 11912 -7224 21995 -75252 -182612 -133790 -53282 142960 60742 32244 -24680 129028 -36736 63925 -166578 15850 -53769 57339 -19664 -115169 71433 -25231 23389 -22702 -56355 -91708 -68261 -1389 
+-88338 -67186 -36703 -23746 -6713 68960 -133815 -7308 -29863 10838 37106 -62800 47528 22082 -94867 -216235 -555 43983 39349 59937 -34413 83706 -6796 -107775 70920 -56335 98015 -25037 -98640 -76259 81974 24294 -46499 -157368 73359 -29672 -94529 -48134 -52520 -26103 -200838 -104909 -24064 192269 -78722 -3880 -43250 -34092 -216400 127796 46570 32094 -63069 -52471 11344 -52236 -3160 -24124 55613 36941 15480 39767 -135452 155084 45667 56345 146359 16052 -59506 55377 146396 -113597 -121373 212493 72052 -90094 100301 138480 54745 -71287 -15829 38895 137333 -17275 22522 63128 93729 -87991 -25085 -427 4287 72857 98243 52724 -124194 56476 -49254 -53615 -80525 35319 -33790 -48908 38249 71239 76702 77519 140836 84628 -79284 165750 6119 71367 105458 -68403 -15331 -23690 -44861 -30034 -76633 235772 -7908 -208691 18680 15845 82787 5291 -133966 -106666 -80658 -87662 -7724 60641 83142 -159837 85050 -37539 -53394 -8740 -15804 97320 32034 224956 14834 -46636 79859 159956 -209313 -29234 176097 -60245 -24685 -56226 23710 44856 82245 -86686 99121 -90074 24306 115613 -93106 -211255 9441 11258 -118399 -41973 -8764 45199 -32833 96852 -212488 -50865 -103609 -108876 214457 7649 -20691 63851 -30720 -17276 -2500 -77767 101701 86473 -148675 -2811 -95285 -17697 51 -1643 -14249 -3025 28673 6766 10346 13413 -115349 -145092 81249 68709 -98349 -84347 85266 127131 184790 158751 87930 -25757 69368 -12229 126226 -200279 76743 -65394 72227 -80911 75075 48529 17659 -101973 -63579 -97239 -40613 202211 20935 76042 56060 -4112 -37277 61883 -99938 12891 -87157 75567 -63183 -128429 56328 -74057 60558 -34559 -111554 -135383 21820 14294 
+-100018 -8178 -47050 159391 -108944 54616 37477 -31474 -193115 -1788 15856 -59336 46587 -77035 -155637 -215651 82766 66124 51960 48431 7935 114119 36384 -70405 74760 -39787 34165 -47317 -66186 -96623 38994 79340 -52826 -129875 90821 165871 -94193 -54958 -104959 -24357 -111864 -96962 59005 189101 -117623 13287 39217 -43012 -134144 128564 83226 144912 -127592 -83788 -56154 21073 74623 -22270 64408 12322 30729 24857 -11972 113412 64437 72913 28640 -152892 -74178 219246 129767 -137665 -12152 147712 56180 -203129 109220 105468 52725 -18870 -62023 -28803 52055 -38234 44830 72108 21798 -87637 -41109 -16247 20055 73021 107981 8171 -115267 70820 -63571 65493 -42836 102963 -13417 -80138 61610 129989 41067 137918 123829 -46310 -232282 79236 -12132 62901 63821 -24636 -24070 -29104 -41396 -88856 -15330 152226 -60893 -205479 33008 -30279 139268 -7152 -153205 -134845 -53942 23263 -77713 47773 113865 -156465 31765 -54981 27259 -43890 -15653 156000 30847 226833 36119 40693 89093 96428 -177008 -201285 168120 -99248 -89201 -71616 47381 126748 83813 -70193 104457 -50854 19730 48471 -71234 -239832 85265 -29558 -109519 56378 -110738 57333 35816 66022 -211185 49737 -174053 -24158 198717 -21200 -22918 74378 -2166 30438 -25631 -70790 206945 -59926 -151130 -9993 -60996 80940 -21812 -15986 -38153 -22237 67061 14210 51505 5653 -43042 -181256 56679 121668 -68406 -128391 108304 59734 236368 176466 10076 -131518 29854 -54089 116031 -162899 44163 -54413 87508 -60752 30435 109315 30089 -92819 101366 -61815 -104130 154585 29459 95649 11404 -99547 -42923 66348 -6418 -6672 -97230 95997 -136731 -104367 -31749 -131112 24010 -28132 -113751 -35869 91810 25411 
+-92442 -50037 -23962 197170 -162094 64704 120476 -26662 -297847 -2743 5928 -52939 48859 -162279 -217133 -169843 127431 74592 23276 47656 45883 113594 59214 -85653 71921 -2779 -77387 -47006 -20451 -41760 -35121 75766 -30592 -120641 82920 143537 -90128 -58561 -95483 -22884 5721 -133608 122712 148858 -88845 33663 -17340 -11164 -80154 119909 137586 226946 -179338 -54520 -106150 28446 60553 -15646 68058 -20134 49788 41980 118737 43533 72975 21858 -33101 -228361 -73463 163894 57038 -132306 118880 31604 45961 -138737 112836 43154 91058 48272 -93285 -54351 -44130 -55673 40687 -95662 -82978 -67331 -14905 14094 24248 74468 100465 -42418 -61967 56935 -104482 170481 -19898 109287 -35390 -141570 57177 119304 -2184 157794 106353 -147872 -212825 3113 -39807 63097 -143115 30592 -10264 -38054 -40384 -138455 106584 26667 -92674 -222581 28039 -97577 53205 -63626 -171734 -134209 -74601 98743 -101878 49459 135423 -184128 43961 -61767 131066 -47553 -611 161449 32155 169661 103527 -3198 84056 39212 15124 -123383 98122 -116426 -132023 -87644 58041 15064 73026 -58870 94066 -77896 8861 -27238 -34844 -223312 163303 -118745 -101438 112660 -181982 68211 111508 40932 -170456 88780 -174597 39165 255961 8532 -13733 73728 9941 -11528 -3739 -89445 193434 -203305 -153356 -3348 -14193 131082 7701 -22270 77239 -1396 64478 28950 117306 9290 7842 -211184 25989 181551 -16836 -102865 86466 -34778 160525 131654 -9024 -174596 -32224 -54204 45198 -87402 -9897 -52535 86817 -54155 30044 168822 6166 -37668 135068 -36434 -87079 18491 57883 104019 -58328 -73328 -53486 77765 -63935 17756 -100730 103939 -49035 -63267 -57179 -149792 30349 -53641 -89002 85610 103163 26565 
+-82818 -138828 -22415 118409 -151308 62741 14274 -14736 -153172 -26296 -6180 -40791 52140 -192983 -263234 -135748 122653 61883 2700 31626 76295 119592 30792 -59401 70095 23645 -80254 -36825 20380 61527 -107694 12182 -17190 -92992 91572 83490 -74545 -29253 -110980 -58286 17890 -172110 181674 100986 3273 29351 -155501 8952 -8807 73834 119640 64235 -162012 -34544 -173208 16472 -32257 22530 76609 -42886 47842 51894 126628 -4803 69603 1817 45451 -91650 -82835 5016 37015 -97537 218159 -32686 64250 68512 94641 -44769 100025 120313 -139662 -90374 -81328 -41729 53223 -233811 -139887 -67814 15965 -13693 -42541 77302 109391 -74873 14037 60961 -72877 179310 -21734 7715 -15072 -155200 64611 41097 -41930 200799 87907 -149434 -39642 -44524 -74649 47964 -209534 91013 -16460 -32420 -39889 -181858 137953 -70682 -81400 -215345 9913 -107828 -92183 -27465 -183902 -118060 -121823 133436 -87164 59208 146501 -176315 36538 -35724 212937 -22226 -5181 131656 35022 75737 124976 -61798 65145 -23161 119006 53234 -12277 -145057 -185904 -114711 76186 -158652 80983 -4504 68158 -65527 30218 16667 12370 -246041 160108 -160971 -157285 58211 -231302 101826 143157 37384 -141713 121216 -63305 30298 257559 -2074 -12481 7673 34693 -61409 9792 -72815 131637 -149553 -146384 22333 9229 124697 -5720 -17757 192501 -6793 52807 27228 116965 -19219 47160 -209321 37506 208119 -948 -135141 86149 -101506 15280 122988 -54905 -63693 -44990 -17934 20483 7528 -62032 -47963 70748 -61809 49079 218960 16652 35897 -13170 -34028 -129964 -89674 45804 109866 -114099 86105 -51614 91070 -133090 24569 -109263 38579 44909 -48172 3257 -208369 23960 -55053 -76479 37557 289 38277 
+-67098 -145418 -623 -28478 -49162 30148 -123807 6095 9247 -19054 -8399 -42100 56803 -140515 -271896 -82364 114128 29826 7644 35875 95787 119432 26828 -63967 68755 42512 -37685 -27461 105193 175388 -182416 -64836 -20169 -60632 78784 -34098 -67051 -60037 -70482 -23988 -83985 -135071 168618 45379 16013 6300 -180442 12819 50361 71636 117471 -67292 -87208 -74073 -231708 -55908 -146129 -24431 80625 -35115 51372 48341 27965 39422 52834 -8137 153818 59532 -83339 -67125 -2018 -30838 226322 30250 75004 117109 92912 -84235 134987 165774 -176070 -115707 -21169 -37149 41440 -208000 -111587 -33204 31799 2360 -53878 82429 128453 -116157 82809 84325 -51545 83980 -30475 -116598 30628 -150294 70828 -24298 -68097 204966 69348 -59545 108891 -11859 -94605 56519 -45806 147859 -19472 -40787 -34667 -195831 21432 5903 -34693 -220493 18390 -84454 -65023 -64073 -189814 -117045 -141013 67852 -20036 52602 147135 -172076 64854 -39985 261686 28768 -11707 106461 36901 -4850 195280 -126539 38404 -77902 17734 173647 -73514 -130522 -177619 -143208 84881 -216795 78220 -26802 43443 -69524 92852 66691 47095 -237612 78821 -211544 -112990 -55500 -249552 74941 134590 68919 -83420 77478 60629 -67057 229822 -61264 -21534 -47020 56995 -82184 22092 -98617 52358 22870 -144709 15196 -17606 23415 -2072 -3493 116931 -10335 79088 31574 132550 -9371 5662 -177874 50331 223634 53458 -110601 57428 -149962 -43236 47670 -63340 30044 -65856 29524 -148331 151437 -120666 15379 41526 -80693 13100 247289 33393 126882 -184054 -57588 -66330 -68850 81461 134286 -66263 226312 -53534 102514 -187999 31056 -89027 69879 31456 -63237 79111 -287592 5084 -11649 -34822 -74305 -60349 56804 
+-63863 -86750 -39579 -74660 37751 18237 -144473 45195 114632 -31608 29205 -45726 56641 -42945 -276998 -27050 26549 -14203 41485 18820 93769 127607 -13253 -77439 73775 79126 77290 -10494 100334 249773 -219546 -43768 -38439 -46264 67851 -148911 -52525 -19976 -20630 -23277 -192184 -135462 104134 -7348 -18971 -3987 -81225 38001 105723 29332 120600 -57744 1738 -66242 -266836 -108938 -202698 -39100 88036 8056 37989 27030 -105299 107405 33372 63283 146355 43518 -100701 28730 6737 23072 128911 155009 86574 -63594 30495 -99010 113499 192429 -197167 -79463 81149 -7951 9769 -22104 -71919 -3810 18636 5328 -41130 82481 118518 -153852 60528 43029 -54871 -39444 -82596 -215306 -34001 -91349 67071 -12889 -74461 220806 50759 70524 85270 69055 -148412 32718 111031 183607 -5023 -55153 -42768 -183980 -76420 135697 16838 -201534 4290 -81959 36160 -51856 -188036 -96422 -117660 -34240 48089 51743 136189 -183491 74485 -72575 202663 50181 -12249 107407 36539 -49420 209307 -179369 15282 -100363 -165693 34483 -24437 -105427 -130505 -121950 80463 -89893 81330 -42167 -16928 -71267 76244 165816 49107 -249786 -3004 -157972 -120196 -58909 -231844 104296 87299 111785 -30719 39231 154817 -133882 252828 -61088 -11168 -122150 62071 -153519 22882 -78839 -13260 109734 -148115 62990 -63119 -78201 5206 20171 10270 -856 7375 47374 66898 -13803 -83579 -174502 48159 222167 86851 -73742 39049 -196037 37059 34516 -107371 24319 -101255 112309 -220335 168607 -116114 -34155 3687 -92433 20975 247931 35739 178330 -186666 -85468 -26801 -21694 84486 73763 25400 254716 -46374 106715 -124621 43535 -73901 70876 -53998 -97153 62383 -252158 -34632 -45656 -69897 -140506 -96069 52456 
+-99002 -8791 -7402 -22324 -54271 -3547 20826 53636 -5365 -13111 47305 -56332 56981 69934 -231562 19565 -572 -54641 23511 46729 95624 84904 -45114 -82691 67318 85721 114817 -25287 150832 254400 -229547 15011 -51875 -17878 51321 -107170 -35195 2273 4273 -16956 -173363 -69449 23151 3008 -78532 12612 -3722 72853 115254 15886 54815 25541 92231 -32389 -229926 -128294 -171588 -35312 91535 34853 22929 12353 -185344 149889 19551 88469 71028 -130268 -109501 190247 18619 44584 -6896 211566 67932 -165618 65644 -53054 87723 179126 -210482 -34786 167446 4981 29810 95216 56497 -22192 -29240 770 12816 84248 123839 -168894 -24056 32841 -24524 -93909 -115414 -269671 -17899 -45125 69823 71212 -59069 207674 32023 123551 -90342 152867 -165709 55655 59786 203476 -22874 -53886 -33247 -161880 -20089 220009 118868 -133932 186 -81712 163517 -37824 -187745 -83283 -59214 -105319 129139 56651 114699 -176887 34328 -62150 87067 54959 -4947 30602 34043 -33572 177903 -160715 2585 -118274 -211842 -193484 81475 -76122 -32869 -171704 70606 43118 93305 -59600 -29352 -70823 142649 168166 31119 -234950 5688 -74251 -131035 57631 -174769 98789 7284 129543 8370 -19666 110825 -94236 248221 -36496 -12488 -193828 86291 -205896 -25879 -99040 -61954 17763 -121890 -4886 -124506 -78619 -12543 43251 -41489 -19491 -58749 96720 30013 -30316 -141519 -123575 25431 202823 129528 -45758 -13671 -176389 156541 34971 -119088 -86595 -124384 123933 -144992 156309 -88311 1709 -37813 -80419 26958 221887 22822 215194 -53284 -126133 50660 97935 109489 71393 54219 147745 -55512 104939 -58034 23973 -51771 93088 -128366 -117561 -20800 -305956 -3780 -29099 -106398 -30125 -36147 76042 
+-82292 -40540 -68766 114232 -124971 -18001 127022 99200 -167912 -5448 29070 -63384 51358 158565 -191942 72079 -42137 -107139 73130 53353 73228 87412 -79860 -69794 63788 101655 16574 -29502 142924 180846 -190866 108344 -46596 14305 70630 68303 -52554 -33765 49117 -50934 -55464 -38073 -46713 39811 -120105 33359 11125 36372 105624 -7656 7987 200844 171917 -39168 -201913 -50472 -59489 1551 96438 27538 13045 20481 -122155 123216 15237 34024 9003 -225622 -111874 206263 10249 43368 -134426 150695 41880 -135527 12648 16609 45278 133760 -224521 10493 165185 22600 18845 402 73405 -7375 -32525 -12241 69389 83534 128188 -180873 -98850 48853 -1994 -36653 -101306 -210777 -2698 -59498 65719 128882 -25551 182998 13546 66312 -236801 209472 -144643 59321 -137863 205184 -9866 -67377 -27512 -115726 112073 185398 204891 -105180 23091 -17936 94357 -25527 -178832 -44223 -68128 -144976 138468 61825 84059 -141989 60748 -80680 -17847 11950 -6718 20169 31584 47067 173497 -132945 6066 -98940 -58140 -180891 182012 -64515 14601 -213413 45660 127385 86789 -54417 -80059 -102627 92056 164474 -10034 -240879 84443 24654 -91494 92040 -102352 114575 -74919 122197 45218 -81676 -4443 23337 217791 -42941 -17558 -206486 96198 -163968 -507 -108693 -67045 -140745 -126761 -12352 -134797 21942 23366 58756 9024 -12297 -75936 99077 16281 -35635 -118881 -174385 50668 159893 193725 -48065 -5753 -166772 239568 45830 -101199 -175936 -132806 103951 -30319 47043 -19454 -48500 -75419 -65838 49862 181337 13774 222163 99532 -156752 99414 185596 99594 65420 -10081 -25140 -50753 95906 -26695 6686 -23079 43470 -131856 -121577 -39385 -289203 -31741 -58734 -94935 71215 80077 62514 
+-94068 -127801 -35045 173806 -160820 -4126 32488 84515 -238762 -172 -18983 -53672 47562 184404 -142365 77295 -5390 -157621 86537 44719 61941 36516 -60543 -99813 64233 111428 -106381 -18815 164475 72154 -119862 74842 -56183 49586 66120 214262 -58830 176 60156 -2979 22689 -38458 -75816 90601 -71682 29860 -129341 45762 32648 -37898 -18261 184135 225792 -39239 -126015 19519 41435 -50400 107322 -13381 14056 57269 10826 46875 26385 -17867 -10342 -141173 -121402 38681 63063 386 -151502 29422 52696 36524 27269 85833 -7047 73665 -215789 15276 85603 13421 100488 -168110 62134 -55214 -21077 -11887 21894 84068 140533 -163208 -129120 40107 54307 87463 -89578 -97327 22600 -86399 60661 119183 17153 138181 -3944 -59032 -206926 192396 -151970 89563 -198084 180476 -28376 -81340 -26538 -79947 144194 42921 228219 -64993 9373 -4254 -59448 -7603 -165455 -54179 -122117 -96471 108101 57043 47313 -126670 47317 -61909 -63301 -8242 -8772 25320 31038 140637 131898 -19820 22254 -65119 104050 36471 128286 -24425 55240 -184274 18915 13170 85183 -105600 -34792 -58106 82399 48932 -54736 -253443 165412 3306 -69230 73426 -7005 71588 -135155 94158 67090 -161394 -151061 44205 238389 2780 -13762 -218793 95373 -119382 11148 -97676 -46842 -194856 -117529 -11921 -79519 104377 36211 64507 160803 -6490 -84975 103573 21641 -44563 -72369 -115716 79675 111410 201551 23117 -41828 -119310 189165 25507 -98561 -135599 -136625 58573 56042 -51304 33035 -55966 -104369 -53925 34596 120524 24035 187235 109392 -162023 110922 160006 100410 85478 -77791 -124813 -46125 83278 -100742 36182 7708 54951 8263 -79352 -1557 -241034 -24274 -34048 -98469 49279 120342 123655 
+-80186 -152412 -43194 121829 -141430 -27122 -119835 116183 -142157 -27370 -24325 -45906 46986 140150 -32048 92690 4142 -194621 47678 15371 33900 27900 -36060 -52681 70618 100905 -74050 -19912 157261 -25664 -55597 19533 168 54601 92494 138158 -63587 -15966 48027 -24885 -25268 -73191 -54710 150524 5373 6904 -206587 63962 -47923 -49077 -39811 65285 202102 -46214 -35514 20778 87764 -33028 110240 -42754 28776 510 112561 8910 46034 -2265 98176 33764 -130436 -78631 93154 -53669 -64558 -23477 72636 124627 46485 131534 -15308 -2593 -214766 26015 -19920 -3782 51168 -252287 -17033 -46256 -4873 -11871 -38414 81536 136009 -149614 -89668 18484 11591 180514 -89721 28104 9349 -152745 67214 56493 57983 99915 -21236 -151763 -30783 110224 -164796 87120 -61201 146132 1394 -71895 -29475 -21289 19490 -65977 275371 -55388 -8313 30940 -89163 6108 -140694 -100727 -168840 -34847 32085 64631 5793 -124853 28141 -76304 -31933 -62210 -8767 62995 32810 217025 53940 3244 47248 -14747 72952 154613 59917 -62529 33119 -211120 -119 -162439 93364 -53135 -6875 -61470 98787 9746 -85378 -253847 163189 -81701 -75161 -34243 62015 110269 -169198 55889 82436 -136263 -161048 -20078 264336 22780 -19367 -132073 96322 -93881 15326 -111424 92927 -86393 -112592 -4441 -39536 141691 68955 60960 208484 -13388 -80126 116797 80567 -36493 5546 -155639 67446 53804 246173 -14383 -66323 -51499 44713 59625 -76589 -248 -98381 -19232 117713 -146229 80218 -36877 -119843 -61195 75441 54965 32363 122419 -10837 -148325 148742 66007 127683 75282 -116369 -86331 -29602 71137 -160298 27978 39313 62496 46675 -53239 79199 -222538 -34763 -30947 -43842 -29157 64694 79093 
+-99663 -88203 -5681 -8941 -12912 -33239 -153856 156674 60238 -5419 9495 -39592 49394 40313 24825 91516 85956 -219057 66737 11074 -719 17324 20065 -83404 65159 72356 -33012 4049 76960 -97786 18428 -57286 -60917 73475 81987 1484 -72204 35744 4603 -28206 -149637 -58941 4252 182304 31709 -4095 -126060 4927 -151962 -40947 -20670 -65750 172119 -49276 -1501 -12049 25797 -32580 117686 -43912 53566 20412 125158 41388 63084 40925 153928 63683 -125882 9478 115061 -109371 85100 34944 73149 -379 76617 134588 -25307 -67963 -190381 12606 -82085 -19780 70820 -120116 -113205 -25138 4208 -8525 -63186 78063 142723 -109824 -4225 28657 40110 168803 -45742 108560 41717 -144679 91397 -25719 89304 54215 -37461 -146850 113956 20947 -122000 88499 128946 89864 -11098 -77099 -20227 3830 -81021 -25686 246403 1203 18281 78241 6176 28121 -121417 -77061 -125202 66647 -20699 56327 -37054 -101975 87598 -54584 97190 -46949 -17976 82899 35570 232488 12263 23757 72210 46810 -111489 102884 -51135 -81519 -9399 -203303 -24347 -199476 112495 -36826 29707 -58325 61013 -13900 -100544 -202064 85369 -175306 -83487 -74045 122657 128292 -151280 42556 57453 -120109 -153114 -92321 244165 -19429 -17205 -72070 85969 -59143 -17698 -110569 146609 99989 -91604 45764 -2811 88975 38846 43544 65930 -16206 -47417 108852 127927 -48190 31218 -103872 51064 5672 259264 11918 -92484 46314 -46286 106275 -34727 57658 -118520 -69484 124156 -208409 75577 -59363 -119448 -80908 38788 469 13886 45071 -172697 -113798 105230 -49938 130884 122865 -32375 53147 -31637 63023 -182759 15165 74712 104161 743 -48212 48324 -186874 1660 -37665 -38212 -114842 -15970 80709 
+-71703 -12473 -10535 -68178 44288 -36066 16250 132703 82356 947 27217 -34155 51539 -70624 84584 67668 111762 -230929 33043 27529 -47856 -48679 43438 -97449 62034 42661 82068 15386 35578 -75798 95862 -28450 -39038 85940 60908 -110080 -89076 32534 -41747 -37978 -206282 -128658 80834 181591 -34255 11875 -9324 11583 -210765 -58535 -74887 -77625 101764 -60959 35655 -77228 -94494 -6219 119054 -7305 53384 29832 18313 124218 74217 84659 97991 -86186 -136859 184916 161847 -145041 190393 146251 89465 -193007 110235 79163 -38072 -123939 -165276 -12804 -48827 -34376 29946 41988 -136241 -33489 12180 -13727 -23496 78187 148588 -46498 65624 22547 19049 61811 -14009 107142 7885 -108807 68292 -23951 99134 15015 -52479 -42985 78669 -34453 -90774 79988 64834 32697 1329 -110616 -18229 21405 -23160 120851 226993 54562 5891 132893 154230 -15396 -94452 -84817 -66367 110556 -77627 60884 -78515 -68445 42124 -77948 202891 2429 11966 96965 37077 196927 -25739 -33551 87313 100189 -215841 -127164 -118954 -101435 -88467 -214670 -29834 -139900 102652 -8826 57367 -71997 35959 18006 -73635 -218039 770 -206115 -45225 38535 149736 101706 -93797 42907 30833 -69837 -11560 -130411 213306 1761 -21682 17312 73695 -24206 -5869 -94831 186960 77585 -94749 25576 12870 -8072 62938 22445 -27320 -9255 18549 110437 123316 -51746 -18467 -36713 73670 -23265 238349 17802 -90062 68294 -881 104085 -1150 -29762 -75836 -36211 47184 -209440 43380 -42861 -104015 -90329 39500 -35982 26860 -19721 -184169 -76575 141841 -86952 132332 120397 23348 208376 -33544 62132 -82937 16259 85270 83799 -115691 -79286 -9463 -167372 26244 -13950 -59723 -41669 -105427 84729 
+-97923 -35231 -911 -11361 -28668 -16114 124262 142648 32886 -3700 36543 -45844 55251 -154753 114892 30556 118177 -214715 5973 48955 -66239 -75028 25557 -107691 58543 28249 88178 30207 -36717 -4397 115435 16717 -46265 93210 46637 -86207 -99793 17451 -77606 -40498 -116755 -115219 176006 137347 -118912 33022 48111 2220 -230140 -47257 -16617 100867 1542 -41313 47167 -119471 -201234 -29853 124112 25006 61201 27130 -126035 139625 69491 48768 9828 -221917 -111907 199069 118659 -128865 227822 213878 78240 -147141 107605 9930 -34070 -175382 -120701 -67457 44588 -50006 10843 82816 -126203 -55169 11645 -20003 24578 74622 151109 -13270 73665 13230 -24276 -55934 -18968 11074 -5755 -48013 43163 60390 89610 -20567 -66592 76157 -98869 -29970 -67986 78853 -184726 -24567 -10400 -89810 -14337 5881 103507 246749 165887 106643 15106 178258 117896 4087 -67601 -101132 -27544 92958 -87145 52458 -115403 -54900 29671 -70433 237375 29700 -20023 113177 36133 103590 -99555 -116797 87270 166802 -131363 -195051 738 -147323 -166327 -185426 -37975 42863 119708 -51473 92295 -61294 35397 142940 -31263 -195302 -1978 -175886 -32305 85210 129518 103597 -17583 70398 -6415 2387 112756 -46995 224439 20568 -21979 61939 64202 48743 -18657 -104705 169969 -72533 -74786 26898 -37309 -92997 53338 -3070 -45478 1774 38152 129876 128134 -64411 -74948 -66029 69268 -44414 245350 -23339 -88290 155134 121814 160988 56378 -129686 -84674 -23074 -55495 -97352 -20152 -19408 -75124 -81096 34224 -53326 23524 -85384 -58242 -42235 62333 -28635 168793 124173 50100 253190 -21315 69131 -4504 -21216 137725 77261 -102538 -109927 -56274 -86040 56264 -42302 -100070 66496 -93706 61010 
+-84447 -114106 -5518 140596 -130839 -8556 51273 115634 -182657 -7083 4907 -55861 58016 -182146 102966 -16217 105009 -198479 1338 56772 -115439 -122854 21959 -131476 57934 -962 -2063 18517 -58925 113350 125182 57745 -18804 112970 58722 30721 -102103 48273 -131915 5250 9158 -168133 183969 77433 -119021 30336 -70828 -50309 -245645 -34122 12936 221300 -108777 -58886 32274 -121321 -206577 -6430 126629 37998 37399 18423 -179896 132444 53559 13057 1425 -170904 -115915 49942 85199 -86251 176137 145633 60248 13866 77455 -40559 -6217 -183305 -77740 -104130 142150 -42001 7375 -65519 -16710 -72528 -18806 18000 76097 72542 159574 10657 16107 4675 -47284 -92040 -49653 -114511 -785 -56866 40120 123748 60274 -63245 -78915 124061 -239687 56410 -33286 53539 -217075 -78078 -22611 -110732 -21251 -32658 140681 215406 65851 59034 14695 203404 4282 -20346 -45375 -57641 -75072 30320 -51038 47243 -145346 -50296 56013 -39906 232537 52855 -1390 173772 33329 13057 -21638 -180292 73278 203111 54206 -17609 115201 -148746 -185340 -228145 -39162 134514 102185 -15966 95186 -63839 17936 194253 12804 -191113 77151 -79265 -7554 89541 82333 73133 64108 108210 -36352 47602 135531 55904 215044 -21395 -18589 100373 44301 -1163 20763 -89499 117181 -213756 -66288 -35980 -93815 -47665 41989 -17194 79994 -6549 102404 109195 73397 -50702 -117981 22733 69703 -35730 201089 -46816 -94384 173305 220147 130328 96127 -168709 -22410 56710 -169735 39492 -81881 -19426 -36732 -63958 35224 -41680 39094 -106828 93158 -24826 31333 81879 141585 94559 -42525 183103 -25769 82035 -32784 6438 150388 106604 -91361 -130441 -17566 -18985 35785 -39974 -91859 50999 -359 64744 
+-93140 -150822 7074 178413 -180818 -8226 -115371 79488 -250755 52518 -8396 -64851 55160 -147705 67206 -70434 48895 -156372 9390 50707 -134966 -146348 -6327 -77329 62535 -31904 -93768 31877 -99001 216241 80624 100002 -1161 112862 76772 188331 -88976 -1124 -101495 -19626 17760 -133869 148013 31527 -71381 7558 -205415 -55444 -195589 -12492 27711 149619 -137503 -51815 -4926 -72230 -113661 -28596 130847 1425 16928 46138 -115181 50526 34472 -4414 53394 2125 -102163 -58255 76475 -28400 20735 32916 49552 118638 105603 -97541 10788 -157534 -52157 -96673 171498 -29555 35281 -233008 59259 -102144 -33143 -706 6680 73952 155702 67303 -74459 2141 -70573 -17317 -58848 -211445 -32913 -72450 47619 124456 19133 -83726 -89484 54128 -201569 130184 -32133 64842 -57555 -112527 -25522 -69990 -18296 -81562 41559 80809 -13240 117142 22655 211164 -93481 -60100 -20372 -80412 -113137 -83999 13158 46963 -165751 -27600 68657 -31294 149362 44956 12564 177923 31138 -46842 -62142 -154430 49341 212223 107519 142201 213769 -131472 -169134 -216363 -8934 -4539 118187 -46880 62933 -67016 55830 159774 48534 -161527 159479 2175 -32624 11572 10068 67174 112780 136592 -93546 118260 69348 27411 172388 -59493 -23982 85031 13800 -46188 26868 -120751 36845 -152872 -52622 -17920 -141084 42440 36825 -30232 189924 -6653 69224 110453 21790 -53617 -144613 -13026 65543 4725 172045 -58640 -70222 155760 209364 154338 158479 -53795 11730 114422 -196738 74684 -121754 -29264 3998 -54499 27892 -6447 34571 -83849 118069 -41110 -33174 164195 134168 62379 -84477 -863 -15190 94384 -152889 -9825 153036 91516 66986 -102315 61420 18697 68906 -38536 -90745 -71952 102092 48517 
+-78402 -117219 -41772 87048 -128635 -3951 -156568 70413 -170511 25279 -12184 -53593 54146 -50891 11040 -123753 -5960 -116814 37636 15067 -181593 -163585 -30589 -77311 60298 -46998 -76452 22962 -107472 260754 9506 37753 -18893 105666 76944 183067 -92836 3044 -98435 -40316 -84491 -114402 74485 -6473 3822 -4161 -158653 -45121 -141977 -1774 99943 23200 -175883 -40931 -71850 20283 6906 11245 131776 -45242 11750 20918 27307 -3543 19927 21789 151899 80919 -90803 33933 15500 23349 -99867 -31665 52816 14716 115203 -110487 50510 -119009 -8908 -63684 123624 -5170 76313 -218061 82181 -104707 -37661 -42108 -50588 72643 152999 90577 -123246 -13789 -80327 108760 -113050 -257712 -2232 -125649 42494 56632 -24490 -96065 -98663 -74241 -21892 195219 27364 59903 84258 -130136 -1469 -106339 -18549 -125877 -62575 -46505 -54300 93252 39803 284003 -8095 -59193 -9359 -114793 -155216 -145668 57063 61291 -176316 -29954 90988 -45275 40404 4053 16366 125046 31213 -42361 -20574 -102877 23624 214100 -31860 152703 167280 -85340 -113588 -185526 1705 -164473 124255 -87428 38790 -82053 78447 64820 61523 -149292 165415 35688 5412 -24423 -81672 72972 142624 118646 -140901 101480 -48024 -63810 128894 -47043 -22405 3497 6867 -79647 4565 -108912 -41604 35338 -46671 9252 -123278 142855 62788 -24827 164965 -552 74633 92539 4861 -63127 -82516 4713 84769 50436 127515 -87732 -53222 86186 93899 113375 160257 38573 20722 118975 -75430 169055 -109467 -56026 42136 -59436 41321 45388 13058 -34428 -5052 -70117 -55611 157284 132454 27252 -88556 -80367 -6131 104139 -191657 -7838 163445 55621 51594 -63366 65456 55327 44632 -41184 -68798 -127533 108817 69635 
+-73308 -23788 -59362 -21194 -16201 43059 -18088 24534 18058 -29559 3740 -43543 50861 59532 -13880 -175566 -23535 -64527 46857 33018 -186125 -169528 -73742 -90481 55649 -68515 -12552 44730 -131942 235930 -71738 -1816 -32965 87074 85115 60072 -71105 33264 -25816 -41748 -201788 -75781 -21092 24470 25817 11208 -59977 -49841 -71625 17202 99788 -102154 -130513 -49362 -163626 24644 87915 -23443 129432 -46394 17872 21266 136752 43462 16101 51466 128862 -48871 -89300 191417 -12610 54792 -160325 30669 70678 -129711 80509 -48725 88585 -67403 27315 -43932 11227 14095 46152 -60125 40862 -102823 -11818 30286 -43328 74786 161081 83272 -94370 -21583 -91518 186733 -116565 -228406 -7961 -165835 53035 -15270 -58134 -97843 -105953 -158749 114949 198431 51346 51511 33176 -123746 -10495 -89581 -23020 -168124 -18355 -43813 -105081 83501 2673 279984 114976 -53381 11797 -94303 -86768 -133894 152026 58483 -175709 -1693 102855 -44332 -32888 -27434 -13768 80309 33527 21811 33726 -30615 6284 186305 -202838 -72345 42724 -60485 -41814 -154753 17491 -209646 112922 -95599 -19345 -60791 64568 2249 35284 -114334 93462 -34339 -13867 17410 -159353 38355 128538 94785 -149907 80735 -163738 -99459 136201 -23802 -19545 -54106 -10527 -140557 -4023 -148217 -48491 120069 -21459 76366 -62621 126481 58133 -2324 -36608 -13932 15659 85504 25946 -70989 -13219 14774 59223 93931 85712 -101067 -33222 2787 -27607 82410 203132 26789 54512 117603 -21283 191839 -71208 -77700 70868 -78659 37597 108629 -29031 42141 -163758 -115056 -97969 104857 135891 100758 -8106 -103912 -8834 107393 -139392 -21333 179319 98510 -23291 -44633 2812 76359 22772 -18367 -50279 -51521 24661 31803 
+-96224 -25004 -41200 -76283 10793 41239 117699 -766 117204 28924 42181 -41822 47552 142313 -101945 -194469 -33045 -13426 51482 24385 -186327 -190677 -41545 -86402 55776 -88776 87821 39374 -122949 137921 -150561 -50741 -50772 79035 68941 -104538 -54916 3916 13747 -39776 -175386 -50508 -83172 59755 -21546 32664 34728 -18464 28382 35552 170337 -5231 -53090 -48045 -203603 15284 64862 4305 133256 -15406 28754 15686 120700 102637 25778 60627 19329 -205424 -75763 194718 4338 46389 -110462 148882 88612 -200438 63265 26419 130093 -14561 52187 47872 -65046 15105 46592 90771 -66263 -152381 27948 9306 -23057 78275 156231 83954 -40210 -15975 -58531 155662 -112067 -116464 -1340 -134966 33002 -19600 -73863 -79897 -111466 -137797 72443 137534 72148 43293 -144257 -98840 -39269 -108541 -18232 -199342 103112 113774 -84078 32154 7559 291926 118176 -68587 14131 -101889 -31319 -89985 152461 66606 -163701 11514 55757 -13802 -44947 -59283 -18232 28564 36107 117644 72121 24082 3273 142778 -190368 -179847 -57884 -36186 39671 -199952 46409 -121668 106416 -41349 -62403 -70730 94511 -11754 -8252 -103490 -401 -143643 -13126 81744 -223861 53228 67616 55954 -195073 7489 -182676 -102398 121157 -22706 -19918 -156315 -36684 -194414 -3132 -86368 -52779 18585 -13725 30952 -32294 13752 79658 21248 -54243 8162 -7407 70513 71398 -58792 41487 94001 125461 161627 44577 -140666 3156 -73334 -21540 60380 205486 -94601 83414 45739 96429 61439 -4171 -70131 87209 -90728 41698 167012 19507 119113 -207486 -150074 -104794 -14896 128916 67600 69574 43161 1139 102503 -49122 -16053 176255 79422 -129700 -55750 -49606 95384 -8354 -29260 -75070 74068 -52570 7671 
+-76722 -89808 -3545 14312 -64718 45855 69457 -1007 -4681 24650 30751 -42095 46815 182736 -160893 -235606 20489 24050 75984 63821 -187757 -184951 -38511 -66843 62433 -78534 78377 33975 -73930 34230 -189552 -18006 -41861 65962 76450 -125175 -48661 -25047 49977 -64334 -59596 -40558 -78921 129336 -104253 30852 -1644 2860 83250 79450 120675 144308 48966 -45027 -217646 -41771 -45196 -5880 127969 18942 40954 40914 6148 131086 43075 18658 -39128 -186711 -64234 29137 27920 -1813 29436 208323 54780 14236 64103 99094 132824 75224 66095 25760 -67544 15247 26540 34439 -130365 -123964 20994 25074 29185 78672 156830 51377 42313 -18876 -42135 39374 -99386 5009 -34459 -86528 36841 49280 -68432 -44658 -115336 -23182 -107048 45628 54282 65719 -190568 -53081 -17850 -92787 -24780 -193403 144346 217314 -9499 38706 -4951 281595 5277 -37616 16610 -109373 -70166 35857 68616 65400 -141366 8770 42368 -38037 29099 -33006 17265 35274 37093 202707 146702 26982 13551 82569 -4425 -89115 -96426 -28296 48466 -139472 57285 36958 89801 -41320 -68632 -83386 86853 33878 -52770 -87319 -5194 -220278 -37444 92798 -242554 36505 -7556 37764 -220672 -41870 -117601 -13864 111114 25679 -18217 -194470 -49405 -194182 7528 -106653 -1900 -163529 -12859 16209 19639 -55128 69693 41920 20113 2099 -70157 51483 113139 -55285 -10286 32217 84040 197714 -5369 -167982 41387 -138479 86882 11343 239743 -191821 108112 -22399 156507 -64904 45747 -55008 87508 -83686 51576 213763 7236 186151 -65171 -164518 -72009 -109121 135081 120346 15168 205263 -456 93280 -6266 -2881 132376 48900 -85245 -100084 -28493 105605 -13685 -23644 -106123 40632 -107318 19919 
+-96593 -143842 -10287 108004 -140342 49858 -105878 -14049 -189406 31983 -35464 -51924 48210 137819 -210244 -235830 74491 59875 39757 75551 -170976 -154970 15504 -93512 56558 -74300 15530 43616 -20509 -63894 -231664 59125 4908 40990 64782 -33747 -55556 34867 64457 -24284 22511 -96185 -44999 168967 -127147 8235 -135659 1561 134762 83789 95354 182251 124806 -65970 -249657 -109482 -158902 -25065 125144 37154 61018 53738 -136968 123249 64137 -10894 47188 -31722 -59048 -65246 68158 -60987 170374 150192 67114 107245 59076 129170 78732 130220 73984 20928 10322 2473 -8906 -150880 -139313 -125947 3592 6694 63110 82615 155227 -9247 84996 -30825 -30988 -69948 -44825 94002 2053 -39651 44023 124284 -43120 -7292 -116640 91706 -243109 -18982 42420 78795 -55775 -2522 -20972 -126037 -24042 -169012 36892 219196 48924 -14716 6089 248166 -92480 -19305 8835 -125251 -137784 113179 9634 75181 -110612 4093 70220 -13630 118969 12451 17224 9479 35449 234861 170814 -31361 37179 19157 115555 117550 12493 -69282 54711 -99377 86582 105947 104395 -33771 -79944 -81039 103619 124833 -85358 -68355 70958 -188670 -52438 28031 -240781 16028 -93594 48138 -224981 -138049 3255 43888 105826 4849 -22300 -222713 -67575 -146757 18507 -99542 122356 -186919 -19621 -16598 10153 -76937 70888 64332 187198 -1533 -93709 33446 125300 -33981 -39968 96906 75727 227411 -32262 -116956 51099 -199793 212066 3916 195357 -125765 142974 -52159 93586 -142220 82158 -42997 72003 -64020 2425 242784 12472 221210 106588 -151205 -52108 -80431 119048 136058 -64440 250844 -267 79760 -100981 -8919 129189 84410 -40127 -123970 58026 95238 -22815 -36111 -69425 -86745 -54095 -7009 
+-89207 -113711 3886 165655 -159574 61061 -155671 -7300 -263865 -6460 -14528 -61155 54115 46482 -262774 -219517 88106 67621 78011 44696 -163379 -139166 22714 -72795 54958 -64397 -79190 18683 34455 -96474 -208202 78629 30776 20007 59489 125357 -43962 11636 53678 1456 -9761 -91650 41873 188567 -59191 -4228 -178755 11070 110622 112681 79163 135618 215969 -35181 -237841 -124170 -208705 -12678 118637 4567 51965 36582 -176965 35938 73390 -33233 118847 83143 -57502 2905 106531 -109710 230896 27556 63618 63861 43853 122740 81456 174923 66572 -26205 120375 -18233 21415 -254980 -82873 -141949 -24940 22554 5125 82300 148122 -40318 40869 -19616 -13343 -86344 -37912 106167 -35034 -48482 53140 133425 -3671 43612 -116444 121759 -193770 -25797 48304 97149 103283 59273 -29966 -94875 -31494 -122937 -64196 86385 119864 -91942 5564 206210 -24435 -4595 -10 -133677 -138696 97418 -64024 53863 -72866 -7072 55535 -29714 242303 50114 -2816 62243 32673 206890 239431 -121898 63119 -41016 40124 167936 141685 -91184 6554 -108636 86259 30802 108282 -3800 -32369 -70078 89330 175870 -96319 -32471 155651 -97894 -46851 -60436 -181875 10592 -143155 85172 -227086 -163800 123039 22348 58498 15831 -17324 -193754 -71935 -113140 37 -104860 164609 -56025 -1118 26447 -20855 19984 53038 67210 164984 -14935 -82027 28481 102492 -66702 -116806 86837 78725 235776 -70677 -88056 85023 -208383 233988 -4377 166716 -7888 137850 -34275 316 -178891 70574 -13770 43157 -53064 37102 248596 12816 224194 115541 -127385 -2235 43201 115431 145969 -111771 206452 6206 67937 -169362 -27358 137271 57115 55535 -119504 82443 62454 -31849 -17235 -60839 -141660 46219 2917 
+-89148 -57718 -5513 103957 -126539 71067 -24679 -11607 -198460 -14991 -7649 -60939 57622 -62802 -280081 -208688 153678 80636 18270 20082 -124468 -127952 49566 -87221 49669 -56412 -103720 16158 90195 -60193 -176477 61129 -49413 11944 62157 200821 -63206 34980 32345 -38298 -144728 -132830 117856 165332 21986 10515 -114860 31120 85266 143264 59669 -57111 223696 -27197 -171203 -69196 -163135 -18337 117550 -33309 38817 62402 -96013 6472 71527 42020 174165 -17601 -74736 189338 149051 -139474 192254 -31658 65399 -159758 49213 70494 43554 174780 57575 -45563 174197 -45681 59451 -175298 9836 -167817 -50707 39396 -37729 84467 153811 -85678 -42433 -43222 38119 3344 -14898 43285 -3775 -82163 51162 55427 39125 82120 -113715 45103 -13326 19993 49799 91972 51463 117629 1884 -64869 -29627 -76445 -45372 -48398 197516 -126891 15165 153485 122247 5638 -17978 -146490 -74858 29402 -98315 60519 -31532 -19994 80232 -37079 248560 52937 9878 97117 30950 132222 193926 -181665 81845 -82532 -149550 22281 180508 -130914 -101937 -97722 90816 -121778 93176 -23431 7652 -65456 72396 159829 -73674 -44192 167792 -22974 -55342 -29179 -119812 -22810 -170955 103696 -189538 -163119 110337 -92266 86820 -13658 -15788 -138154 -73634 -23739 -10059 -88020 209137 77372 3514 58861 -71738 98448 71505 57782 57173 7060 -59967 8068 88710 -39186 -111388 77674 86729 215648 -100096 -55329 84664 -186858 127954 31810 140807 45244 137930 -6050 -83284 -191428 17086 -45104 5333 -62159 22904 226847 24277 176730 2237 -87942 112420 142592 87206 126207 -83867 11650 2088 61919 -173610 -18836 82984 84617 -14309 -87872 29543 17573 -48493 -60520 -64805 -71915 104248 -5078 
+-101858 -12800 -3433 -52995 -31782 57332 107851 18504 26069 37062 33533 -51948 56867 -156426 -289939 -151207 95879 47013 34165 30386 -85673 -86438 37333 -71224 55134 -10222 24196 31114 136085 52145 -97811 19659 -67402 -31211 63482 130338 -71186 22914 565 -5123 -211826 -170441 175384 132162 25794 32267 13906 35918 -27937 145466 163 -89226 182030 -34507 -106617 2157 -53739 -32255 109469 -50018 22318 30723 40093 34811 55820 78569 73301 -190281 -75231 187662 119233 -130256 90269 31769 66817 -159225 73721 -2341 -2715 157872 25494 -67898 143511 -44872 47639 23973 78106 -159508 -32261 33201 -52551 84251 141496 -138874 -118426 -50302 15706 128227 -14692 -84588 -6967 -126796 44720 -21034 74845 131889 -109554 -92918 118351 115334 18239 76124 -147038 164088 -5591 -111813 -37235 -23201 92493 -48182 251342 -157067 15995 107901 124610 -4032 -33272 -114090 -44895 -39020 -71896 57162 11765 -5097 55912 -47973 189386 26181 7953 97229 31630 33229 194104 -182127 90200 -107834 -214521 -190328 129727 -131884 -182041 -67163 64337 -198073 89473 -53325 63608 -71150 -3816 105875 -31218 -1874 95271 6702 -67908 45336 -28100 -21751 -149743 140290 -158431 -126398 32152 -108318 31322 -27721 -24080 -50054 -77578 9505 -1911 -124361 153309 83276 5625 34350 -130961 154044 68215 38476 -61939 -3179 -28623 22737 10560 -42633 -115210 102821 63330 175396 -95369 -45056 98911 -139716 3531 88471 82141 -27069 108456 46037 -179896 -105538 -36446 -33026 -35611 -79463 13568 181928 -2310 117222 -158359 -54035 91850 187023 129455 73820 14539 -106304 -646 63121 -72407 -887 60716 84045 -90130 -62688 -41027 -34361 47 -52396 -57204 69835 88591 -22544 
+-84801 -59594 5194 -93887 105 26881 73923 22419 88889 -1965 33073 -41830 54378 -191286 -261123 -103525 46308 13031 51893 28354 -66148 -68810 9001 -104541 47484 16630 69100 40090 146393 160188 -20921 -45667 -45824 -59272 68300 -52131 -83730 4880 -41856 26015 -125874 -147465 185580 51271 -48051 31267 20472 45762 -77978 145317 -24404 -9962 130758 -27681 -26353 25488 59929 4651 106458 -28773 4615 11006 138045 111055 35023 26646 -32683 -209323 -88847 64920 100382 -92649 -66557 154654 63126 -73771 84757 -66373 -37324 119861 -6742 -93973 58636 -43187 75207 79389 88089 -134056 -2912 36119 -968 81330 140321 -173234 -131280 -26038 35129 189946 -48751 -216274 -18084 -170020 74851 -20483 97385 160992 -102736 -165381 65520 185637 -10657 64454 -221602 192577 -31298 -115232 -33643 115 139512 74281 251329 -187452 23691 78820 21590 -4913 -57826 -101420 -73933 -141166 -19548 50680 52569 -34933 57421 -43499 90292 -14269 -7547 154675 34280 -35597 168133 -129572 80473 -119293 -88154 -147056 2455 -124577 -182709 -41813 53611 -127579 79554 -82114 93120 -57644 17562 3588 8137 -11071 10189 -43976 -118112 125241 47169 -55363 -84245 123877 -107988 -54395 -95532 -70052 5597 -56441 -19337 19036 -75840 34492 18486 -126101 101429 -84602 14268 20746 -114544 95665 59267 16111 -21346 -8611 5921 1877 19464 -62448 -36867 58719 66429 128961 -83514 10188 102222 -60994 -45350 133912 45164 -145961 102640 96450 -145790 -7259 -94264 -61178 -73749 -91703 2949 122072 24499 44082 -188064 -41682 125607 109409 99145 42663 48053 -96968 458 72051 -18157 -14298 25399 55614 -98029 -51007 -42191 -85960 -8285 -29686 -91522 40883 2691 -45695 
+-85116 -159895 -12243 3192 -38908 29750 -93837 61089 37677 15071 -2163 -34051 52041 -158679 -204702 -48846 -11460 -33520 2563 69566 -33717 -49982 -25086 -76538 49083 31626 95698 31985 157784 231327 52191 -28646 -18251 -83296 67864 -130377 -100544 1386 -103357 -18398 -7737 -117917 127847 9720 -97593 8898 -70803 60912 -149655 150279 -96029 143561 55624 -66301 5938 8578 78522 1333 96428 21278 12921 23982 109454 152640 19794 -6568 12032 -57154 -92145 -69225 74713 -28744 -151449 210123 83467 100029 117553 -80551 -50139 57410 -50045 -95150 -39030 -26024 71650 -38419 13839 -155947 10673 1806 28212 80711 143758 -187274 -78859 -37286 -10459 139348 -79590 -259818 -22314 -118053 71875 55031 96401 195159 -94652 -128984 -116999 202601 -103476 72021 -27445 208428 -19468 -88165 -41043 19446 37025 199701 245430 -205466 -4428 34130 -59101 -21666 -79882 -102962 -131022 -147165 55397 51872 89160 -50963 62382 -44814 -30861 -42590 6878 177577 36548 -47267 119349 -42357 59512 -96550 91366 91358 -93427 -101392 -170404 -17699 28769 50337 75474 -88168 97075 -71056 857 -12908 47855 18272 -7979 -130010 -112652 29457 114713 -37297 -11082 88936 -76663 -8501 -181755 42756 -6675 -73429 -19367 84050 -66802 29428 22070 -79029 7743 -202226 10183 -10510 -47940 -10009 53740 -5774 117805 -1874 28442 50315 47342 -19616 38711 77330 58034 68563 -60496 -26 83699 -30815 66148 127436 28101 -168440 61946 124233 -49467 135103 -125469 -64251 -103372 -84470 29903 59908 -5090 -32834 -71317 -40469 164515 -12287 88264 40381 130 26646 -6345 84192 -16066 8707 -8389 83941 -106092 -76564 28733 -145545 53896 -47432 -86676 -39384 -84303 -35060 
+-76699 -137985 7909 138412 -149994 30271 -163012 99310 -201171 -19947 -13997 -45416 49127 -61932 -141476 -5200 -26573 -83053 25908 44772 10652 -5956 -45400 -75902 50149 63359 6780 15507 154445 250596 103064 40269 -698 -115027 60050 -63734 -94356 4287 -109157 -29264 2072 -82004 49210 -4660 -103591 -4276 -177591 43217 -225891 144872 -32984 210807 -44903 -66837 35883 -49909 17287 7899 88467 35615 32111 62255 -19491 111850 14889 568 98222 79522 -111072 4494 32492 21446 -120591 146780 95943 45541 124016 -99659 -53099 -13094 -79228 -97918 -80400 5173 49780 -197374 -76480 -125057 19787 -3591 41800 76212 138233 -187138 18751 -50843 -17242 16860 -113944 -231252 -14487 -57156 85537 119929 75220 202477 -84920 -13951 -244538 145682 -77059 71237 111235 195735 -17638 -100709 -43737 9856 -67325 224972 225642 -208638 16843 -40346 -56207 -57268 -105427 -82958 -149908 -73626 89134 52739 118113 -73944 77141 -44592 -41695 -63416 10599 149776 36907 -662 40515 22102 33994 -54567 99296 192464 -40528 -73786 -137495 -18113 6463 93168 74464 -58425 107944 -98255 -12028 4258 49646 60526 64865 -208357 -105740 -25372 151501 -40058 82203 56288 -24497 76416 -146081 45287 -476 -31534 -20006 88332 -48111 3855 35609 -80936 -61480 -154115 14766 -1449 -25571 -50862 74895 -22220 201458 1517 65418 23542 65008 -29873 30079 79419 72181 23237 -18342 7673 49722 80470 185936 127584 -27515 -58909 43228 118411 47122 179944 -116393 -64208 -119920 -66445 1240 3697 5199 -89684 99183 -62387 160968 -86717 81650 120226 -81115 166484 -11938 97442 -158299 24851 -51831 58244 61479 -108472 86406 -188248 43157 -6956 -101452 -139963 -99084 -72204 
+-86157 -55848 -3245 203633 -182230 15146 -29101 118634 -269810 20949 -19383 -56225 47273 48083 -78080 46532 -29862 -130306 -2203 17318 44766 39242 -51670 -98454 49050 84443 -81142 10737 131692 200692 120307 92706 -30734 -125196 61849 66854 -96316 25221 -139795 -60809 -84001 -49853 -33688 23276 -83999 9819 -154036 54997 -250846 116837 -22297 102656 -114580 -82083 51740 -114148 -109670 4441 86582 7602 48472 27169 -151512 51437 25924 18418 175104 18768 -115389 182059 -2465 47722 -12042 28159 51758 -88999 127774 -46722 -48140 -92093 -118120 -14831 -18394 19832 31413 -234102 -122373 -111048 -4752 20421 19986 75785 131218 -147926 77587 -52821 -40714 -81070 -138399 -127879 -38682 -37979 63948 121576 38147 222251 -73086 98137 -187971 56197 -120179 65973 68741 160617 -5669 -33138 -43136 -23240 -32474 116134 120744 -218734 10771 -50011 93969 -63949 -129032 -78635 -120241 -14672 141845 53815 138142 -98773 36530 -65807 -47592 -24798 -5272 89715 34802 90896 -15536 1971 12099 -493 -74678 45889 48843 -52843 -56074 8031 -15895 16781 91929 -55233 56263 -57447 83158 108478 32996 56524 155603 -243855 -120103 -89919 119485 -6690 125724 41612 20064 121541 -63785 -15364 -40890 -25547 -17007 62429 -36596 -72599 -25600 -116551 -73748 24958 25814 9909 26106 -60297 53231 -27888 120595 -3663 86245 45230 133802 -14469 -16314 72230 58403 -20782 5126 15097 36000 176918 238679 164398 -72696 43480 13086 60200 135660 129319 -57003 -41459 -120390 -56564 24848 -36545 -8937 -100122 117324 -98357 82402 -94690 45108 113572 -104949 245819 -19446 105490 -210374 24088 -44202 41746 23250 -122935 33847 -232407 58245 -36062 -65011 -58380 -13375 -66455 
+-89632 5300 -13093 85172 -109608 -2319 106284 148313 -181619 -2199 13532 -64539 46391 136103 25702 79155 2057 -166856 34004 25346 68982 43752 -46569 -82137 47231 106291 -95772 -19742 91737 92993 100350 78539 -54830 -147197 89425 178461 -85302 -12538 -97511 -5194 -192890 -51124 -86709 80844 21198 31864 -30913 27022 -244236 101125 -48662 -53627 -164289 -52421 26373 -135800 -192583 -35843 71980 -20999 58979 39011 -178117 7906 43845 71823 82827 -161271 -121071 206596 -7025 38122 127031 -25963 56854 -202012 142161 29160 38763 -156173 -156962 17807 81368 14657 4476 -81830 -123371 -130409 -32157 29022 -53590 76062 131823 -133709 50235 -62172 -93691 -77061 -80106 -11066 14965 -71233 70330 60111 -4470 201999 -60186 112508 -3113 -13745 -133911 61287 -113545 121442 -19122 -86500 -45228 -69280 87508 -31475 58378 -182791 10709 -83255 174440 -61273 -149089 -68452 -57888 97048 97986 67394 147422 -109428 40342 -77395 73116 22520 29917 98518 32061 178063 -65882 -44232 2052 59985 -216411 -158334 154109 -35038 26927 3891 -37597 -123293 64269 15865 -5115 -83170 91040 192587 -1640 96727 169588 -149143 -154929 22020 91008 -29584 151246 44010 49535 71454 49021 -102842 -53748 2916 -23908 -8220 -28027 -146781 -5719 -102612 -67908 103751 20428 40381 -32718 54134 55225 -18812 -36945 -10755 53803 52935 142075 -17119 -95829 76847 22949 -46525 41971 -19968 5892 168311 164260 105028 -79234 28008 -18853 -23366 173651 64506 1250 -41121 -104988 -61411 53798 -53112 12398 -83801 -2126 -136691 63099 3024 32985 123940 -35309 163104 -24634 107580 -127473 27468 -81817 65434 -81868 -104602 -33846 -260094 53361 -34056 -40248 59789 75744 -68500 
+-70567 -66795 13168 -31319 -47342 1792 89398 138074 -5717 -3323 27857 -58029 52607 182388 73972 92106 68828 -202960 56976 31251 89271 89695 -21107 -81579 43524 102628 3971 -10452 41286 -17821 59311 23296 -68149 -172938 73361 123525 -70433 5888 -36079 7096 -179496 -40691 -85595 139410 -1315 31695 45607 -26005 -197008 84052 46245 -82575 -142221 -39566 -30436 -70240 -193651 -29959 68301 -55498 53528 -4871 -93473 20800 62066 74316 -17699 -217830 -119108 55420 -2042 -1164 245050 34474 69187 -82763 107990 90511 47177 -183290 -196473 42509 158396 15159 61006 66807 -35053 -79801 -30670 48374 -38690 72643 116904 -93993 -11299 -44072 -87973 25260 -67397 87847 -211 -110158 85818 -7192 -43272 179921 -45579 27371 120675 -45347 -192843 49883 -227331 63672 -13342 -63358 -46593 -130381 146207 -55092 -20245 -196018 16373 -90493 65789 -43752 -165482 -72583 -39935 102011 67854 58353 145836 -129496 50821 -66962 198254 61321 5863 51049 30853 231716 -67348 -125586 8011 123745 -155016 -180627 163735 -41545 71617 13353 -33888 -233689 82433 -26066 -46114 -65767 82817 196807 -51001 100602 100262 -48418 -125436 119710 11058 -53093 120187 81500 72866 41264 129752 -125706 -58781 22821 -23653 -72063 5771 -157582 1748 -110098 52133 -5958 2223 28143 -45266 141029 27322 358 -62681 -7363 15416 72604 91703 -13018 -125581 53018 38884 -37883 87295 -30725 -21598 149287 22060 102129 -134992 -86687 -81417 -63543 62325 -60212 61603 -33771 -76450 -78380 27422 -44597 35730 -25474 -148563 -156167 -12798 114273 13147 134078 23591 40025 -28464 101078 -47130 22863 -101182 72543 -125514 -72585 -16266 -288687 61619 -32051 -59777 92008 122955 -67367 
+-74945 -142978 -30043 -77484 -19102 -54787 -89069 154727 100334 23166 39458 -45662 55146 141016 74187 81628 117271 -222181 66736 44823 89767 130479 24076 -97597 40983 96867 82877 -1760 -570 -86828 -3420 -45263 -20760 -187582 98615 -14896 -58195 -15158 8733 12281 -51370 -67763 -13270 176839 -41345 9540 -23694 -31788 -116128 61139 70283 17753 -115852 -40156 -99321 11379 -108769 9303 69859 -37590 35856 43708 56230 105196 71673 24458 -6471 -107266 -129865 -77948 48454 -60138 214728 148143 74776 80160 98827 135575 83102 -184891 -209085 55253 167766 -8181 85326 50198 55948 -81275 -24660 22202 -41292 73875 109161 -19552 -112685 -65159 -87940 145809 -25338 113539 2182 -154086 63984 -18391 -67658 136353 -29923 -101803 59494 7670 -143697 59983 -69513 2205 -11107 -51768 -42522 -170060 54163 43663 -77983 -180346 1796 -86917 -45784 -2686 -183575 -68948 -112710 78899 -20643 64392 133161 -144414 78836 -61882 242159 61170 -13357 19744 32066 220807 -56948 -152010 26981 178254 29399 19485 64289 -93371 40386 34922 -32532 -108808 95702 -9404 -38288 -66128 76987 100838 -87072 105863 18730 27086 -131103 92170 -71093 -6383 54376 120032 63890 -9825 116622 -31660 -121403 -8323 -27196 -155129 21071 -151288 12649 -121098 103104 -140296 5551 8989 -93607 107596 42009 23285 63238 -3767 -38675 37587 56700 -3452 -111666 24188 35489 -23464 137942 -102918 -35157 123623 -40659 51805 -101783 -175999 -93086 -62756 -36742 -140800 74151 -53147 -38732 -90943 40191 -11472 32592 48917 -190637 -159207 -80859 189325 47440 98729 37094 -81063 -36814 89593 -22540 21867 -114490 63692 -75220 -46671 40358 -274521 33225 -48280 -97103 -8460 47975 -46641 
+-70380 -139916 23866 -5858 -45740 -26186 -166274 124336 30203 9172 1513 -39296 56674 55190 94959 66300 138196 -232502 67807 50758 89121 117259 46841 -108808 38662 71163 105116 -34507 -56036 -77614 -91360 -69705 -14020 -207678 62162 -131262 -40788 -42553 18509 18728 27156 -108923 65052 193809 -99121 -4266 -148480 -19250 -28081 26582 100836 164316 -23210 -69812 -135010 20849 27276 -24305 63970 5648 20470 61884 141238 134708 70941 -10806 89408 64894 -130896 10221 55388 -114927 110204 207505 100970 79729 48634 133602 106985 -175584 -222946 28298 92817 -32597 89962 -120957 102591 -74540 23393 21145 48494 73059 108085 -10562 -137695 -47034 -78420 189130 -25702 47264 -19766 -148386 79819 34249 -74142 96239 -13361 -167502 -125772 105199 -165777 65639 96907 -52313 -13430 -43373 -44038 -188319 -67065 192287 -92339 -146876 16035 -74216 -64701 5908 -189458 -66407 -159194 3366 -70205 70091 109858 -163892 48096 -69859 206560 21804 -2373 29354 34852 149652 -59027 -149123 52955 204560 123396 185367 -42612 -112384 -6483 17780 -13427 56169 86080 -36818 -73782 -67500 97505 6763 -94636 111848 -11565 -30890 -108194 -2810 -145688 -14663 -27697 135728 56649 -75319 -17007 49845 -96689 -1538 -17305 -199389 40510 -142898 32876 -96598 188893 -199226 -2426 -31056 -143063 65328 32504 43779 191148 -7372 -81896 61810 18075 6770 -77239 3971 35442 20325 171642 -91779 -64561 28507 21586 -11312 -111229 -120820 -96555 -12438 -161402 -206052 62506 -53389 2814 -86207 45046 40953 21325 127255 -70322 -145781 -99832 154221 -1920 84958 -16176 -84943 -49216 77307 -103486 33024 -92975 60172 20778 -59354 74844 -286857 24932 -23621 -104380 -90385 -28279 -72823 
+-90955 -63782 -8439 127603 -150980 -16131 -44592 110262 -217270 -7442 -16208 -40723 57843 -51963 68239 41159 103533 -214914 7454 56342 65422 133076 56236 -81365 39482 61253 -38869 -38434 -112560 -13053 -161995 -19790 -17838 -208211 80276 -91523 -43521 -28549 67863 -7486 -18536 -135074 142816 165630 -109164 9124 -187003 -39305 45575 23233 124146 178329 71521 -48427 -214350 6789 92532 -9688 52870 36172 15486 85407 108008 118659 55735 10790 152917 48670 -128147 168694 79270 -139860 -29979 141709 67284 -42693 23079 64435 109111 -107936 -225443 -35086 -21114 -37895 48065 -238872 72887 -57063 16469 48610 21707 76356 98834 33107 -97427 -65014 -49311 121202 -12491 -76503 -22724 -91931 71297 115056 -57933 44275 4133 -118753 -247884 167246 -144410 67820 38613 -95452 -18818 -68884 -37077 -192342 -35870 229835 -80194 -89303 29414 -80201 53070 -3547 -189558 -56991 -141205 -89056 -115567 71533 78256 -166783 54909 -56346 132622 -22852 -31018 41509 36868 63144 9336 -114791 75879 218936 -304 89662 -98331 -149782 -86829 16628 -317 126091 79598 -43143 -69970 -97361 108881 -28741 -74649 138934 59818 -115719 -128178 -72502 -210955 15981 -99112 121963 23722 -133280 -141668 52766 -89480 -8619 -22976 -219975 59636 -113716 -2890 -147483 219128 -62305 -24517 2550 -118699 -43290 7555 64634 195847 4387 -104856 92407 1126 11809 8914 26983 51166 81142 224298 -137669 -80422 -39072 170722 11998 -79028 2168 -125540 37679 -192151 -222069 13814 -55590 40696 -66609 53189 105953 23228 186341 84884 -106126 -110603 62750 -7126 38664 -99239 -11603 -51754 66037 -201839 27358 -88920 74143 26372 -98104 29788 -239405 -36943 -30240 -68249 -77151 -104753 -63036 
+-94894 2002 -47329 163467 -144266 -510 113181 106378 -262409 30748 -3686 -48692 52332 -150952 45081 -9381 49926 -186584 -11002 21396 42631 144667 4400 -61755 40460 40349 -89767 -14735 -130622 100022 -211760 86777 -55940 -211861 54545 35050 -53499 -36331 64769 -24042 -134534 -157036 185643 97410 -66969 31456 -71960 -56961 100217 -26880 129181 65385 146329 -36063 -229057 -50223 47075 -6189 51443 26290 13705 39703 -36502 53719 34715 34684 142261 -133139 -114494 218252 118369 -132790 -150708 31679 47556 -222003 26300 -4431 94416 -47439 -222372 -88995 -71726 -48373 47988 -193228 -4303 -45900 12536 44791 5761 79071 105303 52321 -14663 -41767 -30216 -4652 -44368 -188629 2294 -55912 64547 135784 -24570 13097 22442 6335 -180105 206466 -136429 68448 -118311 -125027 -27521 -12376 -35004 -173059 99588 109368 -50937 -30146 17269 -43374 154238 -5973 -191831 -79401 -61185 -161240 -60275 67917 39982 -171798 59935 -67451 20374 -55053 -34468 117672 36531 -20535 60495 -35700 88524 207137 -185907 -117856 -6522 -116182 -172473 11483 21777 30432 85458 -109374 -6989 -75323 61434 14898 -33757 134093 146951 -185773 -85516 2385 -243880 20723 -153197 80806 -4278 -143083 -189128 -47157 -133506 -33145 -26376 -186693 64578 -83955 -13509 -116761 145525 90394 -10264 -5104 -102994 -61318 11458 68049 36413 -1210 -124120 105469 42931 24089 8440 18240 25818 134279 241054 -160438 -94025 -138575 228889 7134 -27224 41632 -134251 110810 -163100 -122911 -53282 -88738 70068 -55229 23473 162611 57422 222830 136736 -70309 -76443 -45233 -15761 75844 -78648 164705 -52003 60992 -156183 30478 -88781 23374 -28688 -121875 -34796 -223102 -22286 -33032 -72753 40751 -72487 -59012 
+-84573 -51961 -28637 85274 -110318 12463 95735 74831 -145866 37091 22887 -59554 49178 -186262 15328 -59679 -2894 -136757 19938 17205 8782 150945 -18084 -77424 39579 6983 -45308 -2484 -136227 203221 -243401 88238 -38131 -205515 51413 170284 -65655 -50002 54018 -43344 -211045 -128059 168709 39510 18495 32107 51060 -29171 115629 -29605 124221 -82607 212382 -21559 -249277 -110763 -55977 -14102 43422 -19764 32932 20448 -160196 18460 20209 66684 48168 -228408 -112193 27172 174265 -89834 -146729 -26898 42412 -111218 86507 -71996 97670 36754 -194148 -119697 -57423 -36166 12812 -10292 -81579 -44312 2814 17748 -20023 80765 87580 60487 55462 -62302 2045 -88995 -57508 -257159 1264 -44156 59952 63486 19410 -26795 40820 109535 4877 177196 -97847 93220 -183461 -125862 -3479 -10062 -35909 -132217 150572 -19785 35656 5619 8640 4294 101156 -17562 -180098 -99298 -47373 -118306 359 61603 -1839 -175583 61301 -49159 -46718 -45457 3981 99933 34101 -45886 102906 17085 85616 168343 -201307 -221567 116885 -128302 -193918 16516 43184 -169958 87676 -77444 30659 -61254 86585 105125 7093 144214 173909 -234807 -69554 60981 -243555 8170 -170014 51245 -46693 -119627 -126462 -113417 -125110 -37364 -20569 -121221 90429 10293 896 -111049 36476 79219 -29643 21995 -25993 -4572 -6157 59265 -74143 -4129 -53125 115328 88254 31892 -17660 -24982 58405 180811 258982 -112249 -103396 -164692 189729 33192 -5945 -37901 -115335 146902 -26892 -10233 -100357 -42401 86892 -60505 44719 213525 47272 221366 6128 -34802 -93167 -128667 -30482 93931 -5413 237878 -48681 64308 -38890 12165 -64117 26612 -94390 -131084 -46151 -147429 -43904 -48242 -53599 25630 5060 -57523 
+-77348 -131411 -9928 -23587 16641 21537 -67437 36930 2921 9190 37923 -62710 46817 -157959 -106465 -88276 -44589 -97093 38323 35656 -10781 130112 -46032 -61551 35816 -24263 21440 -53251 -117061 257877 -208259 65608 6576 -208857 51809 171349 -74356 -62931 -32786 -29597 -127520 -130376 97772 8698 5826 10203 24309 -35442 116664 -44326 117550 -53527 224017 -28991 -218990 -124647 -160142 -8496 42442 -45631 46742 20471 -181801 47410 16131 50447 -13717 -126343 -92181 -88547 101541 -33944 -49262 32932 62831 57143 100430 -99508 63527 115063 -180319 -72907 35783 -19370 58810 101583 -152478 -18098 -25056 22210 -55181 83319 93465 84380 84236 -69803 32526 -65073 -103043 -235419 2196 -80804 59448 -2204 60083 -70819 59520 108936 122392 85994 -63474 89037 -56251 -115158 -24029 -57490 -30334 -91118 51189 -50706 117610 39455 18676 26496 -57824 -31873 -162295 -67775 -91761 -29814 75977 48273 -44474 -167117 36931 -48598 -43511 6332 16771 151972 31515 -10207 164250 32004 69232 130712 -37836 -67363 187453 -79001 -182526 4773 61650 -221341 85920 -55133 72724 -54997 1625 142500 43780 148347 110982 -167028 -49086 98138 -214987 32806 -136267 25461 -95904 -89783 -23055 -81824 -126178 -36549 -18344 -44594 99199 10707 8506 -138504 -36512 -90309 -29138 35166 14661 117974 -7012 39816 -5937 -4178 -34477 111752 117664 28955 -99218 -52438 37809 216047 252537 -105029 -94521 -188348 43628 61348 20260 -146891 -108876 102842 90365 113414 -119502 -45108 87729 -76107 61075 241057 -2439 178665 -153737 -32629 4423 -60215 -435 115322 39536 193777 -54167 75076 -4924 20582 -54872 72602 -93195 -87578 7430 -97729 -28292 -13206 -65431 -9398 90475 -44464 
+-85516 -151640 -3711 -70699 15258 5445 -162882 18327 98655 3107 1940 -60083 48802 -66108 -163381 -152601 -38639 -39748 1224 35180 -43799 103151 -42467 -105443 40001 -43477 111559 -59873 -99590 241358 -150556 -23464 10446 -195104 70318 63614 -88813 -35840 -74494 -54114 8713 -82246 15270 4005 -39992 -4214 -120446 -25398 51626 -66258 62750 81610 184672 -55912 -165164 -64641 -216930 -32015 40112 -39452 60056 35805 -74104 109366 23587 -2875 29763 31756 -83276 -22777 84518 21172 98485 148677 76654 123218 129089 -74796 25112 147828 -136994 -92695 143450 2052 48228 -11352 -103063 -41972 -37743 7999 -38363 83588 74616 59663 23386 -48314 41773 47741 -103331 -150483 -8244 -119053 55025 -18820 89156 -93850 78125 14083 50172 -5631 -26599 83085 105970 -77663 -23094 -59333 -24597 -27138 -69048 50594 174560 55182 21030 89496 -97261 -14237 -149174 -95461 -142999 76592 117104 47207 -85138 -180381 73545 -30291 36262 37773 -11826 157628 30936 64617 196945 -9149 43727 63487 115127 153200 185767 -47818 -124983 -6779 80165 -102930 99332 -33129 109474 -62045 43665 172213 54183 148868 21858 -60784 -20070 16766 -135435 98624 -73641 48725 -137603 -20753 65003 4765 -121984 -15631 -20439 29157 91448 -13219 33631 -98587 -67170 -213183 -53403 17485 -2222 83070 -5587 17678 164821 5123 58234 106993 133890 39920 -129504 -72937 71003 227169 227073 -118563 -67833 -192738 -32341 63898 75494 -178493 -92108 45139 133819 147297 -106623 -44005 72989 -89415 49598 251289 75482 112663 -195257 -49131 25466 117298 -52608 146504 10043 55712 -50555 88248 -66222 1536 -23237 86934 -56944 -54743 82996 -70992 -9382 -31331 -100194 -94692 100864 -15688 
+-80539 -88767 -12663 8731 -65840 40663 -64869 -10814 29537 15218 -10881 -46662 49377 43876 -197839 -195241 -4407 1010 37925 63813 -96540 67370 -35567 -78863 30457 -67372 100448 -10975 -29196 156880 -82607 -48484 13441 -180502 96555 -80540 -93692 -72245 -112244 -29616 33962 -37660 -67991 41546 -101531 8519 -188707 4665 -22080 -47745 40297 166530 114982 -46602 -96017 -21208 -159979 -15266 39080 -6173 54045 37280 68350 150107 41748 -2084 123397 61871 -82183 155653 15752 49950 214535 210950 78964 -34265 142476 -25278 3779 175992 -98936 -41230 173316 19392 71159 -177409 -22638 -54029 -15234 19361 20240 84831 73869 24962 -59839 -56119 21593 160756 -83095 -20240 19497 -138214 34833 41016 99881 -99972 96854 -113826 -135472 -41577 22278 62543 45400 -25717 -15681 -15729 -24626 2834 -30464 180987 253317 92614 -6332 118731 29536 -53432 -120371 -100431 -153495 118801 160210 50300 -121128 -160788 79483 -66779 149371 56876 -17627 157259 32808 163922 195920 -126095 19619 1761 62997 140563 66871 -24921 -50877 -28085 85495 55455 93714 -16394 85650 -84358 40094 106412 34236 147358 -8068 17614 -46320 -60107 -52340 95251 10576 79561 -159613 51984 101634 54331 -155403 -39225 -21253 82829 100554 6119 -14216 -134215 -80670 -138170 -55128 -18057 -62581 115962 -2738 -5060 212849 4694 99200 152643 107099 44367 -143579 -89523 62487 226681 192639 -21155 -65707 -185780 4210 87974 131436 -44614 -97897 -8011 150206 160971 -37883 -6102 44634 -88010 37080 227040 -2196 34332 -73369 -89278 56401 184203 -52267 147062 -34939 -91958 -47840 100475 -140871 14082 -9579 52838 22771 -52737 43782 25008 8721 -39090 -94279 -88037 22128 -23812 
+-67514 -16164 7040 116908 -138896 57482 100007 -3640 -149364 23771 -1122 -34250 52934 131989 -259409 -217984 57387 27012 35775 35524 -126001 32052 -19924 -91071 34025 -76814 -4681 -23608 10689 43917 -2621 -21121 -32672 -169512 76244 -100226 -95994 -63446 -110409 -23248 -75360 -27104 -82654 92848 -117586 31045 -123375 31796 -120195 -42589 -14835 166550 20351 -50513 -49796 57425 -31660 -2615 45430 32860 47235 49497 154597 127689 61634 37070 118105 -90977 -79609 183804 19190 41151 237354 148411 76816 -176651 105448 39458 8372 178178 -58271 711 114878 18988 54218 -262032 45776 -28640 -4203 -2587 41601 81029 63890 -5659 -137615 -68508 -15570 184867 -70972 80568 -10471 -130264 42823 115720 87305 -98117 114599 -163578 -247168 -12480 34511 64924 -115340 28421 -12464 -25305 -24837 18668 83566 245875 282914 59767 -3280 178242 157737 -52675 -99359 -130714 -90638 108254 103179 61748 -149415 -135205 72541 -59071 219667 47118 -3206 130104 35539 220746 183754 -172025 4180 -58311 -129020 -53924 -80994 -43902 18577 -25405 85207 138896 106170 -26832 74684 -70042 70335 49103 -5200 139812 49500 5319 -45357 6787 33365 52004 88574 123453 -202462 62181 38340 9050 -115496 4030 -23298 87327 84852 -66842 15005 -134324 -29931 21807 -70052 -29280 -112952 60007 -16896 -18626 86688 -4219 50535 131692 46112 47729 -87973 -115391 113793 183964 174681 -32079 -22837 -107333 125731 147022 145286 45507 -55627 -60259 26095 94099 21751 -21817 7303 -69600 20036 182637 33301 -38096 96390 -120790 152091 187041 -93458 138083 -96320 -104699 -48757 107259 -179639 14025 24080 56920 23919 -65322 -41330 25550 26896 -46143 -63794 23554 -89168 -10426 
+-70378 -27435 -30702 183260 -157947 61625 106110 751 -262343 28895 1507 -41479 54657 170522 -276268 -222880 92760 65155 70786 22412 -152007 25630 4856 -50023 31441 -82271 -108486 -16011 84619 -56970 70000 34475 -53051 -150302 65647 -42897 -94808 -77744 -104938 -13843 -190246 -73125 -61673 150219 -28602 32489 -10699 55731 -180677 -28394 -26306 38551 -82056 -28962 12384 30991 62133 -18800 36511 29964 22981 63572 89452 52007 71492 91475 60161 -222319 -65370 49364 17649 -490 165631 34447 57161 -193722 135664 102873 -52178 159494 -30589 30455 18099 11267 63526 -121150 81834 -51879 16219 10558 -5191 80621 59954 -45063 -122099 -51639 -19964 100943 -43662 120981 -7341 -68148 30063 130330 57952 -69285 131837 -105719 -172106 60835 20994 63603 -187065 91869 -18480 -26994 -19947 10676 150265 133892 269251 109360 1566 202037 99908 -52204 -71453 -91581 -47104 22612 21442 60560 -168542 -115697 57149 -50222 237020 -13093 -2442 128396 37043 230594 188468 -171680 3579 -91186 -220944 -205230 -68049 -76334 66008 -58879 67942 8022 103974 -61559 14619 -63037 70980 -4871 -53214 130212 142882 -42509 -8399 77851 99817 65717 134129 136152 -220699 100281 -76467 -65164 -129976 1077 -18243 45982 77670 -77030 -404 -118301 66286 103296 -84837 14314 -116880 -84157 -19947 -28545 -56383 -2613 62417 100599 7907 61139 -1208 -119495 110369 150537 152133 7267 4828 -39503 230088 156149 193496 5937 -12363 -56002 -68810 -21646 67996 -47278 -34031 -55291 47575 124876 25289 -93136 125507 -151135 140611 67912 -65975 96126 -77118 -886 -33864 105935 -105878 -4055 75857 68299 -80268 -114141 -69046 66885 21318 -11309 -54713 56090 -111411 10419 
+-89710 -88218 9843 101065 -79525 64894 -54136 -11857 -200130 -18107 13814 -49442 58363 148278 -303525 -238607 148936 70178 76777 33482 -184800 -6544 42001 -95807 30992 -74709 -54881 -9089 95188 -94300 113899 69310 -16560 -126925 59974 120647 -77151 -82076 -64101 -26812 -173538 -82368 16431 188080 4219 10919 47517 53110 -229451 -8613 -64358 -88217 -129400 -62970 65147 -39478 89956 -7173 35796 1972 18097 45195 -45596 8529 70494 33980 1996 -175354 -68127 -55260 23843 -54897 20609 -33465 59264 14593 136040 123704 -30457 106108 10442 49314 -61306 -9129 35024 55065 22390 -27633 13760 -12360 -48431 77300 63519 -91722 -60536 -67136 -45007 -24580 -35445 55761 -6584 -48498 22759 71626 15625 -45627 148579 20553 13030 151387 89009 59384 -57351 138794 -37681 -16132 -18129 -16243 43555 4123 240833 64993 12035 254722 -34630 -44975 -48859 -146689 -70396 -96065 -43049 56542 -177029 -97757 56287 -43056 145213 -48472 -1772 40711 36151 174121 125235 -132029 17452 -120474 -106011 -135933 20718 -98439 55506 -79235 52655 -170188 112033 -36821 -27455 -73156 102177 -8125 -80194 153812 169269 -165911 -16425 94095 136107 98272 148875 122973 -218909 76639 -161444 -105955 -147687 11447 -19961 -25745 66902 -165769 5901 -103321 179875 -12570 -90955 44297 -140797 -40323 1906 -20392 -65162 -9254 40184 119662 12351 49125 32324 -134117 80400 94628 72485 10497 31502 26825 215981 123733 209860 -103595 7953 2029 -176869 -129263 74975 -50285 -72207 -59740 61224 62024 45387 -99260 4235 -165213 179343 -47409 -95957 38195 14348 154820 -38397 98817 10432 -15025 88850 77358 -153379 -123452 16618 91822 59748 -22086 -40124 -25710 -26706 10230 
+-81997 -162758 -43577 -43916 9108 58142 -173542 -7551 37353 -16378 23318 -59558 56109 66247 -268226 -203311 126294 67143 53099 46094 -195168 -44710 35619 -100612 30783 -64882 28068 -6234 139932 -62360 125455 73787 -5752 -106312 64946 217547 -68597 -112288 -13692 -26481 -60694 -97166 99726 185244 -215 -4202 -61879 56932 -226255 21796 -38680 -59514 -160777 -62870 50015 -92807 4320 -20451 43680 -35601 11925 18279 -176409 27114 57224 592 164 3474 -73732 -2137 46481 -111228 -98861 29698 56335 113927 105564 118929 -36462 40726 43322 -27341 -67121 -33252 36723 84174 -70259 -71734 16181 12997 -48059 75405 48210 -130432 34773 -71185 -79803 -93039 -30734 -56411 -10002 -70614 39485 -12080 -26997 -2182 163730 112399 124293 208347 56225 50965 127832 184476 -18904 -151 -16820 -68318 -77034 -72801 152931 28121 28525 269505 -88954 -39131 -28431 -150423 -122117 -125796 -95030 46358 -174297 -102636 16072 -44734 78046 -52048 1693 35783 33438 82457 69060 -38869 41319 -108493 75426 89449 122940 -140841 -18106 -95105 27409 -227546 106526 -89638 -42313 -94707 99429 62329 -94994 141938 116657 -219564 -7430 59101 131054 91461 108994 82769 -206548 15617 -148298 -59339 -131226 -29048 -25959 -93580 42789 -143952 5692 -98019 200306 -169909 -95778 8141 -55852 34798 11198 2499 103991 -14972 -14008 98687 26949 70553 -23387 -162385 92106 41617 34557 29938 62305 111897 88817 111185 214193 -167433 37692 64526 -176881 -195032 47825 -81096 -102304 -77466 19940 4179 29155 -74610 -153615 -146124 112746 -101288 -57809 44202 65809 250224 -31871 86535 -19072 2090 113431 41115 -132882 -108647 68367 96317 66565 -63518 -64817 -117094 50713 -17007 
+-86866 -112677 -29260 -72992 9607 81836 -79351 29183 104174 -17286 -22079 -60753 51534 -47402 -178467 -171743 56092 42959 64691 66719 -202033 -96337 51052 -101289 28635 -46515 88162 30172 162414 32741 84317 -13870 -34844 -77200 72979 115060 -70254 -128819 40834 -50483 37679 -140808 163478 147620 -40718 7827 -198172 35823 -218586 44742 -29500 97090 -139897 -40707 1113 -114690 -141370 26534 44596 -42425 35915 38986 -167219 101578 35660 -86 96821 80911 -70479 173188 74906 -142124 -157147 151057 78798 25471 50069 52253 7271 -44826 65667 -38558 -73 -52182 10548 -78880 -117136 -53778 -7658 -23996 -3524 73347 30872 -172043 77203 -75543 -132513 -50171 -39666 -188762 -1840 -108797 56183 -29856 -59266 42001 178148 110365 43019 185328 89145 54057 36392 206263 -13413 -36205 -12968 -121635 -31122 26365 74429 -10148 14220 283799 16133 888 -8618 -158318 -144566 -112871 -70152 68050 -160441 -86576 55340 -35680 -33835 -22710 -15694 44813 31235 -6151 16495 1748 67543 -84153 112024 161082 171201 -152015 -95782 -119368 -3330 -94407 115420 -89275 -44941 -94613 89638 142821 -75741 102527 25939 -191264 -324 -70056 110897 129168 36466 44205 -170787 -54472 -77109 57001 -108677 -40586 -26310 -176789 16150 -177841 -6857 -93208 209527 -190317 -96456 18 -14350 125148 -6353 21476 205740 -2080 -42184 110888 95915 72210 -79979 -165955 66528 -4878 -14491 -35366 73279 157992 -16675 99957 213294 -138585 70984 117188 -95789 -173645 -6924 -50856 -119051 -90901 11883 -33773 10288 -21606 -180591 -113046 66478 -58641 -92233 73069 -13886 205052 -19675 73384 -147134 219 136655 43195 2095 -65980 44705 112671 43724 -29887 -94853 -44289 100721 41051 
+-80648 -43813 -11659 -164 -103438 37807 94012 61158 36671 38080 -22996 -58387 50243 -138086 -146021 -126853 3059 8431 14449 29660 -190261 -80932 12031 -67931 28894 -30641 77327 6179 168925 142219 39536 -78401 -19733 -51091 83696 -23881 -54494 -83187 64054 -34713 -9370 -132468 176735 81327 -103786 30539 -169780 19847 -178275 75477 -18000 190567 -67234 -57658 -39986 -95564 -213282 43980 52801 -16388 53891 43975 -55710 157602 21172 20631 152909 -51868 -79776 204173 93086 -132508 -92690 206580 91895 -207442 55969 -12239 62468 -103899 84424 -66574 105954 -44315 17134 -236549 -154489 -90229 -31070 -45255 34466 72822 40120 -169348 40326 -82708 -98141 70219 -64120 -255189 8483 -154908 42137 33860 -74113 89357 190921 -1406 -143474 100463 91868 63945 -131148 204973 -16388 -62963 -15326 -165945 75907 165438 -8214 -16564 16275 279110 138931 2130 3713 -89619 -118477 -54326 -40502 64745 -136836 -52129 73595 -27640 -56520 6513 15723 36456 31086 -50488 -48022 12991 85380 -40069 -58011 16228 173915 -138714 -129901 -126896 -19572 47391 99533 -49931 -72855 -91423 96126 175554 -45426 99244 -13776 -101684 -1113 -25975 42324 119116 -42242 27248 -152179 -120885 2099 42870 -73126 -17459 -30689 -220573 -5620 -146921 1076 -127396 94657 -67464 -117520 -31314 -1108 105407 4241 44783 159945 -4171 -69907 46702 124615 84628 -131181 -181642 77279 -22741 -40363 -5852 94502 148616 -16169 39782 204310 2270 106996 129682 20194 -105477 -81359 -34784 -120514 -86003 32073 -50736 902 50490 -75561 -84422 28135 71553 -94971 106277 -82534 96386 -25201 63492 -184681 -19675 151192 40631 43024 -49088 -33502 59736 26616 -58440 -95105 -11797 81948 31040 
+-69285 -3741 -60784 140845 -168586 44604 98960 100258 -143292 30684 -9436 -43372 47702 -187618 -45369 -74180 -10914 -49562 -3154 25259 -175578 -131128 -7738 -25762 24776 5016 -5529 -9222 131681 236115 -31601 -51599 -26845 -22588 84325 -143398 -37873 -97249 63955 3527 -141854 -147248 152828 27378 -122178 32864 -29982 34900 -123905 78889 29846 115013 13656 -78750 -97661 -12087 -188232 -2413 55464 27557 48076 53617 81576 102173 14948 45530 112534 -208014 -87898 89849 118169 -87895 60267 149529 83923 -170993 39268 -84892 76100 -159642 62717 -70161 175643 -27941 33383 -213289 -63521 -126478 -29445 -7874 52219 72364 41443 -180439 -37731 -68700 -90141 173179 -72926 -246287 1101 -140936 65857 120433 -68882 134519 202440 -124277 -248521 24523 21280 72449 -190069 185748 11862 -21186 -19154 -191038 141003 236071 -75006 -38490 12343 267723 129086 -10446 15008 -74366 -67702 18300 24270 54652 -104638 -43821 9772 -43656 1654 55227 -12695 67248 33428 -27925 -105492 -35187 88951 17096 -206620 -193546 23113 -130631 -192801 -151205 -28360 123023 106212 -18969 -22853 -81454 77054 140877 4241 75792 47346 -6989 -43245 53193 -56835 90542 -119728 50110 -97046 -145624 101040 -18937 -87448 -44434 -24105 -219099 -11146 -103570 4770 -91283 16759 91908 -125371 22067 -9715 47403 -23217 63396 3500 -9363 -111172 69550 124021 106830 -130765 -173780 88923 -43037 -75556 -20909 90569 127617 96663 37071 167716 51498 115014 94389 148668 -30934 -107627 -31047 -106250 -66966 20307 -47469 10813 123253 96832 -47816 -29633 195157 -118360 131066 -118348 -58184 -16883 61330 -145923 -23493 155643 43642 -29795 -51595 -60143 39641 -19817 -42522 -74764 57501 -29810 51943 
+-68790 -92824 -13845 164947 -171943 -1439 -38874 105068 -291867 75029 16445 -40947 51141 -161740 4056 -25388 -23727 -101924 4552 24225 -152239 -171384 -56482 -125716 26614 32939 -96369 17609 114377 247575 -135274 51921 -13751 5439 74209 -26788 -55000 -105360 26750 3089 -203051 -111330 74838 -740 -46271 11601 74046 11883 -41717 106709 117371 21395 102108 -63447 -153264 31200 -88470 -2133 61783 24576 56237 30780 142417 37330 23536 60561 -6748 -197078 -92880 -68052 108221 -27416 178858 26983 68047 -11468 51347 -93124 83313 -174537 67078 -68519 159116 -16456 62770 -47837 5620 -127582 -7603 2860 -23787 75042 19370 -139921 -116610 -62529 -27251 177158 -109216 -150747 -1607 -83460 55871 137941 -42238 167056 211750 -169069 -164680 -32764 -25365 91296 -31556 148097 -7703 -7210 -19500 -199486 46106 169644 -98882 -114821 26873 272676 -7503 9009 14969 -98481 -70398 94577 86945 57887 -65826 -15707 45279 -42809 116579 53680 -5238 121653 36127 42601 -53323 -89654 77559 83165 -169868 -161963 -85819 -72578 -170739 -163416 -32033 12966 102691 -4726 27586 -66343 56521 75471 44473 62939 138126 13981 -55779 115161 -138555 111187 -155895 93052 -60044 -147980 108571 -107108 -79040 -38210 -25125 -179663 -26320 -47277 12132 -107648 -62973 70289 -138567 48046 -96552 -11317 -3438 71352 -82229 -25235 -85177 22220 84707 93536 -101396 -198364 62856 -19688 -97690 -94843 80419 77586 211812 41190 111660 -42101 126968 57168 152676 62843 -120135 -21208 -78058 -55265 32904 -14569 7251 199970 139784 -38651 -90399 220589 -105453 116822 -53061 -121794 -13881 66593 -76509 -5076 165368 24403 -95092 -100533 -4753 -13063 -32065 -36916 -36283 6933 -97166 67809 
+-97787 -153454 -88090 89457 -89754 17785 -153621 133947 -193225 49133 17733 -40121 52784 -74613 84450 22789 25287 -150116 31318 35909 -130472 -167460 -57149 -101776 23113 58599 -30774 17433 38793 218951 -181902 87843 -13503 24877 65541 74865 -56092 -111316 23776 -22659 -126510 -53721 -27060 11642 31097 -4124 -15567 -23535 20714 116517 143218 -46483 157440 -53430 -230751 12248 42267 1273 68678 2734 41324 21133 80845 -2054 40270 24988 -7239 -32103 -108293 -25281 101087 21502 218501 -28893 53965 100406 64587 -81899 142796 -183163 34277 -70415 64089 4868 68719 78814 71494 -149335 21731 -6339 -35215 77946 26562 -108300 -136045 -65600 -27808 79151 -91376 -41125 -2049 -51172 50032 70591 -1518 189832 219906 -92357 21479 -15440 -51378 67022 102921 97700 -15747 -35655 -18767 -173315 -65957 25866 -96614 -154440 13334 222129 -89885 -14084 11817 -83715 -104070 116281 128315 51252 -23539 -20205 60176 -17907 218110 26239 25126 136382 37013 135587 -24163 -183614 53897 137132 15414 73053 -30146 -51353 -143420 -192167 -34212 -152728 123876 -16882 64607 -86246 51456 -23498 55438 95248 173959 -36689 -72816 64130 -201241 92831 -163513 125361 -4032 -133132 20082 -118325 -75101 -33823 -23568 -98800 -44291 24215 34023 -143133 -65613 -82732 -138605 14792 -131311 -80396 20408 54211 47612 -7319 -58848 15945 42478 98246 -21215 -177844 72191 10312 -102935 -100000 83254 -3903 228540 44324 68356 -159137 140660 -13431 110625 151830 -85018 -15103 -40440 -59372 40069 40062 44970 225359 13064 -44395 -86705 107562 -75000 112594 58620 -16823 -8368 78237 -19796 -3951 168025 59398 -90996 -119490 73782 -74858 -27736 -26954 -31554 -105772 -104134 63577 
+-102706 -145696 -22539 -15378 -9862 3750 -85402 147909 14930 25481 22896 -53890 56087 37728 101478 72786 54987 -187802 73946 58043 -78226 -176877 -49637 -72353 24244 82568 15765 26921 7114 104362 -218672 78914 8319 46246 61344 177388 -67713 -113476 -36896 -37786 -1662 -35288 -67228 64704 31732 7190 -140903 -35559 52534 141474 132837 -6934 226653 -46665 -237669 -54267 92864 -10426 77072 -29555 13631 6282 -64975 40738 59846 -10829 67874 82474 -118555 170306 88275 50431 197924 33550 64417 35412 88369 -29166 121638 -147723 5623 -28174 -40439 18487 59564 26040 89194 -148556 35247 -10153 -37786 79445 20674 -95262 -82275 -52747 -10203 -43280 -103237 81586 2818 -47764 54567 1443 41283 218366 225909 31966 123649 49776 -87562 81790 60400 32130 -16574 -13464 -28250 -138094 -40663 -58058 -27309 -188878 -1765 186509 -2280 -22345 5193 -49190 -155112 45728 133380 53392 18919 -1035 63080 -53083 256931 -8317 17034 169610 35567 213936 -26663 -173812 28350 186942 119235 193701 53503 -34193 -72532 -189932 -27368 -185405 102773 -38600 96507 -65360 47016 5947 38659 49280 126555 -115495 -83309 -55990 -251320 120207 -125628 138340 43192 -62566 -86208 -16481 -36 5529 -31312 -23787 -69874 36881 -11270 -160701 -77093 -196633 -139725 -15387 -167200 -19345 4518 40323 189332 -12159 -39231 15841 14165 103910 43255 -160901 95833 59804 -85373 -142545 59136 -82748 124407 91904 23262 -162924 142692 -54129 -37945 147321 -25240 -52119 186 -76880 28248 100939 15093 214100 -160464 -70790 -94613 13110 -104740 67249 22735 150350 -32 90831 -59542 8671 166015 20504 -52893 -127401 71882 -115555 -69383 -11386 -94785 -117747 1432 69660 
+-88147 -66020 -32869 -82455 8838 807 86512 150056 103513 15482 -15131 -59681 58454 148190 100065 83435 98587 -217374 16398 67356 -40656 -173067 -18756 -94108 22826 101433 92686 58407 -48830 -4985 -226388 10619 -39474 72843 62181 168967 -74769 -121160 -94438 -23111 23600 -33234 -80621 118601 -50851 30075 -176405 -28680 120410 150566 135690 123045 222518 -57658 -239648 -114400 51423 -13994 81226 -45693 12112 17447 -166215 100930 72174 -14982 163233 -15862 -121488 218098 46805 44344 49983 149246 62513 -129542 66740 57244 87271 -92421 -30712 7853 -80892 21358 64984 -172732 -5276 -161926 962 -14932 12368 81120 8812 -49927 8650 -54494 13248 -93756 -34307 111155 -21169 -91896 85917 -28914 77775 215111 229910 118095 36019 140793 -69773 83550 -147460 -28824 -21604 -78000 -21801 -93833 78565 -2922 27823 -249462 6236 133572 96266 -75557 -14702 -74098 -140101 -44120 71791 45589 59304 12160 62474 -47540 201991 -50725 -3653 153195 32728 234305 37253 -114704 8177 218570 23667 87886 178873 -53940 44399 -220782 -6559 -147980 104249 -67883 141190 -52445 14110 32243 -2321 36286 30270 -197073 -100706 -49168 -251849 50387 -50700 113641 48657 15336 -139895 47074 -59002 -3620 -24811 43624 -84000 17092 -1460 -159638 11161 -140329 -138941 -20894 -103409 55317 2334 15357 193457 -20133 55149 30084 6739 103936 6873 -169426 50545 110680 -72665 -119797 41074 -124727 -3489 82132 -3963 -37395 124264 -60838 -107890 134503 43395 -87408 39079 -90883 44769 161709 -785 176158 -206844 -107393 -109404 -97621 -60674 72843 -52049 210220 -1148 102584 -152269 5233 146272 30410 34644 -88799 -26808 -161892 -44843 -51469 -93001 24379 97253 85821 
+-95618 -7477 -37013 3210 -67015 -20455 119825 140207 16156 51518 -22863 -64138 57412 177843 93569 91336 123796 -233472 82015 27910 -6864 -156788 19137 -94093 20807 90055 48351 35544 -99196 -80626 -183767 -60390 -72478 95992 67245 7759 -87963 -130327 -125296 -29135 -74599 -62560 -44724 176053 -108744 33201 -103946 -38728 75812 137852 163357 217302 172496 -66126 -199027 -126607 -69619 -46955 88309 -22034 16175 67516 -146508 139643 72387 60222 126619 -194489 -139259 108256 -9239 -3564 -82959 216033 80867 -156638 111515 116890 93741 -26481 -69403 -9356 -35571 4217 39570 -241315 -89715 -182654 -25536 182 50383 82717 7075 16851 60018 -61551 34369 -32830 -10353 66431 -14935 -124336 89766 35919 97129 206888 232127 91952 -149866 205072 -115581 95301 -216612 -69681 -7861 -66294 -32071 -37770 140283 152862 95981 -262103 15491 85014 121845 -82016 -27001 -49500 -81117 -116740 9571 58475 94549 5987 61412 -59372 120716 -52525 -15486 114355 30926 191417 112554 -55786 1634 216781 -165098 -168116 175750 -74755 61874 -200590 16623 41308 105213 -78547 77903 -37963 20490 160260 -49492 14252 -9447 -213975 -104470 34778 -220951 46394 27989 77293 62733 71921 -163458 30211 44228 20830 -27372 93254 -77634 -37479 -18933 -117152 76105 40036 -138816 -19505 -50410 152388 1131 -8116 36301 -2875 48099 29897 40929 99719 -70989 -169159 63620 173051 -26872 -164263 17802 -215922 -51236 81434 -70533 59041 121130 -21799 -197999 9543 79120 -40628 69121 -86367 10797 211537 -9425 107973 -89281 -141878 -61468 -75787 -100119 63108 -91805 205936 -6086 107281 -152642 26530 125572 1807 -3889 -63855 -44302 -208278 -8635 -34426 -97417 82588 88549 69333 
+-84726 -63685 -7508 133958 -166761 -31383 -13418 122689 -185773 46680 2046 -48348 53343 155020 37676 76407 125654 -219847 83408 22184 21955 -138607 43483 -86753 15987 87362 -15490 29470 -133437 -91467 -137279 -57779 -23813 105009 75298 -87898 -96828 -120749 -84074 -4297 -181976 -80003 37883 198612 -93630 12299 35150 -41442 4131 149932 97127 119583 62167 -49068 -155323 -91332 -182814 10339 90492 13945 30534 21907 -33123 108927 57751 80154 42620 -219262 -131421 -57707 12071 -57911 -136178 154755 59378 -48155 118424 126624 14124 69101 -103670 26068 63386 -16647 -17726 -159278 -136502 -149409 -40255 -1490 34545 84344 -4341 43148 65931 -45376 25626 92404 -24962 -54284 -9536 -158966 63249 106192 96809 175128 232083 -17619 -249705 192425 -161987 73124 -98462 -107584 -16852 -48758 -37992 3654 50674 249070 191428 -215478 29111 75202 18404 -39493 -53127 -62934 -70151 -134925 -58340 64748 122395 5099 61036 -55582 -21209 -17259 -13278 117306 31572 105771 133944 17367 9643 198353 -224604 -179920 119012 -88396 56670 -198006 33304 105754 88436 -58617 54658 -74230 74283 164900 -80300 -25290 37049 -137100 -138038 121181 -156022 41971 108702 40854 62308 86656 -30225 -34976 21724 -15442 -22146 79464 -78927 -70287 42433 -147114 144076 110691 -149218 46567 4551 109841 49463 -25868 -45124 -6252 99677 20257 92977 105642 -140482 -162806 55792 225436 9508 -142221 -25274 -233822 54100 131284 -93013 22089 80564 54147 -151196 -92752 76644 -34645 86100 -71589 31580 242640 -24 23256 79203 -158646 -48689 21773 -78809 70400 -73113 92220 7867 104530 -93315 4115 119246 32494 -123601 -52324 -8765 -257113 11725 1602 -78944 27684 47781 74006 
+-80896 -142620 -13503 164564 -144685 -38932 -165167 83753 -264722 46153 18497 -40334 47926 67336 24427 60070 67878 -199938 49208 18069 51625 -132589 36962 -131015 23901 75256 -90160 34581 -137642 -25280 -57476 10580 -22508 97442 94290 -112956 -91602 -80540 -74601 -42579 -172996 -124781 125182 173631 -26862 -4040 17858 -15556 -28950 150497 59932 -37362 -17413 -70045 -67775 -8643 -210004 2497 98489 37335 49869 -5532 102258 28496 37517 44976 -21571 -66892 -122939 -32063 7320 -110147 -106320 23822 60051 133142 95158 109236 -14646 113254 -148775 5408 160552 -38225 14848 35916 -142539 -131704 -44343 87 -1326 83111 -3419 83863 986 -47165 19833 182189 -41586 -173016 30201 -123025 70551 141312 72507 135742 230564 -130066 -159021 116945 -157120 63031 102070 -131149 -9568 -65066 -33659 21612 -59286 189428 238977 -231156 31004 6193 -90854 -59176 -76359 -35895 -81407 -90349 -84504 67790 140559 -50 38735 -54266 -50509 26846 -14319 61757 34122 19280 189041 29121 31594 163339 -63744 -3289 -14272 -134609 -7410 -182594 61664 21706 76699 -75330 2662 -99818 51612 153566 -98319 -17004 133535 -31222 -147134 110950 -75015 53025 137333 33121 57424 100204 74300 -106809 36064 -37836 -30549 46153 -72219 -181875 23389 -115585 209034 11532 -140868 27756 5808 54707 38434 -23982 -30185 -2428 60625 15093 132826 97858 -130561 -131111 65127 226842 53142 -71022 -45987 -186856 184284 122113 -104607 -124711 51417 90776 -42122 -181399 38313 -29345 87850 -54601 59140 244452 21206 -44150 123920 -152865 42575 115456 -81439 127064 -6736 -60174 4185 95381 14940 19014 63952 -7974 -131504 -66748 76765 -283504 65848 -45220 -18683 -111601 -56321 76021 
+-80124 -130802 -21464 112400 -55678 -15214 -107939 62408 -199827 39647 38880 -30829 46436 -41378 -85733 25971 25143 -171514 49780 42190 90596 -86889 23693 -103716 15115 76890 -82802 51045 -112586 87918 36440 71054 -6355 103532 82534 25884 -90111 -125876 -54647 -4181 -54994 -153368 171778 125551 1121 6542 -93972 -23608 -109926 100040 15407 -65256 -96765 -35726 -22153 37578 -148848 17990 108007 14644 60940 -10126 144702 14088 22745 19488 31561 76769 -132782 124412 35574 -134470 10785 -34533 52497 81193 68803 49054 -58839 163605 -175975 -20940 172324 -47924 72448 86723 -35352 -137554 10769 -7639 -54738 82915 -2764 74830 -80758 -29512 -11588 166274 -61064 -249115 3509 -68891 81037 86717 36576 97302 226356 -162565 30182 31771 -152397 46632 96461 -119252 -17285 -69335 -39643 9770 -47429 44014 279366 -175041 27689 -51254 -26216 -28995 -95701 -50088 -137129 3992 -57566 56737 148397 -12132 39508 -49753 -41739 64940 2274 32014 36597 -38584 204559 4401 57920 110746 104873 137511 -102343 -138546 -56811 -197174 72656 -144841 80301 -13099 -34673 -95694 82453 98020 -73940 -61819 177002 9761 -130366 -19523 18408 40582 139307 53402 36267 54468 133089 -77623 44068 -46014 -31183 -38513 -67845 -180366 30935 -104966 173862 -161267 -140263 23651 -40929 26458 38537 -14389 114713 -13769 42247 13462 117130 104372 -116981 -149324 10375 224683 119054 -83616 -74402 -126928 234979 142293 -131847 -170327 -350 116766 92992 -164175 -27949 -41733 73391 -54887 38210 227371 -2288 -96650 5821 -127358 74343 166322 -60153 132820 52009 -129231 -2953 82773 -59401 16318 31160 -10906 -68785 -106203 71314 -286465 13899 -38270 -42792 -119017 -98049 65202 
+-93399 -49132 -39227 -7918 -21543 -2301 56646 50387 34680 18181 9238 -48171 47452 -142890 -116358 -34959 -18961 -122682 24169 40703 94022 -68933 -11943 -68922 10597 47082 47278 46076 -65057 192527 78816 90927 -45349 105854 63147 170132 -81686 -124250 -1278 -12187 35165 -141784 178329 65028 10163 29567 -184495 -1743 -158872 81747 3812 23335 -164907 -64700 22222 15081 -23493 614 115343 -20022 48981 34555 63777 37046 15250 -11635 133247 14421 -116686 244632 73100 -132510 169905 27440 49384 -82988 99530 -32789 -51761 177735 -210385 -89015 103622 -44113 108829 -40128 49296 -123608 24153 -3126 -42467 81087 -11847 58944 -138633 -34830 -74884 57259 -90114 -259971 -27015 -46990 81531 345 -6901 53175 220570 -81576 123745 -28510 -158962 51540 -160957 -101557 -2109 -92720 -42559 -12427 75895 -61752 272805 -171493 -6302 -55898 102179 -16050 -125633 -101462 -145616 78353 -35063 58993 144356 -26869 58306 -55460 64576 52986 2796 14019 36886 -35471 203665 -91334 79293 41010 74628 122828 6675 -127152 -172517 -188169 77230 -219954 80863 -31652 -61841 -67811 101285 12161 -35638 -61841 133634 2508 -147387 -58457 83781 11541 96254 86657 -26007 749 100369 10509 65472 -46859 -30916 -124322 -46610 -204045 -1023 -161766 152506 -202820 -128315 8796 -84756 -51719 18482 1549 197128 3296 -38047 26388 85542 101611 -61694 -77358 15046 205972 131419 -51736 -76436 -65053 146570 114898 -93684 -125854 -24104 117675 159875 -131646 -83745 -52590 46586 -74798 30954 184652 20525 -101860 -151924 -97128 110771 148471 -83630 134453 23852 -36398 -6744 70347 -125887 53880 19131 -10640 42691 -124312 394 -269831 70614 -37607 -106683 -36254 -56587 63850 
+-86569 -2714 -37686 -82468 -5740 13263 125227 21993 93443 34868 1769 -53010 50807 -185435 -211143 -84945 -16422 -72035 32873 50117 100417 -27750 -30676 -64649 14833 20928 104112 51115 -31469 252629 119764 52915 -57162 94832 54261 166713 -63480 -125551 40981 -23983 -13281 -150420 120221 12207 -53472 33536 -148065 -16616 -218193 53774 -65889 154421 -153790 -64458 84859 -26598 71146 -3581 120102 -44938 46758 50923 -88936 122582 22513 24539 146379 -155979 -105446 118066 94870 -87317 217169 153996 80890 -191229 51669 -81395 -21238 173023 -212373 -135567 -10072 -28011 62434 -220774 82585 -151936 39164 -13178 -6705 77448 -6416 59170 -113975 -18734 -75504 -59342 -108833 -178789 -53961 -64714 73964 -18324 -45816 7651 212724 48800 26845 -34517 -129842 76864 -246629 -55156 4016 -96665 -34546 -60310 146735 -16580 218336 -120334 6645 -75497 146150 -14871 -144773 -70283 -99515 105554 87783 69630 129737 -43385 31764 -78400 152017 13340 4484 30997 34867 17109 159962 -153710 89637 -20868 -100352 -74560 114349 -97767 -179589 -173864 81222 -116015 78200 -13812 -73156 -68842 101026 -18902 5402 -89692 35751 -115472 -132994 6793 133360 9845 25286 120728 -77086 -68913 -36723 41013 96074 -16896 -34887 -182548 -39403 -151887 -10629 -104504 36541 -48503 -132733 -17889 -126739 36145 41936 28093 120786 5904 -54359 15591 37474 94000 34833 -78165 63441 168108 180014 12010 -113100 9412 33611 124149 -107985 -1167 -63484 53382 144267 -57466 -114992 -73023 8966 -89853 33595 126591 22368 -79799 -201613 -51707 139741 38092 -37418 127582 -42505 109848 -7723 63340 -169094 21977 -19012 12452 19044 -109009 -49094 -276587 64624 -28288 -115520 43641 30697 40732 
+-80699 -57500 -16474 -13132 -76097 39473 -9154 -17637 62344 27096 3904 -60267 54385 -159175 -251324 -132925 -9089 -31651 34983 42083 89460 12528 -47596 -94165 12509 -4955 65896 14254 8198 254222 113951 -60696 -25923 90886 92565 73338 -61770 -99328 48645 -19808 -146027 -114712 47345 -9049 -111245 13016 -20074 17028 -247045 40084 -62843 178826 -110300 -76876 40468 -116247 72695 11043 123031 -36130 22171 30199 -172306 156389 41401 56513 63736 -227673 -100131 -62774 109120 -28015 227530 212579 85484 -87095 47631 -93709 -662 129635 -225665 -111535 -75080 -12180 45929 -225636 71713 -87142 10296 -19461 22143 74857 -9049 -11553 -28933 -39753 -87094 -90844 -99675 -48422 -7153 -101933 52411 29949 -70641 -35953 203384 118976 -160393 31219 -122789 43050 -68521 242 -640 -99298 -47493 -115685 48442 141480 152598 -95998 8674 -99608 34052 22309 -166048 -99482 -50748 68317 147333 61907 104734 -52289 67270 -71688 257689 -34065 7250 73130 32230 113893 164409 -173184 83430 -74798 -215810 -213114 171476 -65059 -184571 -156373 70286 53057 92802 -16488 -46914 -54018 116123 10083 41656 -110756 -12571 -182154 -106973 117165 137959 11710 -53720 125786 -112644 -138053 -140884 -27037 138671 -28068 -30522 -210348 -2905 -113353 250 -135469 -37199 74575 -133755 15196 -136158 118237 65520 49281 178 -4307 -98112 67222 7561 94112 33302 -77087 71067 115498 230621 4794 -88758 40170 -30003 92007 -70234 62568 -97443 -6708 32116 74941 -116071 -66906 -31743 -86933 32382 64782 -7005 -15704 -84761 -29657 160964 -50020 -32818 82874 -99868 248054 -19379 61661 -127050 36994 -45037 761 -47908 -85708 -16068 -230128 36015 -24010 -99757 29780 93092 53836 
+-92042 -142402 -27278 128478 -146336 48725 -157890 -21414 -139978 70267 10288 -59974 56927 -79855 -296640 -183820 23401 26765 18881 21929 63754 41505 -41480 -95457 16026 -45312 -34462 40166 93569 166481 78190 -72291 -28875 74339 72306 -90245 -53785 -126206 78991 -34491 -206034 -79076 -37056 23019 -104190 -3918 27178 51686 -236788 6574 -53432 97539 -40039 -58023 13795 -128560 -22324 -25868 122979 5435 2371 29584 -147412 108033 57962 28899 -28421 -98361 -87345 -21326 120365 22345 109607 144700 75340 91141 44918 -67741 20545 92282 -222118 -50286 -59862 7824 -803 -81390 -46127 -84275 -24788 10907 5747 73536 -7218 -8836 42254 -22035 -97473 -13233 -90000 65372 -20646 -156373 46491 102827 -74504 -69461 192211 84856 -248750 108471 -125920 63231 99854 63826 -4853 -62727 -45082 -156977 -64960 220368 90710 -43230 -711 -104339 -71606 -4853 -178628 -128739 -106044 -25292 138943 52723 72405 -67635 51458 -76254 229057 -48580 -26836 99418 30906 196577 63259 -126192 64773 -109537 -128356 -64875 180104 -47200 -112394 -160622 56948 99991 75531 -51040 -5124 -63566 70905 141565 57359 -123110 32099 -211770 -137563 116971 109087 -24157 -133065 102106 -143224 -134638 -161979 -101897 142575 17018 -28830 -210225 3536 -48604 23264 -117998 -87148 62414 -107159 24401 -105325 113501 53392 65593 -41513 -18150 -83063 100502 24105 96873 -43853 -34850 41877 71267 237317 26750 -88971 146230 19023 10049 -27347 -44721 -93500 -42878 -75205 152892 -65644 -65463 -70994 -69570 7908 7646 12781 56627 78503 -32321 127148 -145169 2424 50184 -66164 257046 -21204 68939 -30051 5547 -62818 2412 -123841 -54528 60343 -200709 -17079 -22922 -60595 -104156 80759 35671 
+-92328 -150503 -14751 175404 -152625 38325 -110817 5559 -246599 44717 33414 -50860 57241 25728 -296320 -220264 96558 56658 31719 31382 38751 80066 -13553 -94984 10251 -58267 -100099 17085 101079 53509 21841 -31190 -27223 62595 74257 -102274 -57813 -98350 27703 -73738 -117617 -2800 -92779 82442 -38992 5905 -52595 56424 -135979 -33152 -53125 -59608 38385 -49374 -53406 -67159 -148478 -16445 129169 29829 18271 29253 -27561 46545 71906 9781 11103 63014 -81524 145194 143436 51048 -44925 27231 83437 92126 32741 -660 49042 15933 -211206 -30389 27706 19627 15444 85215 -86312 -105344 -40450 2007 -7097 72064 -5193 -72145 82001 -18722 -98649 113145 -56153 124865 -22375 -143352 55728 132694 -57022 -81648 179510 -38629 -148833 186176 -66140 86403 61443 110506 -24591 -82739 -44350 -188362 -55292 211756 -20459 32713 10941 -95690 -63273 -16277 -190192 -157290 -117894 -112446 99131 58746 33102 -98951 69993 -53523 171604 -39128 9930 115784 32053 236647 7823 -47057 38468 -117895 52961 92826 58362 -44012 -41403 -121345 27930 8033 80531 -56645 23902 -54064 70567 145720 41671 -139953 124145 -147995 -120728 1403 49002 7359 -168559 74615 -195518 -138948 -136412 -123120 171818 20397 -26815 -146308 27857 -7238 4020 -168669 -54819 -80704 -102477 32002 -21310 78547 83918 67372 51037 -6472 -74676 78387 56905 88974 -101184 -9943 20296 6087 262166 1205 -68677 154137 153435 15685 10463 -157628 -137638 -73564 -115882 159247 -3431 -72502 -100975 -56691 47026 -31028 275 136612 124640 -54221 80561 -16779 9753 57830 13596 107224 -28786 81375 -6633 4434 -99388 -12410 -84311 -53792 54938 -124761 -9675 -19033 -30786 -113676 13622 15101 
+-68369 -93627 -29497 96805 -95759 64411 62543 -26130 -178222 26980 23513 -37959 55957 127404 -268763 -235651 118251 76743 30098 30685 -3510 110288 425 -114264 7053 -74348 -47098 23120 123329 -45446 -55539 87015 -10862 35498 89207 -20613 -58472 -97661 -14763 -44563 -13853 -43703 -74461 142835 27029 29040 -193761 30486 -71507 -19384 -3301 -66468 127558 -47077 -128185 -16331 -219177 -9338 128606 28199 29033 20513 107918 -4444 73531 1584 114735 51048 -78903 220970 82737 41128 -147057 -29637 68929 -67386 65548 78912 88020 -68496 -184046 26309 128089 14878 53423 50129 -125168 -39463 -18288 10172 -69782 71442 -4464 -114678 29283 -10698 -65193 187767 -4866 62424 12538 -102731 51228 93644 -22884 -99813 165255 -141987 38038 196731 -17739 78521 -100169 163539 -16189 -90057 -41808 -197420 71834 73606 -68384 2603 21373 -68760 41707 -39252 -196292 -141564 -137539 -151453 45357 51183 -9020 -110049 87321 -81299 70529 6951 -20538 187325 34760 213860 -12990 -6230 15761 -100403 105466 145977 -47277 -61900 20995 -112481 15213 -162788 79118 -89170 75020 -67423 65016 176315 593 -159729 173816 -57980 -72697 -51367 -43384 -40024 -159567 42848 -215336 -112829 -19533 -37977 168208 -1476 -27903 -87413 47156 5814 15921 -127198 29955 -196715 -89538 55365 5976 10160 85043 56273 166909 -5532 -30156 94137 120912 76817 -164491 -8619 37184 -28089 265294 -19389 -65669 148648 235780 26355 68074 -176068 -152518 -4939 -191981 140480 51858 -47778 -118820 -58200 3311 -53859 -12173 203614 20378 -92305 4205 97768 25969 91645 62010 -44109 -27168 93974 -86682 4793 -104120 -43554 -6997 -84929 3857 -69233 2150 -57720 -74542 7419 -79125 24498 
+-64512 -28158 -8156 10004 5667 64253 121938 1616 -5912 33360 11935 -40261 52528 171702 -194613 -232236 117789 71316 40044 42208 -33044 122565 45034 -84343 13756 -85106 46990 29652 146248 -91414 -136571 82859 -39263 17005 69955 106997 -60201 -88868 -43520 -32677 22119 -80315 -7064 182327 -9211 33833 -159642 33770 14641 -34033 34740 30460 191177 -60036 -189887 25968 -179127 -5205 131744 -8442 56364 16281 144364 42562 60548 -3707 157813 -118822 -76485 121089 43375 -3370 -128706 34149 61018 -220575 46375 121325 91018 -125198 -153147 24316 175814 -2667 21767 -123827 -127207 -63420 15534 -5727 -46033 72772 -6490 -148456 -48102 -27566 -29657 152385 -32607 -35425 -23732 -42269 41375 5208 21866 -95558 149915 -152052 123535 138904 30126 64240 -197654 197207 -10108 -97901 -37925 -179897 151678 -49028 -105407 93014 33387 -9412 151593 -56273 -192656 -123546 -104456 -124424 -52618 48775 -51763 -143405 61697 -63707 -48804 42926 -13707 163343 36829 138801 -64676 16061 2519 -75053 -30682 -20935 -85190 -92459 57971 -127078 -7767 -223868 74118 -46009 97684 -75751 40382 104050 -45965 -182574 131078 31968 -73340 -48524 -114933 -50374 -111359 32738 -218927 -24109 105465 13335 199941 -4369 -36956 -6970 70479 22265 -15756 -95290 131902 -146954 -74569 -25646 -799 -64467 75307 36590 158223 4877 9687 100686 136417 70455 -92043 23317 64955 -42748 219257 -14645 -24244 91249 193321 10510 95659 -38530 -134940 53560 -112905 18063 87821 9148 -120649 -74654 16998 -44407 11495 225907 -134285 -131171 -48650 177933 24465 122412 -11949 -116796 -33398 104679 -178292 571 -107180 -37911 49100 -121253 -61570 -56970 -23841 -36324 -92297 65725 -86478 3101 
+-95798 -32909 1354 -54579 35422 49030 1724 33037 133798 18962 -19303 -46908 46695 164290 -148355 -224452 87659 52589 30892 43590 -76323 120525 53400 -73132 6084 -77731 99441 10430 149314 -69644 -212490 31895 -66376 -4813 61580 164012 -83509 -75578 -79206 -34558 -68967 -109867 58796 189973 -50174 13711 -50122 42762 80672 -46648 91214 168689 211060 -31968 -232952 16568 -78055 2453 126593 -46180 55219 46778 42844 106071 40773 46236 105091 -226552 -58759 -45953 14952 -57081 -25156 145538 64688 -108905 71734 125914 127274 -167962 -119320 22887 142343 -29270 59123 -239783 -31780 -42679 23089 21481 -14830 76887 -2955 -179786 -125034 3036 2987 34512 -41955 -152256 -20386 -52415 19104 -32198 62927 -77025 133684 -66815 19545 51926 27298 97083 -77101 207245 -4027 -97926 -39997 -145793 64426 -18294 -80720 115355 -16454 8785 74600 -80303 -178452 -116286 -67354 -56533 -86836 58038 -91886 -155512 49612 -53527 -63576 57723 -1189 122655 36547 37142 -114733 -13520 5172 -22839 -201709 -180536 17057 -125325 37703 -91480 -24218 -133569 78132 -100266 103953 -82233 45878 19114 -82901 -191572 41736 9241 -84216 103535 -193263 -25946 -36543 64859 -223072 29858 127817 42162 213936 -8249 -32468 73168 82371 -34553 -6693 -131483 184552 36546 -76966 -11541 -14850 -13010 76270 9861 32220 -9501 48877 133809 125957 72227 -22247 41519 84059 -36120 216012 -95188 14626 30120 58409 40517 130180 54794 -128218 123648 19807 -108758 67735 -18023 -107182 -89364 26086 -15068 16418 212939 -200720 -158786 -105420 186021 45630 152994 -80531 -48849 -45875 106788 -146238 4688 -86965 -3676 -7843 -126569 -34860 19338 -57259 -38889 -112606 21198 -33959 -3161 
+-98281 -133691 -29402 3143 -96143 52036 -151701 47607 28822 24573 -566 -60299 46024 79833 -30022 -186735 25784 15814 15512 40353 -89143 126755 24875 -51396 3273 -80898 67341 4129 137169 16046 -213165 -45664 -35619 -20948 55438 125889 -91660 -95110 -124530 -33987 -189077 -130962 143390 169822 -117677 -3774 45200 6219 107186 -40153 127908 188921 208485 -59578 -231797 -50550 40800 -7172 132310 -38040 48660 40316 -98879 153984 21643 75341 -614 -137325 -71643 -8667 20588 -114812 120157 217114 93109 52527 114658 117482 112063 -182726 -73180 18222 19634 -44328 54779 -172811 55637 -22076 20828 39835 39272 78311 -1141 -191080 -136080 -2884 21474 -72735 -53746 -239733 -446 -78790 50481 18565 91290 -38373 116410 67941 -169288 -13234 68132 77677 79813 196097 10738 -87092 -38617 -97633 -46523 113682 -44662 88823 -5500 72467 -60710 -73186 -166988 -113597 -54864 52186 -104437 62938 -126516 -159052 70604 -42379 30223 31158 -6875 111217 34172 -33372 -92153 -100093 22158 29006 -191904 -122087 142606 -134398 -4918 -63693 -30001 32617 79925 -22934 87940 -112801 52961 -16170 -92533 -207533 -9943 -66366 -49950 92827 -245734 -33583 47172 94127 -182941 77499 76340 -29961 213861 -27402 -33575 104760 89213 -73789 11187 -153107 198847 100992 -60583 -19767 -110984 55158 91348 -7902 -73205 -15271 60472 118108 69240 60984 20804 11611 66806 -7605 181925 -88157 30363 -67578 -40743 109568 184845 4155 -102930 123180 131016 -165220 13905 -32205 -79294 -86911 46958 34791 23347 172302 -87934 -163344 -119007 71942 75015 155011 -72317 103548 -48019 103050 -78787 -14495 -96626 -26218 -128731 -99613 50294 68517 -22231 -21371 -102661 -97220 77935 -12225 
+-108729 -162031 -39032 91181 -141584 41075 -120684 86720 -163669 -10748 8466 -62543 49819 -26724 20141 -139510 7760 -25985 42485 23758 -156763 140795 5363 -91949 5910 -59823 -16655 -6086 98846 136473 -200710 -52195 -27239 -67289 73434 -42880 -88310 -66212 -124140 1444 -180840 -153811 175506 111369 -87994 5308 12759 9656 105285 -61287 132504 47790 122889 -43210 -250785 -88197 93053 -10043 127815 -11146 40205 55562 -179895 108287 15215 35772 -2046 38760 -75107 144411 -2158 -139640 217354 145135 90403 137080 140973 27254 83123 -158827 -35443 -44176 -61008 -46632 27075 5117 105238 -54351 10335 11242 9171 81552 778 -180027 -66290 30599 4634 -84502 -100199 -264006 13634 -122847 26636 93353 99678 792 98380 122533 -249946 -42506 66622 79339 86353 164942 -25353 -110679 -35132 -34716 -47280 237808 17309 61105 56133 101522 -63822 -36664 -148091 -92477 -101695 128174 -49622 72871 -153485 -163282 22698 -18070 143099 -18874 -18919 85524 31623 -44831 -7199 -151650 46758 96628 -10294 86763 229204 -125294 -94846 -33806 -38405 177928 86867 -20744 14925 -59201 45257 39106 -78416 -219189 28690 -163448 -27442 49620 -247374 -36638 113063 126992 -185586 130600 -77108 -127295 215171 -28867 -41105 65476 101782 -134599 15330 -145902 163893 -1622 -42762 26936 -118167 119965 87437 -23766 21187 -10181 76808 142477 30238 41656 20314 63642 71459 44798 129344 -121750 43214 -102896 -9618 100867 192982 -104090 -85735 94333 150852 -200250 -42894 -30196 -42103 -70694 30923 100332 18081 103743 84309 -145239 -108123 -44640 46999 95964 -30535 215509 -49562 92561 -21789 -17667 -87453 -19392 -123134 -55320 67749 82379 -1677 -18618 -37818 -94631 101265 -11728 
+-82511 -82905 -31334 180149 -174639 33693 55394 96582 -279178 36213 36166 -55780 52821 -134922 35762 -92729 -37261 -71730 20215 16850 -158687 118650 -27674 -111394 6532 -33887 -115501 -10724 44650 228826 -184398 -683 -17754 -82401 50733 -109790 -92090 -30999 -78556 34778 -78280 -160788 151313 31590 -16732 28510 -130248 -30688 77398 -45857 111227 -70364 32816 -52074 -204560 -124254 28678 -12110 123126 22051 27853 13585 -147800 42933 22269 -15277 98038 68378 -80798 227728 56831 -125190 218454 28191 73457 -37235 94501 -35293 39807 -133148 6028 -88984 -74512 -35788 46684 94864 34836 -22659 -29813 34638 -32308 79452 -6651 -133315 22631 26358 17597 8073 -109155 -185627 28225 -140648 40675 135063 87283 40407 80220 70693 -139862 17380 96721 65699 -121164 120319 -10740 -61011 -30251 1941 57987 220507 107021 88751 36668 127663 51762 -7810 -129102 -85479 -142523 111549 22968 70067 -171064 -179736 63859 -41311 217797 -43372 1361 41137 30963 -4137 34584 -194631 72218 150859 120331 165555 113174 -88833 -167325 2432 -31716 23952 85032 -20560 -845 -94171 44228 81426 -42093 -209035 119292 -209722 -13676 -67188 -222113 8724 143497 131284 -152176 86023 -158807 -92536 245050 -56994 -46124 33232 97451 -150095 -19918 -117356 64881 -174631 -38157 59109 -96012 114402 93914 -25056 147620 -17113 75225 115676 3289 67504 -19040 55928 61978 94529 78456 -120213 79207 -217572 118331 155411 207155 -169625 -53726 36735 135834 -167247 -97689 -61930 -1515 -57209 56707 156841 11567 24844 126836 -109715 -84414 -95918 76690 105830 18837 252673 -51359 79590 -43627 -12843 -46845 -35787 -68231 -44147 12273 105625 4876 -53878 -19275 -16819 60612 -32515 
+-73229 -35955 -21308 126801 -57037 27639 124566 134803 -207974 56929 10005 -46322 55591 -178665 101924 -43691 -12392 -123140 6193 38985 -181054 101336 -56280 -109180 3150 -10394 -61864 -27661 22133 255956 -97810 21794 -15875 -122217 76642 -66142 -94222 -84235 -19678 -11993 29093 -116686 98960 -5097 22107 34102 -196164 -62613 45040 -24156 100691 -62967 -34369 -67360 -126001 -84108 -83982 23964 114155 35385 13788 12174 -1598 17410 39625 -28657 169550 -79041 -87517 107898 62784 -85537 140303 -33484 59542 -236038 110190 -77591 -6014 -69650 39987 -99664 -7556 -19105 20243 -13456 -47886 -36173 -43159 21128 -74764 85496 7515 -117457 76944 9248 27764 132366 -110730 -72203 -7718 -122470 32260 91390 55906 93528 61296 -52342 45721 92111 69358 68517 -204781 64163 -23133 -102973 -29094 17776 146340 83536 182987 55603 13498 195571 136482 -2954 -104297 -104138 -132142 20025 77335 57880 -177554 -181682 45845 -34432 235058 -42534 3755 37585 32635 80295 86776 -151285 87752 196195 46779 864 -5593 -61440 -184216 -5107 -5314 -170770 98711 -37423 -53271 -53627 100566 168247 2357 -234598 177034 -183711 -20320 -50038 -168152 -6315 136743 109097 -80899 45123 -158179 -7848 256481 -33656 -38111 -39398 97296 -183672 -23737 -144002 -11956 -201573 -22446 -18164 -70615 70788 103399 -18654 169425 -5281 12168 119140 36129 41853 -103268 43589 89196 152508 28071 -128424 80003 -185973 217694 142233 213172 -115151 -800 -2403 -1499 -37951 -133069 -46431 37329 -55426 20314 212915 14862 -51476 26753 -70276 -48271 -60225 81905 66034 43316 122023 -51163 67148 -132409 -14602 -49721 -33269 19930 -60551 -35548 90750 16380 -52472 -74206 84321 -50824 -44000 
+-70913 -17796 -7189 -6736 -25230 1535 26875 140653 -11603 37909 -27557 -33245 58673 -171345 115116 3348 41290 -168515 -2721 64898 -199125 103610 -46580 -104184 -132 23937 28399 -25522 -47372 220295 -14756 82167 -69548 -130293 62907 45066 -90891 -36951 7561 -66913 -4795 -101207 9248 1923 -6908 14432 -106755 -48403 -58795 -5015 61703 80603 -109595 -54622 -69775 -12751 -192910 -31887 114540 -7052 15011 33282 125900 39690 58029 55779 152393 -224979 -98570 -51941 99975 -31144 -6174 31527 61957 -135523 65687 -107118 -9559 -4750 54109 -80895 104723 -442 43512 -203688 -132985 -59536 -36620 24016 -34057 82881 8234 -42011 57004 28893 -14855 190067 -106542 47214 -2210 -75082 35055 11681 12930 139123 42682 -153408 121720 169083 20747 55117 -75935 3614 -24719 -128562 -21562 16068 76395 -61884 240809 -949 14151 232838 95566 -11591 -76656 -111127 -60576 -89456 124380 69612 -172861 -173612 51416 -35849 221277 -17609 -8710 45549 35480 181304 132926 -77932 88121 221032 -146869 -165284 -82400 -46448 -185287 -8765 20759 -237433 114567 -63079 -69560 -73658 105875 206291 43446 -250103 137014 -84283 -27071 49303 -82334 15119 81355 64074 -27578 -26892 -121348 26533 249711 -11437 -37405 -124234 75132 -159186 -2076 -118132 -84828 -45409 -21857 12230 -36640 -41825 74522 3746 108968 -18925 -2781 93942 63412 36094 -127996 111259 72222 194998 -15896 -113931 91719 -204492 204126 147255 201959 -6954 17562 -44980 -107657 51334 -98416 -36138 68042 -72311 18095 245946 27667 -95595 -150199 -37741 -1158 68741 100542 32311 -13288 -37131 -53509 62018 -182846 -8958 -9791 -42566 -10065 -99852 -34584 75660 43945 -58226 -102401 31146 -106718 -48076 
+-69171 -110682 -16220 -85350 8348 7977 -143677 154800 108960 34313 2957 -47536 55526 -92759 134046 47836 78306 -196871 45621 63937 -202298 57902 -7417 -75581 4658 50063 119616 -25010 -96334 116736 41891 78632 -32511 -160626 64854 187495 -71045 -47130 62354 -38604 -143002 -13765 -66823 28025 -65914 -3587 19301 -35624 -144518 -1380 31164 225647 -169015 -16268 14020 25238 -201269 -687 111259 -41964 36493 39964 131043 113364 71671 63519 29695 -161832 -105043 -52075 120762 23530 -137088 152896 69310 41411 88614 -80698 -48004 82155 67312 -32990 172210 21519 46597 -245596 -141893 -53162 -5992 7101 6419 82538 14943 -8843 -24178 35508 -47551 135760 -72239 102602 -34254 -34860 60991 -26641 -28571 178160 23846 -150186 10488 202533 14273 53434 92804 -54394 -16515 -113521 -19092 -1575 -52576 -47074 262075 -210 24270 246490 -54529 2270 -60159 -61105 -33118 -149705 142766 54452 -157122 -164074 28896 -59985 107178 29214 -18218 51880 36991 234553 153895 11395 73296 217316 -221058 -157013 -77009 -33944 -129398 9649 32676 -114003 111489 -101744 -56690 -71700 95818 103665 55013 -228621 52623 12624 -7034 117722 -4451 -4250 4238 38933 -6616 -103840 11641 18336 256539 -5886 -39568 -182230 73978 -150509 20823 -113567 -90988 115832 -2797 8430 14455 -39599 64289 29813 -44000 -16401 -71185 100497 133261 40365 -135354 83013 64184 222892 -20795 -118200 79528 -197874 79528 72036 161878 51885 28674 -52264 -207032 141224 -55474 -65316 85973 -88830 46693 253054 28744 -102095 -191652 -40581 47034 199797 109273 56582 -120940 -145547 -59053 63219 -97649 -1245 34632 -59809 -55195 -127073 36805 70481 70704 -27941 -106489 -51026 -73482 -29960 
+-91226 -159492 20494 -8843 -95684 -6880 -146939 148845 39157 42767 -1689 -58626 54545 24040 53483 70156 130488 -218681 74178 31441 -188447 47894 1253 -49563 4806 60219 65034 -6334 -89628 -8718 110848 -11936 -52295 -175787 44339 143455 -58645 -49745 61274 -24210 -206645 -36882 -99043 96077 -110084 4724 24180 -9703 -195407 49530 -28551 151756 -163612 -48709 54817 11735 -121808 -29322 102551 -54820 50382 17121 24765 156365 72958 36789 -31561 5465 -114985 122998 133023 45039 -142777 213007 88290 122302 46488 20404 -32406 134298 82761 -47918 154912 26209 82282 -108600 -81399 -62704 24162 35733 26355 80155 18826 16572 -111331 21654 -75566 12177 -45065 90707 -4283 -59484 43293 12518 -60588 192536 5948 -47442 -176100 169006 -28265 42942 52911 -94396 -6312 -124081 -17458 -53782 -52133 101063 257685 -48921 11020 274911 -102724 15374 -32899 -72290 -83708 -117802 91139 51563 -131472 -140977 66995 -28181 -1453 54091 -29853 115678 36200 225215 185664 14648 49525 195224 -84774 55943 59926 -55684 -45612 16966 61890 57171 113709 -90141 -29373 -72392 44170 30854 39601 -234364 -9605 -2179 -5887 68472 73391 41268 -70003 35672 29975 -121422 125522 -67887 261160 5196 -35041 -234689 61104 -79562 26763 -139678 -33866 79350 -4333 21454 -3029 7513 58098 47455 -44967 -9028 -72502 102251 133488 24380 -71538 89364 66598 230416 -93334 -47305 90010 -104673 -33096 41749 116434 -33053 94263 -392 -154491 168664 16732 -27843 88362 -86682 3185 230663 35988 -76314 -84529 -44788 95843 184953 116932 132550 -81212 -46745 -52867 71892 -24667 -9331 56292 -50643 -115691 -117934 67955 29143 54285 -52891 -70686 -103059 5686 -72717 
+-91341 -113612 -52209 118259 -168764 -38714 31197 128073 -133705 59053 51134 -59851 48610 120926 1385 97628 143343 -229496 78308 31168 -157528 3995 38598 -97937 399 76233 -40268 -48705 -126252 -75281 130500 -30405 18506 -199015 64218 27847 -55125 -16187 54016 -4804 -127494 -47315 -56504 170258 -102975 27966 -63840 -37705 -247899 92732 -46355 -1418 -88368 -53770 53573 -43817 6652 -37384 99892 -19813 63222 61243 -114991 98094 59524 20372 53751 76166 -115333 251059 118795 43133 -65389 143801 76226 -9772 64749 77043 -22769 163713 67686 8829 68017 10860 61423 57266 -16098 -63072 3123 25432 23011 79448 29434 52384 -132678 47198 -102170 -82809 -11591 -10063 -35457 -108333 76768 100300 -74562 205977 -11638 73123 -246119 74976 -70674 55858 -97826 -126529 -9652 -107532 -16893 -104331 66582 206221 207010 -97140 31578 296277 18176 822 -12175 -58964 -146035 -58874 9471 60585 -98060 -140929 87350 -52262 -73350 36916 3604 164494 33501 157515 197958 -22095 23446 148898 89634 218717 159474 -93565 22181 45398 79709 138746 118365 -35941 13948 -60786 57701 -7866 -3299 -232019 22762 -42327 9870 -39001 119170 26216 -136410 55277 66232 -130386 116364 -143668 267354 -2463 -36076 -193994 46810 -3257 -9616 -108520 63430 -88647 -6009 25423 -41505 106120 45056 61666 69475 -10194 -66727 51423 132106 17722 36521 66127 102098 212874 -102893 -39825 62913 9122 -20167 52868 116612 -151606 125394 50196 -56805 121155 64401 -25008 74893 -70711 42860 188220 47406 -9176 87223 -78349 131238 110059 117652 147245 -12388 79931 -49025 85330 15974 -8496 75649 -16563 -85034 -90749 12820 -37897 47438 -46522 -20670 -52418 96525 -51531 
+-90125 -37370 -1602 169187 -125385 -27264 123928 99906 -259425 -1080 13044 -61858 48241 180410 -90727 96876 73460 -220482 42699 26212 -158345 -5099 47671 -104382 6441 96170 -92085 -16991 -120341 -91125 103184 -23692 -26213 -209305 61536 -86843 -34386 -22545 26209 -2589 -4437 -74402 21122 191279 -4973 34370 -192993 23500 -201486 102754 -49845 -92122 -12856 -68334 28116 -97442 80335 -26227 90077 22419 61923 8867 -183394 42327 41261 -14906 139300 -43751 -131836 112642 82330 -2342 66005 29056 82780 -163481 59335 125659 -12376 192228 41747 62387 -37711 -3540 79386 59092 82979 -100424 1564 47155 -31468 75755 29945 76075 -101179 52243 -74131 -74751 -31568 -144923 45597 -155084 79042 134752 -67366 212898 -28100 119116 -130605 -4972 -86765 59541 -206808 -128221 -23656 -76893 -11619 -147234 137193 222602 166008 -159639 11014 286555 113933 -33160 -2935 -56740 -130949 43168 -64097 55944 -58505 -124601 50700 -31602 -41131 20584 -36056 178370 31221 64754 175120 -85884 6104 87314 93483 80301 205706 -125842 65750 7727 75096 23485 96627 -25199 73187 -55016 39755 -8157 -44356 -242963 115513 -163731 -39459 -50381 142959 63136 -169590 101843 59274 -136053 5031 -64915 273684 -17805 -39332 -144589 21458 -20077 -17630 -137223 144647 -219023 -940 34518 -82822 110945 44375 64432 189470 2154 -72762 39926 42279 6919 30873 93705 46542 190431 -115025 -26720 36827 66091 85074 5081 54994 -172153 120724 99436 39158 46354 78933 -48595 47682 -58010 64307 130615 29749 62460 126289 -124988 124701 -44055 121652 129382 54095 238814 -32219 96916 -90956 3581 132601 -76855 -10519 -58294 -45005 -89588 39581 -37216 -72295 41319 112233 -83733 
+-78947 -21400 -22095 101832 -71204 -22135 34548 87429 -193255 30496 -618 -52188 47562 163339 -97150 58684 30953 -197154 43650 50087 -99820 -73469 24480 -78099 -133 101322 -45240 -42387 -97195 -43241 60825 6344 -66186 -211072 82298 -109785 -55174 -29752 -38076 -9413 32739 -102090 100207 178607 18602 15145 -168470 26137 -200551 108159 -47882 -16376 80212 -81591 -5843 -123032 65374 -23847 86186 41703 29024 7852 -130832 8288 22184 20940 183643 -203474 -122172 -31972 40782 -57413 182289 -26577 40710 -141243 60573 125701 36584 176967 16467 40237 -76233 -27548 41132 -99554 67562 -91829 -29735 30179 -30591 74324 39901 98153 1401 63835 -84967 29960 -58472 -235595 8796 -151549 101582 95796 -39695 206003 -43893 60748 54865 -43547 -125579 82959 -49756 -110040 -23406 -87464 -15794 -183942 73792 77565 70411 -203420 13622 260216 120607 -44552 11578 -44758 -83310 126002 -86028 47389 -16031 -106618 60589 -45268 70172 -31243 -21393 167138 31109 -18902 120514 -140422 1806 30474 -76650 -111108 98855 -149375 43953 46628 83909 -131067 106367 3886 119769 -72389 44094 80039 -78309 -228104 174008 -191437 -45872 21588 120587 61334 -163908 127937 78864 -75495 -102296 34479 227638 1004 -38461 -67747 -9394 14678 -22525 -111963 193743 -132362 12753 -23184 -128631 103267 46327 53985 163894 6473 -19365 71846 23485 -12705 14957 116140 61733 146705 -81952 -19059 21044 91448 201706 37371 17545 -34391 140084 120539 141332 -73779 71466 -52560 10900 -56541 41161 64031 26257 136621 21587 -146251 104414 -81701 134349 110269 -338 243368 -44021 105890 -174206 13257 135737 -43235 26882 -50893 -40762 -149632 -10486 -43057 -67036 55232 29418 -59324 
+-73300 -86567 -31214 -11736 -22469 -25423 -133123 50013 -17128 54085 -24032 -42257 50289 87471 -234858 44517 -3208 -152864 34954 60188 -69999 -112807 20491 -108395 59 82835 81225 -16258 -6525 68076 -3619 104234 -62827 -211523 72986 20187 -66658 8278 -105255 -24777 -68057 -134667 169106 137838 418 -3414 -18236 40429 -164176 118196 -35959 110550 172680 -59472 -85238 -86968 -30778 -41987 80245 4841 15898 50373 12958 52346 13834 61925 63113 -193815 -127270 -43928 84 -110808 226201 32629 61745 40682 87505 100093 48592 116748 -8330 -9799 -40211 -51858 -5650 -238258 13801 -88345 -49285 29536 -52023 74098 35448 75791 68212 47467 -64101 149245 -81739 -262654 -6061 -112403 94507 17565 504 175157 -58741 -67647 121025 -5912 -134038 88030 95598 -80315 -18273 -82371 -14601 -199114 -58209 -34245 7522 -228314 40059 228819 -24270 -52413 16106 -61110 -55674 132772 -102091 54053 26031 -97287 18375 -54951 152741 -51360 5417 136805 33371 -48174 71709 -170204 14136 -33410 -221451 -172389 24374 -139399 18449 2956 71467 -214346 115493 -10243 101285 -60284 33315 139293 -91860 -221487 142890 -196356 -65418 148715 70327 92467 -97543 135475 45981 -10270 -168670 46007 227829 -41292 -37898 12791 -20166 -12496 4304 -124506 184504 53105 9129 -4163 -112392 64966 27205 34809 8543 -6477 19407 47416 -8071 -6903 -74654 55746 71146 77604 -56964 37976 -22425 145927 229958 39213 -21981 67321 128659 93100 127135 -165910 197 -36021 -29976 -72004 56733 10368 43122 197424 -148558 -157613 123867 -79582 123273 103248 -58701 135409 -24673 106546 -190697 7683 146227 -31389 3058 -80931 30038 -179611 -44766 -50775 -73276 -64716 -72384 -66364 
+-76855 -154136 -15611 -69419 11815 20111 -131796 18293 103738 40573 -7215 -38556 53970 -20470 -266069 -18807 -44236 -100762 -1251 20785 -39296 -119397 -17838 -80737 -6174 78028 65026 -32673 -11056 183470 -92016 88559 -51294 -217027 82738 171631 -66546 9388 -120578 -23005 -179159 -154545 177683 76206 -60807 4110 26132 56529 -62285 133447 -1798 198453 207767 -60610 -146669 -17363 -156608 -25743 69582 -31372 23348 51109 135229 96498 21170 45419 -24489 -29181 -112573 108722 20108 -142392 179286 143212 66202 127555 124469 25883 87595 59513 -43466 -54001 66299 -54349 40068 -190221 -68094 -121694 -25846 26191 27603 71374 42078 43849 74840 56117 -27637 188520 -112445 -201052 -4087 -58944 74835 -23336 44067 132001 -71869 -156092 96 77519 -186243 69867 86710 -33206 -10477 -77479 -19370 -188459 -50266 -38015 -55234 -228515 22154 220037 -82489 -72201 5537 -58097 -75188 64304 -28010 58776 65983 -88621 54372 -64731 245079 -46368 21856 115092 36093 -18283 14265 -152709 36414 -88829 -154976 -40936 -85490 -120610 -89050 35908 51431 -114656 111962 -55254 84102 -71968 65665 186379 -80438 -230377 54750 -96505 -40358 71023 -18641 90534 -15982 101523 5787 39104 -148955 3611 209709 -46220 -46148 81887 -33544 -50658 15523 -158065 112804 101715 13168 33847 -62855 -55169 26226 10052 -58798 -3360 67582 335 46831 -28290 -127914 33647 100157 31204 -23539 -3465 -32054 149445 129631 47590 -54418 18827 145935 39901 60731 -152834 -55554 -25939 -68992 -90607 50443 -33705 28972 222583 -206311 -150754 90799 33980 125653 63510 -92092 1317 -19425 101280 -60255 13348 159537 -32022 -138047 -118395 76763 -226462 -40417 -7897 -94815 -117640 -97340 -55683 
+-75865 -137804 -19283 3256 -120415 5588 9791 -6003 40060 59812 25910 -41528 56762 -123147 -294930 -73881 593 -49900 2833 25270 9485 -153177 -64792 -109063 4242 64418 81902 -22778 62341 250334 -154758 3909 -7462 -208597 44040 195615 -76375 -8114 -155767 -42338 -186953 -142503 137732 33841 -105421 27389 -49993 63779 -1931 137543 -4679 147404 199475 -72487 -204864 33667 -212077 -23322 65722 -48736 21447 49780 133378 138244 36150 21740 2155 84088 -110106 230861 3545 -124182 42444 206312 74091 38570 108836 -42797 98358 -21991 -92059 -67979 151182 -37647 40490 -36173 -120214 -143393 16536 29792 48405 73305 52767 7582 6712 63732 8661 117028 -117403 -74026 30018 -43215 61722 13049 79026 84536 -83794 -139885 -182393 158954 -180388 71441 -122605 27439 -23093 -63187 -22438 -152239 72058 55112 -86642 -199389 22216 153645 2222 -51279 4296 -76810 -124879 -45994 29209 65397 99983 -33993 47796 -35285 234406 -4357 -4243 45977 37073 61494 -16489 -60824 62535 -110703 26494 145881 -55656 -73738 -164173 -6485 35873 23251 109692 -55275 45520 -67316 37927 101925 -39314 -212485 -7001 -16941 -92273 -9631 -104250 78028 58856 60829 -32475 87604 -50826 -108781 208435 -44748 -41349 87494 -50992 -86057 35819 -112263 19181 4631 18786 47134 -41651 -45182 34893 -9979 15916 -4953 83106 15609 76857 -24957 -119994 1897 80558 -8072 13321 -7140 -72739 135548 6145 68429 -93942 -104700 117891 -16242 -37076 -188867 -106791 -68288 -99842 -88919 12713 -52860 42483 212970 -81683 -115764 -19022 166752 137686 60238 -64228 -127234 -14560 89026 -25102 34676 157889 -56389 -139265 -124512 20461 -271579 -26057 -27076 -28521 -80260 -38279 -48859 
+-96885 -34793 -31204 141252 -151299 22724 122830 -1926 -146373 69463 26868 -57454 57556 -182353 -293250 -118050 36196 -8411 40794 22901 44571 -175180 -62802 -64637 -3464 27169 -39982 -18384 127351 253457 -218171 -42980 13614 -197250 70538 101782 -90731 -6967 -119568 -34070 -77772 -91597 73306 -3473 -81864 34573 -165906 47502 90138 123928 57737 -26646 169837 -62025 -231375 31724 -161861 -26505 58008 -24202 31341 37664 16067 101318 58016 -19688 110857 -11226 -101686 124229 34821 -81027 -88195 152335 100144 -170230 105505 -94799 148525 -80038 -132414 -101211 175512 -20731 67637 98750 -133150 -183723 11802 43965 11006 75989 58074 -47095 -79184 74330 27049 -9060 -117283 44906 -20229 -74957 74227 88657 97771 30400 -93676 -33909 -245877 208409 -148368 77267 -213715 89925 -15564 -70966 -22910 -98786 147906 215563 -70869 -199068 11456 88381 121282 1430 -8687 -102887 -148237 -101137 99704 66848 126319 -41365 39983 -51536 133839 29633 2525 27162 35565 161451 -11898 -15578 82062 -113856 122868 154596 103788 -55681 -178113 -15208 12694 141779 109165 -61959 -16898 -67610 39944 44840 -555 -187292 16667 35020 -91507 -71514 -174445 137745 118052 33052 -79767 95436 70149 -118347 214583 -31383 -52224 77036 -70284 -142197 5441 -140016 -3065 -165477 9139 11912 31043 37309 31037 -22989 145983 -143 71393 23634 115458 -28024 -62999 42179 71914 -34381 82076 -10285 -94082 38724 -27921 126975 -126473 -179441 78600 -64025 -124479 -82612 -120396 -29199 -118293 -73624 26290 -47944 32732 169942 68093 -82306 -94504 209185 133046 64341 15524 -65620 -13042 76370 -56003 46481 175147 -95293 -60515 -102667 -30594 -278161 -34314 -20655 -11328 58770 60379 -39963 
+-88766 5124 -15675 171050 -142808 27938 53135 -15045 -249622 62949 -4321 -60835 53958 -181512 -262697 -158959 70096 34922 5222 35105 73025 -180906 -48634 -80103 -6152 -3195 -90387 -5629 147788 178252 -219707 -39373 -20740 -172131 52806 -70199 -96143 22489 -76241 -20741 31912 -72694 -20207 -7405 -28776 15846 -193362 48823 107763 130666 68165 -99248 102915 -63390 -232316 -45325 -62079 -31583 53864 15326 52245 111 -129465 36091 71505 -5695 141086 -181596 -92602 -41850 84682 -23950 -144343 32266 53495 -174013 139081 -82974 80534 -144405 -166854 -89368 112032 3458 42877 14004 -54834 -161250 10174 532 -32838 77846 61924 -82781 -131934 89177 21829 -90002 -98461 113591 9105 -123079 87885 132643 96041 558 -102185 91280 -122832 167921 -154799 73111 -91803 145185 -5521 -73604 -28707 -56060 65788 239893 -54015 -206638 17057 65006 154877 -8078 -25577 -53533 -85227 -146294 150511 67493 142903 -19734 67965 -92974 31165 58262 -22347 51851 32754 219415 -37705 37327 89122 -99476 57 -95132 185967 -46039 -161018 -18991 -13599 39895 94170 -85703 -26689 -71760 71351 -15265 40403 -189399 109056 -23271 -137133 28251 -235431 90282 146674 40396 -125840 65145 137246 -45200 197244 35288 -40501 29452 -78862 -191932 -19464 -105439 -76583 -195236 -659 1175 -1750 136351 11370 -26097 192534 4791 29950 11594 123280 -16572 12555 -21826 66029 -36107 108279 -99478 -102627 -28440 52397 123276 -103658 -97327 59770 -51175 -180046 20562 -91046 -40403 -121162 -58001 22860 -13955 818 92589 135075 -54667 -96935 154171 132391 102895 67932 85075 -746 65345 -156411 26919 159937 -53963 53955 -69597 -37367 -323708 -859 -33272 -29488 77546 104848 -26371 
+-109887 -57023 -14553 77324 -62993 36739 -116067 -13601 -226435 50484 -21199 -62671 50293 -99645 -202088 -198552 124776 58742 10017 66373 90011 -209316 -7278 -75243 -4967 -23368 -30414 7947 176436 76508 -212992 27926 -44096 -163466 72723 -117351 -101146 25070 -28513 -27490 -13885 -36037 -68476 62310 17587 -3175 -75825 17969 87452 115786 138690 -9833 -10971 -38894 -240845 -91817 49659 -3070 52242 34389 49206 23722 -171784 -1330 73793 49214 96662 -212638 -87129 -42336 112867 25135 -97484 -31202 53038 -32251 92531 -48110 68263 -178340 -194199 -48826 1621 20277 53612 -168428 43808 -144998 -4563 2311 -56999 78683 68345 -125238 -107649 65559 24595 -62223 -44607 84700 15990 -157217 80613 113063 72140 -43457 -108627 124667 60389 100885 -127968 60759 105781 179910 -22813 -56690 -30996 -6440 -48083 107682 12076 -167771 16109 8755 1337 775 -47931 -146133 -70277 -101068 124674 59047 148215 -14028 23656 -70305 -45714 61275 -35001 32192 31026 232419 -53698 -8186 81182 -57932 -179877 -191900 203957 -48303 -147830 -40535 -30500 -135849 98374 -70519 -72605 -75841 93432 2711 52986 -166766 174209 -117257 -126102 82212 -251870 85943 123543 61876 -163911 9431 74256 38464 175472 5296 -36753 -74564 -72991 -190086 -15451 -148575 -15764 -51926 -3209 19951 -52128 161754 33580 -12229 59310 -646 20755 4999 105789 -42778 27434 -16340 57317 -23029 141832 -99442 -93341 -123405 187849 161722 -125228 21543 44003 -6767 -137971 103402 -29248 -43067 -108087 -54569 50372 34257 19669 15163 25719 -36456 -111334 -21491 145685 109750 -16917 216017 -7637 61914 -188756 32528 152575 -93494 15683 -46283 25887 -281992 17135 -18551 -95972 -58419 81052 -49533 
+-79518 -153754 1651 -43080 24010 69718 -146990 -1715 -14737 49650 -13235 -47558 48656 4279 -160873 -216108 117260 71835 44377 51660 97595 -182953 20048 -95491 -3649 -55486 75363 16309 155367 -45477 -164964 88578 -71040 -150685 89074 -21854 -86696 33656 18633 -8266 -137500 -62398 -76262 108403 1104 3542 17276 16841 50149 99542 136757 123067 -78224 -50847 -188318 -108359 87149 -27121 44450 15757 42565 61400 -117351 42509 61940 58739 -2761 -67697 -68980 110512 101430 52089 15318 24449 54516 140544 75669 12737 24384 -177296 -215246 3438 -71423 14279 53740 -252097 75909 -160867 -23281 7999 -28081 82236 76063 -147284 -37142 72608 25037 52862 -2426 -4371 -19098 -125252 57199 19809 33422 -72899 -113324 47024 119387 12852 -75268 44840 69521 198808 -6888 -46547 -27326 16707 -57829 -34082 97818 -124898 34738 -32765 -97250 26036 -70403 -129679 -53901 -13922 52525 65730 142743 -11669 73218 -87478 -30853 -128 -73 68372 31413 177686 -32455 -69047 60267 -8932 -203684 -95182 75566 -93489 -43429 -72405 -28168 -214829 99859 -24581 -79176 -56443 94304 74361 41798 -166238 151215 -205585 -133046 82439 -229931 86454 69158 114542 -183129 -63718 -32470 37079 132419 -18116 -42749 -136931 -71913 -183244 37589 -137160 7187 91474 10412 -7094 -111555 67688 7994 5173 -51531 -3036 -19736 7329 61551 -47839 9241 -45254 80953 15305 165533 -133037 -82776 -150467 241157 141421 -79873 57529 -2630 59001 -36519 181996 32932 -30814 -81243 -71737 25824 96675 3133 -53328 -134513 -37616 -63281 -85967 109123 116659 -81624 256694 6142 65136 -121451 30216 129702 -82368 -68206 -62147 74268 -238869 73458 -36334 -120219 -121317 -9448 -23321 
+-61120 -128397 -5544 -63852 -19484 82763 289 18436 107578 1213 10024 -35243 46834 111690 -71145 -230144 83731 60179 29896 44572 103958 -142497 42032 -114126 -8418 -71903 82755 1812 135123 -100354 -68532 97800 -12891 -125064 71503 100647 -78027 6857 50516 -28165 -202894 -49700 -37122 180096 -82464 26819 7674 -3694 6514 68746 105834 211654 -142969 -53800 -130517 -98801 19510 -40694 38918 -25985 35639 83272 40300 123681 43492 25178 -10784 70082 -70447 228330 135169 38847 163823 149894 54459 58798 114183 88704 -2039 -159671 -232783 18263 -60137 16040 30243 -127857 51438 -145103 -28219 -13949 5007 83526 73780 -167162 46940 98927 -30726 163848 -13493 -133030 -32974 -94734 57275 -24650 -9111 -84263 -115974 -77665 -7823 -38993 -68608 57017 -152429 206042 -18256 -78352 -33322 21194 60701 -44874 166698 -129429 18121 -33908 -22976 -6118 -93140 -130479 -106087 87926 15548 54950 125797 8625 49058 -84642 17537 -38270 10965 97752 34002 84982 9848 -151195 33098 47908 -44226 135192 -36070 -107007 23347 -105066 -39342 -135306 78845 -6472 4604 -64299 107278 144080 800 -145183 60844 -201271 -143869 -18946 -186418 117320 -8469 138022 -223791 -112879 -133875 -35595 111553 -26733 -42005 -196543 -74757 -102003 -850 -123254 101817 71524 -20260 22085 -133551 -21382 -9114 29881 -20244 -1310 -51907 75390 11011 -49010 -68899 -47032 35291 65195 197719 -165021 -65328 -198861 160726 96103 -56986 -49736 -61300 111786 87745 159058 72765 -62138 -44269 -85995 23254 158185 34566 -93462 -194286 -59889 -85890 -96745 148187 122093 -93371 162586 -906 75011 -26089 19421 96768 -75168 -147207 -99503 43280 -218818 35942 -76034 -89094 -69647 -95773 -14565 
+-76894 -62919 -25069 -1950 -115143 47589 117333 42507 50830 28172 32979 -37370 47422 180057 -12655 -223552 57602 23221 66044 -10623 77437 -125583 38696 -128345 -5108 -86190 37626 7701 91131 -76209 -3456 56280 -11265 -93746 57698 183805 -66826 34030 57264 -21974 -138612 -96398 34782 200755 -123085 34794 -124066 -50959 -71288 66397 88716 103084 -162081 -57334 -59871 -33451 -106240 -23117 39785 -45790 9190 23615 134286 150889 24421 -4510 103249 22200 -60238 151791 125394 -3335 242268 210535 79621 -116509 51968 133224 -30504 -106972 -238158 35205 26341 -10428 24106 43221 7657 -116901 -16134 19270 55786 82292 91620 -176667 83617 95451 -44873 183285 -38271 -229670 2586 -53610 70107 8739 -46767 -91622 -116572 -163684 -190146 -14041 -10394 56817 -247341 185326 -4336 -16997 -36543 -8531 145125 59652 252935 -78237 12600 -78973 106184 -18127 -121711 -110828 -149734 141426 -57094 43732 99394 1369 89884 -76116 132387 -56337 12035 106773 36479 -4334 55888 -187599 11404 116944 112266 147828 -89814 -109450 67584 -89309 -25455 36571 86466 -20235 13555 -86357 77595 186909 -45561 -131494 -4827 -138538 -104005 -65402 -107690 92305 -83948 125920 -235681 -149556 -199193 -119920 75849 -37199 -36632 -225615 -49429 -55517 -2442 -157863 174853 -86581 -13208 45621 -117572 -41621 18709 50053 111207 930 -107963 65125 25410 -53363 -123671 -88154 15318 122590 248067 -136276 -43172 -201900 28935 72235 -29253 -163842 -43462 126012 142637 65251 78399 -92519 -3369 -88476 65744 208104 -9714 -101061 -81595 -102030 -63936 -6814 91035 97150 -61194 7274 -2490 87049 -40446 10826 78157 -75867 -100906 -131855 -24510 -175551 78809 -32246 -54660 37142 -94270 -11903 
+-91596 -6144 -33940 119991 -177611 46219 66590 70981 -142546 17540 28136 -45070 51300 162387 65377 -193836 -4747 616 71381 29100 54726 -131579 20510 -112277 -5354 -87964 -51343 28335 36429 8691 74260 -30045 -2414 -68828 65240 130368 -49980 49052 64180 -45276 -18624 -90729 123574 172334 -69747 16580 -209278 -35533 -145096 28449 13501 -71433 -130707 -74040 14372 3585 -208470 10598 38946 -35125 8360 17832 129300 104618 15765 -27734 141583 -154891 -70123 -33828 76312 -63578 214399 150506 80408 -205187 66628 137025 -33359 -40850 -216119 26352 123823 -29188 17212 79910 -134316 -120988 6330 -31954 29949 83184 90994 -170150 37294 106643 -59591 96354 -84779 -275836 4619 -51426 26067 85374 -71621 -95740 -115261 -132835 -243805 60626 -11427 53494 -40528 148821 -28795 -72324 -38134 -45232 72526 202443 258418 -48563 24544 -88754 149136 -56317 -146000 -161648 -110876 93428 -90544 47565 65357 8325 54860 -30129 196234 -27479 3635 155139 36979 -50775 96104 -144222 2228 171196 72414 6222 14546 -134282 55993 -122907 -3791 123787 91211 -26157 49472 -46113 80321 124833 -78172 -105213 15445 -53797 -156279 -25649 -15174 46385 -142979 101253 -221800 -130190 -135163 -75368 66148 -10179 -46659 -184464 -49700 7709 -17469 -130828 235613 -216475 -31947 20003 -69195 -31856 -11521 66791 194423 -13666 -80019 57975 29579 -57076 -152739 -76354 69622 182007 234821 -98435 -50472 -186810 -42670 61915 15115 -170056 -91357 90149 115635 -42990 54574 -43854 35835 -74227 28717 241739 -254 -71832 80228 -142146 19049 100017 106670 78409 4195 -134497 3355 99733 -131807 34560 34965 -89951 252 -117043 -48408 -110725 47267 -48443 -27353 53326 -13104 16119 
+-87917 -54807 -81069 171896 -143078 66913 -116880 104331 -269510 27922 -10108 -60359 57584 101253 132225 -177668 -10560 -46629 67212 37667 34222 -87128 -30109 -79364 -10309 -76128 -83403 9301 2379 109535 116241 -56638 -32595 -47334 53857 -26316 -50915 43674 3012 -32311 28725 -158378 159712 114668 -14291 -2940 -113370 -28938 -215495 3911 42995 -97660 -89227 -56030 55051 22297 -199263 -22405 34554 8527 12659 24296 -10866 32830 20968 25995 105971 -228415 -65201 -47029 75528 -112701 74613 33677 76413 -66194 33311 88612 -35100 28554 -191235 -10069 171322 -42243 66879 -61417 -130887 -118812 14318 -31382 -37688 80579 102387 -129797 -50468 135548 -91826 -28997 -120274 -201081 5309 -92401 42724 151607 -73321 -66906 -112028 -17131 -114671 141179 45303 66299 78236 91423 -29971 -33060 -46705 -96454 -47694 251371 257606 17973 -302 -110638 47659 -72610 -163719 -83013 -65437 4866 -40083 53589 25754 -4010 42941 -51264 263194 23934 -19236 144650 35002 -32896 176514 -77576 7731 204482 -127312 -179692 123948 -127893 -662 -121517 9326 28634 85056 -38556 79968 -52760 66536 83855 -91480 -98858 99227 2898 -95910 84352 62298 47097 -172731 60669 -188385 -102404 -4443 -1355 51032 -51581 -46282 -141860 -30805 -7541 17840 -144396 160808 -135811 -41072 6003 -12127 78113 -28365 66777 153555 -234 -71091 71744 75861 -62748 -76025 -95432 56119 216938 248824 -94616 416 -145981 42708 24867 87929 -51207 -102429 50642 45752 -140922 -13062 -26572 66752 -54028 55413 248318 1630 -6514 126716 -164589 60244 213494 117133 86072 51884 -74963 -791 106979 -210987 -4145 30915 -94297 53599 -77858 28643 -72488 44063 -53163 -46338 -52062 78746 36552 
+-88658 -135529 -10160 122678 -35376 36185 -161658 127266 -197435 35185 -8744 -60283 58583 -5568 107569 -110252 -15242 -91510 22892 55501 1859 -56107 -41693 -89726 -5865 -66055 -55322 33527 -48368 223603 129294 -15567 -71182 -8944 68780 -169713 -51686 29183 -40444 -37064 -56289 -145581 170378 58847 19609 2974 10674 -35789 -226201 -14986 -21837 23482 9502 -71056 36366 -15357 -102657 -12697 38325 29834 25989 41874 -142687 9709 36099 73535 19073 -99327 -75105 102595 -3265 -138243 -69004 -22444 58466 97266 102541 4825 -22708 100943 -168019 -46392 137508 -47734 83589 -228293 -108456 -108909 13950 -14150 -56234 81162 96951 -110252 -120573 114149 -125664 -93560 -126238 -95745 17896 -140746 22690 104792 -53999 -40727 -106628 95329 68126 206329 67077 89982 25407 31036 -21516 -25515 -47098 -148915 -54298 148901 229952 17271 45700 -90576 -93014 -56512 -178028 -86697 -32491 -92530 -29278 53385 -17218 -22695 22102 -69619 173771 42664 -25736 153252 32316 33774 201840 8949 26083 220657 -221452 -137553 209768 -98787 -77465 -167932 33090 -130539 80388 -115062 100335 -56993 11460 6692 -82181 -51587 170108 -28011 -105873 113177 114407 81875 -141703 38043 -160967 -68071 102683 53595 34486 -37062 -46307 -52277 -8763 -3673 26223 -129996 85193 39133 -40733 -2438 8935 141909 -15889 50047 -5252 -6205 -2959 73150 121021 -63128 -13045 -132380 29322 227474 239994 -46089 21933 -49720 134374 42107 106364 40139 -127960 -26166 -119581 -168141 -72495 -43073 85301 -56374 17021 230176 3207 67485 36342 -156490 114155 169976 102718 50486 -37803 44850 -1836 106291 -145165 3975 -11926 -74464 -68693 -55502 68581 -1396 9754 -20713 -69688 -146003 107108 33847 
+-109285 -132306 -31241 -43696 6261 35057 -16218 131139 -29548 51726 3582 -60175 56794 -120680 73061 -54675 27382 -136271 -887 41616 -40183 -13367 -63010 -83572 -11716 -38255 81357 28041 -87690 261214 102633 49323 -57719 17271 75802 -89818 -71660 2736 -95130 -20065 -176257 -147126 133414 7964 3540 26235 51382 -37467 -227597 -30685 -57999 173589 117010 -28277 37245 -108981 20037 -37432 41891 26984 49755 5972 -181534 36858 55992 57041 -50766 54547 -83745 216311 -26829 -130896 -137159 29995 49305 92686 54583 -52305 -10090 161217 -122249 -62587 39281 -36435 74746 -211110 -11275 -95085 -11767 -19977 -40772 77877 112272 -51300 -129032 128619 -101705 -46589 -121571 36323 -62807 -155870 32343 16765 -18258 7122 -99412 117737 116607 182709 91195 67674 -95644 -23449 -16894 1950 -40216 -179476 42815 -11938 160878 78492 -9020 -82774 -39881 -51772 -191763 -103435 -95039 -135746 64927 67788 -59597 -30723 52901 -27908 102816 53264 -5743 118082 30837 130887 224973 44880 53331 218882 -115000 72636 119880 -69492 -119238 -180322 49590 -200723 73036 -87497 96692 -71505 -19401 -14036 -44918 -39631 152401 -94182 -87465 42924 145053 57437 -80016 39300 -106074 20901 135495 18646 44193 -32001 -46165 28570 10645 -7758 10977 -84467 -465 120243 -58669 17216 -10004 128436 -24198 33209 -71942 -9774 50587 99506 135761 -70166 57492 -147396 12670 224958 209208 -27211 51399 -3641 222611 39399 149517 10473 -130112 -52920 -164632 -151442 -123417 -24525 88612 -69851 -7410 191975 1100 141805 -134148 -129726 143478 83096 100528 118548 -103005 222999 -7487 98759 -89528 -10676 -58486 -96610 -154538 -49327 33149 7484 9550 -44380 -74973 -56926 38838 47361 
+-66564 -90976 -23034 -80309 625 12436 129420 146318 130054 37483 21481 -42691 54349 -182822 63593 -3407 87742 -171267 30630 30314 -87629 22722 -42524 -90944 -9429 -15190 79927 11478 -133380 225478 40200 117515 -25150 34079 63252 57712 -73592 9335 -119455 15492 -182364 -99053 34682 3587 -64764 34990 -46711 -23749 -204212 -48830 -15413 187578 177253 -51320 -9406 -136682 82327 -46110 42828 -16009 57743 19251 -96281 121409 71453 25836 47709 41934 -91807 133079 427 -88167 -127617 152847 55786 -86554 113317 -89784 45108 171527 -97030 -66760 -57069 -12349 49875 -50715 85281 -76450 -27098 5795 33389 74528 121219 -2861 -82547 123734 -76920 75116 -78900 100030 5724 -125145 48124 -31035 23672 50017 -90599 36529 -16100 113325 82569 77337 -213169 -74914 -14505 -21765 -41663 -200488 148586 -44368 76799 92585 3963 -32556 103877 -68758 -193130 -89451 -167972 -97201 108524 64733 -98249 -64190 50038 -30632 -10404 38280 1586 61475 32024 210635 196385 -6123 76176 177174 74711 160583 10564 -38359 -200096 -202164 65655 -108194 80835 -53993 65327 -95374 13070 32525 609 -22257 67822 -182072 -99555 -65322 131172 4557 -2153 63409 -74586 58374 36806 -95572 18330 -23126 -47448 94991 36169 -93447 -32696 -116403 -28977 -5082 -64812 13867 -63273 -144 -13125 8326 49806 -2924 84266 92433 100189 -67011 37759 -182934 62223 197774 177312 -9992 74346 99591 188509 26845 166810 -101826 -123670 -51064 -152731 -98242 -112983 -37561 75904 -88598 40195 130217 27422 198587 -207323 -97167 164322 -94631 100463 132226 -104177 253439 -12402 86551 -18142 -9566 -69568 -95514 -137207 -83259 -21588 74678 -8709 -38574 -86118 5558 -51573 64291 
+-71291 -5913 -11558 -6064 -120228 36361 60332 146858 64046 23499 31173 -35075 50246 -177599 7207 37351 121706 -208869 38894 30815 -98778 54623 -30094 -98236 -12497 28417 39610 33499 -140750 137351 -27581 47938 -59236 50761 76750 188317 -82750 31799 -112052 10669 -70702 -66883 -37136 10542 -97776 17260 -186106 7726 -135534 -51472 -46241 64431 215928 -53825 -70083 -96487 53425 -21194 41606 -47091 38858 32192 48829 148569 72344 -18943 148012 -125171 -96845 -47174 48223 -29928 -15362 211024 82765 -154955 75755 -85452 98104 179742 -50130 -89048 -78470 3502 38344 89960 121804 -50115 -18483 -22504 47169 73284 126193 37088 18796 130056 -28327 175275 -35968 93886 12993 -62410 40074 3845 65023 101424 -80289 -92802 -196333 34997 54755 88830 -65935 -110309 -12860 -38543 -35436 -180695 70518 16409 25680 77970 17070 -20425 135535 -42541 -187460 -60217 -143565 -32416 131097 65574 -132162 -71414 22876 -41528 -70032 -11959 231 33963 34813 233556 171186 -78951 88819 129526 107482 45288 -54095 -28610 -198687 -207939 80363 58570 96688 -30438 8051 -65406 63536 133342 36911 -1273 -1990 -214325 -77464 -50141 84527 36186 78624 111620 -23070 93471 -63452 -145132 -11298 1782 -52156 93129 57272 -156492 -6184 -129406 -49182 -172559 -79261 38350 -122735 -61931 -3495 -10666 201431 156 74535 88508 56918 -72964 -18166 -172516 33627 163337 142785 14422 72437 109386 66014 42451 190961 -177645 -116261 -7864 -86251 32164 -82114 -16830 49264 -90366 8907 69341 -7533 228694 -103507 -68422 112467 -99679 76594 164754 -15946 168941 -23622 72900 -70072 -283 -82724 -120973 -59010 -116002 -62469 87521 -50328 -48267 -67286 100144 -102599 37065 
+-52724 -33536 -25325 110088 -147384 -16198 -118046 126489 -123480 8381 22859 -39339 45622 -107976 -44990 65573 119157 -230763 29969 24142 -149096 87461 551 -79717 -11233 23042 -47187 27067 -104445 26083 -111763 -18570 -39733 74633 61585 147495 -92193 6407 -103888 13493 31118 -44101 -88430 77709 -69212 -2684 -173622 -10679 -63409 -45204 -28568 -68119 204560 -31675 -141923 -19405 -52978 -38422 50067 -42063 46058 25319 147256 123741 62448 13909 138053 -225719 -114957 -32646 73185 24611 141632 153939 97748 -84385 107099 -46980 115405 158348 -15240 -55106 -8004 7549 28655 22163 38806 -40928 -11425 -2341 7119 74474 136243 69222 77117 144917 12543 175300 -24311 9760 -7427 -43471 47802 84028 91254 146212 -67688 -165510 -241140 -45596 35149 60093 103918 -125471 -12559 -20229 -45487 -156639 -52752 188478 -47772 78633 11411 21022 60442 -3905 -184821 -85728 -89597 63455 109149 66422 -157156 -97916 55712 -26711 -14148 -46158 3844 33989 36821 199108 126632 -136515 85299 72344 -53482 -172015 -60149 -49162 -132774 -188000 84725 138102 91827 -16767 -28624 -61689 45689 199982 54988 11896 6854 -177415 -62447 42728 -1870 -11864 132554 124986 21347 100857 -166794 -67722 -11998 21940 -53542 68137 68214 -198510 3099 -167655 -31630 -189046 -101352 -26623 -132269 -59468 -3153 -25567 155513 -13662 66171 114586 15614 -51530 -144293 -154569 67670 109930 75013 38222 104633 153540 -38685 123807 200795 -121174 -106877 54800 48226 127640 -15802 -66413 12335 -74717 57557 15725 -4594 206510 62270 -35388 62705 -49549 44589 103892 57064 12173 -27717 63789 -148415 -6932 -94076 -77897 57104 -131448 -11670 107681 -76452 -47330 -19061 -21899 -73311 79503 
+-82156 -139683 17465 185388 -123357 -27957 -159824 119068 -255139 32767 -5724 -52664 47182 84 -145190 84261 93754 -221272 57709 39161 -179579 98857 53574 -83987 -11374 72953 -109707 20396 -79025 -71772 -171927 -40984 -48538 87269 74320 70534 -105143 23003 -41777 -61230 -1722 -59537 -74346 129987 -12015 2452 -48424 37427 21847 -28688 44390 -55964 145261 -42004 -221819 31957 -183787 -33344 58818 -3419 16972 15139 113987 46376 44487 47998 49790 -135493 -123823 102577 135548 51035 221865 29375 72518 106230 129211 20298 125927 91687 24800 -463 92662 22664 31688 -135632 -61671 -56468 4787 -25092 -23565 72904 140071 72016 77088 150692 20191 74549 -29106 -107788 -57807 -68088 49240 132846 99559 183682 -53896 -125514 -104342 -30567 46778 78361 66015 -125994 -20776 -52847 -35706 -109922 -68297 255684 -77801 91995 28408 90416 -69076 -36355 -167004 -79087 -50084 108527 19585 68092 -172891 -103982 45432 -41669 76869 -49028 -22121 22736 36662 113574 67997 -163386 69407 12220 -208452 -173853 46282 -96066 -55080 -201691 68044 20210 93012 -20922 -49365 -82522 86418 172293 37424 19418 93855 -73764 -28088 117772 -80202 3196 145985 124462 35508 57660 -159762 47787 -33936 -8964 -46472 -3196 88301 -214271 11079 -155879 15367 -31226 -106520 -9902 -98691 6380 -3723 -27013 42076 -7550 39659 115935 21193 -39473 -162753 -184548 65440 52264 60926 13340 82861 139119 -6226 105726 202652 26397 -66338 116022 106379 189795 44103 -38184 -28427 -59896 -10951 -31715 1432 168664 126127 -30270 35921 98084 57910 98926 26563 -125347 -35607 61025 -189583 -17356 -103847 -75107 37197 -106140 63260 95527 -23056 -43585 -83994 -127294 34305 79742 
+-93109 -146833 -13156 108555 -44342 -26827 -24073 85496 -231414 36372 -15260 -60108 48438 103257 -217241 103793 43819 -202905 55390 58884 -197393 118959 38656 -110699 -8495 78826 -38404 50859 -42498 -91945 -231566 -48259 -27589 97956 64987 -81948 -91981 -514 -12291 -23593 -138038 -58948 -4409 181901 26602 25641 35758 34253 86620 -27380 76373 75036 67806 -56568 -247762 21065 -220452 -33562 61299 29944 7896 46258 -24269 4514 25966 64744 -13353 28832 -117523 200848 153278 39089 228868 -28348 51560 91323 121495 81547 136110 34275 48153 16165 173219 8471 78970 -258453 -115914 -67184 9629 -25196 -61918 76359 143289 89721 -20807 156017 29802 -47059 -50949 -215852 -59920 -121987 59737 109993 85787 206760 -38924 -4935 74073 30574 3565 74826 -136734 -96612 -13845 -53355 -38871 -54861 50643 176505 -64728 7622 10225 132242 -60374 15300 -147918 -74307 -72925 105299 -45620 67644 -177360 -123793 44444 -25501 191128 -12560 -16411 72961 34272 21153 -4152 -138721 43750 -44007 -180392 32587 166105 -103725 53298 -221729 59389 -149983 86086 -48554 -75742 -75839 121723 90375 7097 53020 168739 24991 -40118 71230 -160013 -24451 124229 94743 65142 -31479 -61975 54674 -57061 -9307 -43971 -77402 95139 -166690 20012 -142932 128225 89224 -113867 13829 -37093 90634 -5517 -11942 -55935 -9188 8696 132433 47074 -53446 -136467 -171982 85320 4103 -6690 -10701 82297 97186 117244 150916 207296 53393 -34994 135652 132405 165694 78960 -41807 -67273 -55039 33600 -51743 8480 89790 32342 -58786 6337 211432 44721 52505 -48441 -68197 -33607 66708 -110536 -12505 -101782 -106444 -58687 -67461 46342 65095 9554 -39475 -102470 -114647 117651 62671 
+-95198 -93368 -40944 -15127 17660 6034 112956 40837 -16742 46282 7187 -65744 52386 174028 -284599 77940 1931 -178050 51442 32260 -209124 122891 27959 -98363 -13864 91046 81529 -3920 20927 -41234 -211900 55002 -24134 105691 75773 -106594 -88476 -37706 30605 -54900 -196956 -102734 80780 182167 -1117 35164 -22382 32673 140454 -8001 96399 199069 -19629 -61468 -245629 -24800 -160475 -24519 68452 35852 17994 52101 -149027 44769 16731 19459 20559 69180 -132067 152245 138258 -4296 110690 33171 57333 -74842 86883 128955 82058 -38683 63204 53791 161033 -7680 21164 -158482 -149372 -30891 15110 14970 9595 78486 147838 72062 -88754 165683 42618 -93443 -81469 -263556 -25580 -136459 72528 33352 53607 210507 -23009 106259 114323 123901 -37316 48584 -181873 -56657 -28449 -52001 -31402 -15956 143440 16901 -60046 -14262 30641 160821 66586 11992 -132315 -33645 -133158 45467 -88426 51988 -170914 -127682 65743 -29402 252992 32159 6741 110808 31692 -38553 -15069 -97977 19734 -90474 1289 169438 218603 -143408 49581 -193704 45533 -198747 90053 -28177 -44701 -63678 109601 9477 -40861 80208 153859 8026 -6270 -41697 -222813 -24321 52037 53369 59398 -58841 36483 -33248 -76568 -17838 -58289 -148764 93952 -116721 -23622 -163677 182133 78445 -121073 -9864 19852 122202 12962 6180 -9914 -1357 -42561 85407 98728 -47482 -23859 -172264 91716 -24749 -58135 -47540 70838 43285 217756 132942 159213 -45320 -27026 104689 93867 66939 70763 -38932 -98979 -68667 33211 -46289 -15860 5121 -141718 -91640 -63441 207320 44503 34729 -120800 69380 -37464 77111 -8711 -11219 -94904 -84465 -98134 -38842 -23007 45551 23847 -33318 -132969 20253 93968 75555 
+-88302 -25437 -32344 -61959 -45240 -43753 84457 22170 115385 72680 22864 -49398 57992 168071 -301725 35502 -23126 -130272 37886 12622 -206458 128172 10559 -85652 -6736 109356 100246 17477 52358 61022 -201961 84051 -2462 114334 79387 10392 -83637 28446 88203 -40247 -140389 -110041 158089 159124 -98553 17974 -162722 60737 89727 11444 153436 169465 -102324 -45861 -208570 -79587 -36115 -51531 69452 -4116 34229 26160 -173845 118554 19945 2218 138543 -74714 -123377 -11853 107046 -60558 -27748 150926 49464 -181231 52234 125002 38266 -92109 71381 -2745 77113 -33990 50309 6573 -89749 -29520 -15464 -9723 15174 80505 158593 36810 -128968 150043 24389 -28453 -88593 -228820 -32403 -131337 60620 -26780 11537 218386 -5614 108428 -25637 196289 -83188 69000 -65001 -2205 -16795 -17298 -25764 12538 88493 -54158 29293 -43625 23523 214110 162014 -9748 -109089 -45577 -144549 -69721 -96570 48993 -153399 -141623 76942 -21871 244135 52825 4935 102745 30834 -47480 -72186 -2790 4745 -117115 123269 92275 149404 -134508 56308 -204990 15432 -146389 82196 -89108 -12117 -66599 71392 -24986 -76799 85404 71281 -92010 -20285 -30067 -247631 -28011 -23168 36423 54062 -145086 123980 -101887 -85151 -18637 -51706 -204700 95567 -92907 12327 -107506 203739 -103036 -132875 60569 8568 81423 10876 30480 148693 -7377 -64964 103095 142247 -53759 13528 -189524 93925 -35606 -71843 -85322 42127 -39696 219364 124732 118033 -152884 22317 37753 -25484 -71767 38915 -30861 -117525 -87254 25032 -17240 11609 -52436 -190956 -130972 -29629 105630 3883 73783 -58001 182504 -60024 90517 -26796 24280 -97049 -107485 -91212 -52893 -66719 3182 37118 -48170 -124045 81630 4777 67759 
+-70209 -36454 -41904 -10895 -119067 -5742 -90341 2592 60698 1731 43624 -38753 57404 89667 -298087 5501 -39305 -75243 43287 12077 -177856 140560 -17403 -106438 -13084 96071 48513 -15371 121894 168815 -114560 59989 -42942 101482 77519 167398 -68051 -35309 33421 -33714 -12779 -150420 177719 101969 -122517 -2360 -167342 50464 80577 35276 130222 34236 -148225 -37463 -145737 -123398 59215 -27350 79698 -39130 53478 30321 -67539 155055 35670 -20639 173880 -213454 -130988 -66642 81865 -110275 -141312 207128 64856 -158906 58252 77570 -3911 -143317 63822 8325 -25659 -45697 29079 89577 9449 -21617 -34693 -27684 55673 81129 150119 -12971 -93952 155420 -2739 96925 -123919 -106752 35820 -85085 79344 -4930 -30362 202298 11826 14049 -201312 197406 -100497 63702 122051 61699 -5220 -24880 -30515 15950 -42564 4746 101035 -82949 9999 253855 77089 -43135 -90148 -51297 -65525 -127493 -21463 49751 -126347 -158417 53865 -25780 128607 50205 -11286 173795 32587 11713 -60906 25659 3951 -112570 23075 -148165 -18650 -127568 15397 -197720 -16179 6324 100182 -62909 23109 -61562 59720 68723 -96726 85536 674 -165971 -13281 1422 -238768 -21470 -93668 34152 39034 -155503 127480 -101129 -95375 -29994 -46515 -214669 88375 321 18543 -155182 150237 -207085 -141021 35389 -8123 22359 14385 54418 188936 1706 -86184 84019 127466 -36108 20796 -218100 94556 -30237 -73548 -94205 14444 -126279 92813 130206 69184 -160254 40388 -33197 -142412 -138983 -24344 -32540 -121416 -90937 66226 31958 12992 -101123 -95358 -157553 -111941 -28379 15860 83435 -8530 237557 -56415 102930 -112021 6052 -64766 -116406 10678 -96613 -9988 -53322 71287 -23049 -54683 9691 -71322 74729 
+-90486 -108830 -12031 125238 -174604 -9767 -164767 -22363 -124838 36259 11322 -35909 53638 -14411 -256943 -48311 35469 -35901 46378 46995 -159044 113832 -63534 -96056 -11420 94120 -57425 4650 141079 245005 -65271 11939 -65795 90499 58563 214676 -55251 -2915 11885 -8356 21792 -129693 168936 30111 -87354 1899 -97502 29511 3416 55643 138138 -72495 -156776 -58433 -94025 -91704 87248 -49957 87159 -47487 55618 14297 59670 109152 54517 46525 89527 -162531 -116012 85486 51011 -141326 -125449 149590 79441 35427 43143 -6909 -25996 -175316 59800 -32794 -77270 -40999 22976 -31737 86397 -42210 -47123 12518 2671 84978 149926 -68977 -9134 178704 -53202 183762 -127921 24309 28672 -46726 86009 83540 -61961 173645 30409 -107353 -238282 130717 -128479 52701 113006 113273 -13877 -36826 -24197 -3427 -59819 149349 175599 -134369 42650 260501 -53887 -61265 -60786 -72885 -44518 -129777 39324 48811 -91693 -163291 47621 -48730 12017 7833 -4283 134530 35339 107644 -67193 -1161 17626 -97974 -165451 -182591 -109040 -88166 -75518 -176625 -25323 108705 89344 -96035 78237 -75096 94729 93371 -88075 155998 3162 -213844 -22612 96771 -191956 -37002 -149795 69148 -14568 -122320 -2136 -30467 -91207 -11250 -52105 -180730 83382 32680 9232 -134913 70926 -124435 -120552 32866 -38816 -59729 25477 68226 111979 9977 -71518 90228 78082 -26587 -30939 -163395 81187 -6414 -91877 -113211 -25621 -190004 -37884 90457 31663 -46038 64593 -44442 -197477 -230649 -84766 -30255 -108756 -76422 55749 92129 12688 -101172 65653 -161896 -110101 -119519 -4961 96517 51609 189191 -50795 107151 -176794 359 -40377 -101339 44718 -120944 60367 -116894 69983 -30797 -35230 -138989 -91438 50782 
+-80909 -135619 -22461 169136 -132933 26215 -44135 -4931 -279766 7227 -2084 -40979 52255 -107852 -218634 -99485 74013 10979 -345 62399 -135481 95874 -51495 -123419 -11904 64654 -95180 -2549 179576 254216 30969 -60694 -43201 94023 64468 80639 -49523 -39304 -25372 -19897 -58820 -125684 98901 4270 -14492 25014 23445 36820 -97248 92682 120650 -31374 -130900 -44690 -42277 -12675 -1325 -29696 91705 -11459 56350 38747 150857 46226 70881 64378 -13210 7552 -115938 203514 7388 -127703 -56179 29920 61617 84426 49632 -61831 -16030 -163492 46607 -86065 -51683 -27048 15029 -212244 73386 -73983 -18197 31791 -27906 84252 156430 -87601 66825 173344 -72096 163584 -77637 100830 10169 -55279 72170 132571 -74386 130239 49101 -167512 -96094 35176 -161913 49026 -132155 159348 -9273 -43070 -21328 -51164 45586 259520 255066 -193230 21666 315924 -85156 -49274 -33117 -99937 -57856 -86750 113207 58141 -51402 -168282 37547 -49866 -39006 -37010 -5845 155314 37012 192580 -40901 -79633 42187 -41116 -214640 -30147 -23933 -44419 -158318 -162952 -48158 9113 112216 -48133 118791 -69706 32796 173473 -48135 117308 89357 -208248 -32164 98786 -126895 -37687 -168050 112782 -43006 -118521 -118609 48306 -95174 -18032 -47911 -111063 78473 -7220 23494 -134617 -14686 45613 -137787 -24405 -116198 -3200 30492 62715 -57190 -2758 -39828 55418 34832 -32750 -117543 -146265 87169 44877 -90953 -129103 -44381 -233476 -11806 45369 8669 68004 88099 -29767 -174016 -206645 -114071 -29649 -82434 -59148 33068 154151 -1044 -62776 139240 -143441 -72147 -75585 -18555 139782 -9843 26295 -58928 104173 -182615 22842 -10702 -103309 -10878 -124732 67103 -150728 40511 -35710 -75061 -118064 -33836 73006 
+-102955 -100026 254 98903 -20872 41811 118450 -12808 -217110 9232 -345 -54120 48333 -171368 -104031 -144917 133814 43583 18529 44507 -90124 85029 -43529 -87742 -13909 35275 -55176 -18329 165247 185822 92487 -60700 -16651 59598 69509 -71425 -49694 -32360 -73335 -10928 -180832 -65654 4382 12130 28375 35258 41930 16305 -137054 94340 70670 84626 -57640 -61016 20462 20019 -121760 219 103173 24944 28968 49582 101970 -2725 73129 72359 -8338 80472 -97475 147196 -28123 -81958 92513 -30292 60656 13737 95396 -93863 -68841 -140082 453 -92075 51170 -17178 55195 -243205 -17751 -39726 -2243 7955 -46071 82538 163528 -139363 75231 175394 -91293 52291 -39910 106952 -38428 -96251 93198 116431 -66307 87909 67566 -105953 80506 -30879 -173544 80314 -182960 199068 -5927 -48727 -22761 -100203 138524 166219 270471 -231344 35699 292902 17445 -58607 -20160 -108075 -101010 22307 128936 57268 -8741 -171524 36706 -70398 -53900 -54562 721 122421 36202 231790 27894 -147427 67961 3325 -71206 161501 42751 -22809 -171755 -143630 -37865 -115080 90536 -51244 125998 -53325 7909 178448 -4135 135621 162476 -113193 -34418 16593 -38696 -11834 -136786 133978 -90963 -41243 -174687 35108 -135248 11245 -58005 -26513 42604 29529 -10627 -109584 -56991 105690 -132629 -20959 -156181 67501 52302 49839 -44375 -10725 -8644 52186 8616 -26728 -126602 -138459 87044 99704 -82751 -158761 -69946 -182239 87586 23226 -61564 21199 129347 -7405 -29332 -108108 -110673 -38354 -46065 -57129 12500 205590 36334 3580 35553 -112936 -51971 61949 -7704 114013 -81529 -87307 -54669 95165 -64468 17837 24988 -111166 -116434 -86266 9035 -200029 53930 -53308 -108736 40944 78297 65592 
+-100739 -30298 18138 -31984 24400 44363 82432 602 -52705 36199 9144 -64594 45211 -177881 -71911 -189448 108532 68803 -151 45408 -60263 64060 -7356 -54312 -11205 13251 75190 -30678 150340 83846 112562 35377 -13803 39270 65211 -155427 -49755 -52330 -97336 -6470 -191222 -50223 -65052 40868 -32359 18646 -101288 -35700 -219936 136577 48479 194798 45699 -61903 68606 39599 -207529 -20217 105348 37870 12910 71902 -38693 43312 62927 -2626 102039 -46156 -92943 -45505 16626 -22498 201725 31610 51595 -158794 58155 -79195 -74137 -99112 -44262 -77004 147582 12782 66161 -78517 -81747 -62309 30624 -10595 -8895 82703 158552 -154418 20181 187903 -106889 -62381 -48518 23709 -43603 -113240 74775 47258 -37920 45063 86195 10524 111193 -33560 -154614 75663 -75435 207244 -591 -31704 -24538 -133493 91233 22280 282268 -262831 -8765 280046 128281 -54179 -3953 -106214 -127555 124833 136407 62313 33422 -171383 61213 -63329 23912 -52458 -11454 86115 33572 213588 96751 -164435 85642 76576 100251 122497 156985 -66254 -189581 -133317 -32647 -223494 106397 9853 78776 -100375 30225 95632 37621 138078 161078 -23227 -41125 -70312 52633 -10822 -67678 119885 -131404 36260 -159373 -72034 -91410 -3837 -49380 34814 44922 -60869 -3797 -121489 -48827 -9025 -139445 21415 -102392 104780 56752 30328 99093 -9731 27571 81167 10460 -9467 -122161 -156267 77559 159179 -27389 -107288 -69161 -178390 205109 467 -96988 -106670 132744 49351 87217 -24027 -82330 -61694 -5613 -69520 9188 241781 18668 69094 -124257 -73639 15699 185644 -24558 110441 -103823 -97272 -53364 82645 2624 35764 49341 -113299 -82231 -50851 -51452 -247638 2320 -11568 -94034 65829 115239 45506 
+-89582 -13555 -23907 -82107 -55042 72895 -66584 18728 125893 6759 59314 -61799 48117 -113596 -11745 -210710 100135 69770 24628 38522 -17315 37560 23657 -76536 -13023 -25338 121565 -44033 92166 -23036 116064 92323 -23503 31481 74965 -43728 -68526 -62266 -73706 -45704 -77280 -45952 -89229 105782 -92058 -2075 -215350 -56619 -222063 136333 -24136 141706 117278 -28933 43199 -24129 -176470 -11726 110951 9648 5091 28458 -155217 136255 45333 -3848 145889 -207031 -72756 -57141 48286 27551 223159 144476 59861 -153348 110163 -32422 -18775 -32159 -65390 -73463 178408 18182 66379 80810 -147716 -60606 18679 26367 7590 80864 150823 -175522 -63588 189355 -94116 -89950 -22104 -91814 -39689 -153149 61428 -24097 2952 -1168 104467 106956 -35914 11405 -145725 92949 88719 208456 -26863 -91543 -13219 -178595 -38566 -63225 237934 -236909 -9927 253592 117819 -16531 11020 -130747 -105395 96423 72139 65952 72367 -146197 61255 -81157 153169 2296 -7178 24261 31253 142149 130465 -162066 88840 139465 90190 -58278 203171 -66350 -128464 -118709 -9498 -102157 96969 -8633 55065 -81314 24378 17797 55174 161249 79603 -20641 -56058 -26827 104544 15124 11431 91442 -179182 84387 -59687 -102807 -144330 -7379 -56050 84289 13386 -119989 23165 -166686 -10240 -168042 -142888 40667 -55489 94533 72696 10283 188739 -2486 65743 16612 35617 -5889 -83387 -119891 64972 197104 8614 -114215 -101928 -75626 224160 -3941 -117600 -175759 133394 116283 164418 117253 3346 -46118 33869 -85923 310 250116 4748 150089 -214071 -47470 76749 227831 -77178 94846 -40008 31274 -42160 70430 -59103 40686 78726 -77227 -54160 -51743 -10529 -288268 -3814 -24621 -96760 6252 61215 12962 
+-55681 -95632 -41781 4026 -118128 45749 -164573 33750 81272 32007 32184 -46440 51632 -7822 85859 -231596 36441 50433 48747 8685 27467 -9347 46824 -68943 -13878 -45788 8911 -40220 69381 -88659 87600 85720 -34577 226 78914 106118 -69075 -51535 -84156 -48611 33945 -67906 -62133 170459 -106357 1410 -150513 -39834 -207332 150878 -53657 -10182 184937 -35357 26961 -83802 -88455 -58192 116190 -28277 22367 64107 -170575 142741 26419 15743 117377 -196076 -77786 76940 54520 52010 171900 210492 65311 -9130 131165 42541 20291 45595 -123026 -43430 116530 21919 35750 59274 -117571 -103694 5748 41011 13948 75852 152961 -171355 -115154 193023 -70720 -8590 -37350 -218557 -19945 -116926 65534 -4337 46330 -44083 122280 104722 -209347 104526 -102615 88705 57007 168463 -7901 -71585 -18457 -195679 -61824 1848 171771 -223185 -25995 220726 -7343 -7072 13408 -100133 -92254 60548 -426 71288 105390 -130852 56946 -52785 199961 45662 -837 11877 31031 42310 186129 -84210 77268 183937 -96588 -213124 58968 -95119 -64281 -87467 4860 49831 113217 -22372 -20707 -74540 33198 -5817 45766 164325 4177 -20306 -68353 104455 135114 -1634 93886 53540 -203617 88905 78576 -83987 -123028 -30060 -56746 89821 -7315 -159360 29008 -126079 74194 -174922 -154654 37905 20299 67382 64043 -11620 127583 -19689 72235 4932 100771 -4998 7998 -60450 57463 224813 46628 -93450 -86492 -1804 119892 43371 -112186 -117235 138345 112148 89825 131922 65820 -44556 65804 -91014 32878 230008 25158 205422 -103490 -27555 133002 135779 -63993 64870 37871 185082 -54136 62954 -134394 52677 117238 -103868 52453 -81027 50738 -290581 -16109 -13795 4239 -69565 -31076 -9245 
+-98669 -166110 7085 126728 -179156 41157 -47970 79092 -79742 20682 37 -42138 55246 105176 124422 -225683 -9591 27048 70438 52324 43008 -38743 47788 -71191 -10528 -47226 -45167 -24553 -687 -85846 27138 35249 -63474 -18674 71494 195138 -90762 -81919 -53103 -70999 -21261 -79904 17369 180311 -56267 24378 -29739 -15662 -174791 115325 -54314 -95192 207020 -45938 -30950 -138583 38194 -37920 122141 -43140 35452 39635 -64505 95423 15485 49330 -10669 -33552 -77280 217967 108287 37989 18143 150673 81240 138306 123315 93581 57927 117406 -153852 7015 13098 -1633 3952 -112324 -51444 -102644 -26036 17010 1906 76103 159785 -130052 -120573 197584 -37646 117600 -79311 -255745 -48644 -64600 66344 75015 81121 -79810 139316 6448 -233826 189798 -136679 89932 -85772 120841 -22851 -55012 -15558 -192008 45228 143419 96616 -229214 -16527 185786 -88464 -12934 14356 -118220 -48022 -57276 -47962 53902 129925 -126965 63083 -68623 260978 62125 12395 31332 33230 -29138 199430 -23402 54008 212252 -216185 -114627 -79225 -152236 13379 -122389 35359 157597 117649 -79936 -54190 -65933 54885 46145 1924 147278 664 -135696 -116913 109396 137972 45360 140736 33934 -211828 65296 158539 -17891 -150899 -33959 -50342 58028 -23974 -162261 14151 -115534 149919 -8815 -141144 -16941 -1235 -59045 55091 -26423 32100 -10916 80044 -5828 129340 11940 32652 -44598 90538 233134 96874 -39790 -89557 53545 -10772 60620 -133172 9154 111326 109766 31981 146356 80279 -36219 84918 -75056 46349 192515 26920 231852 67641 -44131 148660 549 -66900 74715 41650 257811 -37938 61732 -187096 22538 123556 -116727 28419 -115083 56630 -281798 -59420 -39887 -37345 -146031 -106873 -618 
+-82579 -124075 -28929 150580 -97117 64556 105841 125862 -303069 15168 -12397 -36964 58293 174605 96604 -215761 -41840 -18295 85359 66852 73572 -82000 34347 -88824 -10612 -73058 -128845 -37637 -45393 -10336 -58760 -12734 -46327 -37970 47760 135014 -101931 -78558 -13922 -17204 -125385 -105851 99322 189816 -17125 35388 28700 -34468 -103098 128397 -82268 -10343 203154 -64004 -99448 -115191 81130 -6623 129372 -32933 41903 81646 77796 35097 20318 65730 -25668 91420 -58196 178321 127986 -4197 -115081 28569 81044 27632 77583 136490 68623 163024 -169763 50270 -70791 -15788 5812 -249424 43997 -116675 -42812 16283 -20200 72481 159545 -120143 -56229 192793 -31367 188658 -92781 -220446 -24142 -46069 41878 131418 98264 -88847 155255 -116417 -85050 186000 -91363 63561 -192623 71179 2140 -93224 -20503 -158270 142498 240187 -1408 -210290 -19896 142436 24979 -32150 8584 -132768 -81362 -94348 -86709 55877 144151 -100531 67138 -62312 186532 32206 -22480 61624 36019 -51684 212370 22174 28718 216275 -134786 80078 -69803 -137760 46253 -68234 56599 23528 116555 -72639 -61377 -83331 114792 94223 -44789 118959 77756 -186924 -125117 -22955 90305 -1392 138343 38743 -215088 23203 92472 64006 -96812 -28210 -54118 -9909 -47367 -162972 -14310 -116036 191711 109604 -129964 3641 -31940 -67399 78362 -23093 -37825 -19611 -11824 27606 126579 11122 -39262 -40101 44788 213764 140026 -13287 -62359 127664 -40521 119276 -76307 52028 91540 29462 -50536 87191 71559 -22831 88795 -60188 52964 136193 36081 213027 140709 -78545 143983 -102893 -62536 77944 -30664 197795 -22316 68684 -75225 22864 132763 -128032 -82252 -126540 -552 -264245 -45261 -45413 -105242 15601 -83584 7402 
+-71783 -33772 -62532 113945 -28945 86011 91238 110732 -238476 45966 -9111 -42241 55394 173821 123290 -184509 -30664 -59781 51154 52673 99411 -109300 -25021 -101924 -12248 -85119 -4444 -38786 -111658 96893 -132462 -46244 -4506 -79171 61373 -16559 -95390 -109865 35554 -20331 -216924 -159901 154470 127741 27299 19352 -47450 -7262 -23430 107148 -40329 97843 144868 -26877 -146292 -28506 58112 -20530 126859 19385 51399 28771 143636 17027 34320 33615 75940 -12992 -65516 -19314 112360 -62976 -170170 -30470 59265 -163101 98837 110113 110677 191230 -212609 17946 -77401 -42355 13359 -196510 95513 -154713 -27416 37732 -49044 71211 146225 -93396 34659 183382 34549 149190 -106285 -120396 -7133 -63163 47746 114100 94793 -98792 170302 -167471 85091 159853 -72294 59415 -69488 5396 -17568 -93759 -14249 -114884 91588 184301 -44722 -128544 27580 84195 124475 15861 -7014 -115573 -150805 -144534 -65647 55902 147940 -101169 72762 -52294 76344 -13961 -18122 105656 37122 -7011 164730 16252 8541 210533 49098 163656 -29748 -148395 32773 91 75263 -113149 120857 -93274 -62310 -62818 154114 157525 -76994 164452 156625 -194626 -95913 -71159 29748 25140 110749 77973 -212802 -29214 -32771 -9461 -107374 -59955 -53364 -114983 -63408 -106867 1626 -126444 148599 68804 -115773 -6562 -67395 -15400 67777 -11749 15643 -8338 -15577 662 67779 18267 -110506 -32848 50696 176688 167786 38803 -51406 156597 51116 129946 -90333 -49191 51799 -28808 -156261 9429 14801 -24818 76538 -55484 16157 72501 31367 156951 39268 -117894 91675 -101689 -71286 120304 -104253 39458 -27656 80657 -34848 33224 168924 -153815 -132277 -102822 -70866 -254450 -30562 -38702 -111351 67016 16391 -16432 
+-88400 -18865 -28283 -12122 11149 47095 -69519 126429 -31610 42236 27251 -54148 53601 107795 70961 -133114 24089 -107037 49534 35474 112880 -120567 -46451 -84843 -13610 -81231 86425 -17543 -138122 205264 -206515 -523 -13394 -91287 78904 -142871 -89335 -69368 73249 -471 -151489 -147691 180520 79829 -20657 -1722 -169151 7731 51626 89302 -60952 177240 38770 -54930 -221152 27631 -77689 -94994 127003 32823 50565 39714 78688 49574 52304 -31273 143339 -182589 -68582 -68789 96995 -115109 -93657 25714 48157 -174497 94021 70168 91729 181375 -226395 1502 12736 -53020 43555 -4773 81554 -120797 6814 40309 -8146 72190 151509 -49455 80190 190972 21284 29779 -114345 -1485 7615 -110499 37797 44445 70960 -91131 183723 -94100 107581 66600 7863 63464 73784 -50275 -18158 -59558 -22769 -64167 -45676 45706 -92566 -103441 -6445 64923 111938 -4784 -16709 -100160 -114093 -100156 -14282 49906 140409 -70634 87819 -60152 -43394 -51095 -8406 112887 35663 78476 119981 -43510 1535 172698 112669 -5603 125823 -101773 9703 -28768 81478 -245608 100468 -84940 -33930 -54177 97400 185026 -96279 146401 164789 -126352 -107189 -36446 -69026 64452 40405 112898 -176612 -103904 -141957 -71836 -83108 -13086 -55263 -167430 -79336 -90957 23154 -151265 133353 -96820 -129316 34218 -117892 98151 61375 11829 159051 -11494 -77116 19217 33347 23199 -143371 12860 30159 129447 216649 -520 -28279 136149 181058 110720 -42445 -171398 22774 -68007 -125778 -151769 -56909 -50732 50953 -65502 29762 13408 32543 84765 -136798 -148579 62689 -13227 -112668 125928 -99718 -84807 -17448 94175 -35465 28739 181104 -142348 -49773 -70456 -27024 -217159 -15183 -25973 -98946 31331 95184 -8622 
+-105081 -55290 -26196 -61954 -49497 12953 -167907 149498 103691 23716 46329 -60285 47994 4797 23575 -89363 66469 -154591 21054 14557 98038 -142191 -69673 -63395 -14283 -75754 117489 -28264 -105179 262744 -220571 47827 -56548 -119362 72288 -78881 -76522 -96182 57545 -4716 -31232 -137321 136391 23526 -91964 921 -182302 12419 81983 94417 21267 93398 -27958 -47780 -249297 19372 -191647 -43439 132262 14683 36271 22607 -54414 120368 70111 2469 116813 -215167 -69245 60556 64401 -138646 40205 147371 44890 -15352 70168 12464 97196 137117 -230285 -70777 125104 -48132 92107 101411 -62909 -103013 24353 -1656 32837 74806 146081 20626 57484 194791 32665 -75088 -80450 92412 -7061 -142518 34980 -20881 31945 -74901 196183 25838 -43885 -14236 -237 37467 76430 -90833 -20189 -99875 -26006 -19638 -74945 -58683 -79967 -66275 -13922 11282 -485 -43566 -41768 -118687 -99257 -13927 30281 51296 121923 -64355 50883 -57156 -66147 -47321 10415 144004 32903 172490 76733 -161072 10380 121172 -21820 -177309 185271 -81731 -67510 -7993 84576 -140347 112816 -54186 4952 -78152 48557 131883 -81957 145199 85291 -44511 -127087 52012 -149802 64363 -39234 133022 -141251 -138487 -198224 -93045 -68221 -39188 -54151 -208352 -63514 -39921 1434 -140030 31942 -216837 -115841 45868 -136089 135435 75231 35413 182274 -16903 -97649 12814 14415 29254 -137167 -8522 87119 69233 221098 39258 1333 135325 226662 140556 13360 -147740 1653 -48211 -72557 -185718 -102510 -46289 14160 -83241 52905 -28850 49296 -3733 -189637 -158948 445 130845 -116761 115637 -7935 -89790 -13731 103799 -118504 24671 142146 -84208 34235 -47682 47175 -158530 19654 -66497 -56755 -72949 104031 -12032 
+-83083 -154255 -50934 -5419 -122626 45691 -63328 154072 85756 16221 3586 -57378 45868 -105070 -64647 -23997 135268 -188845 32955 16053 67859 -168998 -66079 -81951 -12811 -55694 25963 9225 -106294 232530 -212659 102374 -36007 -143722 77360 39297 -62231 -124360 38389 -36768 27706 -87090 51254 5425 -119891 23728 -66237 36684 90202 48283 89909 -51178 -120862 -25327 -251658 -21462 -199990 -54044 130025 -22749 12742 21273 -167826 150857 72277 37479 49645 -67713 -89519 227303 18657 -132241 161098 213439 63538 85420 55492 -72840 84863 87334 -230803 -79662 171112 -21576 85504 2171 -91909 -139375 9135 39908 7660 76100 147135 44305 -34995 189880 -1583 -82684 -54344 129506 -7918 -146684 37456 -6244 -11650 -32095 206623 118109 -214772 -39102 61208 54025 -86713 -118885 -12165 -88649 -27325 15912 57833 -10578 -57665 -25815 31192 -46856 -91392 -48678 -61841 -76882 -36621 75534 129224 50563 94091 -38940 22108 -52477 -11465 -20014 34537 140295 30929 234844 7830 -178613 31908 60178 -192466 -154161 155969 -43401 -135220 14825 78850 34611 93469 -53734 51660 -68602 71410 44373 -49139 131819 5897 39404 -126486 101841 -207676 68511 -120351 127282 -94072 -140254 -107524 -32326 -113511 -7814 -56661 -208242 -81052 19525 8733 -155230 -62032 -122937 -107786 24028 -96207 83811 74967 56799 76121 -8400 -99445 64433 21017 41973 -75168 15601 62136 21240 241535 -23421 26500 36627 169652 122722 22419 -26589 -33905 -10571 -16294 -214187 -123251 -29401 -26369 -92873 24210 -51114 23056 -60705 -110036 -155434 -12672 223398 -76149 117872 57948 4572 -14476 107325 -185558 11590 163877 -141222 36892 -57544 77012 -105770 41038 -31733 -31874 -83313 18247 -26098 
+-83308 -140568 5091 117368 -150162 15170 88538 129398 -132690 12545 1446 -47019 46654 -166402 -146434 21768 123208 -220181 2458 63626 51209 -173953 -23704 -95844 -11620 -38071 -46920 -24317 -95738 144397 -184640 70940 -27922 -167848 91065 160206 -64427 -90373 33265 -54378 -72349 -80455 -14400 14802 -49643 35451 54476 29612 99187 19154 75776 -94816 -179625 -36153 -197803 -86746 -144728 -14506 126418 -51109 15781 36960 -167690 101065 63566 81407 -9610 67125 -95901 195894 18680 -83066 236324 150169 68998 39020 49887 -98619 43523 9511 -202193 -79822 153372 -6234 37787 -193956 -139895 -181379 -18105 17872 13380 80725 148219 85248 -116588 186318 -24327 12785 -45164 50826 -537 -85704 26283 67419 -49509 17153 215516 94009 -230683 2621 38563 50377 -187790 -130651 5390 -120332 -25537 18031 137023 123527 21476 25175 23400 -72071 2003 -65323 -86581 -67740 -69303 111749 154438 55187 58841 -25409 47132 -59597 87442 20234 12924 131642 31513 227365 -13205 -147374 57961 -13854 -190390 69471 40624 -44773 -182425 12130 62809 137214 93452 -41353 91898 -88863 61162 -16397 -6162 132372 -4596 9728 -125444 8794 -252242 107282 -158265 85760 -59381 -109713 -2377 46282 -65326 7073 -55191 -171489 -69785 613 -1092 -131939 -62007 38215 -75033 9063 -35114 26503 82265 64759 -63432 -15171 -93636 60097 72588 48066 -6465 29177 52753 -20097 256697 -27807 61069 -10707 29375 115575 104976 39702 -80052 67826 114419 -117852 -101193 -55082 -65768 -78154 49429 -49115 43158 -90601 50151 -126768 -82540 130624 -120434 47233 35702 147497 -3116 103311 -117421 18025 150729 -127063 -35398 -101129 -3348 -71979 40072 -54656 -26093 2938 -70459 -48640 
+-82171 -50258 -17062 171435 -95109 -17498 113984 115474 -270177 -11964 -24782 -37214 50457 -176742 -207872 58053 72505 -225196 12282 56369 22112 -180892 13797 -125245 -15218 1932 -99240 -28882 -59883 37036 -113566 30807 -3428 -186917 67812 140317 -39199 -127443 -33944 -57141 -181033 -52392 -76714 65780 -14465 20054 10260 36820 58523 8608 133920 -21893 -153634 -36488 -154448 -125555 -1796 -25577 128206 -41876 22266 35523 -35151 26763 44820 51281 17236 22740 -103306 -9482 13954 -26158 182268 26434 77141 -141043 42817 -87344 25733 -53696 -171929 -84805 53475 5960 69889 -258787 -89153 -159776 -21583 30170 -32805 80732 139896 74924 -139576 205159 -54831 136098 -20835 -79956 11742 -57869 29881 137306 -71420 54088 222713 -12036 -77621 90074 77608 55754 -81171 -109816 -13155 -79234 -41020 4832 93543 228142 109222 79034 16418 -79839 109568 -33419 -110202 -71884 -158849 83233 118776 62432 18348 -15889 49462 -31334 189762 60324 -5377 121260 33900 162338 -54172 -110890 79507 -58446 -13429 176765 -66929 -42876 -186975 36404 34247 66891 94500 -41098 91001 -84190 6405 -6080 35770 98428 71373 -99222 -149957 -36005 -249615 102233 -166453 49241 -8702 -57044 102425 41080 -70567 34957 -56191 -97862 -62804 13462 -16866 -176414 -46873 91311 -86737 10161 -9283 -68088 85766 60733 -32600 -8023 -55569 62887 124836 42504 77824 67801 61287 -32775 267738 -75207 66397 -107336 -41979 75337 148938 4997 -99796 109842 172020 24586 -50466 -54777 -97598 -58644 52669 -18381 45504 -96615 136241 -93334 -128027 35616 -90504 33770 -64799 264458 -6109 93003 -24962 -1665 139648 -140442 -120276 -130985 -37398 7304 48725 -43603 -108451 81175 -105551 -49482 
+-89330 -21917 -7184 107072 -36802 -9264 -43671 78638 -245064 16330 10473 -34648 56406 -122090 -268610 85063 39785 -219650 21906 49742 -12133 -135732 48425 -53454 -5490 29240 -17018 -9496 -4784 -53665 -32298 -64676 -33620 -189551 56922 32146 -44289 -112735 -84518 -75864 -180301 -29622 -94228 124892 13930 -1395 -135516 40839 -45658 -18425 145352 177483 -98016 -55514 -80675 -112730 80719 -66248 119262 -468 41078 68924 94055 -82 26192 16172 121152 -158504 -120198 -86427 -2311 27961 61221 -29631 53218 -176397 105623 -30814 -20787 -108569 -148469 -55145 -47068 15994 50654 -123819 -19244 -156364 -33302 39435 -47466 82319 136117 74993 -82048 204070 -75691 190075 -34236 -203996 -24978 -50171 47738 120708 -73012 102887 228031 -126248 92450 169858 78997 72788 106244 -76186 -6418 -80296 -38767 -34199 -35406 214055 177081 103427 8877 -98403 148772 -37417 -134365 -69495 -150719 -3480 22117 80306 -24399 -1169 69567 -66411 249417 60946 -16347 55598 36478 69896 -54031 6797 88886 -102211 122125 58760 -60566 -88269 -133362 58248 25920 -135029 81436 -83212 103071 -54738 21004 63436 55821 105166 159838 -180522 -132687 -48122 -205932 79688 -121461 26009 32367 -27364 136799 -45811 -36868 4010 -59069 -25490 -58070 -43297 457 -119996 22113 -25521 -68590 -2850 -18607 -31331 87573 51831 92675 409 5506 45899 138681 52653 -27958 59765 37571 -35855 223429 -108942 89215 -162601 18622 19800 177113 -105482 -118369 134547 124833 82890 19962 -13496 -117489 -55020 23303 29250 50313 -62812 50284 -57065 -146271 -67460 -115802 87957 -98054 191407 -3638 79813 -38554 -7614 102680 -133463 -96628 -122999 -38965 37704 51613 -41051 -93018 11611 -52942 -53887 
+-92519 -45617 -39968 -27537 9999 -18488 -173440 51845 -66932 8105 26265 -45244 56634 -10797 -305753 97894 -6356 -194950 80815 30586 -57470 -141468 64336 -102186 -10781 58924 47810 -19011 27101 -97315 40968 -16054 10232 -206655 69088 -92233 -51778 -137684 -114333 -34264 -74862 -59936 -21756 171963 -46364 456 -199039 37042 -95323 -32248 122647 188497 -9840 -59196 -19138 -25021 66667 -58547 122238 28833 56918 9344 144875 57315 16330 -8255 158010 -228988 -125416 39038 40964 48325 -73911 33665 63687 -49674 73470 35517 -53651 -160703 -95089 -20323 -85911 11285 52081 51694 81905 -130553 -16508 7925 -21029 84849 134296 34727 6180 192660 -110165 131921 -51024 -268419 11881 -80265 60535 48861 -53200 140820 231208 -166548 102392 198782 58368 77393 76813 -34208 1021 -109202 -37558 -85564 -70723 69139 255862 133993 32336 -97322 -6157 -34740 -151815 -65893 -104910 -70489 -41442 67353 -66160 -4729 58757 -41608 217834 14271 -12136 64169 36975 -15875 -76507 26819 83780 -114068 44718 -168528 35246 -121733 -57493 34442 -6026 -213420 92014 -80337 75064 -56041 58460 153294 46250 93775 168210 -215698 -112966 41371 -139229 82339 -53108 41375 57674 36283 24776 -100950 -35750 -31981 -58702 40430 -32057 -111256 15778 -148471 110925 -177548 -59389 38445 -12019 28263 65164 25487 206079 3537 41077 87206 121205 66422 -70081 65957 98154 -11826 174806 -118229 92689 -187306 161456 -5048 181039 -190936 -112731 105852 734 192463 62547 -16016 -121319 -66911 40184 89294 8893 14034 -117471 -33830 -79846 -110071 -58087 85849 -68091 69467 3999 68014 -87459 4831 70081 -105222 -4505 -88404 39313 84175 55961 -21415 -73717 -101883 64730 -76351 
+-92822 -148178 -52610 -72104 -73328 -26615 -82067 25832 118514 14865 34107 -55380 56404 95005 -284375 84188 -35574 -158854 49694 19425 -85550 -136792 27578 -110070 -12863 74493 89715 -10392 99011 -54910 96599 56783 -73134 -202981 59762 -105663 -65966 -139316 -111949 -24666 30716 -77005 53013 195149 -111149 23050 -113040 18927 -174595 -34173 115065 96226 84757 -36910 15624 3751 -14554 -18347 118234 24077 60001 7600 76587 124771 18477 38535 78568 -98768 -126381 195533 61931 39388 -146019 155366 64047 82668 84591 109433 -45131 -178463 -55627 -1796 -18992 -6416 43618 76745 65356 -92214 15766 20972 32299 82674 127088 24954 77275 204393 -84798 7541 -71287 -235443 12313 -129801 57962 -25765 -16926 184801 232236 -89900 -53551 163902 59674 86673 -111564 30079 -20890 -111312 -44208 -140158 53827 -46459 258172 104024 -314 -75733 -96297 -35467 -169558 -57067 -67810 -137748 -89113 58712 -104666 16901 64026 -35826 140010 -18119 -7288 40740 35107 -52125 -59966 2426 65507 -113042 -143424 -183667 169356 -116126 5386 23304 -21736 -148250 81877 -97024 7505 -57504 46130 179742 4391 56081 90831 -162144 -93210 116150 -55611 105298 36289 84704 76690 82869 -94755 -101455 -36442 -40468 -55512 90447 -21662 -120759 30649 -121770 167249 -202294 -61133 34312 -74066 120654 76885 -348 129110 -10733 39735 86260 87159 75413 -138494 113623 80668 30172 127577 -126821 88101 -235140 220379 27736 212013 -97282 -144198 33060 -137265 181908 79157 -21975 -109933 -85584 23887 153989 20396 83394 -201700 -33956 -63980 4558 -96712 100219 29842 -85688 5401 62398 -146279 -981 57812 -116522 74193 -58924 72338 99305 3234 -63260 -73564 -105945 117374 -70845 
+-94340 -154117 -46042 -28188 -157114 -5611 90934 3725 91594 18088 14882 -65450 55316 165958 -261202 63306 -26027 -114701 75572 25188 -135924 -103541 136 -97162 -11840 85395 33108 17445 139115 29983 120874 63179 -58884 -212687 86783 9414 -74721 -126921 -104045 -11533 7587 -122919 145067 178851 -97686 35532 -3411 15458 -225743 -39183 67098 -98152 154098 -51617 61138 27093 -154598 -22472 111037 -13374 44633 43810 -75585 150357 32945 69967 -21597 58353 -126370 190700 82158 -6231 -124602 211969 70304 71692 96685 150814 -37120 -160787 -27449 54707 90243 -26992 47308 -85114 47894 -107474 8278 27289 30653 81997 124982 -23003 66090 197922 -73603 -84882 -117670 -133320 27067 -144770 48894 -13794 26084 203287 231515 38628 -220697 88089 8663 89047 -223465 89494 -25186 -113300 -42241 -176998 123950 -32226 265168 88936 4510 -49820 -44088 -2831 -188681 -66891 -58465 -110595 -84309 57729 -137037 -2831 33086 -31927 12750 -46185 -7729 29691 32223 -22230 9804 -39411 39017 -77068 -222854 1825 181106 -146309 57321 24951 -34936 24606 90112 -75509 -20962 -88841 92629 94559 -35893 43631 13643 -46891 -83445 90835 28171 108352 100845 122435 65870 107394 -187771 406 21337 -20482 -59948 83933 -14493 -187202 -13700 -127598 189384 -45729 -28082 3831 -127610 130212 66932 -13563 17155 3905 70270 125237 30289 82176 -130597 108596 54241 73351 71670 -125349 77693 -151941 186657 7006 198390 29084 -121738 -19681 -146608 116131 65029 -60078 -84178 -90943 51769 203929 20610 151638 -111346 -63747 9697 91915 -83186 103080 49171 -113653 -3077 63155 -168388 -17124 16331 -104797 23908 -53675 7536 131717 -5162 -44201 -56598 -25634 75352 -62211 
+-104566 -76136 -29674 112778 -154493 -21519 117204 -22063 -94115 3269 -17304 -55501 52082 169110 -223808 30336 34972 -69637 46084 45314 -155474 -103383 -40022 -92094 -10672 100367 -68698 15942 148127 153417 124380 99627 -19123 -210983 67919 157671 -72316 -147731 -50815 -4631 -110983 -137429 196754 126410 -60949 20768 23725 18758 -221042 -56960 9862 -71122 212753 -52741 49904 -1461 -210111 -41827 106710 -38428 36935 37889 -177760 95846 52653 62762 8167 43922 -121296 32141 131111 -66562 17055 148057 80981 -101186 140879 112987 -2974 -117019 11209 29736 156546 -42306 77422 -229323 -57466 -96388 22793 7970 -11258 81045 111189 -62439 -4764 183620 -69456 -72365 -126543 -3525 14328 -124755 57090 61644 65892 207759 228667 112432 -227722 -2141 -26766 98034 -56334 144353 -18344 -118210 -44333 -194736 86264 78145 235425 40026 23657 -31237 116970 15766 -193433 -74595 -107451 -50883 -51762 59799 -160515 -12451 36952 -50902 -45705 -36012 -10281 68667 30870 56210 58372 -158522 15044 -30368 -93677 174711 124946 -119357 74968 27174 -47946 157469 83178 -42373 -68120 -73358 106343 44790 -76853 27202 -4682 33986 -68242 -26618 96970 93354 139155 135737 40918 55102 -168622 61095 6221 -52414 -59395 53664 22658 -162270 -10548 -137553 167766 97325 -32466 -30694 -158966 67911 59726 -23005 -42208 -9559 85226 121242 4216 70536 -73277 78036 75309 137239 56618 -131853 43542 -119142 50626 25679 202511 35946 -111020 -50062 -127586 -5968 -11586 -55479 -47806 -78526 57428 239844 -2359 204269 65253 -100934 27132 172439 -68483 134440 19387 -6033 -2083 72060 -75802 -15725 -9128 -117047 -76568 -74884 -55243 105047 -40334 -29403 -19584 77614 656 -68254 
+-70778 -20647 -3927 179115 -106640 2563 -39622 -15930 -234257 12973 -2534 -44831 46879 119372 -143576 -24574 61788 -14670 39138 41561 -196510 -46915 -62369 -73329 -14405 88125 -87868 -10041 175603 236630 64547 -5978 -24735 -197656 90759 197990 -96977 -172293 20458 -44068 -203192 -127021 190529 53116 -8973 -997 -79054 -15915 -208665 -43929 -64355 35219 225545 -52179 19630 -81993 -183179 -34169 100373 -44271 15605 44792 -158866 46400 68285 11762 84922 -125797 -118461 -100070 141565 -116411 127371 28178 75583 -205159 120477 46727 21389 -72317 50577 6286 159954 -43875 81434 -227088 -118519 -65155 1953 -933 -59299 77046 106239 -116531 -90045 192025 -32403 34902 -87359 79950 -6318 -61509 59774 129752 92464 217643 224169 87113 -67309 -28314 -56043 60976 107486 184996 -20647 -104922 -38885 -189721 -22552 223143 180543 23401 11089 66397 154681 12171 -191308 -87395 -153427 48359 3694 57209 -174487 1821 54237 -24528 -49803 -3480 -1720 100228 31956 156748 149637 -181821 2186 21303 87273 98755 34807 -70489 361 -16966 -34332 53590 74766 -22843 -80258 -88920 92771 -13971 -96192 5638 71627 8294 -41815 -75979 146058 71286 136898 117554 21729 19339 -106421 26898 33711 -16135 -65184 -39540 41574 -150314 6492 -122777 94369 66546 -34552 -11198 -96662 -13791 44456 -25506 68422 -11082 20186 89723 26897 88964 -1844 81973 73878 185226 12414 -104621 28906 -44871 -33154 95656 182876 -37278 -82026 -61234 -82856 -130779 -53389 -65006 -6955 -61769 64899 246963 2370 226697 147212 -138537 113340 206553 -65725 83051 -84357 158284 503 85393 -21055 -15212 -41632 -102782 -140581 -107849 -38863 72737 -52795 -21880 -75690 148 -87784 -56110 
+-71735 -33383 -35931 107730 -17188 4600 -168522 173 -244416 40832 28301 -36698 47676 14370 -85241 -77831 119867 26911 -17159 41273 -192881 -3013 -64644 -91318 -13436 80174 -25404 22641 134230 253703 -6361 -25841 -2811 -185942 81154 113475 -105479 -125051 35800 -76295 -145804 -106061 117131 21129 21905 -1 -182764 -7916 -146264 -29792 -40241 164471 172029 -56408 -58374 -118425 -71288 -35036 92746 2297 16702 39073 -27779 324 74221 -20366 147543 -231653 -114793 48015 99876 -139555 219610 -29349 83377 -76282 99176 -24943 72896 6645 74968 -62354 82305 -37323 67285 -39861 -132917 -60673 -28217 38677 -59649 75484 104465 -155102 -136254 197806 -36418 152625 -59110 115266 -2513 -33906 74484 117624 99219 194542 217751 -23741 96130 -13893 -128545 56432 50175 199587 -26220 -120204 -37397 -164123 -74260 221799 94494 -201 10845 63575 65161 -52216 -189075 -103313 -97032 148507 76651 46919 -176860 -20743 76190 -66098 43738 39324 -25911 149195 34527 218184 184471 -157629 5325 89205 91479 -78633 -58839 -57512 -73804 507 -14720 -118663 84609 17048 -55665 -78945 110978 -11342 -79607 -138 153247 -70864 -52846 6572 138438 116967 106886 82676 -19740 -55061 54532 -73078 55924 1364 -62736 -114831 60639 -106973 27217 -132120 35252 -108188 -19392 7348 -31025 -88358 37307 -8990 157101 -8286 10282 105994 70186 95410 50615 79386 82534 210710 -23865 -40298 8400 29904 -2237 145245 159610 -176638 -71720 -11506 31826 -160661 -107250 -35391 32562 -53755 43212 233641 46807 208241 48889 -158121 148780 73425 -34744 94298 -104278 241092 -7002 97092 -9157 -16849 -59548 -92439 -68387 -125126 26907 32340 -55415 -27945 -103893 -81595 -108480 -49388 
+-84686 -115623 -47944 -7708 -19339 14816 -102012 1578 -50628 9400 42727 -40287 47270 -94123 5459 -130919 128658 55233 9471 435 -195258 54311 -24584 -74716 -11112 75985 84550 4833 119926 202919 -91073 -66890 -57772 -179413 67177 -53741 -99593 -141929 74923 -77139 -22350 -53306 29550 1855 -40005 22414 -153213 -18616 -67388 -16874 -79759 197805 114950 -59625 -93765 -108433 47060 -42205 80631 22277 21903 33036 103835 56082 65553 8448 113913 -131464 -107699 196110 102223 -121987 215972 32026 60708 91995 97866 -77021 85410 77784 74995 -67285 -23179 -26066 40482 85610 -35286 -50918 -26000 18950 -28022 73230 87663 -165845 -106835 192240 12283 187831 -32501 51826 -26156 -61152 52644 45165 84166 164816 208933 -142976 98401 72549 -111605 73288 -137288 206557 -12527 -61925 -39402 -121517 37162 106073 16944 -67808 12084 125261 -59351 -46559 -177480 -78966 -68537 94407 120977 54457 -168391 -39450 40374 -8471 147130 68408 -2785 125018 36796 230661 182008 -110499 21654 148540 -69899 -200036 -61129 -38692 -132810 -25530 5675 -255080 72472 -20999 6019 -63658 83776 56943 -46026 -44864 165107 -160776 -33462 88859 101770 62737 26723 47099 -74331 -109735 130935 -140871 80257 16225 -63192 -190293 72467 -55865 9184 -134529 -51344 -215554 -10485 66262 -1070 22081 69387 15066 167488 -1069 -78242 137451 109584 90875 24067 90792 78016 236095 -71439 -21352 -24281 110445 120054 128228 100802 -159103 -24093 74646 170057 -195574 -124095 -27702 64389 -63568 43619 197144 28625 155040 -120136 -158890 161791 -34845 -71356 59323 -48575 199891 -17533 106024 -129583 1329 -80629 -103328 23113 -101151 87924 -31439 -56524 -47520 -83037 -135038 -19966 -44227 
+-82265 -165546 -48849 -66650 -88492 36402 72216 26179 84318 -846 22877 -50923 53290 -170059 86747 -164712 102335 71980 25448 34392 -191867 54715 3972 -102728 -8612 41138 100364 56362 38165 98796 -155780 30590 -60786 -151746 33722 -145105 -86138 -104031 73194 -34634 23086 -73984 -39510 32873 -98772 35523 -27334 -26204 -17615 6250 -74996 56839 6119 -56940 -174494 -43538 88697 -21211 77402 35787 37105 22062 141859 116968 48428 76666 15183 35511 -101810 170089 38215 -89316 112513 152898 70047 109925 71537 -99037 139035 125891 88016 -105566 -86809 116 27226 16289 14400 -40669 -1909 16124 31200 73791 90847 -181821 -35419 201705 34004 112569 8301 -77723 5070 -118418 71517 -15569 52128 126137 198828 -161480 -63248 159806 -142828 54244 -223897 184374 -7672 -74197 -38812 -73442 131465 -40189 -42440 -94514 28209 157846 -63781 -49919 -158117 -123581 -62549 31836 121948 56525 -148956 -59792 44849 -40298 240272 41480 7909 142270 36653 180335 219064 -27601 46777 193537 -217222 -66748 111086 -38411 -197275 -57024 39495 -135760 84895 -47365 29849 -80704 111862 128536 -2655 -42763 99807 -181980 -18623 84406 47571 84716 -57214 39070 -100410 -123043 99017 -84361 120699 -3762 -52001 -215808 77994 -5082 12737 -166686 -87254 -119221 1631 30258 -28339 53248 26931 34303 29980 3540 -96606 113548 134599 97883 -31306 88027 91522 218082 -115279 9018 -53459 181107 233947 138639 60088 -27871 -2699 108133 107054 -150168 -79638 -31993 84229 -83874 10201 139341 -12213 74502 -194898 -134355 144558 -83077 -49240 81799 35375 71231 -20792 107611 -189922 9382 -96112 -88888 3302 -71367 33420 -68279 20665 -36646 -92949 -9242 70768 -22322 
+-88190 -100501 -7830 -5340 -133595 69785 120158 47079 87569 7743 -8485 -62618 57244 -186585 63004 -207244 45219 62866 26363 43500 -172110 81470 36406 -59665 -14438 18793 34856 21057 10760 -14258 -194583 89704 -54082 -121257 59834 -84550 -69978 -86306 23211 -2029 -46913 -30101 -79122 89945 -101665 21432 49601 -11920 75761 13469 -28344 -98312 -79321 -51448 -216939 16174 37666 -48559 71041 -443 49989 22840 73942 154305 28578 46112 -18434 70400 -90207 2978 -3658 -22722 -26900 213804 71289 -83596 51934 -73675 104200 157344 63806 -112953 -58194 14832 39238 -172399 78005 -47159 6755 16700 33739 70785 83648 -175911 61921 188108 32217 -13655 -22284 -199665 9299 -137506 66092 -12663 8917 86946 186836 -70522 -225205 197550 -177364 47081 -74272 144903 -7383 -70557 -31820 -24691 98282 -61980 -87531 -133673 -10320 217858 67978 -60387 -138916 -94236 -102907 -64083 86485 57228 -120839 -69283 35193 -46958 238374 -1221 4041 151538 34343 90283 180460 26165 72188 225244 -157795 147780 190862 -59246 -196367 -39342 58230 52907 91725 -66177 88328 -83562 8399 159021 32057 -71640 7208 -173910 -59086 -23836 -43599 40570 -124691 45444 -140172 -133951 12732 5337 148189 608 -63573 -218939 95717 19869 -32012 -109756 -67547 39544 -1949 15276 -47991 130921 20013 55061 -68940 -17966 -91619 110476 110008 91534 -130580 54816 85309 196286 -96232 1895 -67581 132034 215893 83149 10239 57023 22755 127156 64616 -48892 -31751 -36986 88784 -91369 8853 75512 1182 4741 -121843 -102666 114474 -65080 -15539 103907 46351 -73638 -30908 101981 -117470 14346 -115452 -119766 -65667 -46703 -31483 -145552 43859 -42798 -46154 57633 106070 -25874 
+-82104 -17788 -38719 131429 -153189 55544 -24864 99698 -85143 29987 -9015 -60809 59625 -124013 111975 -239105 1552 57383 43929 49823 -132053 93548 41054 -119628 -5903 -10537 -74444 51520 -38029 -86608 -219061 93854 -33597 -106127 70591 104136 -65165 -91334 -19850 -1674 -174990 -13180 -60874 151034 -63601 -565 -22800 -26623 114288 56574 16984 -63907 -145032 -69537 -236530 19532 -92145 -11075 65549 -42166 61425 51821 -76191 99087 15847 21319 68891 -86359 -77396 -62874 -7108 28254 -131745 151402 74266 -182388 55782 -27128 103264 192115 45071 -44492 42736 17954 32617 -252381 81126 -13705 35113 -23425 -37560 72915 76277 -145813 77369 192577 -475 -91113 -41589 -275416 2882 -145845 77756 46494 -32000 31585 173756 57191 -221855 182043 -175272 55662 117570 94054 -37917 -72163 -29517 9099 -25664 80851 -90268 -195411 26656 237776 163833 -45575 -116125 -97809 -141105 -147269 24493 72331 -85039 -104315 72500 -57994 142857 -30866 7010 153537 31749 -218 159904 -4828 86848 213493 26106 123166 175390 -94262 -154224 -89984 64076 109813 83943 -63022 83537 -65848 53021 153720 51201 -101830 -6640 -109248 7457 -67213 -129486 24210 -165391 84097 -191040 -119811 -104659 57308 139849 2893 -63321 -156457 94611 4652 19871 -153056 19470 109942 6564 -7222 -82282 93545 10240 66796 -634 7839 -64253 94486 62654 112703 -136223 48348 81771 151011 -94840 35803 -73140 139029 91202 95262 -37688 4827 53619 96486 -54086 66267 25322 -67675 77329 -79962 -438 17054 1271 -70506 54460 -62279 72729 43500 22017 134458 -10325 -90121 -31435 89731 -35881 9483 -94383 -97692 -120111 -59081 -33039 -181138 34690 -27001 -87729 64043 48980 -4228 
+-87406 -24515 -45669 147292 -103845 82468 -154841 87751 -283764 4782 2496 -55992 56617 -18507 104584 -234270 -44202 4717 42495 49349 -105478 99613 31297 -106064 -4952 -34379 -100672 54825 -89018 -89581 -210434 30569 5055 -95396 84572 177597 -50512 -94153 -48534 -17756 -199686 -63629 -6239 187909 6541 -429 -157383 23514 112756 87828 64776 81718 -157336 -58017 -245807 -9796 -196401 -34901 63479 -51063 47758 56706 -179630 32114 17329 -5546 144625 -212452 -71052 35258 24365 50632 -134583 30740 67720 -121561 31685 53289 82847 167821 19685 -19031 144152 4235 45774 -151713 10237 -9402 10744 -4002 -71697 75951 65286 -104696 30362 182268 -14771 -59077 -105835 -245022 -7681 -95886 71600 126062 -62401 -11535 159096 128640 -58442 113576 -216059 60512 60759 41117 -23987 -77134 -31915 19294 -66606 210802 -50052 -200099 12994 243775 57176 -59124 -92550 -121627 -134936 -132080 -63676 60524 -43871 -108530 35846 -55615 58774 -55151 -32103 81388 30826 -53401 108515 -60726 88358 196619 114114 -50438 95924 -112440 -49963 -148275 88713 21593 92285 -101125 89920 -71716 24710 76835 40709 -144287 58508 -8931 3168 -8468 -201847 30546 -154386 113395 -210494 -42659 -176799 156 170096 -44832 -69882 -75311 113427 -18946 22826 -135318 125932 -31044 19705 4586 -138555 31759 13290 62864 112888 -15115 -3813 63213 31058 106660 -90413 4726 84947 90702 -64996 16629 -86989 87898 -20476 72218 -7179 -117938 93623 40764 -177592 162242 77677 -31060 52189 -59931 33320 -24050 -7783 -89125 140115 -39380 62192 176861 25750 164129 -107366 -13154 -45388 76446 -19130 -1584 -96649 -112546 -103648 -95489 11375 -213239 64974 -45616 -94315 -75559 -42761 -14632 
+-73536 -114945 -303 121631 -30077 75345 -113774 120097 -245552 4744 30167 -41411 54190 75470 69081 -204193 -51944 -31981 75876 39872 -89918 131760 9690 -92081 -6053 -62250 -11830 43169 -105734 -17064 -159125 -32416 -54176 -56576 87647 149179 -48404 -105524 -86574 -43600 -96909 -88630 90701 186557 22168 21749 -159055 11545 52035 108524 124655 212599 -142781 -69405 -198958 -89747 -205761 -32572 51016 -22224 42696 53246 -142514 1268 32112 4629 127681 -172561 -76248 194324 30567 42362 -44355 -28704 73734 53725 80561 113500 40137 123753 -28418 23094 176681 -3390 65630 28309 -106145 -48761 -19120 22163 -48812 80873 61370 -71126 -55109 162356 -69934 57693 -99355 -150325 -30964 -46805 68682 118304 -74611 -42092 143306 77164 101716 20110 -125476 82416 -114540 -22772 -19175 -88114 -33560 3736 40330 241193 -5409 -211207 8379 258915 -44004 -3218 -65946 -148160 -88407 -78894 -85282 48894 -1337 -121244 44560 -51260 -36819 -34249 -13850 54978 32549 -37320 58918 -127798 72771 152310 -3236 -199707 -77078 -144181 13487 -110586 92631 -122533 99728 -66631 51089 -71058 6046 -40366 10462 -138066 148088 1308 8220 80778 -253127 7242 -113706 133918 -235239 16687 -136965 -120777 155146 -35768 -64157 -2611 90833 -75975 -2353 -160670 191736 -178854 9672 23444 -131260 -49214 543 46610 168422 -10580 2149 69062 19164 95887 -10381 3111 82735 35095 -32341 -16905 -100754 -13324 -9711 71344 -97179 -175865 112538 -2744 -199674 164328 76002 -52409 16156 -53707 34472 -53096 15868 -105799 45090 -31804 -35205 188157 24112 169626 -94392 132038 -33788 65374 -78019 23890 -80456 -115562 -14980 -119579 87178 -268953 46520 -36505 -130849 -86766 -96996 -8204 
+-71993 -164485 -4303 -6028 35039 58364 69090 152002 -69110 -18941 34529 -36677 47361 159361 31102 -191950 14066 -83318 69179 29007 -28273 147255 -31389 -128549 -6593 -86798 85797 37712 -112907 84445 -87816 -48799 -47385 -29203 61834 -6665 -50148 -111772 -117559 -35999 27434 -118953 151947 162254 -30737 35507 -85866 34043 46861 119472 144907 180434 -81670 -63458 -124243 -124779 -116292 -16085 45371 20498 17984 45248 -10722 52069 51901 30365 58510 17999 -65665 189396 66304 -7795 97001 32352 57512 128839 62994 133312 2658 59716 -56781 29360 129837 -28331 57950 76788 -139111 -58249 -35866 313 -20746 79473 53133 -19238 -124617 189224 -104751 166530 -122847 -19244 -4421 -38206 76984 49510 -65250 -76968 126510 -39828 94404 -33889 -132063 91970 -208932 -73003 -9585 -55096 -28106 -23703 142505 109183 96080 -250096 22756 275122 -77514 12577 -42592 -125843 -37409 28351 -104675 62680 40844 -157940 89219 -69427 -80906 8822 -15182 13162 35319 30964 -5560 -204541 49229 98196 -179142 -72272 -108825 -123276 71495 -168400 79396 -206925 104449 -15186 22947 -83764 22202 -24044 -41602 -164630 168804 -37926 -4861 115511 -253100 -9491 -33766 122439 -218134 69360 -25312 -109503 206648 -54266 -63098 58572 68033 -142275 31100 -139569 176545 -176906 8990 47896 -95838 -63377 -11860 26575 112460 726 39548 49199 49768 101190 -2673 -3003 55743 684 -3973 -55692 -86130 -60729 72611 27761 -95861 -101108 128639 -63996 -91076 114761 53653 -31267 -24331 -62356 5906 -49790 24560 -58720 -116642 -53128 -68551 135965 49711 106265 -35219 242855 -51826 61632 -171987 31612 -49678 -105338 62552 -124802 42431 -278667 71012 -42530 -65755 -60759 -56333 8616 
+-88111 -111892 -12989 -105912 -79861 69903 130698 139472 90331 2365 6010 -38729 47536 174977 -30390 -160731 78783 -132386 27819 22298 4861 114356 -48717 -82147 -3567 -80750 82523 12667 -122421 201744 -12449 -12571 -43533 -7966 70063 -109059 -67321 -91690 -94018 -55624 6797 -149661 183314 93210 -112621 22119 37492 69782 -22095 132990 132629 27057 10430 -59377 -68585 -111174 -8213 -33760 46774 31964 15175 22901 127662 101825 68133 80846 2771 80520 -77256 35366 105446 -65463 217570 155365 61471 -7903 139690 94097 -44838 -16351 -104905 10068 21859 -42617 27028 -57974 -124634 -31666 -26299 1795 40196 83330 52730 7232 -130277 172650 -59256 181820 -82757 78712 -20585 -97652 52474 -14176 -35098 -102229 108998 -151033 -73160 -20147 -86342 67674 -83371 -109066 -6005 -81472 -22474 -74746 111931 -58527 178967 -241378 17190 270192 49499 26069 -21446 -116743 -75840 94329 -25852 59654 78706 -163838 73057 -61339 -147 52816 -2242 41313 37028 132011 -26405 -168089 23781 31235 -208329 86502 -42923 -113568 54161 -148169 63629 -105748 104851 -5014 -14082 -74143 44991 49520 -77310 -167458 106916 -157465 -41210 23153 -217165 32175 47154 89907 -207095 87087 89360 -33501 208837 -51699 -64453 87564 64601 -179927 -24163 -153324 136613 -26139 8876 -2695 -38909 6768 6140 5657 -43307 -6748 94271 52956 75258 96865 13735 -28885 73518 -41519 23539 -74080 -76737 -92843 209424 12772 -121594 26663 126730 -38190 -18693 -9931 -3068 -47535 -63890 -83967 50502 -20385 -4893 4200 -207408 -81220 -131620 8765 62789 52851 29072 209657 -57414 65107 -156398 29650 -42801 -78282 -12106 -89783 -34077 -303703 8315 -46134 -31474 57417 40921 24065 
+-85198 -23560 -23517 21347 -171120 28934 1292 119649 100233 18262 -11303 -60945 48322 119040 -147550 -99858 112226 -167937 31983 45975 39420 109038 -63023 -74087 -4322 -72190 29906 1072 -108415 261268 63295 68259 -33093 21923 71071 -99652 -74969 -62068 -91819 -46514 -113548 -154073 157546 35063 -114575 -180 5556 70802 -118103 144560 97432 -82083 114433 -74818 804 -41984 80983 -44206 45863 6547 22844 21944 145589 153011 73556 70193 14652 -49111 -64515 -77739 161254 -117899 231190 208132 64591 -174978 113525 32529 -35024 -71356 -145290 -18266 -64793 -53299 17053 -221630 -66345 -75740 -9603 17965 35468 83644 42600 44709 -57271 164403 -95223 91535 -62805 124545 10116 -127269 46525 -23587 5115 -95652 90670 -157299 -227747 46630 -68331 95037 91896 -128197 -8347 -34947 -20322 -133186 -33295 -69218 234595 -220880 40498 238891 169676 30574 -5198 -127438 -116839 101068 52257 52418 110386 -154218 53525 -72143 103349 47429 1790 51446 36322 207618 -62620 -120068 5802 -21120 -42950 158075 120774 -58127 29195 -171752 42733 40825 109566 -36972 -73155 -68584 21824 113874 -94904 -186443 17586 -209472 -60838 -47647 -164348 -32346 115691 43967 -172715 97735 118447 40673 248091 636 -66616 74913 49504 -179509 -5868 -98800 65643 98222 4736 -10859 -6901 97600 -2926 -19984 -39318 -16836 119755 53008 110574 109937 -45627 -69158 73360 -36334 78979 -104082 -58178 -167764 224572 30050 -116210 55240 138860 13500 107262 -76826 -81400 -31347 -96391 -90996 -10058 25239 24790 97291 -92719 -121766 -101299 -119578 18375 35688 7734 72674 -50981 75036 -57679 18846 -11547 -71488 -93863 -52114 -60200 -301511 -10106 -22508 -52880 43206 116237 31748 
+-84994 -28087 -47735 95521 -144764 20055 -147399 114496 -80162 -1370 -17702 -64708 50017 30653 -215069 -61301 149097 -204512 51461 50562 72427 87044 -27375 -104500 456 -78216 -73366 14685 -58837 249201 110177 70275 4959 48151 88830 21334 -76753 -58006 -17436 -32001 -196832 -134419 83248 -2953 -77064 -833 -115169 83285 -174068 149008 93999 -9055 194153 -57453 46914 14851 63128 -24038 41934 -35287 43681 38672 43478 100030 67103 18587 99043 -204197 -77415 35227 111991 -141841 145170 147901 86784 -146044 90930 -20587 -67772 -147455 -183649 -70958 -65839 -36783 -2962 -232119 44550 -44319 10443 -881 -26987 83716 32718 81929 29286 162945 -66370 -33138 -34051 58832 -34697 -148150 46560 59257 47693 -77713 72142 -57096 -216251 139523 -32643 68247 88807 -122681 -9488 -34930 -17267 -175074 -69720 62404 274399 -188497 816 226532 84311 -22028 9758 -91858 -166073 70788 87445 56832 133071 -178498 58985 -81119 206483 36301 3631 81322 33727 233671 -75732 -25481 1950 -77098 108369 11964 193904 -40829 -63811 -208297 17567 115778 106834 -11931 -69505 -93469 90498 177345 -86831 -199238 -7125 -180594 -88089 -32266 -78141 -17088 142715 32358 -132561 51013 100615 26091 205074 18679 -60470 7267 19665 -159325 27106 -128171 -55904 76778 -183 -16602 -3420 133915 -24990 -24592 58554 -17620 31126 23492 153826 86620 -119859 -60116 74954 -18696 148874 -113476 -37967 -203198 135338 29132 -107852 -57953 138096 69249 191672 -175459 -117679 -31113 -116243 -81071 -4550 87511 14926 154772 57570 -147651 -101661 -60558 43642 94316 -45354 -60997 -59918 88122 4288 38114 7258 -80881 -110078 -49378 11364 -252295 3640 -55443 -85211 -80512 88490 36268 
+-88904 -88076 -13076 194635 -63982 -4259 -116230 128517 -247388 8417 26995 -65779 53197 -87485 -253029 -7233 108592 -230820 30276 36384 79173 74722 -6239 -91007 -4976 -57398 -84127 8547 -24108 167059 126240 49535 -20552 56586 91224 233952 -89178 -42480 27135 -10541 -151385 -70177 20674 3694 23943 21045 -182472 55050 -253066 148762 90643 134110 200818 -44663 43631 17553 -23629 -11109 37742 -56217 50232 60385 -107039 63770 48750 -41684 152422 -189517 -82748 178533 91997 -123574 8835 28889 84863 15538 134099 -66826 -21892 -166959 -195711 -94494 3795 -21558 30343 -63267 96133 -82823 39673 -12025 -59786 82822 25669 82623 77398 154846 -62384 -93778 -22453 -48151 -25104 -124005 37228 113291 81482 -66637 53337 73256 -49292 198481 30917 94461 -129609 -97548 -26690 -48856 -17933 -193746 21248 186393 269872 -136534 -422 193163 -45584 -48410 18349 -64862 -112204 -23545 147095 44635 145932 -183395 27202 -62828 257693 -7462 -29094 86584 31232 198811 -62778 15837 13402 -116285 61999 -192688 116349 -36897 -147553 -188393 -3523 31418 107048 -51364 -46751 -53550 105464 164088 -50247 -198905 55925 -110369 -97968 55532 16210 -16485 133889 51294 -93738 -17716 -24481 -35570 235534 -16384 -64850 -52665 12143 -132004 25418 -153484 -84877 -96642 -7504 34015 -50861 104810 -3897 -25146 206734 -5079 31410 25252 106291 85445 -160055 -80150 39960 8294 183143 -124844 -13230 -240380 10950 65106 -60199 -158232 121582 101879 142607 -197953 -122949 -12934 -121549 -62229 45717 146589 12618 211773 139477 -160106 -73129 16047 56940 109203 -92645 -113900 -50814 99653 -32437 26000 35256 -119266 -30300 -68961 73523 -253214 -46633 -41304 -105043 -121035 10923 69453 
+-94162 -154556 -7224 108639 27864 -16493 54654 89697 -246652 9279 26121 -52700 57295 -170537 -277725 36038 49666 -231196 -7525 30378 86471 49408 25554 -124378 -5891 -45923 -9463 918 47458 50196 93150 3929 -36366 82937 84797 189705 -96963 -72024 43770 7394 -24618 -15354 -64061 35975 12963 35535 -121828 61011 -231442 123811 80392 209593 196752 -48631 25421 7984 -148320 -45174 36827 -30469 55010 21466 -173447 2385 28597 38408 69536 -35553 -100934 169932 92913 -85240 -129557 -26572 81296 133001 98322 -79796 128 -185171 -220284 -98550 108833 6631 104737 68216 21923 -73629 14636 -11070 -29157 80579 31035 65767 60141 160161 -75280 -42909 -8543 -168919 -26913 -76580 53141 137225 98029 -25888 34804 123034 107821 183541 5195 92110 -212025 -55124 -22191 -65260 -20663 -191650 136951 217262 243839 -91027 2736 155205 -59745 -55187 12301 -98285 -61755 -123023 96912 50206 147593 -146740 37041 -52451 198547 -52341 -23833 176807 31044 112876 1223 6531 36663 -120917 -119550 -175332 61912 -63692 -177735 -189260 -23789 -112305 111595 -91282 -16957 -63578 63400 115403 -4066 -225693 145711 -31926 -117779 132529 86549 -25993 88968 89571 -45127 -69653 -158751 -117170 256757 -8358 -65728 -125722 -12840 -90389 8820 -106656 -88714 -207696 -11123 57512 -112425 -34123 -13484 -10083 156234 -8901 -23069 16991 65815 86566 -111491 -73669 63721 53735 214629 -136706 37344 -148511 -35889 96306 -34588 -129403 89367 108769 18516 -143122 -75554 -48773 -110288 -52311 30612 201164 37532 226096 47988 -145313 14364 119003 99831 113737 -64469 -39766 -57736 107595 -139287 25703 70389 -101363 30251 -106028 52614 -191753 -48836 -45633 -85688 -63475 -80133 65282 
+-66458 -129027 -25122 22024 8317 -9473 128425 57087 -74058 -9535 9577 -41195 55873 -190747 -302134 70638 10471 -212809 24844 23967 93540 3602 37861 -103704 -790 -13767 60331 -13814 99076 -56099 41444 -79068 -71910 92562 75715 25930 -99025 -46306 70848 -8056 27738 -49581 -91594 97247 -37571 22788 10461 50265 -177508 118896 -13982 91215 152829 -54878 -13748 -63920 -215636 -30940 36628 11984 58590 20171 -135714 50736 16477 66882 -6719 82704 -108990 36286 60357 -22514 -152659 25337 65472 8550 96347 -73555 16186 -155278 -231578 -77359 171570 8995 27287 58612 -29411 -97245 -35150 8264 19511 77959 22663 49343 -16377 153848 -5883 79933 -21541 -253232 18642 -48518 38119 58783 94565 26581 16215 65546 87969 135369 49369 57478 -73684 -8833 -17981 -52880 -17345 -160970 97296 120681 181473 -44368 -6789 92290 -10380 -48402 9357 -84807 -83406 -150373 50706 55196 138033 -161584 67174 -56413 109317 -40345 -30821 178224 33197 21493 21019 -36040 62666 -107989 -225560 30488 -56227 -99486 -187909 -189215 -40555 -204966 112429 -77511 35270 -78548 56025 14512 41003 -228609 175211 13673 -113663 48919 127291 -18922 10793 123167 16486 -124900 -180134 -122756 233021 -1046 -65229 -184497 -35120 -26175 -16279 -143659 -6477 -117013 -17532 43724 -157443 -25104 -14979 14094 -7772 1155 -73130 -11139 10939 92284 -32320 -144227 57924 116494 233860 -129009 51349 -98269 43387 179930 34945 -20796 40841 105852 -95212 -64469 -10153 -34293 -85369 -64990 51840 238287 34104 197479 -108509 -117969 16887 209508 92598 131558 -2481 102418 -51581 107141 -191888 15786 101311 -88067 10768 -132928 -24460 -123074 -34762 -26948 -84746 54594 -107544 73802 
+-92779 -44660 12088 -70473 -63994 -16366 5943 23766 81588 3546 -17398 -36746 53808 -127225 -263841 88138 -15253 -181202 53841 24936 93256 -12173 41695 -98664 -4463 14690 73524 13057 145735 -91995 -44786 -11982 -36069 99884 55154 -101648 -92050 -29178 48325 -34621 -49329 -52885 -59097 156926 -123519 279 33251 11615 -143597 87514 -46424 -4717 75434 -65762 -41973 -134227 -166262 -22500 43449 36889 27388 7822 4664 125823 17731 86664 -1986 -3729 -119087 -80753 -5815 26951 -71727 146837 41735 -171842 55948 -2962 69312 -137856 -230400 -22369 144438 15266 70392 -115873 -124014 -112774 -40607 -2149 45156 75798 19327 10994 -103581 144765 21394 177500 -84830 -249317 -20247 -53354 40533 -12946 67907 64495 -1632 -61613 -82587 41354 75226 51941 102342 57418 -28815 -13715 -20426 -126490 -19980 -6514 99711 -27956 4688 66572 153601 -45111 -3905 -80718 -110785 -106729 -33568 62488 118092 -152603 85704 -27791 -7466 -16662 -20367 106721 35981 -43074 132136 -143415 81344 -68935 -121476 166226 -72470 -129437 -126814 -199697 -39948 -127353 106642 -77772 71623 -89920 93470 -19748 54556 -230861 108917 -36968 -114060 -38917 145471 -29382 -77673 131022 39056 -138626 -112520 -23500 248253 -6965 -62689 -206816 -49823 9379 -27430 -134032 86035 54437 -38391 -17440 -105777 -23884 -13847 35596 -78539 -7005 -93109 13916 1670 70554 29009 -133331 17055 162076 241603 -82385 81330 5162 165317 184186 64821 53789 18854 43908 -198055 58447 47472 -51565 -49663 -80587 8804 250077 34747 152415 -216853 -79861 123658 158717 97518 139347 49605 229062 -41679 98586 -114289 48921 146433 -57619 -71099 -124381 -67980 -99945 -25809 -45098 -24412 61812 -22324 69618 
+-92833 -22414 -12201 -9304 -164586 -18314 -166257 -12034 86352 -3689 -515 -46549 49894 -30295 -199464 87771 -47294 -148971 46733 53750 62742 -43436 39639 -68887 -3662 47840 15407 18808 178516 -63216 -120720 37241 -5335 107155 56845 -116220 -73282 -18118 23197 -49615 -176625 -90704 7427 185992 -125024 -1208 -76337 -17463 -66534 69221 -39044 -52661 -25488 -35889 -120803 -116004 -50363 -39046 39070 15481 25075 60558 123693 143377 28878 11062 105978 -182947 -118845 17312 3146 51367 43178 213647 67898 -176212 64750 63615 100248 -52022 -218369 -7639 65838 9295 58587 -248763 -149421 -146834 -39923 -35617 43236 73177 23655 -32267 -125516 138047 23530 172749 -95982 -164302 -9201 -108201 49073 -21746 30370 98649 -18856 -155457 -233491 -16616 91965 46996 84781 115171 -6840 -25899 -19818 -73025 -68279 -56409 22341 14271 -24220 4512 123233 -53053 -18052 -80943 -141381 -2181 -82480 63024 88325 -150681 65327 -72769 -48981 31797 4792 132469 37097 -45441 158571 -179924 90079 -9345 72047 119939 25852 -130318 -52500 -199753 -30467 3241 113948 -39760 87117 -76001 54336 20900 46082 -245111 23415 -123393 -125426 -46953 111635 -11132 -141786 112524 54047 -132784 -4108 67007 248507 -22917 -69347 -195658 -68423 26152 3303 -147582 158579 97872 -28741 -6649 -65930 59769 -4864 55518 26269 -6261 -93270 38370 14484 59205 25101 -148698 67495 212259 251597 -68182 82914 67904 237981 156063 110305 4854 -8864 -20266 -174712 146642 85085 -46659 -9209 -91400 67558 233480 10703 69519 -125292 -46204 130630 46816 130588 141660 -15146 260587 -41810 86233 -24235 31353 152993 -77114 -155440 -75257 -9975 -19108 -16059 -51525 -54438 -34841 71369 47947 
+-85432 -58917 12934 138992 -167681 8710 -138120 -7050 -65850 -11893 29 -57911 48881 78760 -136974 62766 5737 -81615 54277 56975 28773 -97631 -8200 -72631 4003 76023 -81919 -37545 151745 23351 -175209 125365 -13980 105194 60192 -28630 -65462 -59737 -26819 -51327 -190983 -132847 110465 179572 -42940 20358 -187763 -20065 3698 47946 -31183 5970 -101693 -73258 -190633 -64933 63596 -23831 48147 -26851 20389 43489 122894 104854 51240 -7506 153536 -216663 -126268 198640 32452 32994 182248 146711 80174 -19579 61545 115254 122863 30620 -190673 -6124 -46632 -10184 41378 -174719 -103054 -140327 -4856 -11655 -36910 72754 3083 -68487 -92565 158703 31189 69594 -112472 -32863 -3329 -145034 46662 42445 -14223 148112 -35086 -148105 -211542 -35205 92259 55384 -93808 162713 1231 41256 -22688 -21616 24220 39598 -52581 53953 -12265 -35921 -38094 -59850 -38006 -63786 -92266 87979 -69472 68598 51882 -132010 20040 -39897 -54607 66645 27267 61306 35646 14675 156593 -177096 81032 50660 107378 -144300 144623 -143290 30152 -212533 -18235 149503 102097 -43753 115357 -88936 16082 132283 10465 -244279 -8885 -181912 -99735 37239 61059 13046 -169951 75626 68142 -93133 103758 6210 246135 -29849 -65411 -151069 -79665 -5124 37075 -94524 185880 -20206 -54645 -32042 -38645 104774 -21228 65594 145299 -5800 -27706 39826 75736 76177 -16867 -178579 63082 237585 222880 -34705 91628 112636 155947 113474 137443 -109617 -61655 -70849 -89161 207649 79375 -36835 30694 -82122 49266 197035 52989 -4203 44815 -36521 140828 -90782 153832 73565 -68017 127575 -32596 73099 -19682 -14626 162914 -73353 -106004 -47729 55599 -2307 34227 -44759 -82375 -128743 112283 76912 
+-102035 -139588 -17021 171892 -80744 -17493 37190 -17783 -244656 -16889 39813 -61159 48216 152995 -67883 50396 74599 -39760 29381 45150 15820 -120207 -32302 -74974 -241 91532 -99734 -28056 130926 141217 -216270 64940 -35540 106635 69701 144943 -55027 11627 -105230 -29510 -99806 -151858 183616 129784 5976 35480 -160533 -13789 85275 6030 -78060 162165 -154041 -54956 -236737 30836 83629 -9032 51582 -46816 25541 54069 32834 26153 68060 -811 130427 -60325 -128142 196879 28644 -7847 226262 30413 95627 107278 44015 140932 104729 86918 -152129 48095 -74315 -33663 -845 12432 11267 -138770 14456 -7472 -57848 74154 1209 -119100 624 128147 26196 -50408 -108666 76511 11114 -133621 45498 129537 -51079 182222 -50545 -46664 -37445 28072 56344 76184 -210202 190474 -29722 -11468 -23526 10040 133649 195715 -109921 70557 30842 -50687 -76244 -33619 -61656 -74034 -49593 112316 -58641 59454 10974 -90910 36653 -40171 51662 41142 -7089 68771 33007 103305 177976 -122078 59760 109209 -47985 -212608 205469 -114097 47700 -181915 6081 37182 85995 -2512 96902 -72243 12678 157689 -34371 -266486 48916 -217129 -145858 81718 -22912 -1760 -151729 43611 67143 -25716 120761 -78824 233945 -31718 -64415 -73805 -82971 -51600 -1761 -143526 216046 -183581 -66155 11950 18365 135060 -4096 65288 195602 -6164 -25436 12187 128197 65950 -122831 -142674 11927 231651 220420 -11884 91568 164262 41294 91661 193907 -168800 -64652 -49627 56760 95097 36563 -21204 63258 -61231 26833 138666 51457 -70656 126284 -38473 124935 -107752 90057 30743 -111024 -62745 -26832 63811 -103668 -13973 151535 -76165 -10656 -60407 56621 25117 36962 -4101 -128983 -40151 61100 61092 
+-85420 -133388 -46318 124732 -20210 -3201 131948 -27317 -223605 -25982 31944 -54974 49443 179667 -17565 5504 117243 3502 66407 11738 -35868 -162038 -57775 -111236 307 90365 11536 -20420 120712 225404 -229768 22891 -27807 84315 79009 194108 -51177 -10682 -120839 9472 25651 -149668 167867 74861 17901 23452 -37005 -53655 133152 651 -4073 206734 -164390 -29316 -266555 42161 25708 -19892 56733 -36504 35727 60894 -108583 -5809 73819 45968 29796 75940 -125578 40911 81627 -60851 164732 -28792 76215 2956 65344 90126 83523 143029 -122199 18134 -28604 -45073 52828 86960 71022 -163285 43973 1394 -34942 72270 -3164 -132857 63984 128044 -22751 -92950 -101260 123440 -17568 -96265 70657 115874 -71773 207295 -64502 79395 108616 102063 35584 80946 -91283 206459 2640 -30299 -26189 17810 116467 232895 -86611 72230 6311 -94190 -17490 1955 -88068 -83228 -67854 100776 -18179 61638 -31603 -97519 94981 -26779 143690 23736 -30424 17101 30968 189407 191724 -9516 33602 167693 -205595 -14891 136037 -93465 71635 -161226 34292 -128235 118084 -29356 56782 -72035 9196 180675 -70249 -246091 142677 -162434 -134768 68666 -109595 7516 -99826 27824 37771 40356 40593 -142957 227391 -2634 -69293 24594 -77936 -107483 -13539 -130466 157252 -185387 -59417 35834 15447 63242 -2602 47096 75569 -3424 38343 67821 147980 55588 -168444 -194926 74805 213272 169664 -1798 88682 150327 -33750 35544 206270 -80184 -98149 676 149699 56771 -29545 7004 84074 -52953 12814 76744 56567 -94171 34509 -70847 65930 6634 158018 53169 -33599 -104074 -17955 61031 -202279 -30557 161246 -55592 14479 -83170 -9214 115345 83340 -48845 -115463 54518 -29382 87934 
+-74852 -66055 10511 -54168 30548 -1815 30822 -1519 -91769 21416 16052 -43259 53266 123495 54618 -48142 145790 36221 -10981 28632 -81814 -142935 -41556 -105387 -2822 98680 86826 -46028 68612 258410 -193343 -80151 -30531 82855 81531 75862 -55024 -13785 -80559 -7397 1906 -142630 140582 26104 -39531 725 50150 -10093 106380 -20476 37240 89426 -119154 -49557 -238220 4937 -113407 -6178 64384 4972 62262 -995 -186622 52834 66830 53213 -501 23021 -127757 -56702 127595 -114188 47264 26444 61545 -153976 52184 30825 65289 182067 -70826 8507 69849 -44247 67527 -7937 86755 -140032 1389 634 6944 76764 -3576 -163307 59126 131605 -46815 -24224 -18840 66953 -20655 -60628 65560 66498 -72378 222118 -77175 122858 82516 184407 -30631 79375 98723 197507 -1478 -34347 -32602 12482 -20681 158425 -64601 108951 27095 -96260 115864 24722 -104983 -85185 -97458 2819 43577 59667 -73172 -80955 59463 -31728 244615 -28803 -17497 32937 31481 238721 188019 24280 11400 204589 -177551 158425 9571 -55938 -6789 -143345 58413 -204788 105717 -19889 369 -85524 47921 99574 -93399 -232041 172928 -71519 -91360 -38565 -190824 21020 -20365 41930 18772 74540 -94556 -38197 223913 -2373 -67601 66860 -71712 -152319 7246 -140097 69386 -14449 -74963 26431 -37884 -51739 9671 27240 -22399 6225 72321 76896 120870 53679 -143399 -193277 63669 165328 129775 17684 50312 118983 17935 15908 205015 17416 -116483 65238 158738 -69125 -95545 -68772 89348 -62460 24310 20098 5425 -96475 -121983 -106131 55104 107601 116586 78628 29789 -49621 -16026 67314 -127312 7019 147131 -72393 -23151 -118586 -65597 116012 54783 -14408 -51187 51037 -81537 44287 
+-57684 -17794 -48435 -103568 -108638 16492 -129524 12232 103610 -24751 -37969 -39852 55672 32497 82556 -116248 112818 67129 27452 39088 -125588 -187890 -36799 -86577 6285 96920 87989 -13112 8774 220444 -129013 -22852 -13272 61985 70513 -50993 -59236 -4217 -123743 -10260 -128996 -86001 46527 -5997 -129068 -1580 -11783 -11969 74044 -38173 64932 -64305 -55015 -59703 -177254 -77714 -194018 -3320 72002 28054 64693 44507 -116755 128161 50464 48185 80096 -156849 -116934 12346 128172 -139908 -81644 152381 54758 -198834 128195 -44563 31450 190722 -28699 -36242 158230 -40197 81860 -197965 33960 -149169 -11188 6086 43707 75558 -5730 -174901 10339 123119 -72352 101508 -36345 -28686 -18796 -49296 52110 -8925 -50841 211666 -88346 50613 -89845 197981 -23452 75404 60373 166152 -10754 -60610 -33478 -18314 -77083 -4227 14911 101862 40517 -90054 163901 34620 -130696 -68078 -150354 -87694 110398 49659 -110710 -45046 37333 -25315 227866 -55966 -18049 23287 33906 210925 91018 20627 2107 222004 11882 105819 -72658 -30488 -96529 -125854 76496 -143474 93141 -71622 -34596 -64472 58761 37251 -84739 -236457 115228 17820 -85835 -73710 -236431 73839 56217 93504 -29660 130445 -168258 17047 224049 3956 -71986 84104 -68926 -186387 15832 -121879 -24390 102633 -96491 -1443 -120684 -60155 9092 -1131 -43433 1663 110208 59186 54088 29763 -67712 -188005 74184 115784 85738 -12556 32397 55651 134644 32391 178292 43237 -121267 107788 78701 -149248 -123101 -37605 78386 -81542 45081 -28744 20602 -60892 -199651 -142052 -12876 182536 150070 139632 52515 102097 -6335 78108 -22589 -12392 161106 -82935 -134182 -127991 -4418 110967 54735 -12232 -71873 -25546 -76827 57696 
+-75090 -46810 -54052 -5855 -202271 22657 -136186 40295 78730 -784 -10328 -38017 58790 -75829 110276 -145893 51653 65447 12052 56701 -140526 -169601 10000 -64529 2279 68358 10548 -40041 -38146 121230 -62624 31541 11397 42641 66270 -130038 -62621 6738 -56424 -6272 -192354 -74946 -24644 23795 -86566 19671 -152784 -26792 26642 -42397 133132 -56529 32447 -33080 -111171 -116887 -207377 -32404 72142 27761 56195 49535 21843 148412 28504 -17118 158444 -233675 -108769 196925 135295 -125856 -149500 215582 55177 -45601 124548 -84419 -9354 150179 -7917 -84466 166774 -14626 64169 -244330 -58909 -134052 -20908 -23333 2561 81518 -786 -187966 -62990 136709 -96931 184958 -14976 -170883 28644 -86016 79132 -23858 -14865 187481 -97494 -75443 -235484 153392 -60559 92060 -123773 125013 -32967 -11573 -35637 -74446 29678 -61033 76663 77999 -801 -81286 16661 -40994 -153102 -86522 -129211 -141391 118671 55863 -141867 -23863 66281 -37858 148480 -38700 2022 69903 36474 146215 98029 -36665 7222 215766 120744 -105295 -44533 -65610 -145210 -115827 79718 24600 99516 -65761 -69670 -63098 52427 -49237 -54170 -237699 28449 -19925 -76176 22182 -256899 50777 126814 125695 -88446 95668 -198341 55796 212761 4438 -69914 77695 -48561 -153958 20930 -112892 -87266 66506 -99060 -9558 -142187 -14397 23221 -16667 94885 10617 48950 88941 9162 17148 17081 -196719 42522 65180 40434 -18864 16178 -24231 232448 952 202974 -55977 -164599 132527 -16920 -174740 -109791 -70375 53815 -92641 36574 -52544 44587 9828 -113808 -157600 -52975 164844 149692 130148 -17173 230609 -4189 91567 -6097 -21570 127587 -54473 -166201 -89815 65260 99481 18497 -32377 -79461 -103594 13698 53864 
+-96807 -136414 -37807 115226 -176317 45601 23642 63415 -84174 16690 18868 -52532 56829 -165547 108326 -204096 8426 58665 -2115 49124 -166300 -174411 23348 -76991 7619 59522 -100220 -52662 -58092 2199 27357 108396 -31420 30734 40580 -64722 -83035 14235 -3504 -23708 -163396 -31677 -79326 62833 -42927 35419 -184184 16011 -83728 -52510 143237 31601 131059 -45235 -56374 -135892 -107496 -29927 84445 -14148 33803 46638 122061 116056 17185 -20626 165152 -98078 -96752 207278 119193 -78248 -106469 143645 69753 118113 97528 -89733 -22454 106252 39588 -80220 98178 10438 27715 -121985 -129250 -123990 -37726 -3345 -45474 81904 -23754 -130389 -123071 108149 -87717 160376 -20725 -251205 -35 -140050 87057 32847 27810 168570 -105162 -160410 -204951 54445 -90742 76747 -211837 66295 1500 -48408 -43945 -130530 148232 34766 172843 50210 20976 -47487 -91083 -24841 -172197 -99479 -89969 -138565 92151 58436 -163836 -13091 69819 -37835 35851 -4026 -6186 101816 36995 49178 12629 -125952 26811 190690 26740 -193706 39108 -59866 -204008 -82793 91296 169796 81976 -38260 -68618 -97465 124823 26317 -8149 -230600 -9521 -84166 -43975 126917 -228619 49444 147928 126591 -116204 25660 -107406 2870 213929 -14625 -72293 13477 -45136 -174319 1092 -106465 -51552 -108900 -111255 -1359 -111968 105930 25224 -28468 198020 -3452 69648 134509 25690 21151 63401 -169075 48963 13072 7315 -26430 -19405 -81776 193233 17389 181092 -146033 -115153 102090 -144187 -142569 -62164 -52466 18017 -83340 14133 -49291 55061 90102 48064 -155627 -109750 111663 134827 110427 -80395 256287 4302 102787 -66093 772 107110 -62705 -20295 -63225 51042 58280 25998 -48252 -102658 -80092 105244 35742 
+-102123 -146211 -32822 167709 -35144 57501 133905 89712 -258499 19466 57084 -63495 51841 -188984 56681 -200443 -42741 32932 21566 48574 -188236 -175620 49017 -93175 4960 30371 -59302 -37839 -119803 -76034 86222 72362 -32644 584 46773 113567 -91592 46108 30476 -32039 -30644 -38083 -86365 121301 16240 24099 -96803 11032 -155140 -38530 155140 164595 179040 -36694 -19990 -63195 13685 -37604 86413 -43437 25031 49692 127178 45144 16705 29822 58184 62072 -86771 31331 59837 -19836 29189 24791 79823 87995 86014 -74510 -46695 33276 61128 -75140 -11955 25898 23332 62020 -136818 -129635 -7492 -11996 -37331 83873 -1314 -107164 -128321 112623 -93103 47526 -67983 -260916 -7245 -154663 69677 130894 67936 120609 -111028 -138447 -29889 -10578 -114681 87335 -71798 8714 -12955 -47900 -39738 -165800 102593 161266 228739 3570 30657 -18784 -30244 -37859 -188180 -108735 -19983 -33392 43033 53853 -175865 -7546 62661 -41843 -44132 47158 6768 128670 35088 -29642 -18734 -180449 52209 141823 -162983 -78961 178743 -110982 -171267 -85554 78574 32549 91937 -31533 -45883 -82398 80002 96228 38266 -219783 40381 -182019 -49957 67434 -161164 59212 134798 111188 -153889 -25491 44093 -101429 170855 -33836 -67260 -63140 -18582 -122513 -9220 -159965 -29725 -201495 -124529 -7803 -61994 131518 29746 -23532 122479 -5967 -8838 90720 47543 16909 1223 -156224 65302 -23905 -14696 -82796 -39299 -179153 63115 26936 124671 -120322 -116597 26244 -176380 -91384 -3965 -37643 -22597 -65799 52881 -24437 23138 163366 129318 -133617 -133195 -49151 123048 92108 -103065 118163 6685 108240 -101470 -22123 71184 -73614 10456 -51198 -7314 19239 -46427 -40581 -106554 26301 84708 31082 
+-79410 -74989 -29201 114234 20716 52589 27731 104581 -248416 -8534 17720 -59659 48247 -140896 22389 -239688 -20072 -9492 55152 2712 -200506 -147592 29244 -79970 5512 839 -3633 -19660 -132952 -84982 126451 27794 -47666 -39264 71567 194942 -100229 30668 72216 -57207 19503 -35971 -32785 170307 8021 1203 15873 53767 -171053 -36538 111133 217038 228691 -43958 30814 10319 90750 -32682 94348 -41809 9382 20384 8617 2898 29320 85426 -37080 51131 -75698 -64196 44224 26276 144660 -34381 65681 -82766 114837 -9429 -28905 -38653 73715 -56159 -76302 19617 30968 72992 -63343 -123037 13023 29453 -60543 83557 -2060 -55571 -45817 99986 -82162 -65575 -117716 -179193 -529 -126260 80638 131900 93727 89737 -114802 -30789 112549 -41632 -151768 73707 84778 -44048 -29819 -24684 -43611 -189593 -13610 244169 277972 -34544 10745 5861 105015 -40355 -186881 -115963 -75209 45333 -30931 65400 -176569 -2987 10806 -18958 -57402 58437 -20056 173125 32303 -56403 -59095 -181858 75475 80663 -221526 127508 168780 -135170 -141033 -62933 70123 -117688 85532 -31777 -2548 -103050 87678 157056 55966 -206739 136023 -224500 -34161 18275 -96617 76203 75827 76082 -203224 -85311 85292 -93258 191931 -28244 -69447 -140168 8366 -40922 1055 -134162 72413 -101688 -132613 39216 -20342 103890 45845 -6647 3289 -9815 -23297 149733 89241 5626 -88629 -142800 64725 -40864 -66095 -95490 -57138 -212827 -35253 94063 78704 -18746 -95480 -11454 -124030 14668 54313 -32284 -62526 -53493 51405 26826 18922 206919 50108 -96273 -104057 -112792 129410 96669 -13866 -38796 4072 104876 -156440 10865 20271 -69205 28734 -71528 -47152 -49407 -51911 -37425 -101767 75800 17851 44899 
+-84255 -18323 -39150 344 6994 63947 -127093 136686 -90453 6934 4717 -54731 46692 -49221 -57716 -220946 5261 -52314 80623 19176 -191865 -109794 11591 -117268 4998 -25673 102180 -40749 -123036 -28507 119142 -37414 -40626 -57152 95192 163227 -86615 13957 52589 -71706 -46130 -121383 66211 208019 -58269 -1933 20243 54075 -238639 -20394 106642 69674 191502 -32704 60755 38358 57745 -14597 101358 -9058 16967 38767 -129018 48187 49543 48901 23707 -121207 -65565 12803 16913 48750 227882 29223 62293 -199648 80812 81189 -35343 -99957 73846 15406 -54699 3677 58659 -94278 20850 -105122 25934 -5279 6791 79754 7343 -6259 35314 103982 -33401 -88411 -100074 -59964 11514 -65421 72337 65517 99478 28817 -116420 88736 77130 1380 -131603 67793 61845 -90992 -17456 -72922 -41612 -191099 -81877 195012 296169 -65878 15243 42354 170217 -69510 -191647 -120486 -122460 92732 -87197 57770 -165818 -3718 58776 -26456 24164 38796 -29537 144422 30919 -8987 -74596 -122275 88186 22033 -76821 173913 107154 -119303 -60403 -46470 47289 -214962 80386 -7650 39873 -100028 88178 157646 48670 -185125 176519 -168449 -34843 -84888 -16152 85058 -7674 41898 -216312 -155095 101844 -32961 147773 -44095 -64405 -215361 21143 -16879 32948 -124320 190918 64285 -133086 22896 7510 -18235 38135 13553 -54647 -9818 -32856 102205 133538 3404 -137180 -106516 99520 -36804 -83242 -105373 -106120 -200566 -7802 83388 34889 41263 -79120 -72350 -36244 113100 76876 -31159 -95197 -63727 35498 85099 35378 224877 -116676 -56046 -47932 -37249 111742 30824 35185 -111372 3487 96014 -106720 9467 50197 -61465 -91096 -105523 -23130 -110677 -52317 -32612 -78906 -27229 -64194 11473 
+-68069 -30738 -7388 -76696 -92326 54622 -146767 138165 103116 6285 8277 -49253 47779 72684 -99921 -217992 68568 -102141 49263 29356 -190246 -114270 -30973 -135680 9587 -49802 101567 -3514 -132237 75883 91956 -39180 -10480 -87606 69056 -18091 -91716 29083 42757 -61 -173456 -108706 130656 183576 -110543 18978 -95695 41688 -235397 -14198 60332 -76175 132298 -51243 39449 -11259 -56518 -21388 103125 32230 36453 58111 -175635 122282 67089 12367 158831 -231416 -65475 186848 -6973 39506 205915 151370 51240 -68760 76925 129822 12323 -152346 64935 50858 31303 -16504 68660 -246496 91489 -106876 5710 11261 27794 80680 -10387 47365 78402 87175 19364 -4083 -127333 57242 27448 -34977 58445 -4102 82588 -1545 -116291 119677 -99509 92042 -151873 48256 -96178 -117248 -16663 -28099 -49314 -170164 7314 5367 244961 -127191 29968 101218 26093 -43747 -186673 -144662 -142983 84707 -101377 46869 -144901 10988 37443 -56957 112397 -6262 -27803 130716 31892 81714 -63593 -34044 86199 -38038 99884 -37846 -17897 -121420 12222 -15205 10766 -136788 81544 7270 95498 -88556 49703 128114 12036 -167558 123302 -79904 -8628 -34418 71808 109516 -98819 36692 -225967 -150166 -22744 61413 116720 -13698 -72700 -215948 46843 28148 29245 -136111 247382 102715 -136998 13145 -11785 -76250 47813 35164 37554 -8063 -88021 122558 137079 -16713 -130294 -98323 55226 -10663 -95768 -160381 -98459 -171041 114351 125166 17806 -10429 -17229 -54724 102703 151646 62079 -24881 -116217 -80387 2988 145740 54455 202088 -213966 -28190 -27080 80776 119301 54456 24736 -70236 -1861 81903 -27236 -3309 -33702 -75263 -121022 -123679 68056 -156173 -30110 -32319 -41321 -116881 -123517 13863 
+-94599 -130899 8284 -36614 -155927 61625 11189 135452 96433 5293 8563 -39442 51248 149109 -233890 -166268 105411 -152997 41661 50564 -171779 -79847 -69359 -127404 13563 -57923 5838 -13704 -75467 175491 21180 12178 -39825 -112864 59148 -146926 -78666 1038 -27483 -2459 -190060 -142665 173215 119501 -91873 35287 -196501 26078 -230300 19781 6797 -55021 38030 -22636 -8313 -67654 -163710 830 112819 31860 51004 43215 -107771 166028 73728 -14850 154554 -138309 -67451 219003 5781 -5899 78533 205928 61754 90967 51819 136214 45851 -172806 44566 20833 137175 -38927 68707 -205774 58498 -91671 -23844 1842 10988 79992 -592 61188 43617 74375 50014 121619 -104344 118118 16104 -61093 66937 -21107 49552 -46223 -114316 38586 -238546 170132 -155675 43019 -176709 -129195 9341 -50489 -43200 -128928 127339 -71037 180841 -180715 24306 123873 -67611 -27772 -176994 -99709 -82028 42109 -48099 71135 -115143 -2463 51168 -63875 212644 -42246 -12962 83040 34560 164253 -43067 13483 69553 -83201 88711 -211342 -123227 -103547 63491 12226 -6376 58916 81511 -66044 84997 -71933 40062 50342 -33109 -171279 33114 11371 3969 82424 126613 118485 -150839 55299 -205691 -130316 -118693 35933 85823 -43964 -71833 -189207 60408 2752 16166 -108725 165568 -23301 -138021 -39329 -43947 -49116 56991 59869 187335 -9331 -92191 135947 105279 -16053 -80790 -116600 77151 40000 -83630 -115083 -95899 -109530 216761 163662 -56766 -118067 -16362 1815 129238 161953 15655 -34827 -121435 -90510 18859 204476 26832 140710 -116739 -31609 26911 167948 122618 89014 -42656 81145 -5352 70067 -2438 17022 -33656 -63635 -93939 -111934 64513 -180640 13106 -32549 -39990 -63406 -68706 -10845 
+-83828 -150061 -49184 115373 -146346 50599 138114 134252 -68525 -9578 30642 -39654 54888 182046 -253024 -136394 120692 -186230 33770 36774 -137504 -40023 -55223 -91993 7721 -86040 -108538 -3826 -1082 256725 -67574 73835 -40636 -139108 46643 -109237 -72557 27173 -54978 -34747 -91824 -122848 154606 57804 -44031 24743 -150955 31514 -131282 65260 -37542 83210 -51309 -57979 -70407 -100680 -211809 -16006 118143 2907 58855 50331 39227 85051 68250 9626 76169 35262 -70563 36318 36020 -70327 -55956 146477 66541 117624 65953 103165 81763 -173101 29137 12749 183874 -47726 52048 -22883 -3159 -80719 -39957 39100 -34339 76290 -7624 84328 -35660 67639 45372 189260 -52663 84928 -10106 -115641 81414 30666 7781 -76745 -109873 -88181 -199209 204001 -139321 53768 -105125 -110967 -3158 -59344 -41787 -77184 107062 -12137 92458 -199853 26080 197741 -26842 -28698 -163241 -117225 -81401 -61962 34455 65490 -78062 3592 56063 -61407 265475 -56369 2155 39370 36762 227906 26330 39326 44294 -114187 -93509 -131484 -19494 -73995 53380 -2357 -17651 128244 95367 -64764 95043 -59017 33416 -2055 -72791 -133538 -9905 13945 -4745 89206 143218 104639 -165438 92458 -204426 -80248 -175563 -53429 92086 -24406 -72498 -122784 77641 -20698 -4579 -167937 100291 -179907 -156278 14475 -109035 60287 78874 64773 156586 -11663 -38289 108457 35044 -21118 -20508 -98042 73338 103533 -56607 -119157 -97170 -47815 206451 158340 -66773 -161670 54426 56668 129527 67915 -50658 -50051 -111299 -82832 42853 237065 21338 68404 34669 -63110 79947 202638 95198 103030 -92883 217153 -12465 61930 -114267 18194 -31085 -18250 32291 -80422 5144 -233673 -18059 -28084 -79626 5 55608 -722 
+-85531 -97796 -48094 189050 -66699 36784 45651 124998 -252074 3219 35325 -56957 56419 132895 -307844 -70869 100302 -216441 33913 19039 -94652 12756 -30359 -120626 11780 -89998 -85233 -13078 57222 252030 -134689 75419 -23160 -158896 69599 38589 -59213 11317 -126244 -13489 9199 -138991 109735 16470 16891 1726 -10906 32840 -59360 86786 -57432 169003 -121957 -46113 -136804 -121027 -145075 -19185 121788 -36830 41753 39642 140597 24656 51407 60820 -2902 67665 -66167 -73997 95195 -123226 -146227 33012 78849 -81726 25429 27271 131423 -155309 -10976 -26515 130320 -46010 41443 100741 -94931 -34556 -26085 4169 -61290 75425 -6333 77583 -119020 68269 42144 145758 -19539 -14508 4905 -138054 61955 114851 -34858 -104851 -103899 -161766 -19533 155396 -118536 62230 63468 -80354 -35019 -79973 -36464 -40090 -29599 156668 28967 -234743 25444 224628 89005 -34847 -143259 -108056 -70485 -152134 103650 66113 -36695 -16031 78229 -54936 208130 -32435 -11997 10237 36729 225124 61492 -25295 19244 -115096 -226296 98504 59163 -54066 -4732 6410 -34799 65672 94764 -70343 89257 -54182 31889 -9970 -98292 -139674 36572 -36884 -6134 17037 125565 85648 -144451 120389 -148628 6365 -157332 -133036 58126 -16976 -68414 -43222 84271 -52272 -1632 -180716 19227 -206471 -139997 14180 -118721 108376 98739 62624 35137 -7728 3981 101209 14228 -28904 23647 -73817 89732 141126 -51068 -92243 -66862 49649 94528 102097 -96509 -82261 43610 104629 33579 -71377 -102622 -60136 -87054 -63739 65868 250380 1801 -2761 133929 -96082 94662 99869 89591 115054 -58713 267904 -15371 62362 -183217 43968 -93524 -46428 52482 -52012 -36524 -257586 36694 -47580 -119444 50121 108622 -34776 
+-99205 5967 -10746 122157 -9875 -387 -116738 88222 -259287 -2596 12105 -65319 57117 37924 -283512 -28534 66183 -217997 21721 27413 -53771 52116 -11802 -82350 15676 -82293 -3566 7769 87391 174690 -201002 32946 -9186 -182150 66456 172752 -52469 14980 -123356 -2473 5962 -86957 31895 -3387 11177 -2238 46135 -29752 44827 82106 -92657 154094 -159509 -48624 -206437 -55873 -46172 -26174 126752 -44002 31392 26263 127158 22532 29669 67634 -15305 -76662 -83509 14697 128279 -142336 -145771 -30214 78790 -208131 64717 -47194 120286 -102854 -52537 -72843 34233 -26278 13487 14995 -154431 -69462 -10013 37280 -30482 72330 2311 74518 -119870 82697 19873 24826 4755 -152349 8332 -142115 38078 140039 -63647 -100118 -95937 -131081 117232 72693 -70980 63745 81644 -25957 -7655 -62108 -35921 2736 -74169 253244 -48936 -252322 22735 246956 157652 6174 -118033 -98357 -141385 -127775 124688 59479 5950 -32123 52909 -77411 91548 8525 9856 35312 34416 166191 134511 -108861 3776 -89778 -143575 185440 224247 -48219 -95510 48752 -31146 -144634 71760 -87409 36511 -65948 27504 56091 -87517 -98687 127932 -144325 -4596 -63483 77006 150198 -87930 134062 -108107 71452 -21533 -82159 99560 9577 -63395 34993 95835 -160462 676 -105006 -18613 -14955 -152247 42609 -106979 129756 78372 49213 -52146 -13975 51628 88084 14672 -31811 -40188 -53582 81131 195380 909 -65263 -75232 94993 -17314 69196 -105864 52128 96764 121462 -83870 -164143 -130334 -69874 -51219 -51861 49022 237543 15043 -72263 62909 -126771 148711 -19067 93013 138589 4792 142805 -17297 68833 -111254 43282 -65505 -54362 -36080 -45651 -18074 -294047 70241 -61033 -84018 -4766 85882 -54673 
+-93853 -14869 -56882 -31457 -31239 -1324 -154254 57542 -102769 8669 -22632 -67027 52084 -78795 -253212 30700 29153 -223627 24697 48300 -32205 55540 40655 -84111 14777 -65872 101663 27224 151125 68899 -220439 -31437 -8766 -192669 86019 178696 -44256 27024 -134014 -45025 -114157 -52315 -50045 27468 -43974 18268 -54401 -2638 116043 102381 -82508 36416 -155804 -61540 -220382 -564 71771 -11000 127555 -15278 24792 8214 -4255 67691 16198 30051 114981 -214015 -91321 161290 122057 -127182 -32957 34747 70355 -104346 106582 -74505 101690 -20523 -87192 -73435 -60641 -5734 20871 -168875 -97846 -29874 18649 37936 -3472 72146 -22 29582 -79450 54036 -4420 -77643 -33177 -249815 -31394 -111703 56613 73473 -75099 -79413 -86084 -13618 70500 -5241 -72938 89429 -107830 25697 -23928 -97169 -38345 15924 8047 187690 -68019 -229639 29712 295876 55249 30899 -91510 -92666 -128989 -89993 123888 58984 47410 -40362 54098 -64906 -5484 54148 -6528 31661 31850 68364 153962 -184013 2911 -56812 52331 43588 173159 -56660 -140595 20876 -31371 -221870 85340 -62930 -24878 -62671 74761 158483 -53777 -91430 172013 -193926 -20950 -51978 -8910 92068 -2014 104758 -72328 83085 77115 -11163 18765 1159 -64420 96104 80512 -212391 23964 -165050 -72310 113843 -143873 -4119 -59110 26231 91261 21329 -29681 -11594 73293 56431 54571 -39225 -81299 -20363 105773 218159 57364 -12556 -22585 101913 -27918 49672 -123987 18039 114932 91298 -173492 -178314 -103763 -49244 -10799 -62846 37320 198948 -4543 -107873 -103511 -156639 127324 -100826 79030 92111 39675 -31783 -23598 82124 -25309 24310 -106518 -34472 -148029 -83466 49293 -268887 37507 -42963 -32347 -136304 -21359 -32709 
+-80984 -110065 -30888 -73783 -99999 -33737 -4380 21552 57481 -11279 -14218 -50369 49528 -153497 -235357 49634 -12996 -204869 46208 59424 10027 62802 52521 -68167 15713 -56737 89799 22313 152197 -46116 -229518 -56866 20707 -200764 86140 55426 -55659 2535 -64894 -65512 -195421 -36874 -72943 84482 -96248 35187 -182258 -12962 112198 115075 -12737 -75828 -104096 -43037 -248516 43736 90384 -21262 128860 18950 13246 50431 -142469 135388 16248 613 153315 -172981 -91264 206371 119634 -76864 117582 151072 45279 31355 105891 -98760 127625 39882 -123739 -87078 -73207 11938 50533 -258266 -13191 -43380 10011 19450 43173 74890 7803 -25644 12272 57122 -17650 -80658 -47565 -253065 -4512 -59716 45287 -5962 -64091 -60456 -74740 104167 -109541 -31591 -41859 78301 -192464 85998 -9267 -57603 -32071 9526 136991 39131 -110337 -248038 -685 261382 -79342 -14881 -62801 -103216 -129618 -3834 78443 49240 84585 -61890 52345 -59997 -61068 64842 -21471 71782 30888 -14946 170917 -184982 17695 -852 115392 -170305 55697 -89186 -195686 34349 -22235 -125911 76349 -38751 -61906 -86917 56973 175739 -12753 -73440 129692 -196447 -73110 82242 -99033 77509 80538 68462 -22736 115361 123815 49588 51360 -19630 -70325 100077 90712 -167114 33309 -144346 -58318 43588 -143510 -23646 -10841 -52280 68587 -868 114423 -11767 94369 54349 103622 -39594 -131852 -42540 94016 228245 76191 31465 3944 190389 92525 32542 -99100 -54826 154770 46413 -165193 -148452 -51765 -34475 28930 -82763 39539 144607 -10 -98133 -202645 -168487 119253 -84124 66577 68637 -17373 -110522 -23155 93978 14099 27794 -91317 -27434 -128510 -119496 78018 -288079 66497 -19744 -44885 -126662 -87345 -73776 
+-74003 -150052 28626 -27072 -132351 -23759 119494 8197 93142 7762 18143 -41157 47009 -181886 -138792 85805 -58440 -161838 36200 47268 44623 116074 49377 -70144 17420 -12904 -447 48726 164217 -92187 -167563 -5615 -29890 -200614 83861 -66605 -67809 19709 -28926 -31606 -163207 -33097 -65748 138016 -119671 25343 -184285 -37611 121497 146835 -29042 -5506 964 -50631 -212322 1505 864 -36918 128298 30128 25835 38570 -172897 148463 26742 1882 116386 4030 -106533 47834 91591 -24464 232826 210441 54090 121460 105265 -58746 29317 109939 -174273 -106678 -6352 19483 43310 -141510 42954 -21676 14295 34883 9132 73957 14059 -67846 81867 39676 -87772 17548 -88101 -193622 -36086 -46004 39298 -23833 -33255 -29028 -61896 118405 -242207 -9719 19149 82769 -94840 140575 11825 -116621 -28584 -20931 111162 -64660 -52259 -222255 15549 252381 -65023 -43268 -45016 -91595 -68110 101225 -16690 51727 115014 -64748 58047 -47097 -15117 27883 -14569 117655 32468 -50042 218619 -144914 41361 65966 -15274 -184727 -34770 -105487 -180945 36468 -2046 25756 91855 -4227 -55136 -107168 98993 152865 28820 -32387 40836 -95075 -52028 101483 -172033 86155 125329 42317 2457 61926 76552 8434 -28840 -27604 -64344 62214 91085 -213166 -10730 -144268 21340 -116501 -131530 -33951 2221 -81359 85813 -20106 202857 -6525 73773 71819 148237 -37880 -147285 7053 80853 226594 150939 -49614 22251 139431 215426 14327 -82480 -190453 150904 -22654 -115853 -111439 18096 -29195 61886 -91392 42428 81422 4576 -47402 -126598 -144497 99918 15467 33089 42721 -84250 -71220 -37880 103807 -89216 34708 -93352 -51161 -23664 -125754 9156 -239837 39691 -31417 -59696 8746 -106272 -66389 
+-55954 -116749 -8740 134255 -147464 -25464 52095 4287 -70818 2188 43607 -37003 48725 -143775 -94091 95780 7585 -122393 59808 39477 74918 123080 34510 -112578 13876 4499 -78829 27891 140564 -82023 -104744 80127 -74881 -207657 70457 -128838 -73162 -16718 43904 -23876 -25764 -61734 8170 185592 -25256 2213 -61144 -49392 49632 142749 63291 118582 76593 -69698 -151198 -63923 -119256 3821 129799 4349 39904 26376 -105180 108263 47940 26922 10916 86640 -114588 -66355 64376 29599 223938 149166 74559 -35754 117696 14923 -4389 159772 -199827 -38432 102454 13632 54776 46580 95645 -34472 -11311 14635 -26754 78441 16785 -113066 65878 48402 -82390 139786 -121238 -89273 -6866 -75451 44773 36678 8466 26035 -47603 20773 -192615 69527 27881 72426 93221 182844 -9385 -86105 -30495 -68551 -13232 -9169 -1601 -158350 7021 221558 49713 -74370 -26690 -54757 -49149 117288 -50390 48857 136397 -83159 83860 -56566 69565 -13171 4470 136500 35240 -22008 189177 -22543 65958 121857 -197816 37896 -97401 -135516 -150532 2031 21979 134997 100518 -37708 -55585 -80009 72418 65740 48697 -5430 -10005 -17536 -43614 25836 -231527 84673 149160 39895 50513 38223 -52043 -71013 -23885 -39801 -69133 -5852 72887 -107061 -29819 -135147 127509 -187781 -132720 -2595 -23234 -34610 92506 -26372 101202 -3667 59865 37574 130678 -55125 -101874 35538 46950 189872 171230 -3323 61344 88901 215752 7402 -48279 -145296 117710 -57538 -27560 8186 73995 -36833 83365 -82684 45947 22034 9899 18634 47603 -105648 38333 156674 60255 60863 -92792 73873 -41528 107712 -194493 30100 -63362 -62661 30790 -104236 -42047 -207293 28205 -53455 -101075 74233 -5506 -74837 
+-99620 -43912 12343 162825 -56640 -15021 -102378 -16269 -252901 9785 25434 -49650 50565 -54671 19301 88966 43965 -64622 48827 38975 88377 162484 -36886 -81089 19997 26348 -51387 46133 108548 14978 -40680 77346 -11109 -199916 44033 4569 -94579 28143 21410 -18130 21934 -89283 85403 188201 16743 -2566 45014 -30478 -7271 134577 71168 203091 165109 -39448 -117352 -116793 -207720 -8684 128934 -37657 59169 72083 59754 20944 66443 92807 -20834 -49110 -114841 8066 21098 48203 126818 31846 75775 -174059 104396 71732 -39892 183518 -212897 -23391 169422 793 103373 87656 54081 -49133 -37322 34289 -62882 80590 21453 -134620 -9431 25056 -117847 189835 -129001 48966 -29818 -122572 38422 115565 49933 64928 -32175 -105485 -11183 155771 36246 84014 64452 206101 -2269 -56799 -24015 -115683 -75459 113937 67276 -123725 4472 260765 163469 -58399 -4663 -62775 -85349 64291 -102345 54990 147095 -128747 54799 -70527 181190 -60239 7516 168749 36993 55177 135426 14966 85744 171778 -200073 171967 12978 -141413 -65249 17699 49627 69017 97101 -56838 -24160 -74058 111125 3722 48550 17806 33402 -9756 -92553 -36137 -250170 80083 121135 62572 83197 -44509 -158734 -130259 -42225 -33346 -70082 -54221 63330 -41024 7994 -118602 170124 -113671 -113132 35016 -46980 100232 88012 -21789 -38163 1660 -36463 29041 69871 -45605 -29414 75573 67986 145781 198938 18177 63130 22698 126332 38558 -17112 -26007 136466 -53184 118430 102079 77826 -32338 89294 -64390 15919 -25928 6174 106961 126152 -65792 -11657 201186 18827 102046 -46504 184595 -43975 103334 -158148 55809 -59847 -55329 18843 -62575 -25636 -184567 15599 -20580 -91742 -42601 82467 -84383 
+-96575 -9139 -28100 117643 13014 -28952 -159670 -25393 -267236 24480 757 -53311 52405 74217 78436 55355 105472 -17520 65570 13645 94872 138895 -51268 -110198 24814 57588 18502 39834 76972 118341 28970 33293 4466 -202213 63370 124768 -100056 -12732 73082 12065 -48059 -101391 147550 163203 5371 17573 7212 -23985 -102557 122093 125093 178043 200602 -93521 -44523 -124194 -187148 -5819 123932 -41435 58638 20844 138907 8697 74248 64831 70229 -198630 -129173 171103 -4589 35701 -6273 -31151 74450 -113367 109888 128068 -57420 180546 -227475 12517 153055 -14887 65568 -63151 -46372 -39325 -22729 17549 -31170 83970 31376 -156637 -85986 33227 -115833 127842 -92066 106261 16099 -156535 12309 129379 83953 107713 -15670 -163871 118403 211197 85275 68357 -91799 208469 2035 -123967 -24104 -162907 13014 226129 143108 -87444 24430 207683 67511 -51987 2922 -63664 -126151 -17073 -86187 57630 146831 -134630 70140 -47156 243365 -42977 14097 148583 36317 145978 86699 29991 89316 213322 -21590 102629 146416 -113440 8907 7917 61764 -105356 94730 -76570 20220 -66994 82092 13461 15483 22377 124347 -53060 -102277 -55474 -233302 30482 52458 98382 61416 -102708 -158634 -48504 -33859 -23179 -67115 -169589 42515 -6202 48816 -147514 220348 60190 -129673 35489 -121383 179758 73952 -5573 -44904 6604 -44380 54279 16430 -49932 19378 54373 41487 84869 248518 -2483 96432 -71493 -3906 40513 32546 38110 118451 -2342 143407 154144 43121 -57570 79561 -52617 47102 -48366 9459 170938 52513 -42735 -56986 142530 8714 118405 21059 258627 -46847 92475 -63266 21813 -57670 -36378 -62551 -48087 45003 -87718 -30788 -37972 -83790 -118978 102683 -70249 
+-91507 -88038 -30758 -23078 -7159 -7611 -21239 -7620 -52321 -9339 -20812 -62160 56622 148160 119148 19301 125484 17941 108934 39908 91828 122778 -45262 -101274 20393 72004 95423 45088 13930 225834 101498 -17821 -15877 -189175 93129 198945 -103130 -1476 58026 -2873 -174835 -153427 185652 96632 -65496 35044 -145248 -8859 -149374 114865 124319 -27122 232091 -62214 11207 -58570 -94365 -4033 124477 -26974 52447 26449 106811 55816 68983 10644 157399 -202101 -123959 216027 -35 -7955 -123937 32103 82350 32263 79467 132311 -20949 147988 -223384 17101 66089 -37545 -9679 -230352 -120076 -80756 -3452 34515 -14777 82865 25674 -173323 -142842 23701 -21798 2872 -46738 94265 -2841 -129213 42735 76510 98574 143565 1580 -118402 64149 171506 72995 57378 -206651 194930 -5146 -99100 -21219 -185055 136973 209051 235207 -16283 962 143951 -36384 -61197 12296 -42790 -123466 -70794 -18809 61763 135302 -150618 31216 -60341 241299 -12668 -16485 106113 33735 216581 22040 -21783 77882 215424 114175 -138704 203770 -79026 54615 -1834 84883 -213836 115562 -104228 72999 -81548 54356 56338 -34390 63994 174631 -139174 -97854 9241 -183820 20419 -21976 123104 62508 -144291 -131565 29221 -48370 10111 -65088 -207993 13416 25601 23976 -157486 176606 102763 -107673 -15213 -107388 95806 62563 20503 65558 378 -95823 -17920 6229 -44896 21345 78784 51417 31148 256489 -27467 108813 -136119 -46881 84901 66125 5272 82521 88731 78526 185689 2186 -47875 55182 -64775 19309 -48358 -12844 216873 -110859 -35941 -85774 20674 -489 116930 21714 133220 -49956 78607 -35464 11547 -29655 -49055 -167360 -61236 66656 -77541 -42644 -42869 -57044 -98705 47000 -58214 
+-72713 -152625 -7981 -75161 -96351 -10630 131478 3367 63677 -9037 1694 -61650 56431 176473 112873 -36628 110792 53939 39494 70018 75892 126429 -61510 -149337 18296 99329 77808 15124 -47171 258067 116793 -66400 -6278 -172503 70905 89517 -90951 -74141 -12220 -62187 -207836 -149561 177238 41767 -115124 25976 -212440 32893 -224916 99428 139585 -98755 186626 -55179 44747 -2640 35082 13525 121420 16939 29039 20504 -30653 113814 52730 -13082 154358 -26080 -132189 72210 35075 -69324 -149464 153324 60915 89249 65725 91196 -39538 71600 -210534 31810 -37806 -47235 4141 -218621 -117526 -62595 13861 474 27122 84044 47838 -167133 -102200 12753 -24757 -86688 -41350 -5634 -26583 -86889 45709 440 93390 193380 19764 -266 -117123 97099 69143 54289 -93134 145035 -20776 -58302 -6706 -204542 114847 66455 284797 -4237 34977 123066 -69951 -29209 12074 -73731 -68996 -136355 32854 63668 113400 -140030 55849 -65854 137609 37014 -16550 75876 31384 233795 -48309 -110095 54973 208591 49239 -201599 164409 -49140 44661 -2261 85510 -155230 118163 -73817 91264 -93158 65115 132400 -75211 61475 138544 -214659 -97820 140419 -104459 12590 -115258 135412 33457 -146569 -977 19139 -93064 17138 -69034 -202924 -2758 23540 -16050 -150909 67638 -41582 -97514 -34601 -117768 8805 67796 41224 185593 8236 -89882 27100 33118 -61651 -66178 78098 59066 -11472 255730 -73192 82754 -208887 39321 120943 152521 -139425 76380 125536 -17779 73115 -61949 -42468 19704 -80448 7220 -24376 25385 220813 -194293 -44251 -117091 -53157 -9073 160732 -32307 -8994 -54695 66853 -36118 5589 7407 -19747 -122946 -104980 27136 -15425 -47699 -30111 -47299 653 -43350 -52239 
+-78519 -121680 -66000 4963 -165599 29786 74143 26378 119343 -5630 29051 -52899 55630 139302 78048 -92247 62340 66961 2409 58205 61796 106799 -4834 -91946 23668 100767 986 41236 -92300 224632 115476 -15248 -80556 -161345 63911 -38785 -77606 -50791 -16127 -39765 -98266 -100502 78925 -10675 -74356 2768 -122586 30235 -245485 65609 120338 23312 115756 -60924 43492 40423 87590 -5487 116122 31912 19586 81263 -152613 146928 31950 40181 33944 87331 -129520 -75008 38790 -120479 -61334 208423 55650 -19796 86055 -3444 5643 28319 -194312 2210 -74145 -42245 30667 -62845 -132657 -86009 32727 27899 2955 83155 44227 -151415 -27533 22146 -13916 -69658 -6031 -134446 -28901 -39383 54841 -26523 66757 199202 38160 103640 -244687 21837 78006 46194 76757 103043 2538 -102533 -18118 -180389 -17091 -46215 258021 52090 -8126 51242 22407 -15106 13077 -89704 -36885 -80884 120526 70467 82775 -165529 55943 -43621 29253 60785 -11252 48498 30995 187035 -44144 -158919 28545 175193 -134615 -34688 41395 -20458 13217 -60603 84604 -245 113399 -62798 102634 -58410 30295 214998 -86717 95840 46250 -204845 -135440 53569 -17828 26957 -161477 110145 -9011 -119977 101733 -41370 -136688 -884 -70559 -175248 -16360 -21826 -8092 -102766 -14874 -187624 -72796 8135 -58080 -70267 71472 58186 160493 770 -69201 26435 61456 -35916 -149661 71165 42834 -42970 259935 -110329 88567 -208604 169629 162058 185569 -155865 7261 139278 -120994 -31416 -106045 -26315 -21041 -89714 16499 23426 34611 193769 -140669 -82826 -79372 -115594 -2783 137350 -87212 -120481 -52545 61980 -157522 -5251 39931 3922 -10901 -122313 -51677 54191 -24556 -42314 -90050 63443 -105860 -69220 
+-84856 -42321 1732 91740 -115486 25477 -113211 64341 -56446 -9607 52748 -43119 51036 42556 102474 -129155 23081 72797 23049 31115 20142 48943 26228 -111901 25754 99887 -79014 21079 -97258 125982 62315 47104 -50911 -141778 65863 -131963 -76429 -66730 -72889 -31299 10214 -84919 -1703 1037 -20689 -2855 7264 71853 -260643 41750 82545 165849 15740 -46551 35229 30306 51903 -31689 108514 19113 4762 22170 -180199 103806 18025 80542 -25228 -5917 -115152 -13083 79210 -144354 72252 144174 84722 -183802 49097 -51909 34351 -60963 -170022 -84830 -28217 -18073 50149 84108 -6490 -76660 -8624 -944 -48300 80349 41400 -145675 57760 13284 26494 39957 -1550 -234022 -26261 -48103 60740 28735 26503 214179 56841 109181 -184478 -37931 -26818 42479 91467 41463 -9114 -108497 -10147 -133149 -66948 -38044 262303 48869 -4579 24705 136272 -20924 2481 -96507 -88461 -36949 135156 58607 45460 -154031 55100 -28741 -51459 41758 2974 -2829 33166 93590 -91660 -200859 8000 122206 -224739 155805 -87667 -42672 -68625 -67733 70045 141564 112551 -45511 74864 -90234 18944 163227 -90351 84365 -10455 -131368 -142283 -14781 59992 674 -170790 66334 -47322 -29016 112769 -117944 -64353 -21396 -65880 -105371 -43385 -63002 -23832 -133742 -50445 -177899 -65590 27472 -57 -54149 55097 67984 45998 -1469 -38334 5378 101320 -58819 -137081 88170 68338 -40485 199005 -131959 64474 -160301 242509 120597 188935 -63639 -38188 103216 -170902 -119283 -120463 -27075 -60825 -84862 45897 82270 17753 138265 37899 -117723 -111663 -27003 -15020 74897 -99509 -86479 -49709 63456 -170646 -3544 68473 1173 22685 -108689 -42781 66088 -15490 -29145 -108257 -9887 -66870 -31844 
+-62781 -9719 -35869 179329 -43368 25701 -162717 87092 -240590 8716 22063 -37291 48898 -67617 10331 -180609 -27783 48974 3230 7802 -4794 25325 53271 -72307 26374 83294 -65735 -9128 -109452 18248 12653 91362 -18883 -111773 75371 -73322 -67544 -32847 -109717 -47266 23611 -44176 -58666 44496 7992 16846 43670 71996 -183897 35324 53485 188323 -77663 -70154 -18458 -53295 -64980 9867 103676 -23488 35301 26470 -84842 29052 16878 69282 3791 -177484 -108852 163505 116117 -130769 200442 28656 89812 -151159 52617 -100490 48007 -128005 -131711 -98104 69309 -4170 59001 37351 55528 -150812 -28571 21192 -65192 79348 55514 -64154 74056 -944 20343 155936 -38432 -269728 19111 -111198 71675 110071 -15007 212374 75556 7776 -1761 -24169 -21726 69125 -96401 -24843 -22415 -91288 -19924 -88651 16656 124393 188141 102450 39502 -14374 66686 23138 -12359 -92606 -121256 63649 106687 57657 3478 -173283 67638 -44037 -61452 11713 -4951 24237 35836 9245 -43479 -124000 2019 70118 -93831 157769 -98370 -80799 -128659 -82129 39784 15227 102316 14460 48972 -72636 24403 81714 -58670 109970 24338 -46844 -142804 -61058 106778 -15619 -127382 35057 -106869 9118 42974 -91490 -100914 -647 -67984 -40712 -48260 -126099 24496 -122075 -105674 -18372 -56344 66066 13417 16034 45910 56416 -59219 -13203 -5582 32200 132077 -50060 -128481 87741 45669 -16519 190901 -148527 31552 -110884 166381 139481 183709 33611 -31645 31370 -150030 -202830 -85924 -30206 -94098 -66254 16076 144685 23536 58360 132201 -145616 -50001 140801 -43005 74205 -23814 51651 -54314 72222 -146305 -29709 93560 11225 -71590 -76243 12915 102278 17570 -5416 -109290 -141366 11011 -67015 
+-92101 -69261 -35898 114520 -3101 51735 -21051 133867 -265261 24597 -8348 -50110 47222 -157191 -55800 -211587 -26104 14502 27392 32176 -54789 15676 40111 -98558 31745 64637 11223 -382 -115034 -69867 -92804 41905 20398 -96511 52960 100069 -49752 -40019 -115015 -17596 -98173 -23317 -90702 101758 3003 34842 -81064 43038 -114057 18184 2961 129532 -151032 -64668 -87888 -132657 -173170 -12415 96735 -47951 38037 20753 71376 8826 26706 15439 144067 -214322 -92398 218315 140978 -78466 247630 -25207 69971 15207 84371 -99374 117643 -150406 -93822 -110481 160747 16789 87694 -132664 87068 -132642 -34665 17439 -29955 75911 65214 -39694 19430 256 41150 186839 -85633 -205066 24990 -134801 50609 141971 -52146 186569 94033 -112255 120345 48981 -53578 70265 -219962 -70933 -13802 -109245 -17286 -38728 117663 227326 109573 107126 -8299 -57450 -20194 20240 -32078 -131068 -136112 111426 44116 53028 -39217 -177550 69869 -40661 29962 -40623 5578 55821 37024 -49265 -26501 -66639 9559 -3835 87510 -75932 36795 -95756 -180860 -105532 15191 -123505 100450 -18022 -6951 -88988 15423 20762 -11627 121260 114711 24554 -141267 34276 148239 3810 -61481 39581 -154145 72527 -83487 -11986 -114483 -34798 -63494 51185 -62029 -194141 -2059 -120384 -33952 111833 -57311 47783 -5032 127017 41866 47396 13941 -8536 14153 32857 106979 -53234 -20489 105881 46389 21751 150628 -150119 5989 -61213 29833 105034 192950 54955 -79062 -35615 -69418 -187560 -36584 -14460 -115315 -53019 28341 199329 26221 -13418 74671 -163259 23668 175983 -37061 54580 43728 202430 -51291 83617 -44337 -3247 115716 4412 -85552 -54075 77416 113306 40745 -25094 -79240 -146476 105781 -13934 
+-98120 -144115 16346 -17722 -31344 67778 112129 134377 -110728 25811 -4964 -55029 48517 -186282 -76516 -226577 -2699 -15061 23403 55917 -91052 -38480 11738 -127964 26899 43167 109318 21733 -95577 -93615 -153909 -24635 -45670 -59550 65496 195170 -51273 -49978 -106679 -8846 -187783 -44399 -46802 169085 -70655 26584 -172282 13023 -30837 -21519 -27220 -7994 -158300 -41922 -162600 -124863 -197809 -22990 87339 -39010 47969 27087 137258 55413 47381 -13470 145676 -68283 -81875 71664 142250 -29000 160014 30917 59931 171881 42654 -21529 133923 -187565 -44515 -84600 168719 26799 58582 -249541 42713 -147396 -16735 9223 32242 72868 71048 937 -64920 1238 6413 108133 -115678 -81887 1094 -136797 73478 82206 -73015 152173 112247 -164917 56336 141109 -83181 63986 -107916 -103689 4475 -110001 -24803 -4810 115113 214551 21765 92241 29190 -72127 -108728 -848 -53433 -108338 -100330 101636 -27672 55230 -79851 -166157 76754 -62839 137505 -50305 -18148 74071 35849 -36606 -7200 22429 30955 -50439 109497 -215535 183086 -119899 -163784 -99194 -9080 -226840 127987 -53829 -37029 -67059 53684 -15854 25642 115550 177290 -16452 -141989 111195 128922 -12838 10772 63761 -173773 101964 -173522 47649 -105753 -45124 -64581 86516 -74671 -195349 -8601 -129197 49799 54078 -43903 6101 -66335 116374 59235 18883 169329 -1239 71152 58946 79949 -52452 38582 66095 47265 76525 86396 -115283 -20967 -2270 -48285 74002 195202 -66001 -73696 -51078 58098 -102894 34628 -59755 -121756 -61900 31812 236709 34430 -75328 -108537 -149829 104599 176361 -54764 65624 24134 238290 -43235 97825 -31139 -33387 149027 28482 -89145 -51371 34418 83753 70590 -12452 -26897 6974 110164 -7627 
+-88324 -121205 -8709 -72651 -102463 47413 91263 143244 68253 -1558 10240 -69188 52208 -155027 -177975 -226720 34242 -68663 50672 58216 -114116 -69597 2686 -107554 31050 7646 58567 -1428 -49726 -42158 -198498 -60868 -62208 -45539 88454 145074 -40028 -47725 -66487 12662 -158566 -81729 21645 187872 -122918 3316 -138335 20630 50345 -28642 -54535 -88730 -150611 -43399 -202927 -61067 -136503 4862 81586 -147 51201 29843 89168 110735 64787 -6514 89295 77239 -76276 -76173 84044 25289 24830 150760 59793 27654 115502 16847 140245 -175920 -18289 -64951 98866 15106 8260 -175553 -73653 -120213 -1538 -10577 26948 73433 71274 44588 -138601 -27065 -18189 -17797 -105054 40178 2601 -104656 79039 9509 -71885 109625 129773 -102601 -127741 201265 -139600 88942 104991 -127456 -19646 -115663 -23944 19831 -12467 72903 -43989 70005 23525 -114013 15950 -17301 -79141 -133962 -65270 2635 -72430 46098 -116799 -144137 58404 -38603 230771 -32428 -23540 135635 33131 32084 80259 50666 57721 -96037 -70075 -94647 191151 -127760 -133024 -173142 -27838 -140156 120988 -58186 -65052 -73636 54653 21988 52628 139336 140506 -82056 -149576 80319 84080 -53684 89604 98247 -207706 68512 -187148 33011 -140762 -52645 -65756 96125 -91700 -163913 -19862 -100001 151427 -110527 -38737 -8950 -95546 98184 20157 -5233 206121 -5093 78597 75885 39669 -38358 22291 92930 47526 130291 43372 -94659 -40295 80040 11649 58301 190738 -174910 -121945 -54158 131220 -13032 89356 -83700 -112308 -77157 12795 250437 32885 -98546 -211257 -124106 120232 44905 -88167 75364 -63860 164032 -34117 106202 -88731 -14607 158717 29188 -36990 -82423 -45300 82224 62211 -29046 -61616 61266 6053 -44924 
+-95106 -67512 -32954 -39643 -173106 66175 -82318 128515 86017 3963 39593 -57502 56367 -60506 -256567 -219064 86859 -119392 27767 33098 -152101 -96725 -41868 -102007 32734 -6644 6037 -18891 2516 61809 -223165 -43834 -26330 -21624 79171 -51380 -59045 -45783 -22630 -32404 -34420 -121490 127888 174771 -97689 -3080 -38182 26374 97579 -33733 -30327 29502 -95737 -62109 -232010 6467 -20557 -29330 78809 35765 52061 25963 -39084 154901 73337 45655 -18745 20741 -66539 -19085 46945 49441 -115741 210882 66767 -155418 143874 81365 106324 -136115 25962 25985 1261 -4130 15290 24760 -130506 -181131 12093 273 16369 72748 80267 77716 -129169 -20697 -51131 -91845 -125068 104272 -29740 -53903 63328 -28439 -49050 82366 146220 22064 -247367 182251 -137289 83872 82420 -121585 -28927 -97168 -24070 12159 -74730 -24314 -93250 54293 24981 -97218 125043 -27305 -106139 -143816 -69361 -82541 -116664 50320 -146345 -142301 52322 -39738 248730 10344 -36755 169721 31040 120960 112625 -22489 78448 -112361 -215440 123503 109513 -123128 -66913 -156085 -37368 52113 103415 -61651 -63399 -65428 98275 105128 46825 136149 49886 -190059 -97443 5036 20401 -26157 141764 132360 -222748 38541 -86081 -71118 -127956 -61947 -65339 60054 -75429 -134721 9020 -128372 159527 -198246 -24106 -10267 -147145 -23358 36670 -20598 77936 -16283 15455 89682 22329 -43740 -36299 92490 44270 177012 767 -56937 -71145 128361 142053 -5577 130565 -133966 -118547 9514 127887 72143 78436 -68013 -88123 -91149 39211 239892 32510 -87976 -140095 -80823 118711 -55188 -80203 131933 -104658 11037 -39376 107600 -145736 -4867 161184 5227 31068 -115498 -35550 37269 55234 -45910 -104736 19641 -81183 -21463 
+-91198 5008 -45262 130414 -141502 52157 -168394 130838 -76534 -19467 23222 -41464 56633 60132 -326725 -195830 134207 -167737 66846 38795 -174608 -142720 -50051 -89790 29591 -38178 -86309 -16939 23424 172158 -214973 9693 -9214 17030 68461 -113761 -65884 -63768 23707 -44069 29397 -123765 169714 146170 -10396 16140 19704 49821 109622 -51493 -52100 170657 40319 -37623 -229447 32003 77202 -1197 67750 25552 25621 28412 -164508 102097 69625 45505 4557 -151412 -66319 150509 41335 36930 -143204 149490 61164 -172540 120479 133971 68397 -66658 63521 1684 -76823 -31799 31082 96071 -169274 -161018 25159 -9262 -35484 73638 91309 90007 -56643 -32222 -97815 -55663 -93953 101210 -243 -45510 88499 22458 -14292 31785 161798 118132 -177495 125110 -134674 94913 -126976 -102364 -23080 -107644 -25252 -11511 7469 -20784 -93759 41400 33844 -114774 140370 -46947 -132089 -91486 -113156 -149338 -51095 69925 -166702 -104829 43178 -44404 191502 59079 8417 160486 31348 200839 150927 -87906 88698 -116261 -163419 160754 -19854 -91072 12301 -191798 -43642 161707 97495 -78690 -17653 -54482 120822 156544 16506 149404 -7881 -226567 -96396 -80670 -72429 -19004 147691 126597 -213321 -8558 39739 -130470 -111269 -31839 -66717 -24116 -66866 -78657 -11227 -132615 161785 -109894 -26507 55306 -102818 -81774 26636 -26127 -39948 -6064 46095 104937 42319 -45941 -87247 53777 68715 216251 -36527 -16434 -84651 156137 231814 -13859 115598 -29953 -119523 54683 53366 156286 39702 -28647 -53463 -85677 38310 201154 31237 -50729 35526 -51233 112536 -104114 -92953 155763 -57104 -94841 -29726 101193 -180924 -12902 157274 7589 -18641 -118695 8611 -6394 48385 -29727 -108571 -119288 -111481 -6772 
+-79123 -57543 -9304 160311 -39337 25599 -42409 120543 -244924 -10769 -2648 -43152 58885 140288 -297782 -147500 103462 -197134 51580 30394 -210295 -165521 -43704 -76438 32986 -57774 -68417 -23093 93370 246139 -149406 102867 -1241 37339 63804 -132878 -87846 -92431 36865 -36262 -44257 -150077 172488 73295 15232 34690 -10883 -32441 104376 -50450 -1871 208471 109350 -26857 -214823 -8410 74069 -19994 63910 -12958 13765 -11362 -174593 32948 54016 57113 92827 -225853 -60402 203340 21839 -6833 -108864 29440 73529 8988 136661 121214 54990 -8825 65237 47185 -61705 -39512 11347 -19902 -83832 -144231 12187 -17630 -65137 74525 92024 64897 37628 -29755 -80670 62341 -35508 2108 -9693 -60184 83031 98061 31345 -20829 176120 105669 6986 23086 -161847 93927 -219031 -51502 14554 -107043 -34393 -57313 120647 87815 -66395 -18174 -5125 -76473 -9552 -63830 -147618 -154501 -155046 -112407 17788 58678 -176661 -98086 60274 -37088 89739 65209 10924 144482 33750 231983 171800 -168689 83040 -89948 22989 -1116 -97789 -83593 73003 -183956 -31581 48265 100924 -70221 21982 -74436 103202 166642 -29158 138745 19388 -156143 -67180 277 -158715 -20797 114789 99760 -207259 -82508 128998 -79097 -103829 -14879 -65436 -101168 -59269 -21345 -10036 -135473 124711 58461 -8471 10571 -54129 -12170 12158 -21824 -42489 -14834 -8527 121606 64749 -26765 -172143 40213 89998 237306 -71755 -28618 -90070 150264 186959 56166 75300 51189 -135228 132692 -39689 130794 -20499 -29032 -12761 -66356 42054 144938 17954 24526 137334 -37829 101127 -47447 -80792 129059 24385 -122743 -24398 89746 -89857 -15915 153977 14321 -83411 -102138 84830 -61205 12180 -62962 -119168 -125587 -27294 4525 
+-64391 -124976 32 136635 20633 33505 105750 96620 -269921 -608 -18207 -41543 54767 181151 -284088 -89084 70696 -215460 28591 36199 -186053 -152314 -27920 -99129 34954 -79565 -2753 -24421 119715 250351 -80244 83532 -25141 44583 66669 41682 -98759 -83475 61077 -32009 -175684 -146513 135958 31333 4935 27180 -172213 -42523 41288 -38021 1251 68679 187637 -48245 -160131 -51515 -27169 -32715 57762 -38468 10017 27733 -62303 7691 32808 -16157 161847 -106997 -71105 71101 -19839 -69466 36607 -26397 69963 125928 129118 74749 161 63532 80238 21604 24683 -44549 49349 -200866 27794 -141801 -39467 -24997 -31621 78604 108004 51382 77455 -47606 -130266 169080 -3625 -119374 12003 -117988 78774 140570 70324 -50022 189241 -9398 120161 -17418 -169334 70144 -103670 -8299 -18704 -88948 -35421 -106941 122230 205808 17060 -93612 13912 -45891 -71698 -47230 -166229 -132123 -82171 -56985 77461 63509 -175137 -78021 72050 -26638 -1799 38076 12603 143185 36342 203694 199143 -170076 65755 -35388 120679 -148672 -46122 -48406 64286 -184819 -14280 -118584 92562 -53361 58290 -75536 109191 82428 -73715 151970 109641 -63348 -55296 89235 -219694 -8211 38390 59491 -176960 -155798 117600 16255 -105555 -9602 -63427 -160891 -50750 18685 -16191 -140732 42474 103370 15082 -963 29489 51535 5466 -2467 110712 -11012 -64653 105732 126053 -35328 -135789 53434 53484 222928 -91308 6474 -90913 133563 52825 56155 12972 -15076 -125199 132258 -148340 83440 -80018 -11776 27216 -54373 46765 83292 24524 104739 56745 -43400 89448 84333 -94119 120256 42404 14176 -17845 76298 -5180 37927 167097 7374 -92549 -54869 56898 -127273 4216 -26206 -76435 -35574 70178 26424 
+-96223 -150332 -28321 29254 -39495 13749 85154 65904 -84498 14122 214 -53046 52195 139916 -225440 -38382 12432 -222899 46830 52063 -196724 -188571 6584 -93983 38394 -86362 124248 -22868 156822 175605 -4837 15378 -6311 62723 69969 136874 -98196 -103883 40108 -42298 -199535 -74386 59599 101 -78974 3863 -177744 -12895 -36826 -35544 92118 -55645 221029 -39974 -100544 -115401 -132947 -2622 55126 -41039 28210 26646 93127 42285 18460 -22639 103001 68856 -75146 -66452 -1935 -120536 165714 28500 70904 85632 64798 -5355 -49558 136417 82894 -37559 133923 -34385 74452 -246915 90833 -158791 -18982 -12441 46814 78177 110141 35272 49988 -38874 -94496 180445 -9398 -227451 -11312 -152591 68962 93998 94503 -81175 200653 -126764 50744 -19546 -144508 67313 82711 52027 -7584 -106900 -37030 -155606 986 216197 76306 -137663 25142 -2915 -32965 -45871 -178138 -95011 -62154 34983 108651 78462 -162979 -51646 105401 -47208 -72490 -4487 -14575 96739 36994 118596 173331 -132980 40392 19035 133 -172903 81505 -50735 20492 -185887 -2826 -213951 85171 -19268 99354 -70215 67253 14884 -94828 146559 172583 16592 -20653 126131 -250552 16927 -43860 42169 -144974 -138637 -1310 49064 -132150 2647 -70496 -218631 -33028 356 8640 -116495 -31751 -32399 -4203 5296 21840 115876 -13295 18488 218844 -9252 -108016 126362 133485 -18427 -54165 -2261 79751 198796 -80669 41463 -84215 18726 -45671 79546 13409 -145312 -93075 84308 -179487 -15128 -114420 -29520 60736 -59636 65902 24390 52925 179500 -95056 -63780 22115 168863 -62284 69976 -45 191701 -16734 65169 -51476 327 156576 29783 -31612 -51657 -35439 -149987 -45465 -38179 -51773 84174 126153 18058 
+-87462 -81458 2682 -56477 -144675 8854 -70966 28426 91012 6100 26277 -63616 51010 54348 -171990 5984 -7006 -215603 26034 71920 -170808 -169846 39179 -78446 34243 -85713 99081 -13690 157975 83323 57082 -55631 -30864 91729 62850 190391 -96976 -128859 -5636 -10823 -110172 -75503 -25876 11146 -111368 -3334 -92120 -32081 -93453 -14635 110796 -100295 210371 -55310 3001 -124260 -211754 -13895 47547 -9195 43082 29412 153863 134257 15418 32723 17598 48340 -74732 -12851 49461 -142857 236348 153529 64916 -117925 85031 -54759 -31172 179941 56183 -60833 170616 -22358 75842 -81776 85916 -144177 -24222 -6680 32243 82044 114251 -17280 -25380 -37800 -81959 87110 -40121 -251732 7157 -138975 56528 3509 99076 -97275 210821 -161264 -137312 29660 -125624 54051 62244 117262 -27260 -76204 -39853 -185283 -74085 109404 163770 -134073 6 50170 117344 -15299 -189321 -96857 -76031 122586 120899 65465 -140141 -46879 69196 -55759 -7799 -41431 -35434 46664 35206 32829 163363 -61334 15532 80195 -176575 45254 216964 -35529 -68081 -224467 29834 -160300 83574 8087 112910 -74049 73428 -18406 -88447 123033 147661 15677 -25005 34460 -244137 -36137 -115937 32800 -96697 -123901 -121324 -316 -110289 -562 -72607 -223970 -13308 -17136 -10728 -109400 -108428 -180501 -4933 -16161 -8418 73831 -11732 42240 145207 -18174 -111899 119536 126867 -9243 -2784 -17259 91659 155597 -71846 -22266 -62941 -45595 -5545 95660 -52886 -188052 -52871 20305 -129789 -127958 -109628 -33285 82393 -74746 8338 -26164 1344 206980 -196143 -106888 -34420 179169 -104840 53947 -68817 245963 117 60975 -140303 32059 101839 -21775 24395 -62611 -44297 -205910 -38517 -53564 -53953 54336 73217 52481 
+-87633 -15511 -22533 -22220 -137500 -4408 -164751 3944 92256 -25062 17919 -58997 48186 -63554 -89784 43082 -23668 -200266 8952 43402 -149114 -184292 65096 -108250 31971 -72489 -61591 -24365 144035 -35030 108411 -60326 -25278 87170 65781 47770 -76800 -113417 -28772 -20424 10870 -33521 -74783 64558 -91665 15432 35523 -48835 -171410 33801 143714 56209 152846 -35124 30657 -50109 -169397 -27514 44133 26999 54407 27205 80665 150683 27489 78227 -9479 -117290 -92847 162490 87343 -122720 197063 211929 53973 -160029 80597 -92156 -42869 189895 34817 -64494 142894 3092 41930 87546 -18298 -96926 507 -13575 -4102 81573 110636 -67126 -110975 -33270 -68560 -36986 -64959 -211179 -330 -94856 50313 -36071 81122 -93355 218986 -89598 -248997 130684 -112073 48204 -104271 158661 -29464 -70120 -45892 -195408 -231 -19677 239142 -166451 9918 102173 125395 15460 -193496 -97940 -78420 118952 84488 62997 -108931 -37545 61176 -65998 81377 -45302 -18865 40259 32491 -40334 112363 11658 2231 139068 -204105 177590 181078 -83569 -137925 -207609 43723 62128 81785 13871 121082 -97785 62328 11066 -56011 143949 57312 -57350 -23142 -74006 -200187 25821 -160242 69122 -53387 -79252 -163264 -90963 -104421 -4231 -68376 -182067 9959 -54454 21107 -129532 -62918 -180681 11432 7182 -76467 53119 -1259 58968 18126 -8662 -77263 121539 68993 -9940 56335 -54892 105490 105915 -78568 -41458 -51908 -140196 119364 94307 -98436 -89160 -51516 -24576 5534 -193914 -80745 -51100 89045 -92359 -4450 -50641 39271 229001 -149033 -139942 -116909 81949 -104065 48782 -99690 164410 -2785 64927 -173269 16243 95901 20931 -607 -105416 18918 -250286 -46833 -40704 -110338 -73767 -28299 48550 
+-83900 -56509 -14222 115370 -81979 -9081 -69991 13278 -68760 35133 -3405 -54189 49171 -156032 -28749 77126 -21901 -147838 3214 30146 -116302 -159943 31411 -81514 34522 -60456 -102459 -12591 99263 -92995 126648 -3102 -40093 97278 77837 -67747 -73293 -111719 -76565 2162 8619 -63420 -73992 130570 -17663 34439 43500 -27751 -205340 34378 114932 188302 57372 -45057 61609 -3316 -78167 -42994 43471 28178 53387 18114 -63184 103185 45220 45876 69390 -242666 -98352 231193 100866 -77827 72039 148428 71363 -60312 40545 -87547 -29364 159746 2684 -108647 36135 9662 -20151 55921 -112341 -134074 17071 -28823 -65897 83230 125125 -132752 -135876 -50331 12862 -93961 -104819 -99213 -37376 -39593 36831 23981 47660 -80996 225061 30723 -171119 194189 -76423 68901 -223763 191873 -22606 -81616 -43812 -181916 121383 -63166 275874 -222704 16434 123677 21679 -20997 -189717 -97991 -171460 33238 42227 53530 -71047 -24954 86358 -51044 201354 -18335 -5363 31629 30898 -44439 100339 30851 4677 183197 -42875 58634 87357 -130492 -191347 -207216 63414 120553 90426 -27863 66434 -81646 39240 120893 -13962 136335 -7593 -171433 -19326 -42647 -131273 22728 -161980 102291 -254 -21133 -166372 -127119 -86320 -2133 -59313 -105732 28305 -114833 32531 -114842 15375 -10212 7725 39606 -133155 -47020 -5727 66910 -71492 -2429 -35737 105616 27505 -11195 -25453 -44673 68664 52276 -39311 -50273 -32696 -176346 210666 165069 -120783 41006 39688 -47311 82660 -160904 -17117 -73932 80015 -85438 22681 -47784 20514 190072 37466 -158712 -122787 -8325 -98695 124798 -76535 15047 -4671 74776 -118700 37848 70062 44910 -66676 -133959 70759 -281184 -10562 -63780 -98659 -140890 -108263 83921 
+-87405 -130363 -20973 179180 -52699 11828 98008 -30680 -228437 -20561 -7715 -44743 53080 -176599 59745 89298 42551 -92438 30377 27337 -89554 -153196 19584 -110616 37780 -39138 -31231 -27816 73199 -81596 93602 59862 -7318 119173 67366 -158767 -70829 -129553 -95669 -7324 -111967 -62889 -14127 172657 45553 27726 -126331 -18158 -203555 63269 147162 166263 -24953 -45094 65132 48532 41297 6309 42626 271 52601 22734 -171583 31939 64092 27152 166493 -133188 -117120 95185 125481 -22577 -89634 26085 87590 101411 67986 -43262 -1981 135765 -35918 -64168 -61443 13506 22762 -104155 -148914 -136591 21273 -1146 -28291 82951 130720 -160943 -93299 -25636 5872 -38970 -98910 23020 -9666 -56171 52362 104398 4268 -59361 229327 117103 15711 199550 -46976 47581 -79169 205067 -6167 -85334 -42405 -139366 121333 57533 285726 -217203 18098 163977 -80322 -11638 -179784 -61496 -107498 -54645 -93952 57301 -28952 -4405 44740 -77970 263793 24840 10718 31278 31810 8960 8096 -15660 21619 217273 111828 -162407 -23154 -128570 -183268 -222921 86521 58380 78417 -94122 32264 -66755 36748 189287 29163 126578 14360 -208955 8856 76854 -40828 52155 -127034 134951 2738 31613 -7907 -53802 -72531 -49730 -63961 -17374 50041 -152486 25546 -122309 103888 94720 4312 35928 -110992 -47805 -42406 61972 44324 -1742 34569 131621 3588 6077 -60494 -74987 66232 2395 4160 -75213 20728 -161919 217775 119596 -91774 37512 28531 -34906 152353 -82722 37144 -59983 56223 -68156 37306 -25797 41879 130447 132675 -157529 -108119 -96238 -99010 137957 50390 -92461 -3604 88201 -41267 10055 29447 12670 -95504 -125229 42717 -287627 -1079 -42869 -105620 -28795 -86335 64514 
+-78384 -166108 -23487 118315 10442 -23218 115702 -17096 -273010 2398 -18031 -40629 57578 -150029 97164 85069 74188 -56854 39272 38411 -48924 -125888 -26006 -140607 39522 317 62319 -13926 2150 -38 42452 109419 -9070 108989 66090 -12387 -57978 -120781 -116819 -38995 -199400 -74339 62616 188501 10607 4471 -193022 31675 -216362 88794 72850 52250 -94822 -12344 25509 16317 80568 -20763 37632 -40118 26991 59682 -165749 7035 73063 -20045 150873 41228 -119528 -62977 129495 31400 -155976 -31392 90485 96960 73222 46884 24545 82319 -72381 -46825 -75358 14205 57098 -255921 -125077 -83738 739 -781 -9317 82457 129161 -180762 15172 -48885 33729 84771 -113581 102172 -10356 -92633 30933 140472 -36330 -28908 231846 91558 123386 144388 37374 78241 105588 195622 -30735 -44063 -42514 -92495 -1713 201885 232213 -240052 29426 241622 -26039 -6684 -163884 -47682 -82782 -134174 -89894 50244 13509 18847 57233 -46327 215250 54551 19096 26523 34532 97369 2660 -102910 47290 223799 79498 -174218 -68690 -128770 -134265 -189459 88083 -87986 85040 -94789 -44852 -82748 79734 158316 55091 123209 107751 -159558 -8812 93910 47307 65834 -49087 128080 62969 54209 80098 59656 -52219 -61367 -70797 57318 74101 -175322 -14478 -88287 169606 52737 18971 25799 -100395 -7728 -19034 43270 169812 -3499 46812 113599 38657 10800 -118921 -87296 43917 -30578 33766 -110508 35447 -194404 104507 135399 -102111 -87233 73049 1813 130141 -49039 79775 -58810 21302 -55928 48435 16637 20687 54147 68072 -135463 -91191 -69100 -84892 148387 39994 -89526 -2145 99424 7987 37784 22053 32126 -102403 -85790 -24754 -298381 36555 -47526 -69426 73466 -1254 74631 
+-112079 -90174 -26182 -7914 -30359 -34465 -63878 -16434 -130896 -41400 2156 -44632 56535 -59545 108930 65876 123252 4299 90749 39133 -18776 -82350 -52881 -98312 37393 11882 109522 -41750 -13994 121945 -18905 56515 -56258 94405 58410 131614 -53605 -115644 -90230 -37377 -172664 -120395 138548 161934 -76397 -3521 -144595 40838 -197873 120684 57967 -104675 -145367 -41683 -55226 -75867 24256 -6332 37939 -48204 19497 30472 -42424 47528 69687 11127 30456 68116 -130960 -34036 123975 51557 -127702 30237 60255 -113982 131728 108326 63680 -4380 -113949 -11579 -12949 -9730 97658 -195650 -39538 -93218 -24655 -11302 31927 80273 134403 -184796 64685 -50743 17714 179305 -60897 111599 -2029 -144541 44407 89541 -66003 34493 232305 -21962 43575 48018 15985 73260 56879 170621 -15864 -41956 -37899 -42622 -85573 238724 184172 -207760 17226 235488 85869 -47271 -144015 -46246 -26806 -132866 -73817 52282 54356 15112 65265 -74835 147716 57466 -29013 91520 36770 188121 -69625 -152262 70890 205958 -111183 -4018 -7223 -128562 -67415 -166611 82369 -196663 82436 -59682 -67651 -61944 53826 129802 47962 90251 169851 -102950 -21561 30417 95974 75513 36073 94005 60231 100670 131269 34614 -15607 -43201 -67165 93224 88464 -192912 -2470 -125956 197028 -108727 4694 -22238 -9841 109961 -17365 20039 171325 -7921 89243 74985 74972 19693 -131955 -92590 100136 -44526 79617 -146227 60246 -198188 -20645 75687 -133050 -156970 92522 58489 12247 87908 74789 -30712 -18911 -59774 20647 79555 50795 -15401 -100480 -91120 -62217 73592 -94993 137690 -12489 43114 -1430 107032 -126053 29366 -29616 26056 -7536 -57067 -51518 -276237 32516 -25529 -22794 59268 99125 85698 
+-87655 -23867 11906 -63324 -117124 -8779 -163532 13490 75663 2720 31417 -57880 54976 52501 69255 31704 114095 39413 71586 49237 21174 -58684 -52299 -55150 43993 58089 69773 -11650 -70911 206490 -102004 -13578 -58436 89863 66156 190756 -51113 -98895 -44789 -49079 -40476 -158820 189928 117733 -121197 14703 -11891 31874 -81707 113830 35974 -66336 -172079 -37984 -104643 -105927 -85891 -31527 34146 -21685 10939 38017 104604 133328 54381 59921 -25382 -83037 -126883 150597 76991 37260 -32562 157902 62726 -174662 95185 131089 91380 -72683 -153403 8490 96793 -32877 48528 -24255 34301 -43562 -30055 -20323 37935 76048 137481 -191271 71221 -47688 9187 170327 -41353 15479 1574 -154095 50325 7649 -75376 64238 230660 -134859 -146195 -24820 65414 84890 -102954 122382 -10991 -31299 -40506 -8554 -7275 119705 108086 -222380 29206 255631 154586 -57510 -122018 -76927 -113316 -63624 -27021 45583 90390 917 19579 -63130 37579 21250 -18509 142147 36757 234274 -96689 -187812 87334 161192 -229231 183987 138978 -66963 13824 -153160 68498 -158857 77337 -81339 -68956 -49589 87549 3868 19021 69924 154044 -13001 -31798 -52825 142458 86212 105196 59567 68562 76027 111979 -42917 -22156 -904 -70040 94668 94112 -127701 17130 -122722 169291 -210111 4617 -3179 -12750 144960 -28751 -2073 45136 6620 77081 88372 114466 21223 -75540 -149762 93660 -32797 135495 -143133 80869 -135574 -42784 67525 -68673 -136785 92083 110925 -88063 179615 27050 -24996 -59232 -77186 35747 140990 29423 -72171 -195675 -58468 9627 139472 -96067 95683 -97398 168970 -1068 106059 -186789 51331 -71843 82541 60616 -53973 11844 -231535 84892 -26859 -43466 -103892 86255 80776 
+-109154 -21568 -44589 -22796 -172942 -8915 -69771 19100 79145 17040 21820 -60411 52830 135939 106036 -9000 110124 63482 61697 35836 60535 -12789 -31500 -101585 49467 80423 -46774 -6210 -102337 264796 -176616 -61083 -49077 65713 61570 123965 -63289 -115635 13785 3882 27288 -143179 175425 57797 -84851 34223 30326 38904 5721 135890 -4344 63322 -118234 -44710 -173082 -115545 -186246 -10241 40904 22533 23406 17632 149915 147381 34506 62048 25423 -220047 -127314 231071 19469 -8283 127613 211158 50375 -40301 145301 111150 123554 -133633 -191362 39910 167991 -42190 54363 96760 79326 -34319 -6876 -4142 -4311 76169 136081 -143845 8177 -62381 -9225 65019 -42316 -96233 -35917 -115440 43612 -27140 -62931 109981 227062 -161797 -248070 -43415 65684 101346 -196093 69359 -8652 -57842 -38802 25804 120317 -21377 36400 -150075 -7187 262503 56656 -40711 -102878 -40041 -152120 -2892 41334 56116 119147 8940 69170 -83477 -50948 -20860 15718 156423 34562 219403 -38574 -121342 88176 112095 -121240 109354 212636 -59128 59969 -178624 44477 -9910 84585 -15757 -48349 -78317 108182 -28438 -28171 76393 64428 42330 -41009 -57355 129856 80050 138960 32901 46952 27226 -65847 -124350 1561 -3023 -66098 50598 74523 -97141 -7849 -118880 129567 -102687 4498 55233 -32409 66159 -10957 -18045 -67239 -371 76785 52355 138422 30920 34497 -153449 71924 -3568 171932 -106755 95612 -31363 73504 43714 -15616 -27402 111565 127157 -189923 173093 -33038 -2827 -92942 -90141 42025 199235 27942 -104346 -145608 -39605 61320 178312 -80524 57986 -80279 221950 317 98539 -138288 26579 -75626 68328 -30617 -84385 71007 -209192 74084 -21514 -136041 -111292 33310 78858 
+-101994 -108697 -45128 94993 -119120 -1461 83323 57456 -8554 16216 -6048 -60282 48240 185183 45600 -44997 20413 70727 31209 28638 74587 15439 -20409 -90113 45191 92015 -85438 -15822 -121335 240237 -213542 -15793 -11497 46882 64356 -19129 -78150 -127227 32810 -9313 -39504 -149368 109652 13629 -9677 28275 -57362 74035 68104 144497 -33237 194932 -24934 -38600 -238254 -82858 -214547 -24334 44917 35322 39676 335 68781 97275 17509 21543 114898 -170403 -124323 109869 9027 -71210 224330 144661 81494 52087 121995 64163 105662 -173490 -207398 8827 163386 -44814 22303 1080 49588 -8317 -6120 29451 -66098 73068 150121 -114741 -92577 -59578 -45441 -54038 -26822 -217981 -32340 -69020 44037 19066 -31143 150665 221410 -74511 -163572 14051 55025 82453 -72407 9145 -19486 -18953 -40617 11134 130445 -43165 -47819 -130578 -12895 276694 -86764 -82405 -69266 -65444 -156796 93435 103866 51524 139020 4634 62436 -65559 -37402 -47117 -971 161502 31824 144502 -26281 -63456 74338 46498 64793 -87736 153933 -64707 37292 -137613 24212 89530 73270 -10359 -23219 -80325 112249 -2739 -70114 52681 -5993 -45689 -94375 21933 102776 62322 146165 39120 32249 -42979 -163248 -92443 44693 -9223 -67714 -31728 96375 -48983 14196 -146448 -31362 66092 -6037 42920 -51831 20126 1056 -26238 -40721 -8827 4878 45420 102961 52739 34534 -196159 65356 53329 221509 -115133 87430 54866 198634 14160 -4042 45321 136404 75490 -175120 73531 -94109 -29953 -114376 -86357 -6993 231477 38358 -85938 40643 -37061 91721 133801 -85549 48997 -28211 200633 -4597 85983 -100788 31033 -75886 41141 -97236 -119807 57510 -173347 39003 -12335 -133315 -15580 -64470 70484 
+-64739 -157359 -13269 185503 -30450 12441 101780 79365 -258792 14566 -14340 -50862 47038 139235 -41504 -116068 -15124 57381 34378 -4766 96142 38155 23218 -100367 46710 103749 -67895 -1587 -121730 148575 -224175 50479 -11540 18518 89635 -127694 -82718 -109670 64800 -7679 -156872 -87084 19919 -8782 3153 5052 -195939 37985 108000 136593 -94776 158522 50982 -56163 -257242 -7334 -126127 -21024 48034 9115 52697 4131 -74892 22903 15605 -16027 159926 12108 -114117 -55562 4586 -117820 212102 36059 84975 99696 114371 -11490 77246 -192104 -220283 -39575 78810 -29898 48732 -183505 -21308 -32085 -2993 -14396 -40860 74042 162032 -83849 -127548 -66241 -84498 -92364 -33649 -268409 6601 -46853 39468 94508 10619 182503 214026 53052 23059 92772 56241 83796 88587 -42169 -21085 -27606 -41878 -7179 -8532 52171 -80834 -92507 13187 269617 -47731 -42420 -53778 -83071 -82292 124240 109557 55257 148017 -23868 61428 -68081 25892 -48583 -8567 111405 30907 46750 22013 12577 49211 -16538 114010 -170894 13864 -55144 20557 -109900 -6257 91885 92830 3128 9229 -61805 73979 71215 -90115 15440 9716 -116749 -71469 112730 22260 114928 102779 71234 -20588 -127399 -188322 20894 51786 12498 -70459 -103779 96939 -22297 876 -127816 -92882 118100 -21005 41035 -96049 -54476 6062 -18316 116776 -1395 1824 49600 71861 47615 -19122 -170330 77563 104092 237718 -103698 79789 120792 217681 -26157 49082 -9824 137671 21522 -58083 -20442 -114908 -56018 -121967 -68491 58331 250802 44002 -41035 133011 -55402 130142 -9883 -64251 73864 38256 34542 -14004 72685 -28479 20103 -80044 42325 -123513 -131336 -18862 -94904 47028 -30413 -75788 81361 -108826 66738 
+-75034 -117726 -31699 121829 29422 61070 -43187 111923 -249685 28196 -13266 -41997 48476 59861 -99282 -147125 -48320 35654 28551 51915 98170 78753 56122 -69978 48035 95791 57178 -13335 -91178 32262 -203025 99583 -42409 7562 83849 -75634 -91795 -141720 52488 -20105 -190066 -58474 -48761 37062 63 -3713 -166804 53821 130950 145572 -69426 3866 142440 -27297 -241826 38003 404 -26118 55091 -25935 58633 57801 -186983 15215 25768 -18215 74579 82773 -111783 -37390 19558 -140423 107121 -37072 90256 -59659 100828 -62406 72622 -167264 -228623 -79096 -28697 -21080 -17234 -239753 -113244 -19158 35337 31861 -18942 73802 152805 -11064 -110025 -63766 -72974 -19963 -61242 -237352 9208 -73519 40107 134257 52955 201766 204733 124620 123972 175533 54262 83076 130443 -94509 6242 -8951 -32154 -51035 -78798 190690 -82836 -53245 39445 262210 76153 -59291 -28808 -75897 -65931 70767 114294 70191 145426 -46862 43519 -54381 128081 -7527 20683 108452 32376 -29998 82045 42668 24509 -65690 -35237 -79322 -81327 -94035 -67568 -110351 -19705 -110710 80888 3190 78612 -78887 59987 163308 -89937 3119 96622 -210100 -83249 105939 -62431 78660 27183 107928 -57573 -126135 -112537 50939 42793 6108 -70343 -172170 90992 10899 -9134 -124106 -96151 -31158 -29250 9256 -120397 -50005 3159 -1590 188165 -6535 -50400 46163 10264 50822 -99015 -164218 39452 155976 255835 -76263 91640 201773 139752 11933 104127 -135238 129985 -21929 28533 -106075 -107330 -76821 -113165 -54649 50852 239237 47545 24394 72512 -91019 132200 -85624 -28154 126441 22659 -78506 -15807 64525 -43973 16643 -111153 49332 -40986 -109703 -54960 -34376 -17517 -34399 -40456 30673 -59020 69148 
+-65358 -17629 -5840 -14064 -43230 62207 -155499 132651 -126241 36496 22125 -41010 51557 -54045 -187434 -200155 -6095 923 -5024 56356 94311 89521 61253 -93174 53444 93866 121789 13642 -60766 -56311 -136608 83284 -68720 -32502 58801 66268 -96710 -143917 38614 -4531 -107820 -27910 -83438 86001 -71245 13993 -48068 48189 101422 124343 -17671 -61260 193028 -53940 -190873 2946 82860 -45510 58323 -50241 41809 47247 -164361 59899 44009 41670 -16420 -38134 -95410 120879 33156 -125828 -36074 34667 72258 -190189 80384 -110761 26853 -141243 -219332 -101426 -75991 9838 59430 -123476 -116942 -46610 17329 14108 29570 75344 160567 15433 -32896 -60691 -83233 105812 -89683 -106237 -12702 -120517 63337 98322 85620 225476 193932 83177 34607 208413 37628 59502 -65753 -120363 -2009 -46064 -25145 -105642 -384 239056 -64176 23604 -2100 234159 162806 6577 -9211 -110539 -74450 -7808 58825 62752 131938 -34460 62261 -32820 261470 46259 -18639 37767 35195 -48105 138226 3008 6163 -102336 -204175 157998 -82378 -97986 -150620 -88466 -30186 -214874 89057 -74472 111284 -56439 61818 179856 -53940 -859 171062 -202221 -114930 -19472 -149500 148116 -54642 135991 -123897 -148454 7920 28793 109852 -48927 -67260 -212504 74985 -2150 11445 -129015 -65219 -194592 -34659 -16980 -117133 13357 5065 21272 101795 -5067 -101471 20887 4907 71615 -140720 -147217 50231 200787 255938 -29569 70055 166289 -1204 84219 129710 -179149 95935 -62014 122791 -153852 -60007 -59698 -89547 -59154 36121 203318 17589 102211 -97648 -130948 122713 -81010 -34996 125966 -73191 -111465 -21362 61967 -155224 210 -90858 48204 20924 -63664 387 11099 -27820 -67164 -15253 -88325 46700 38149 
+-75224 -24393 -30911 -62083 -154523 58053 -82694 141640 56212 18054 25913 -49435 55259 -151310 -249763 -219292 21422 -41966 26990 51519 81447 90024 42483 -95473 48616 72193 65348 4568 -37220 -92188 -68020 -11894 -37351 -42697 80642 158538 -93554 -123546 -3031 -61977 4725 -39935 -67516 133446 -116655 33968 45013 47636 54218 118957 -40741 -42650 219328 -50851 -146822 -53983 58707 -37778 60636 -28549 29653 71386 -30709 119403 64096 81606 18664 -203760 -90884 201016 64278 -83643 -141088 151142 64752 -157414 45352 -85356 -18441 -54879 -205203 -100029 -40453 19284 86299 57384 -82611 -24038 -43124 19205 47916 75771 159561 74859 53635 -61660 -88771 186383 -109059 15706 1249 -140287 69222 23972 99413 212795 181638 -31256 -154023 160064 1738 48540 -198323 -124456 10504 -57169 -27421 -148206 123751 158057 -20828 49617 37894 160929 55285 20079 4431 -114328 -121967 -94142 -14625 64630 108597 -65971 71314 -54634 257273 62422 -6411 56016 36981 -5106 205358 -103873 1957 -112211 -175072 145178 37217 -140494 -194724 -47791 -43027 -153131 101807 -78423 105619 -45475 40671 134922 -17482 -12936 158498 -140908 -111056 -47582 -207564 91083 -131535 122304 -159465 -108328 139477 -76591 73887 -25918 -62648 -232109 54473 -24551 23038 -138681 33986 -176488 -50138 -2198 -109958 106780 756 43503 -14566 -3708 -99225 36762 19794 70345 -134615 -185706 67606 222882 225451 994 40336 114048 -35525 116065 179405 -90609 52693 -57813 172907 -199210 13768 -59741 -55220 -75970 56701 150530 26924 176222 -211905 -155535 102903 42077 -12426 125271 -115026 24577 -28757 66704 -184625 -26589 -80226 56161 28523 -50329 50019 49743 -18680 -54838 -64463 -140672 107064 302 
+-84829 -87436 -21858 -4578 -153711 74905 76610 141716 96219 13040 28773 -60627 58551 -187290 -258750 -237587 79302 -89711 -29938 44961 45611 138556 -4823 -79172 51731 64227 -49368 4833 43118 -49549 8188 -47481 -18496 -61184 66078 158851 -90420 -139181 -93040 -60342 15896 -50006 -2577 183077 -83104 28838 -31025 -15522 -48234 75217 29305 144955 187105 -63066 -64303 -99478 -29942 -40261 66136 11470 14124 49590 106342 156469 74704 50908 102576 -190234 -86118 102664 106650 -20219 -144025 207923 51877 66986 68440 -37753 -35523 25390 -176646 -59828 53506 14072 62042 90697 -6166 -69091 -15529 21980 -9629 80014 166817 80816 70348 -73159 -64922 157657 -122339 107948 -29057 -137663 66103 -20764 92294 187524 167535 -142168 -249537 79080 -8910 52299 -97610 -115801 -8209 -13657 -27399 -188060 135876 2898 70019 82373 22849 144317 -47983 5634 11355 -141561 -122918 -150279 -109776 60391 76580 -86833 40524 -23960 165548 39530 -23241 28892 36393 77852 218458 -177719 14266 -103926 -2900 -33887 155757 -138000 -186733 -57519 -28241 6058 108532 -83902 67017 -82255 13208 36221 26014 -45381 66220 -27246 -101239 24858 -263718 113327 -162037 101106 -197248 -72769 106557 -92370 105036 -49609 -63548 -151695 28514 -71645 21880 -103803 109859 -12807 -51069 23457 -51841 123413 20364 58791 -78400 -10786 -32348 9638 81058 76279 -82781 -215325 60972 235932 201426 34249 9006 62562 53431 109930 199393 39208 38739 -7198 81167 -165058 60885 -30807 -14851 -90042 49077 87589 37363 216205 -142316 -167302 87648 134105 -26120 102287 -54571 170705 -37693 78577 -112377 -18101 -62655 35026 -72290 -65168 58127 96857 -38107 -40556 -90137 -77541 73663 13650 
+-91091 -167663 -21328 101379 -125691 53876 120886 132862 -55772 33994 -11905 -61780 56840 -159039 -296718 -225510 135400 -144196 22921 33752 11382 152201 -17392 -83777 58259 23761 -71599 23055 82300 47410 81055 -29436 -15139 -99393 64734 19607 -81773 -124050 -82300 -44782 -116754 -100814 87141 185111 2775 5655 -141837 -26936 -151000 49690 85424 221010 129448 -32382 -12642 -131610 -153447 4072 80078 39372 16824 20540 145328 104835 70908 197 141051 -29101 -73793 -50429 144241 33732 -58063 146363 52006 121878 72247 37337 -58541 83385 -147679 -80835 157848 172 71505 -81931 81825 -76077 -26686 2611 -51485 81627 156670 98068 38409 -72123 -57442 42538 -90349 98567 -15256 -89393 76138 11018 64704 157711 152337 -157287 -155668 -6735 -78586 55558 65412 -81008 -12683 -19836 -29279 -193073 5688 -68845 144161 101866 16375 95449 -79120 22402 17522 -153588 -119893 -93131 -98033 46889 38049 -101789 66197 -53098 63357 3106 -17550 31894 33836 168102 179676 -167716 36045 -75177 121138 -218947 189144 -111876 -134181 -6375 -18168 136997 102521 -54161 24624 -79225 20499 -34597 54513 -51732 -864 6904 -161132 84446 -249778 82788 -153549 51187 -214622 -19907 12333 -71767 132685 -26486 -55453 -87922 5631 -149500 -32768 -119626 194757 105455 -70385 17335 -22673 67952 1179 67070 66804 -9455 -38209 29763 123423 73892 -17456 -203770 76737 218095 179722 4210 -7758 17521 192122 161910 199974 48027 4045 61631 -41631 -16800 90277 -15611 25217 -85602 63618 24796 -1987 228385 22628 -144989 -17847 222488 8840 80161 -20090 244084 -40909 90868 -18321 -23034 -70660 65354 -124841 -97163 -352 86810 -67376 -44434 -110061 66531 -24235 22535 
+-82329 -118981 -23028 159694 -27538 52570 -18551 109604 -214949 12784 -28008 -65218 54532 -80586 -261415 -192264 140771 -184083 107207 34162 -22876 139625 -70810 -81323 64772 -1688 -67830 30231 135738 157931 115639 29206 -29750 -121651 69353 -98537 -64473 -116523 -110826 -28140 -206839 -123123 163075 150567 19932 -3870 -187060 -26262 -184803 49032 109785 142334 27103 -43729 32183 -90785 -205977 -18045 86708 24484 25335 16864 37979 20035 54025 -109 130668 77604 -63348 -45897 154214 54305 90125 28851 72059 1251 60879 113981 -39725 144052 -95420 11223 166608 -16907 52956 -228391 67855 -74908 -9850 12152 -47096 81931 157957 74895 -53579 -71342 -8232 -68178 -71923 27847 -25391 -52088 66876 88895 25116 116526 136099 -64332 31459 -41823 -85825 52322 50317 -32334 -3675 -34684 -20877 -187216 -70918 28993 217857 100674 41872 38960 69360 -2791 12961 -139482 -37899 -21977 -50415 55399 -3912 -120844 59880 -40484 -23546 -54122 7771 58336 31386 223687 185441 -139038 62171 -27697 25575 -105412 121503 -94916 -64647 -2762 1250 39700 97369 -14129 -17554 -72185 50382 -5307 49020 -71870 7332 -13980 -163335 92244 -215386 106258 -109375 35636 -215766 69702 -91818 27794 118191 -19070 -64369 -2913 -45 -182525 -18537 -127160 195064 48330 -77813 24722 -13874 -40108 12567 61346 187736 -14792 27012 33765 136405 83021 24788 -179250 64234 175294 149415 6751 -35335 -84281 242171 125058 198456 -93855 -8337 108972 -181929 73417 59285 -15749 59264 -68199 22530 -23709 -3872 191659 142232 -113540 -41331 145941 -2278 23839 64720 179327 -41286 102106 -39381 -23495 -23937 54269 -129271 -118937 -59578 69597 -49652 -27856 -79329 88570 -109948 14484 
+-81692 -37059 -31677 98481 -2332 36562 -172142 106204 -253492 26783 6094 -47417 51219 37655 -267034 -155972 87074 -204935 35215 39805 -55990 149204 -48397 -71419 53839 -39046 66180 21348 160503 243152 122952 92604 -62365 -138089 85540 -95040 -50841 -102797 -103916 -11916 -167993 -178907 183410 87632 -14206 13323 -85323 -39350 -228624 12289 137657 -12578 -55588 -74327 61123 -19457 -178477 5762 87312 -20681 41132 16837 -98566 -602 35069 20965 17125 -3271 -74663 130541 111221 33054 201237 -36500 102881 -171505 73253 150793 -48456 173471 -72546 41693 107904 -35798 18104 -220155 20467 -89696 20682 34674 17805 85165 158455 57067 -120185 -76943 29000 -87202 -46913 -87975 28744 -55397 76374 137417 -19169 67968 119094 61433 123711 -1452 -124478 70292 -112130 23886 -4094 -76169 -18091 -156400 -11939 168538 255345 76839 16623 14096 141937 -25410 8499 -118134 -50906 63663 12495 45404 -46533 -149909 73110 -47921 -41241 -58096 13247 98650 30996 228839 143594 -46484 82054 36606 -159051 121667 14435 -83166 29658 6025 27263 -86559 110713 -67171 -44214 -73113 35458 55169 21803 -73175 89196 -101171 -150900 -7575 -135562 81832 -35521 44472 -221975 95616 -172020 62280 154296 -35046 -63813 72323 -20226 -217630 13928 -139338 184787 -124045 -93329 -13681 -39363 -76447 41536 40818 176152 -10046 44283 16100 107872 75544 23762 -154692 58142 128470 79114 6236 -64954 -158041 159218 127059 169674 -172223 -74133 142044 -143938 160679 9742 -26767 81933 -55729 32344 -51636 26932 133402 62014 -69092 -89179 15712 43020 24311 -2086 70522 -44452 108900 -121078 -8189 22008 96737 -19345 -124053 -12466 89007 -17737 -38225 -34484 -61153 -119875 -11041 
+-80888 -14150 -25279 -9341 -40711 24896 -98947 70041 -129604 -14141 22490 -42530 45609 131940 -163326 -109893 27079 -225337 19003 46729 -100856 104859 -49645 -127767 57469 -57679 85010 25787 161006 252304 90638 91381 -20953 -158759 72485 28558 -38006 -82433 -52161 -12081 -32007 -106836 166904 45700 -92451 33659 12805 -42151 -237200 -11748 131705 -95371 -127472 -57812 50140 13797 -53673 -19677 97576 -43888 57886 63525 -185128 57733 19025 57831 -10381 -178967 -67650 221278 91512 -10441 238254 30942 89780 -151120 84365 106964 -18077 178292 -16845 46164 13630 -51004 -5887 -42045 -69549 -92603 21508 18217 43407 83706 163129 4211 -129602 -74143 18467 603 -14112 -205680 5752 -86426 88384 98715 -53897 11595 101157 123927 25977 79087 -141178 99216 -222771 83944 -22464 -22539 -17213 -100151 111235 248525 255460 71237 11780 -65545 106133 -56615 -9718 -134618 -100104 114919 70904 49521 -86899 -164136 98832 -44084 -4322 -38387 13259 113095 33022 164805 86149 23998 89929 98521 -211313 182277 -100585 -30841 56560 24084 41590 -219449 115047 -10517 -57820 -62443 59897 129429 -26502 -147997 162717 -210538 -108886 -58124 -50469 67993 41101 82606 -204631 87386 -155441 -933 229241 10842 -61747 102345 -43439 -172677 12460 -120414 96610 -209797 -92566 -21190 -99903 -3017 38803 17778 10259 -3978 64284 34305 52815 80182 -82501 -149841 75682 70207 38607 -54001 -99035 -191445 35373 86778 153976 -122765 -72115 101308 -131865 168956 -53509 -54786 89534 -58979 52117 -50345 37310 53384 -97686 -44372 -91957 -61783 59590 69952 -89576 -82298 -51671 104783 -184088 -12002 41943 60497 32863 -92483 48989 60924 -7091 -62146 -43682 -112146 -17571 -15372 
+-78580 -75182 -2398 -82885 -136419 33265 71437 35323 18303 32156 33328 -44378 46903 179175 -114867 -63868 -18416 -219880 55048 51976 -134154 91739 13855 -100299 56580 -67372 61680 31621 142368 188391 25296 50476 -21788 -186544 52246 150864 -45523 -101508 -40442 -698 24662 -100905 84811 9439 -135419 29370 15228 -66823 -183938 -27652 144637 -20535 -144278 -72911 -13257 13948 55564 -52425 100412 -31790 64468 37280 -135949 128482 15853 53910 94894 -218763 -67810 97854 16772 -71893 163251 153024 58788 45551 142488 46815 27107 152730 7281 15482 -74447 -47907 55937 94838 -131405 -88865 -8535 8257 23163 81185 153823 -41555 -64871 -49845 47968 125721 -13633 -270607 -11919 -124707 63934 25708 -73532 -17707 82813 68716 -160794 154963 -159700 89473 -93014 142033 -7155 -19476 -14974 -50535 116711 175196 240634 57766 -26929 -69547 -56418 -74211 -26892 -105278 -139312 88978 100604 44692 -122334 -167251 89333 -35123 108809 18807 -9531 147896 35676 77137 1695 12151 81296 160003 -78532 5007 -31100 -33636 48447 29174 68542 -171690 116510 -36616 -65120 -62395 80845 173896 -70336 -136612 160302 -237500 -103950 6772 30294 81696 106778 111834 -190943 61431 -74877 -95090 240734 -8921 -61552 88224 -57009 -128668 20369 -118248 -8666 -107032 -108963 -4690 -120155 102939 67632 -2861 -49981 -20542 73959 76743 14556 101581 -116898 -142346 70211 6768 11009 -69437 -98975 -189041 -39678 67184 129327 -22161 -104020 34684 -54859 93896 -103588 -75357 81039 -72707 32208 -25140 31037 -25092 -194710 -29041 -102589 -95498 44177 85715 -123360 -112123 -51560 96484 -171444 14638 70979 79687 2559 -49708 62803 27656 41539 -32075 -93200 -56794 73639 -16442 
+-83340 -163479 4078 -8495 -167111 17433 123559 15246 105832 30578 8586 -53112 50948 149507 -12496 -15627 -39434 -215356 -5259 33616 -161900 63061 39262 -77003 57865 -83629 -21178 33451 95106 90743 -55525 -35040 1617 -201992 91908 184866 -59514 -79737 13677 -28740 -30692 -105272 -3014 1143 -57435 6257 -83993 -15057 -138617 -46623 70928 159479 -143440 -42345 -44522 -36136 91660 1019 105166 4127 48401 23389 -9493 148707 25053 8895 168663 -66534 -69741 -15535 -23915 -123733 13932 209880 55541 117567 114890 -21599 86887 103765 36607 -42021 -63174 -31395 44510 23783 -108461 -104972 -19613 31360 -25707 79782 145992 -84647 24791 -63024 26210 189709 -62957 -222158 -30735 -150579 71833 -26866 -70803 -57358 64186 -51024 -249718 211707 -162620 85256 79719 174046 -3367 -20065 -14603 -14095 17783 2083 186221 29727 10029 -102029 -89754 -50035 -48916 -65609 -121219 -3755 116324 59977 -150697 -175700 37561 -29478 210327 59029 -19160 164650 37168 -10868 -3530 -20955 59977 193581 92966 -180276 86595 -47293 121 23953 81043 20355 107465 -60249 -13647 -66754 115537 138984 -83845 -153021 74406 -129555 -95553 103669 95057 82216 141433 128506 -139915 8229 45831 -100372 210296 1840 -63612 26509 -75170 -70355 1739 -121595 -87388 61309 -118185 53922 -83714 129809 57843 -21773 11875 -11558 66694 52889 -13179 85047 -133497 -150133 29923 -16279 -35202 -74838 -93101 -183818 23092 23733 132667 53112 -109149 -28374 113570 45598 -115117 -47581 57601 -91188 22402 17419 -989 -80502 -141211 -47657 -90848 13319 65762 119685 -69713 -17958 -58923 82237 -76347 -7703 74780 63873 -148960 -54362 6789 -30710 25723 -39279 -107089 94405 114006 -31104 
+-92363 -128493 -8574 80162 -89518 1532 -23304 -8700 -38310 27673 -206 -62475 54287 69984 56248 32766 1828 -176340 7677 23858 -195948 38249 47919 -89721 61631 -83662 -124086 27292 71835 -21639 -134698 -24217 -11004 -204665 44914 30999 -65790 -84054 74200 -19128 -171201 -42484 -91393 42299 1295 -3998 -180513 16260 -74630 -39450 56795 212191 -84686 -51043 -121513 -112797 20700 -12009 112611 30317 20411 -5689 116736 98480 44590 -12338 161933 78844 -92143 -30565 -10129 -138863 -114437 145524 56859 35030 126417 -73410 107416 44586 56247 -46618 32440 -15220 74513 -158717 -51311 -128962 -38053 16352 -56533 76543 146930 -128721 85061 -50210 343 141820 -84013 -142526 -29627 -108051 71134 2148 -48411 -86550 45469 -150518 -148262 175920 -148080 82435 71604 202301 -7779 -22505 -22692 13437 -68828 -53920 112055 -33576 14579 -99479 3601 -59329 -67910 -100597 -49766 -86173 104280 58035 -169467 -184938 85280 -49549 255513 56280 -16810 136764 35874 -41225 -60189 -95515 34184 221416 86333 -174518 166390 -72050 -59155 31358 85006 135025 111187 -67078 3040 -78032 109198 71204 -88262 -169146 -2275 -50311 -91900 99094 140647 10925 130658 135419 -94146 -71340 114537 -26818 240799 -39788 -67227 -62497 -77045 -8440 -21606 -139159 -106442 106385 -119930 15324 -75698 121202 84126 -25851 137179 1961 16133 80136 24393 92854 -124129 -136378 36593 -40060 -55085 -141335 -100017 -153554 156190 30214 52870 -7184 -115572 -54270 156143 -100385 -103302 -31967 23514 -87148 23486 78392 -1460 -100711 21120 -80507 -51804 95881 63185 155842 39993 131704 -52254 69303 -22013 16228 103719 72212 -123147 -80103 -58881 -83255 61623 -45053 -88989 45738 50607 -37996 
+-99253 -70923 9028 202954 -2019 -5830 -157533 -26832 -215129 53246 -12079 -62541 56811 -32621 61016 61172 42942 -129634 23649 48158 -191519 25247 52390 -89495 60632 -99487 -72869 50252 19865 -80379 -190354 -675 -101770 -216299 77384 -113030 -74418 -70852 86578 -64336 -195104 -50979 -95202 90856 22952 12608 -166714 36456 7940 -45837 8964 102577 3064 -45050 -194733 -136162 -109652 -35381 119159 25841 16283 44351 140871 39594 63327 -10762 34557 16441 -91357 105845 20699 -122882 -147627 33142 85697 -176112 105175 -102476 112709 -25386 83066 -115693 117266 5516 69534 -249437 27270 -140994 -4744 27310 -37840 74374 139532 -160065 50523 -61025 -79722 19858 -125269 3405 8409 -79419 72079 89600 -10116 -99616 27010 -145815 40292 99798 -154945 61938 -80448 204206 -6282 -44521 -20365 19290 -7307 6776 18358 -74762 9225 -93807 154559 -43061 -102289 -60295 -59958 -149030 41870 66616 -177150 -171831 40828 -32811 219663 22042 -1090 115779 33113 -28241 -72124 -171286 12761 219799 -95665 39249 165026 -95749 -147448 25688 83847 60652 117186 -75467 55764 -70119 46996 -9538 -56657 -195881 4524 5942 -87013 20047 143773 -6111 80413 80122 -28301 -115987 114288 32066 256941 -43022 -62257 -122384 -78146 23290 12102 -104988 -16578 -38416 -138170 6249 -18492 49455 80256 -17109 185880 336 -48801 93114 86823 102754 4367 -103146 53190 -40471 -97153 -131397 -79804 -79583 226947 5388 273 -137000 -120951 -46999 82703 -201886 -43510 -26970 -17065 -71999 36213 140151 13071 -91848 122815 -115886 32931 164114 97931 147297 60583 216970 -53377 62063 -52216 4472 130439 74446 -56525 -110771 -22740 -124209 38801 -34573 -74328 -38510 -55951 -47340 
+-93293 -14880 -2677 127740 5146 -15407 -104894 1030 -275606 2085 13727 -50982 58706 -138324 95863 88257 79398 -83173 12849 63719 -197550 -25822 16334 -137438 63765 -57454 25643 10510 -20335 -80324 -232854 67024 -48947 -218477 69406 -133196 -97218 -56218 46364 -19560 -114883 -51776 -65100 151930 -27226 33335 4262 53257 79028 -38245 -17768 -52278 84427 -41683 -226415 -72275 -193613 -37725 122060 -12219 9029 25318 30378 -4395 74768 72161 -32358 -156463 -111070 203508 35480 -80966 -75201 -31312 88867 -161198 88429 -84063 117596 -119145 72071 -109193 180904 14104 57423 -156752 96622 -183530 7367 51503 -435 73619 138691 -187554 -18934 -60301 -91016 -79673 -112268 80095 -9744 -43442 49675 136741 32504 -88993 8662 -51653 122541 3590 -112784 66325 -222284 186054 -13494 -63530 -23775 -4989 116563 169175 -32723 -114519 21894 -112017 120989 -7732 -121184 -84802 -103894 -131470 -57389 65301 -173723 -166654 36812 -62499 105099 -14851 -19003 78684 31066 48643 -52277 -208188 2070 187383 -224033 185876 101985 -136564 -186156 54201 73917 -117929 113662 -62306 97087 -65565 62291 -4806 -14404 -202410 83952 -648 -67058 -59375 105036 5458 9946 64193 -7168 -149057 7473 27362 231397 -46194 -58558 -209899 -76899 21618 8231 -85634 38266 -185910 -132456 3292 7099 -67072 82168 -1760 79236 2035 -73732 99422 116818 102286 55208 -65209 71931 -12382 -91972 -129854 -63531 44632 197260 30298 -35996 -170533 -144083 6590 18128 -166065 19548 -23301 -57090 -54825 12703 195343 -8831 -35913 77264 -144439 31221 191067 117663 87838 -30226 203936 -44803 61943 -162207 19817 150122 94716 37722 -126387 52672 -179100 26821 -30209 -75378 -67674 -102451 -76650 
+-76338 -50290 -48287 -8529 -53123 -27245 68776 6394 -131940 23168 27066 -43628 53946 -187686 115501 88377 118106 -39928 41440 56748 -193298 -69556 -31080 -71178 62864 -39969 114982 12586 -78635 -7321 -215006 97422 -3755 -203185 86345 -11410 -89958 -62569 18478 -34372 -620 -67999 35121 189849 -78768 29876 23178 47626 120484 -30303 -36108 -86677 144824 -62822 -241090 -17433 -205864 -30880 130783 -40040 20556 18072 -117941 53135 70175 87904 29943 -214934 -109698 134174 86456 -21862 48228 32450 65801 2376 97536 -22702 121452 -136205 63634 -75165 140600 12955 -1627 24263 71032 -149080 31177 43821 31603 73095 133645 -178116 -104949 -63914 -103397 -78502 -87269 111791 10396 -55946 42368 101428 72402 -86922 -8882 76636 17421 -34639 -104006 64508 -87898 145682 -18029 -81732 -28715 -43839 129358 252350 -73104 -158807 27589 -32241 -15904 -10138 -148666 -51947 -144153 -48846 -113081 52761 -159608 -155931 44683 -48973 -315 -50223 207 24449 31339 141838 -2020 -136177 7553 150925 -149690 88205 -39596 -151763 -201366 -23722 47836 -209240 98702 -70699 122068 -72235 31746 27200 29551 -212840 167365 -85802 -35211 -32890 51788 23968 -70420 31416 57363 -144207 -113008 -62205 228704 -31529 -58583 -228059 -65476 -24049 18814 -109933 120250 -162735 -129677 6053 -14649 -66549 78795 21067 -30963 3868 -102238 96678 127611 101984 36431 -56276 65698 31064 -97857 -97769 -27110 61960 67635 64999 -68471 -80633 -114856 59704 -90581 -141968 64179 -21415 -91043 -57739 22482 235850 -747 37817 -97053 -157474 86250 54227 94416 64141 -111245 47088 -41984 69251 -200932 27988 166552 42325 19970 -113267 81042 -218078 33546 -14013 -70762 -57224 -76223 -59176 
+-79447 -140416 -11230 -89273 -159287 -23840 121369 2319 33669 48950 19033 -37394 52542 -172840 70191 84875 134768 21561 76571 33561 -183253 -119935 -54407 -65597 68398 -10803 65480 18912 -116101 93896 -181152 51933 -45048 -204075 65357 114665 -93248 -60864 -52948 -19439 28733 -106045 108451 186022 -101094 6884 -39786 54736 120478 -7288 -91728 27383 218299 -80839 -243725 45455 -101923 -26993 127827 -48290 49159 50155 -170586 130254 56128 54560 141971 -107353 -128759 -26470 120248 27955 181408 149466 68760 131550 88019 48616 47544 -177392 41050 -20509 44163 3347 -13376 91001 -11211 -156857 15752 42097 38369 72133 121223 -152935 -135620 -46054 -110271 22260 -58130 32795 -12472 -106283 54825 31534 94323 -64540 -25866 120180 -169908 -10644 -73655 68041 85006 103049 -15948 -108475 -30896 -97337 12942 177125 -91002 -156692 19884 7795 -113418 -4813 -162638 -80602 -115520 52480 -75508 64572 -135105 -146374 58498 -48285 -59488 -38670 -7353 24258 33661 219815 36320 -63763 26692 89376 46029 -165368 -86589 -110288 -141947 -9973 24038 -159538 97855 -26772 94052 -62466 18032 153776 49398 -226251 160410 -187640 -19741 64480 -38237 -37583 -132597 51966 62968 -93960 -191868 -121520 223067 -40574 -56875 -211964 -53508 -46049 -16540 -141866 185136 -4135 -137746 38733 -73087 32119 78269 48142 -54775 -12639 -96745 84036 83216 97620 -37726 -17600 76225 83049 -91400 -106932 17156 140092 -41196 79057 -90524 36517 -65680 118758 -156564 -81475 78740 -54014 -114000 -72706 26722 251691 31949 117662 -194739 -155169 173929 -51068 108773 33684 -72288 -58385 -34138 80216 -129181 4397 164156 103677 -98052 -71093 26220 -258185 -10456 -31184 -101605 27652 18051 -65231 
+-93693 -170220 2904 -25555 -182173 -36972 266 50438 99878 53115 4622 -46278 47893 -80706 -4291 48066 99261 42959 50650 14637 -140015 -116001 -43987 -97079 63780 5552 -32513 25317 -125946 210028 -108156 -42833 -24873 -193541 61379 185703 -96004 -17474 -61838 -4102 -100145 -135692 195454 146805 -68530 -4115 -166064 46239 85557 5056 -61336 183226 224740 -44271 -197837 13853 -2111 -40773 130327 -4047 52593 27528 -126159 156995 37284 5618 149330 62720 -134851 -63825 126987 50372 228381 208940 40566 54198 67310 109002 9550 -179810 6803 -6501 -57495 -13382 58895 -35986 -88019 -136311 -9674 13794 116 74918 129524 -135219 -94794 -46119 -90294 143590 -7868 -83310 22270 -158700 42740 -20186 98960 -21911 -41885 61133 -248086 61165 -31088 55401 84534 41788 -28446 -54027 -36665 -146051 -74330 24420 -78668 -221413 22502 27332 16256 -9361 -180567 -41414 -93722 112391 -41259 55781 -102391 -124429 67824 -72861 -48493 -1522 -4328 41553 36320 234605 55110 14177 51488 26011 115548 -202574 20404 -99610 -55890 12948 8508 19417 84559 -3760 35304 -88046 48515 183951 49483 -248969 81945 -204624 -21873 139440 -126499 -18763 -166451 83082 73742 -59128 -143120 -96789 259757 -8029 -62496 -168982 -38684 -183720 -1172 -95706 215133 109043 -145643 18267 -90737 133375 83278 65091 86503 -6677 -56044 111143 59257 111682 -117935 -12356 54862 130480 -52509 -50130 16699 161542 -21924 109229 -108336 44652 -52877 126006 -162645 83031 48287 -73471 -122034 -86829 40839 238556 -9943 177861 -143416 -130485 129346 -125847 123924 87803 -29430 -97967 -26594 93902 -47270 47140 156901 98995 -155766 -47885 -37381 -258206 -53044 -5242 -107054 61884 96059 -69152 
+-94911 -86070 -39194 123670 -80965 8913 -144063 64603 -55680 63345 634 -55132 46340 29017 -79078 13986 42147 68961 45656 15542 -123869 -139583 -48119 -92647 67231 47295 -100746 -470 -132498 248908 -40612 -70004 -17638 -179197 43076 111876 -88019 -35844 -123084 -15919 -196470 -143628 168146 70096 13245 11928 -181696 65110 35481 33795 -27263 213237 172279 -19488 -119417 -49059 72323 -17839 124690 30034 50484 25489 3856 80453 20098 -1281 72561 53534 -125319 86901 137325 28793 179128 152567 69904 -156063 36077 138936 -16592 -158797 -22970 53673 -76047 -38180 52909 -201418 -150220 -175558 -18979 22031 -43297 78862 124773 -104844 -17117 -61586 -75597 189532 -7702 -208490 -27066 -147835 40335 -8105 80246 30673 -56569 -66075 -140559 138470 27966 54668 -90039 -17752 -29324 -101163 -36128 -177537 -23707 -61282 -15996 -218078 -1488 53475 134357 -13160 -188363 -70406 -22574 118569 27875 46908 -63843 -103579 58092 -101960 47003 36574 -25343 67159 37084 185012 125024 31795 75985 -35443 -13745 -6533 142575 -64943 4422 -30990 -18574 134831 96651 -1565 24595 -88824 7915 146009 20212 -248207 3021 -174833 684 52377 -197724 -30410 -159007 118831 79822 33067 -61833 6437 256807 16499 -60958 -65479 -26448 -152593 14945 -113842 149022 25151 -139795 34053 -131774 113165 101467 67907 206495 -4238 -20033 112600 -150 107906 -152768 -5188 94265 188307 3594 -8791 63217 145626 105902 164259 -97299 -76680 -28350 75762 -68634 125476 1508 -66388 -113718 -89479 64255 204200 11300 222105 29015 -89087 136022 -73820 133964 92238 51253 -4698 -28496 104373 -48572 37769 165279 78186 -100881 -62818 -36477 -257600 -53740 -50330 -88709 -63202 97883 -70143 
+-94233 -4008 -7371 186696 -18207 4042 -131719 91200 -229690 44435 478 -67963 47382 125648 -116603 -48878 -8174 63009 34562 33094 -77195 -147617 6512 -84177 64009 68384 -50657 -19780 -66478 240827 42247 9270 -56551 -161005 73906 -40693 -70919 -19863 -95848 -62240 -168290 -152988 115982 28193 3963 33006 -58249 32994 -80924 43644 26453 54680 86069 -38658 -57494 -97011 56373 -34912 132671 30434 31798 38807 138704 43015 15232 15202 -8122 -116182 -128381 204054 90242 -8788 56683 27014 72520 -192537 51281 106705 -30505 -97958 -62072 39641 -20012 -52189 67813 -236761 -119552 -158004 -39910 42831 -55049 80444 110433 -62176 65899 -35908 -52187 123654 -8236 -271013 -3680 -95794 36688 83490 44575 77488 -70102 -157972 47905 193419 29081 56067 -217951 -68214 -5664 -84663 -40482 -198104 113837 -21405 68848 -289155 23431 116895 106631 -51139 -191775 -74328 -88482 59001 78883 45492 -21835 -95430 64204 -46222 163468 56897 -1256 91790 35271 106695 193873 -9962 89038 -81353 -187989 160280 172947 -64843 44727 -67692 -36339 42231 69246 -80586 -33277 -79278 28423 79738 -25211 -222850 -3365 -71998 11565 -56181 -247728 -64521 -93582 130059 46935 85370 100785 50801 248391 21472 -54035 12703 -7395 -168666 8070 -92704 63862 -124807 -149863 -8561 -126923 55559 59467 59941 154535 1932 13179 124967 -4221 102124 -71748 16458 78274 218707 70842 21787 71177 80393 223624 147517 -113558 -176404 -14960 40669 15701 160106 -66959 -67194 -90523 -72367 23159 154593 42104 228649 147776 -50077 125889 60516 156799 148596 39672 147000 -17172 108092 -89217 34354 122132 69966 7703 -100521 46580 -266441 -32075 -1285 -98540 -151554 3169 -87140 
+-80054 -10330 -10718 105032 -14401 32933 60515 99785 -287594 62281 11657 -60586 52205 178550 -201125 -86984 -20864 52577 26166 56536 -35177 -160474 11055 -70546 67618 82592 55756 -23523 -42049 159691 86693 97479 -20555 -136433 79810 -117537 -59616 4160 -103898 -53262 -41852 -103338 50250 -5184 -23776 30361 26231 -33945 -149940 73767 77790 -68183 -765 -45696 6127 -119355 -44027 -33614 125438 -2614 22873 46318 128384 15198 24262 78788 24002 -229987 -115093 120917 96891 -71957 -90956 -32307 95749 -53020 77364 47624 -56808 -21780 -87654 14511 86943 -46930 76649 -68109 -45193 -154811 -32523 39280 -4326 82044 112986 -8607 68627 -26830 -1453 -1733 -62547 -241159 -13424 -51816 36356 134383 2318 105826 -81868 -144768 122433 201535 40874 60645 -101111 -107323 5121 -82039 -33840 -184631 135345 144450 163920 -219983 -17697 174492 8190 -36733 -190055 -40847 -135562 -50387 144821 57457 20824 -62235 53425 -69165 249482 50890 13598 111694 32509 6843 209970 -76262 86884 -106859 -198561 123910 208585 -46093 63212 -69600 -44589 -110484 89326 -61286 -55766 -67436 77904 -2033 -67326 -232048 76346 -8666 11326 -49857 -248265 -966 -18959 122751 18851 97248 120448 13258 262302 4534 -56191 61729 8814 -154354 -5926 -117153 -66760 -204335 -142639 -1552 -70921 -27228 59326 42312 4751 3534 78973 109055 61255 86291 -51204 38778 101816 238059 92974 24578 94183 31586 214360 136047 -69913 -124523 41506 -21777 99304 142833 -115750 -29491 -56571 -55993 27478 90796 19039 176698 78448 -32499 60940 136572 114576 141342 -40731 233775 -11768 102698 -175459 21208 132794 109906 35899 -122425 76580 -251573 -235 -24411 -29219 -95647 -86476 -63836 
+-96110 -144546 10467 -8423 -91933 54727 127500 135470 -163817 33003 17462 -51171 55813 153115 -246417 -140570 -22898 32114 17047 42142 12783 -166698 48788 -66731 64939 99900 74452 1729 -13471 41788 133207 67555 4447 -107671 77251 -91289 -50537 -15920 -50765 -60002 24130 -56162 -34148 2837 -79191 7537 6732 -6390 -199656 86923 78894 -56193 -84071 -86269 53124 -71630 -158448 -22631 123927 -34232 15693 13187 19118 54922 43090 77676 117941 -142320 -119398 -53064 50321 -127044 -146612 34287 82382 124291 80056 -26254 -24917 40945 -141781 -27126 164889 -23683 67400 78746 52201 -130438 -5349 30088 31577 83138 95784 33365 15025 -35316 15543 -88001 -97122 -145884 32931 -58196 48647 108636 -38034 153197 -92402 -29251 9556 127416 72602 72035 103437 -129827 -5295 -144121 -45484 -155452 21110 222447 225395 -206156 2843 219838 -83586 -67860 -183127 -77851 -166333 -119880 103773 63636 61217 -41778 37381 -60065 223601 24175 11145 160433 30927 -42371 214827 -144481 69300 -114609 -25433 -123128 46891 -79409 18103 -61177 -36387 -224115 83972 -76208 -57618 -79331 97376 -23316 -92187 -239428 161321 9999 -36073 33358 -211774 -39125 67889 72566 -38982 69305 60670 -89750 232694 -26835 -63267 108162 40650 -137482 -85 -107742 -73231 -88122 -138327 5843 -22811 -77371 85773 15144 -50331 1317 104779 92703 93116 88352 13014 69052 89596 225547 122581 -5303 77058 -72839 110055 95983 -55470 12044 75382 -53234 173491 25502 -114373 448 -16323 -59885 58534 33728 21011 127132 -89258 -43376 -26650 176790 130951 152256 -91114 239062 -11899 92300 -147600 20484 87626 54694 -10141 -126151 33876 -189968 11751 -32379 -70545 31828 -88161 -60857 
+-79877 -176104 -30321 -87906 -167587 62792 23472 136895 27029 11694 62 -42932 59563 79156 -265838 -195193 32525 -17997 15235 32904 41910 -182839 44041 -110381 71113 92902 57193 -29529 29255 -55485 109818 65194 -15459 -89247 77874 121531 -52476 7229 -24625 -17674 -38985 -37184 -89099 62534 -119619 -4167 -128849 1284 -241492 127568 140512 77395 -135954 -50354 44181 -8449 -216477 -45002 121320 -47328 15586 33795 -137457 132909 60755 -15260 160880 38847 -105865 -61029 -10072 -140558 -110900 148786 63558 90525 112390 -69151 -27719 107855 -172631 -78307 154175 -8805 30502 59012 104043 -98060 21149 4182 28098 80506 95002 46888 -76543 -46814 6518 -67081 -110866 -11195 -15264 -83887 47089 26092 -66850 201200 -101250 87305 -176229 29840 76104 69170 65084 -119027 -14159 -96687 -39779 -106669 -72656 198763 246313 -144927 23485 266746 -24609 -67179 -171105 -121944 -114062 -156320 66867 56004 96062 -45514 47398 -70368 131813 -34118 -16719 148627 31782 -39309 212724 -159836 44768 -97969 113848 -208943 -73476 -104126 -77241 -114810 -27609 -141944 71440 -78687 -45502 -69172 82857 30187 -84515 -234821 166325 -65984 -80353 122123 -159185 18886 131484 45962 -68836 32585 -43729 -106013 213350 -51037 -57439 73241 56570 -70220 -20175 -122212 -92375 54237 -137772 15075 -1616 -15666 89733 -7386 13282 1984 63792 107115 135608 82574 30728 76582 87659 193363 195715 614 72986 -106706 -15233 91662 -2530 45125 114022 -48518 91626 -81427 -91436 -15374 23905 -73709 4763 -23139 21591 47924 -201840 -59050 -75131 109093 138403 97561 -72035 107474 -2627 79370 -54092 27896 80571 78156 -134007 -91497 -54485 -183574 20166 -15233 -96776 79593 -30631 -63811 
+-85213 -79442 -29473 -8555 -175561 53751 -135706 135727 95689 57480 3649 -38380 55542 -33969 -284705 -213794 56222 -67271 11280 24565 73187 -163195 23740 -96876 64108 95476 -38987 -29445 84009 -90434 71629 -15489 -23388 -64764 56677 179667 -47547 -14341 40794 -22012 -160897 -49698 -73722 120935 -62387 11223 -196001 -20812 -230793 142994 163071 198068 -165221 -72973 46215 44094 -148253 -19362 120485 -14596 25450 -6079 -187860 138697 71891 -35002 80163 66070 -98494 73644 -3126 -125494 12147 209204 56856 -111819 115087 -95031 28133 163342 -205594 -91569 80661 12278 34301 -117453 40934 -74052 9741 17237 -22567 83434 90534 86027 -131397 -33851 44711 44900 -133973 84047 -9007 -133364 48135 -31068 -75519 212794 -107847 125839 -247326 -25874 68171 74335 -89047 -98262 -7226 -102109 -49731 -54727 -27289 53418 288022 -157312 -9473 273879 117048 -45899 -146715 -88516 -31623 -87065 -17129 68349 123321 -43690 41498 -70755 51429 -63907 -18148 154842 34374 24011 147449 -179098 20481 -57003 58674 -66658 -81613 -134616 -151256 -83147 -2336 6986 83748 -57166 -4875 -70434 110490 136862 -56162 -247882 89274 -141820 -18409 80582 -69583 4773 146232 28470 -120637 -31316 -159563 -73092 221909 -61427 -62973 6392 67304 -4043 -5629 -116562 -13724 90870 -123987 56612 -21062 90995 66994 -24412 180730 -7985 24753 61273 142837 78045 -13815 35439 58992 148735 220243 -4474 91699 -163259 -27481 45968 23744 -9041 131983 22685 -11808 -201319 -22350 -44463 57979 -87293 22079 -47309 42641 -31483 -135658 -96934 -71754 -9082 165967 55315 3834 -55882 -347 68190 -779 6130 46832 80245 -118783 -60976 -32409 -143961 64070 -58413 -110263 -16260 75554 -63021 
+-83951 -33919 -16026 103263 -47834 45949 -127627 121037 -11758 42476 -12878 -42680 52016 -131441 -260075 -227358 119704 -114355 39113 9268 92027 -145896 -6898 -129716 69043 81699 -102798 -40798 116746 -50283 6348 -65765 -64032 -32464 69116 145836 -64754 15684 86752 -37584 -204551 -54199 -25646 177012 29221 32654 -131643 -63742 -208515 153136 137692 186845 -126267 -61788 -4266 40332 -39862 -37213 115429 20064 43915 37970 -118935 92916 71371 14893 27511 -83398 -86736 205180 25170 -76824 139983 151319 66922 -168190 83042 -80395 36059 178102 -222415 -107364 -25390 14369 60617 -247225 -53830 -84283 15054 290 -61719 82132 85225 85209 -107869 -6221 -9679 159093 -112048 117343 -14437 -152217 52334 -8407 -61372 210156 -112834 48247 -131314 -17946 56847 76829 -229971 -58534 -14037 -123209 -39843 -11615 119627 -51891 252634 -78512 6723 276225 141998 -46073 -128874 -123143 -46971 11580 -64624 58023 140992 11743 80190 -53912 -53848 -20202 -37283 130636 36725 121529 109828 -63788 5146 -6068 -134337 124546 44265 -150543 -199129 -153538 21723 147370 59407 -50690 39511 -59236 70153 176284 -14141 -242933 5640 -216945 -53497 -8338 19128 -852 129680 45340 -155662 -91819 -169829 21587 184033 -43675 -68917 -81528 87389 -39707 2887 -107155 85403 -60384 -108177 4393 -81185 128361 55302 -25676 176119 -14855 -36153 31071 83526 82722 -127590 84168 81893 90038 247757 -44984 54064 -213812 81007 -84 84289 -144028 146132 64092 -152023 -200004 33027 -47245 80861 -88289 21938 -50916 42444 -86108 11152 -135459 -107526 -98197 131819 60798 46883 -115618 1311 61477 -95305 2239 13213 105153 4415 -53725 43918 -84428 50018 -5381 -67957 -122613 114190 -27819 
+-78706 -21923 -27524 190565 -34958 69053 35615 109993 -206567 36930 -1840 -56461 49314 -187202 -221896 -237129 134424 -159445 54854 32890 95355 -122042 -39182 -74514 69526 57359 -38912 -38341 158496 37305 -74275 -16454 -47163 -5353 75744 3777 -76108 16598 48062 -14901 -121386 -79566 58975 194659 21388 30813 6906 -41189 -127540 133583 113049 24678 -55496 -39565 -74992 -33938 65168 -34496 111313 26387 58131 46535 26288 41286 56440 60165 -14151 -219172 -73938 157148 63127 -18463 221277 25787 70137 -63143 106535 -10412 85356 179337 -227080 -68185 -71826 15056 16273 -179774 -113606 -78555 -20937 19702 -62145 80549 82562 53298 -43900 -27418 -26285 185720 -74145 70476 30419 -127916 56150 73874 -29598 207521 -115706 -81448 55994 36997 45649 90332 -94669 -4449 -19637 -90695 -47593 13648 129076 -5445 185198 -29443 15471 289725 40090 -1726 -105218 -136906 -124433 69907 -120092 61227 148304 -1129 77432 -70993 -50894 17701 -24392 90081 36776 201110 71227 -356 3303 51823 -223424 148436 135843 -126144 -184419 -140855 52785 74590 86075 -24113 73089 -73055 67239 175607 21930 -220353 -5142 -204549 -57760 -67046 84426 201 57208 74835 -189143 -126708 -78757 68168 195699 -46123 -57908 -152586 84660 21413 28670 -124217 140391 -192059 -110941 -1038 -91951 106270 65369 -17212 57045 -10508 -34475 10788 29885 68120 -132234 90163 86667 33868 268899 -57374 46053 -166008 204403 6285 117124 -161275 116145 126601 -172396 -188105 76905 -45876 88994 -71424 17806 -28361 16706 -99129 135744 -155104 -83877 -92621 96992 110030 -5505 -70178 -4256 63209 -125563 8883 -30670 96639 42630 -73790 77398 -30178 67501 -44310 -72047 -84585 67032 -42223 
+-100946 -107052 -45253 118131 22953 44164 133585 97614 -266922 51224 46467 -57775 47398 -171533 -185945 -214244 102514 -204343 57621 54677 89710 -96544 -56188 -72285 73218 43906 79931 -46059 160751 142339 -146648 18069 -23950 11124 58866 -129471 -86750 18547 51870 -31762 8941 -120224 131265 173367 -22857 8207 41544 -37745 -36221 120465 77883 -105741 26215 -63394 -129713 -91473 72845 -48096 101622 6478 54818 54488 141729 -6910 37230 78186 86166 -173251 -74786 -35308 65334 29588 221838 -33633 86156 133801 92139 73407 104583 144635 -221250 -6005 -46408 3561 80578 6075 -132728 -70928 -34244 -28133 1715 77821 73774 26503 46725 -18372 -31934 103663 -40398 -63479 18869 -79924 64012 132164 12809 184506 -116865 -165749 119371 127072 4024 83673 101210 50757 -23108 -93466 -42249 19714 25083 108419 135640 -10353 16683 290498 -87034 305 -81704 -161049 -137797 95107 -91089 63867 143979 -1336 42768 -29641 -17420 43091 3255 30610 34648 234913 -7246 49586 17265 116237 -100841 -29352 176212 -135256 -145992 -168488 68218 -101668 74173 -25737 89016 -61847 32218 95785 51190 -216372 74477 -97544 -81430 -13804 137821 24350 -11011 117488 -211712 -145213 10756 -31315 189681 11696 -61494 -190161 98191 -4711 788 -113110 232185 -161888 -106594 -31644 -115017 23927 43196 -405 -54616 -16409 -49806 63885 1318 48138 -130963 83605 51485 -6792 258305 -109149 3849 -161573 215931 22585 176993 -80837 120423 117943 -136500 -62757 79795 -46074 81538 -56448 579 15441 47556 -84582 78944 -156253 -75536 6738 113293 102913 -72494 115482 -2487 72049 -185025 -14754 -29159 87058 -36955 -112871 33941 43665 34184 -52973 -38301 14285 -20311 -10499 
+-98249 -164273 -26943 13031 -60246 35265 16002 76794 -149450 42552 51742 -57630 47243 -89566 -105409 -189408 44802 -222199 56766 34027 82491 -62656 -40920 -82428 71310 9045 117178 -32099 132293 231704 -211278 52974 11445 37484 73118 -100530 -95061 26716 -6182 -47117 26373 -134859 178355 114959 -108018 -4239 -69275 -18219 12875 107279 52748 -29025 108592 -20224 -208598 -133235 2536 -76737 99297 -17959 46532 44192 119510 76386 21540 40998 156701 1401 -68104 -51603 122712 50989 98279 27283 88334 104435 108090 116785 116712 102106 -207120 35586 48704 -23064 54517 94580 -86994 -54515 -37204 -6733 43768 75063 53721 -4767 71706 -13779 -78660 -22212 -24090 -184794 -23254 -50264 78942 114936 55586 148134 -115594 -133285 676 191980 -84048 71605 101347 117121 -4985 -75892 -39726 -1858 -63877 226924 48070 19870 4383 292682 -14683 26600 -55513 -100925 -119264 92419 -11204 56660 128570 14801 45586 -26680 115859 59836 20008 25828 31932 210539 -46893 -1982 41332 169200 85615 -180615 114164 -76613 -59103 -170645 86511 -227360 87716 -59376 101763 -89510 29618 20669 49165 -188749 158843 -29975 -60500 99464 144566 25431 -86407 129744 -215510 -105815 116013 -104697 178862 16173 -57843 -212661 90705 -68031 -700 -102660 224545 -9643 -97087 -24296 -99843 -52408 54683 25536 -9359 -8659 -84916 -199 13561 61986 -75563 98137 50951 -35604 230043 -109246 -31625 -85893 130061 50398 191353 23021 131101 81947 -58510 44923 36935 -77466 59126 -58609 34160 72160 9912 -34588 -83130 -141164 -19671 145764 126705 141030 -86325 229391 -3477 84485 -91538 -4510 -80440 67005 -61474 -120062 -44143 70305 31717 -35959 -48350 93393 -103134 -3751 
+-106428 -111529 -9819 -81708 -144221 40545 -148189 55280 71233 50397 21227 -45439 49853 31688 -40137 -135510 -3854 -234953 74460 47897 66187 -19688 -25543 -105167 70544 -20454 32796 -35421 124570 258715 -232673 91773 -41732 60214 93178 47699 -98410 62495 -33751 -26622 -95702 -157545 165853 67940 -108828 10536 -176847 3586 96709 116925 -14414 103409 198657 -73764 -237161 -82899 -123687 -32011 85758 -48724 23357 28739 8282 120561 15512 -7440 142075 79750 -70931 107091 140411 33767 -55538 146813 83531 -67736 67147 123139 136259 18523 -195337 55336 142712 -37789 57487 -17885 11604 -47429 16630 1564 32360 74884 57669 -41350 40493 -2951 -102577 -92698 -25125 -255063 -24978 -65648 86587 38007 86171 111320 -112786 -15514 -184507 189763 -74675 70331 -88385 165900 -19594 -104935 -32112 -36048 -25995 205094 -40225 69292 23316 228812 108664 20061 -33943 -121896 -58021 -27439 60208 59625 103408 -1040 39388 -42138 208320 41795 -10652 48874 30853 125101 -75412 -82306 66116 207717 101537 -124005 28913 -49944 11776 -166515 95258 -178126 90736 -80285 66758 -85511 7460 3061 21477 -194753 167146 4792 -81737 66817 106119 69201 -152463 118813 -209163 -75278 128961 -115386 159710 -2070 -58740 -200330 94264 -118768 16882 -153476 129475 117054 -79006 36314 -69097 -51408 55774 46756 148727 -9582 -61925 28243 52840 54032 -2431 74333 57474 -47316 194039 -99695 -42023 -1102 -5326 69841 223623 33483 97211 38486 56958 117746 -20030 -46589 25281 -71026 1223 136257 19096 35514 -205868 -104562 13458 212886 93532 122775 -44647 240574 -12808 97358 -43426 -31133 -89050 102706 -121245 -110314 -38285 61473 -5223 -45297 -77838 11052 -85633 15891 
+-80682 -19921 -39129 -34517 -166704 13371 -129829 28519 98961 28232 -27051 -39850 54534 125691 14190 -86065 -27104 -228962 19744 22698 41235 20063 1531 -120315 76723 -53540 -50639 -13365 100248 205558 -195342 -2419 -51504 73074 53882 184456 -87631 25253 -139281 -46020 -188494 -101050 107503 3640 -53895 32249 -173262 11151 121014 95828 -46206 187372 223095 -30284 -266866 -32737 -209923 -65846 83577 -25039 8601 17382 -138494 151671 22354 6581 41143 -42184 -72013 213944 142676 -8623 -132231 219068 61239 -170714 37947 106130 100482 -53958 -147905 19624 173832 -44632 59865 -200927 83249 -23651 8960 12632 -23509 73489 35400 -97353 -45655 843 -77151 -52303 -49609 -256852 -6216 -109904 80937 -29327 99032 66700 -107460 90071 -245515 139362 -112757 51608 -232134 195649 -29442 -130886 -30889 -90963 107974 82256 -76576 72894 8298 203907 150965 -20413 -12980 -120396 -58196 -94948 116437 52951 70389 -8100 57950 -34526 270872 -12751 4039 41801 32300 29287 -81026 -164991 85292 221377 -60120 72105 -88691 -51411 53008 -207232 76448 21377 85724 -82629 17221 -67791 34161 13133 -20716 -180782 98913 -57036 -145312 -11375 53107 66992 -165836 80639 -203233 -23628 20918 -34934 120733 -4350 -51267 -136244 86244 -158190 36040 -114601 5206 32116 -70843 26653 7652 -11184 26898 63983 187621 -2954 -58311 22852 106402 51844 60649 95612 73477 -24990 184613 -124879 -62261 48940 -30898 93096 211876 -75002 65449 -30491 112855 185119 -87207 -48565 -15060 -88303 28217 192374 30314 121869 -147697 -72616 75260 168808 125313 137734 32459 104868 -11138 105701 -29578 9549 -87024 67042 -64558 -69346 21202 74081 -37962 -57222 -105927 -121613 -443 57480 
+-68161 -20955 3331 124435 -69002 -5177 28195 -12093 -23887 32770 -494 -38953 57026 172051 103344 -26683 -29705 -190963 -3939 27181 -2849 39875 37161 -88030 71387 -62745 -60121 -25476 9427 107490 -162772 -61932 -23511 84143 69879 186740 -82377 53916 -112762 -34381 -163523 -74710 22938 6905 -3096 31250 -28784 44983 87919 73079 -41772 149349 210047 -54820 -229458 53950 -196733 -50521 77516 16591 19973 67056 -171558 91037 39215 24000 -33219 -210224 -65451 144720 100285 -69146 -149690 144632 30583 -85287 103098 39987 27983 -113239 -121555 16749 119156 -51786 21851 -240102 90572 -49290 33305 -11935 -60635 73207 38879 -131373 -123841 -1167 -128777 67347 -59272 -153496 -12937 -140243 78936 -12437 91634 18637 -100726 121041 -121162 45751 -171068 46656 -114188 207926 -11298 -78871 -31765 -142271 138561 -63082 -96460 109414 18873 135061 37897 -46402 380 -109825 -89585 -153740 136231 57443 31005 -17787 71455 -35506 221468 -29160 -5959 74879 35053 -36362 -46629 -169415 88702 211479 -213366 190999 -38317 -43680 58314 -215509 67431 82584 86784 -99413 -33353 -73890 50179 86366 -63841 -165374 12871 -116654 -134457 -77816 -22567 71318 -152146 41086 -143608 51350 -69514 52157 126900 -7585 -50179 -57335 73384 -153369 41593 -136439 -56755 -140787 -63653 4653 282 95357 -21 68152 120673 3672 -7581 -13758 134480 28297 -47 90342 30575 10047 131533 -134838 -85241 118350 43034 145383 211484 -174396 37380 -71511 113659 123060 -117580 -21650 -55241 -89613 39840 233019 25166 185000 10784 -41827 141345 33909 110266 98415 51848 -57353 -12823 106382 -129278 12290 -114243 101773 7849 -49705 61463 95043 -39800 -33690 -71594 -133292 88709 18401 
+-87453 -106399 -30615 182179 8137 18225 117532 -16872 -208359 32062 -1324 -52999 58024 155608 125759 25531 38240 -153403 3823 72098 -44603 79313 40090 -68441 74988 -68578 -27008 -12129 -42325 -14704 -88699 -69611 -86762 87542 61234 59392 -74465 -5594 -103127 -41998 -54923 -78780 -60576 20793 2809 8880 25803 65108 76174 49411 -52502 -11274 154274 -36462 -180271 24182 -88947 -29029 70950 34330 20323 53905 -104101 42924 58576 63787 44557 -199136 -81363 -13098 57839 -124602 -19930 33668 76454 53212 40655 -41308 1275 -168402 -77322 -19907 12021 -27586 21004 -105166 22694 -43365 -14389 7604 -44846 74582 39977 -168562 -128415 3817 -89286 171616 -104702 -23071 13877 -143508 74861 66349 63253 -26265 -92183 30704 62057 -25667 -164592 55400 90155 196340 -19822 -83696 -26766 -180930 20104 -21343 -63696 108825 19296 101786 -61998 -35478 14429 -108484 -162867 -93202 116017 55510 -11172 -19759 50169 -49874 104175 -44186 16406 119385 36903 -48787 -4346 -147752 78563 175579 -162781 37641 38068 -92362 4733 -225146 51636 68236 101254 -61553 -28398 -83524 39736 140077 -87875 -135589 -9253 -216716 -127212 -4064 -114032 107302 -79009 32509 -110918 105673 -169187 35354 66159 -33191 -51660 17004 44231 -165864 22244 -128117 -76095 -209596 -56497 697 -23071 117361 21347 58654 -54015 -13976 51807 -15551 118538 15436 -74831 47325 59603 61037 83852 -83814 -95873 123934 174374 105401 189450 -128398 22848 -34553 41009 29127 -112442 -31511 -89904 -73007 29571 247384 11257 219554 134594 -28915 129697 -56915 111955 59497 -29400 -127423 -19905 100703 -194275 -15105 -96407 71928 7898 -58636 44358 79782 -41197 -32386 -46521 48573 96369 61837 
+-79586 -152948 -9548 128514 -6806 10311 30132 -31394 -279355 13979 6116 -60631 54612 80461 125848 67991 84044 -106462 -8104 48268 -74496 95273 36202 -130510 77535 -75886 50422 1405 -77591 -88044 -12076 18133 -12455 103755 69047 -91497 -58282 34401 -79365 -5296 35686 -42383 -85609 79301 -32422 -4277 -37778 97998 -20813 27709 -69898 -62938 67503 -39834 -99458 -23247 37899 -58350 61099 18798 41228 18780 44371 -4390 72245 55371 128370 -35881 -90235 -48584 32744 -139302 114654 -23216 87811 113554 69767 -70265 -14116 -192478 -41474 -52211 -67198 -1041 58101 61666 -79068 -61045 -31468 2113 21850 75030 32415 -187412 -73818 22627 -50225 178588 -99610 65900 -1770 -106259 78359 140332 23358 -66213 -81684 -93093 118539 -24180 -160909 71374 64807 172329 -13120 -109367 -25409 -201565 -70596 99592 -35159 27297 7196 33720 -92226 -30733 16026 -58224 -136833 -33753 42392 49599 -53750 -32203 40990 -13638 2760 -14699 25070 155414 36475 5701 59732 -87762 55198 128649 26709 -143363 193470 -115746 -55768 -231428 26012 -130307 94973 -40859 -61330 -83297 76462 190420 -90638 -126189 61145 -229840 -123921 76905 -192854 100299 -3451 42619 -79516 95945 -156275 -45362 60354 -41550 -48126 79534 39994 -153819 -28500 -129321 -81014 -90671 -32218 -47847 -76583 93309 15763 38141 -50046 -3161 78476 -1135 90291 17870 -142138 27107 45111 111185 58883 -72058 -90056 147178 236822 148060 147189 1276 -37828 6228 -93873 -42728 -75041 -55474 -113333 -59052 40938 239574 17141 227986 79145 -56090 127436 -85508 90368 77660 -86455 -55043 -27727 89641 -147313 -13443 -85640 62099 -41017 -86252 -29905 46666 -26480 -63306 -43322 105584 47525 48577 
+-98126 -128050 -18116 -5130 -97360 -22485 -124480 -4120 -125881 26453 43236 -67201 52216 -18445 63358 91843 117359 -57475 -1227 35303 -110261 134761 -673 -106879 73027 -81255 82287 7416 -113272 -89176 45465 69506 -1947 115068 77919 -144213 -54154 40282 -19840 36353 -26191 -42804 -68475 137328 -99411 9825 -157657 19180 -109498 -1741 8800 -29257 -24125 -55501 -18275 -112744 77930 -65640 60825 -25378 51705 14251 132967 57596 71946 -1374 142478 79799 -92470 81251 -192 -122070 225717 27243 73657 -72021 129748 -84265 -36618 -171567 -3541 -62632 -65579 24539 89842 78996 -135207 -58072 -33001 -21199 23176 79039 35955 -171161 20440 15449 12914 82390 -110204 105216 -19511 -49787 54187 131170 -20027 -90714 -69649 -166103 -8568 23763 -157227 68471 -91970 121445 -29738 -78817 -19174 -194638 -26252 226711 67245 51950 41008 3564 59680 -45036 13976 -76777 -66705 70950 -18591 65591 -93532 -61941 70427 -54054 -65279 23352 -28299 160134 33888 95095 121152 49 29719 74759 117265 -154903 168973 -127279 -138703 -196693 3584 -241670 105610 -29447 -55056 -75044 121392 130730 -69765 -109759 157187 -139660 -133441 102161 -227581 88985 72786 86637 -20354 89210 -71379 -139269 49824 -18961 -49707 96512 12782 -118724 -17518 -80993 1771 72069 -24548 48442 -105340 -32733 -3569 13051 25056 -4092 76864 43628 27711 8469 -123524 5258 44817 167694 -14403 -29711 -75899 125073 164637 141607 129055 45515 -48230 61973 -156314 -150722 -10001 -57225 -121883 -58873 13571 205538 8207 183278 -96908 -83078 111571 -3000 61688 65331 -80446 122227 -29973 76420 -57717 3319 -83743 75954 -139512 -111373 -42844 13959 -27459 -51639 -82435 33902 -65228 63237 
+-58331 -41182 -13278 -90591 -155920 -44471 -152250 10983 54442 49973 7400 -57116 49481 -123450 42896 94223 139620 -14082 45857 22860 -158900 131263 -38624 -101798 82401 -69300 65811 18041 -147921 -24334 105573 83585 -36465 90579 75110 -27602 -50207 15570 6105 -18361 -155072 -51148 9060 184870 -111985 31900 -211271 47068 -139607 -21417 51270 146364 -111819 -57506 5003 -124804 48878 -62945 55381 -53351 53592 8163 110887 124009 58404 -19146 78820 -12562 -105879 234531 -4150 -72118 251593 156960 73120 -175101 91209 -56738 -54455 -140378 29077 -122185 7730 19729 68870 -91473 -121256 -85220 -35029 -11419 39089 80556 17708 -177076 69973 26381 18165 -40962 -77241 58972 -9278 -53573 64056 42095 -55448 -95209 -55999 -122889 -191730 108298 -138821 72567 -226273 69134 -9091 -122432 -15541 -156065 99437 224451 140622 34674 26009 -51649 152474 -27341 5933 -68344 -47832 124046 -97835 58605 -128429 -79253 52014 -36116 -46766 49704 8728 169635 31480 188910 164211 43272 9194 -844 14005 32792 100931 -128254 -178783 -201077 -24184 -157757 98766 -37539 -30355 -45266 119568 38341 -19607 -90902 167963 -43676 -106809 27141 -248197 91682 129247 114609 25046 16559 64903 -94413 -15870 -49134 -57186 68033 -7945 -56660 13328 -107832 87649 84874 -25524 35502 -128612 -70533 2845 -10872 179286 5235 72564 36491 15730 -390 -63216 4771 37632 211594 -36297 -32196 -68295 71548 28976 41478 85082 -20808 -75464 116547 -174505 -183492 46668 -86112 -114532 -71139 51856 155985 27125 111228 -194283 -124869 107135 128292 79005 76473 -34786 224587 -34571 65759 -15468 12888 -59163 87823 -102264 -118280 2328 -29134 370 -34660 -101978 -115406 -109679 59349 
+-69144 -6998 -14597 -30907 -146430 -19501 1323 25207 115282 47757 -12087 -47162 46545 -179612 -57786 78556 78337 25502 17554 22343 -162924 140307 -37562 -117496 79040 -47049 -51172 13119 -105584 73659 124719 59362 -42426 95188 76752 129271 -49203 -5524 46268 -36360 -209993 -84837 71817 195438 -67732 31710 -82435 10374 -205785 -48622 55500 211455 -148453 -53429 41840 -89851 -65031 -36472 47339 -38920 41226 -5303 -18747 138273 38967 33662 -27139 -179578 -120017 170285 38752 -18640 130403 213939 47927 -135656 121624 -9974 -48399 -78267 54777 -55703 119506 10112 59239 -249112 -40954 -94658 5582 -2087 -52680 83747 15516 -128033 56933 26387 9471 -93982 -44780 -55114 -15454 -76383 52003 -15049 -73457 -99999 -41152 2326 -243625 185586 -150514 84452 -94008 6874 -11497 -95627 -20450 -115295 138778 87302 205716 -5097 38689 -54252 101801 -20740 -3980 -49135 -84988 99362 -114806 71798 -154833 -106649 50024 -66055 56438 60351 -2662 112438 31092 232342 185865 -1478 1748 -47617 -168351 194125 -21446 -119569 -180723 -170882 -35235 -13876 105928 -44389 40525 -55443 103062 -4588 23940 -61817 99732 16854 -129354 -50388 -232149 131397 142666 134908 57772 -51196 123778 9956 16012 -39006 -50948 10269 -25354 35781 -1863 -122359 162841 -52883 -21203 15043 -92267 -2523 4501 -21931 187302 5174 10600 49374 18275 -9466 15134 -19552 64958 230157 -68039 15496 -58977 -13876 -33890 81095 22655 -142448 -111829 120713 -134845 -165715 76230 -71602 -92426 -87502 28522 96204 20660 44100 -157251 -160816 23374 218726 35437 116118 32558 258069 -49738 60734 -75423 -29 -18927 81079 -17686 -87584 54112 -84627 29228 -20284 -113930 -104360 -52465 52963 
+-76070 -82298 -27702 97684 -45200 -17920 117919 45652 -53479 6752 2135 -38696 49638 -177116 -137653 57282 29266 59847 64686 19319 -185530 137053 -78385 -107618 80358 -28978 -91225 -298 -109548 198202 98163 -38219 -34622 82251 70605 198400 -61965 19480 69873 -47909 -111817 -130758 164038 168444 14281 9541 19319 -1120 -244697 -33889 110785 110767 -160956 -72839 61903 -24993 -179503 -51344 45647 5151 26847 51250 -145890 100349 22044 83014 15850 -214229 -114977 -33395 39678 34268 -21123 141754 53941 59682 104985 83392 -14378 6638 70419 -29381 180475 -2097 15696 -197633 14754 -89164 15026 -27122 -73736 83340 8624 -90117 -8787 40483 21490 -34944 -21111 -168040 -5004 -122787 39843 -16026 -70768 -73874 -25250 106476 -111559 195226 -113654 72592 85707 -49752 -15828 -51337 -12805 -61769 27576 -47396 256827 -34838 16314 -107036 -61997 8267 -26722 -78327 -143019 24279 -50880 59145 -171578 -119974 53346 -37863 180758 23069 -7304 67541 32917 216244 184367 -58038 9963 -92020 -207644 67321 -30977 -99272 -143555 -188819 -36527 140104 123193 -66035 86098 -90174 77760 5881 48174 -50158 16169 3119 -86090 -48559 -178497 118566 113999 115205 59499 -105006 111085 46917 -61101 6463 -48177 -71965 -52011 29740 -16312 -96549 186705 -188968 -8007 -19453 -34314 55595 12504 -25064 45056 -9202 -31943 72649 61897 -11865 16157 -42606 40885 222353 -93930 -11972 -20809 -91263 14636 38606 -9548 -155835 -121198 90511 11230 -55405 71659 -34107 -58509 -91165 45308 31229 -7925 -28658 765 -160258 -33345 148350 45453 103150 46950 147899 -35391 65208 -161681 4400 2525 92733 45601 -57234 40396 -152498 50667 -47146 -96122 -21642 43939 76249 
+-57002 -150211 -22030 175535 45065 -11287 55444 70893 -226122 38309 31700 -40752 51842 -100895 -159421 20401 -9462 63709 22856 47673 -198114 102175 -33896 -111998 76327 4890 -42336 22713 -75529 250166 51099 -36282 -43400 66061 63253 102090 -70251 15217 92996 -57590 5104 -150366 181821 104156 14936 -4264 21900 -15371 -230628 -47706 129495 -55555 -135264 -69311 28033 37061 -217764 -5197 44467 36855 10962 43920 -180216 26998 15138 64142 121230 -68432 -127992 -88853 85437 54056 -112121 29761 56414 113386 131185 122893 22179 78424 77673 4336 154286 -33728 37702 -32327 70165 -131943 20355 11686 -38125 84722 7183 -39298 -96275 55629 9826 89181 2886 -261661 -7251 -147146 33130 74148 -46253 -43354 -8228 113132 68932 150994 -93000 93331 91688 -90040 -1655 -78191 -11355 -18927 -71282 -52657 279421 -83767 21324 -77367 -122077 13501 -41203 -83938 -134619 -104524 15145 59098 -177652 -133168 12823 -23288 248837 -22327 6451 13364 35696 148444 216007 -137443 31075 -110848 -51702 -96572 -893 -31980 -53331 -171098 -30573 75728 122766 -72753 106890 -59731 86595 61193 52149 2409 -8341 -89843 -80639 41447 -108007 88061 60354 75586 73152 -151764 -14464 -4044 -41836 9823 -47886 -157127 -64409 -3297 -5388 -105043 166612 -176761 6379 -18493 -3918 137704 -8049 -15115 -81201 9369 -69339 90539 98237 -26365 -12425 -49266 43580 204741 -80560 -14738 3927 -173943 141028 34789 -80983 -65863 -122687 39438 84601 7068 30452 -12876 -18524 -73951 31405 -16243 23639 -78322 138686 -148200 -56425 62328 -7013 106728 -44498 -28391 -54463 75256 -164899 14735 13423 79388 -15482 -51304 -26678 -211710 53580 -22966 -25708 73963 115136 71647 
+-102002 -137308 5260 133280 34434 -8709 -109576 99468 -279731 -8035 26707 -46750 54677 13582 -226577 -18689 -26766 60975 76221 55079 -203151 108000 6496 -91761 83472 29781 83981 23601 -9660 243403 -41359 9153 -463 37187 62765 -55047 -89750 -246 -8810 -10530 20034 -136561 156141 33092 -41581 9165 -115616 -17870 -166617 -39575 167282 -105616 -40221 -72229 -14152 3447 -142456 -39105 40315 30245 10389 40696 -87238 10034 23104 13510 164010 73966 -132234 69743 133946 35314 -150586 -22930 71849 -9099 113125 159236 48660 108763 61193 42205 45170 -46208 29788 80775 61015 -125858 -17522 -17204 24277 83630 1396 10287 -135031 59635 11733 181166 -42260 -246247 26126 -121159 38253 124045 -8491 -10401 9347 18951 116430 66765 -29945 66702 -104202 -119702 -8954 -88839 -18013 11718 -19796 85463 258290 -163426 20680 -111693 34722 -6748 -64398 -46334 -98718 -164920 63653 52470 -172234 -145996 103129 -68109 263480 -52128 4756 44545 37123 50680 147849 -196168 57048 -120687 105101 -186918 89541 -42654 1910 -112535 -19918 -94993 111087 -76310 94324 -70332 58622 168460 25386 -15324 57364 -192034 -66836 111770 -4241 110622 -27989 42002 65112 -150939 -136881 -77209 -63940 -32008 -52730 -200076 -70433 -45432 2822 -100834 60141 11110 5464 1779 17391 115197 -26442 2679 956 414 -41163 99527 129948 -25547 -32755 -51040 36227 162781 -96586 -1298 32448 -201606 246205 12547 -120127 57186 -136837 -34842 146244 112187 -46812 -55321 21978 -57220 45960 -45605 -1338 -103877 78068 -116279 -96814 -57743 -2770 104333 -93291 -109391 -52198 88004 -60635 23720 47065 83727 -126404 -76277 -40198 -264222 54426 -66352 -33024 9477 89791 70134 
+-82653 -69889 -11578 14369 -96873 16544 -152484 110843 -144789 25580 3765 -60731 57541 112605 -287695 -64704 -32035 43515 37779 40674 -190857 88505 41574 -81709 77194 60062 130363 14901 34194 168382 -91933 74544 -16163 29668 77037 -169354 -86968 -2752 -48483 -33381 -90528 -134018 88136 8399 -122500 31449 -187712 -33782 -108567 -45510 150200 22377 37110 -63202 -95119 -33913 -29177 -30004 38657 -11228 34034 12893 57808 62182 39859 844 99442 25410 -133497 197608 126938 -10710 -72432 34760 78311 -162706 103406 86650 46067 172367 46652 33770 -41532 -51255 33774 15192 -18248 -139894 -43469 17002 39306 79996 -4238 61892 -100171 59342 -51629 167953 -80805 -176859 14583 -71710 41281 122839 35494 25604 27658 -108228 -19080 -3737 3984 59674 -216154 -127032 114 -39600 -16284 21857 101376 207354 204046 -194982 11845 -71625 166147 24553 -91205 -75057 -59106 -135572 122687 59199 -156126 -152622 43553 -75486 145906 -50238 5343 2113 35957 -29887 133520 -141265 79739 -94388 76307 -29829 220550 -46729 54951 -97706 -3311 -198410 117591 -74571 110896 -66388 26502 188107 -21294 10083 144244 -220828 -51044 66856 67191 85972 -104347 33140 50828 -121684 -182908 -118135 -50576 -11360 -48835 -226233 -76484 -77063 -1491 -110816 4112 111851 19267 65665 -26891 45758 -5226 24332 141023 8559 -103396 86018 122734 -31476 -125109 -85990 54806 114094 -84968 -42673 62731 -183107 195069 7958 -119245 9889 -111329 -45211 155964 180225 -95135 -37007 56417 -53985 57706 -50555 25779 -81774 -83900 -81644 -133128 -128065 37399 68884 -61388 -44951 -53590 99685 4249 26548 89987 61199 -114729 -112076 -11972 -273723 44781 -33496 -60981 -146092 -7157 94555 
+-98411 -7137 15512 -75896 -142330 48859 -10998 128252 2603 44498 -7702 -64263 54448 167232 -267223 -121546 30924 15371 17433 30795 -156173 67258 51349 -81631 77050 77543 46641 23707 99028 57707 -178901 87918 -48730 -4072 80511 -36683 -106889 -49857 -57980 -3802 -203303 -85098 -1348 9190 -95595 32107 -137751 -19166 -43529 -21041 108062 184376 131163 -46376 -135028 -79080 87446 -50179 39372 -45770 50172 31150 148655 127448 59401 -3238 13251 -151199 -124828 157696 138001 -72315 64880 149832 65426 -166923 71862 25922 114329 182705 29840 47907 -82195 -40930 59154 -166787 -90801 -120556 -18367 -29502 8183 77875 1105 84084 -23305 62863 -58184 60125 -90673 -40211 -18280 -51437 41837 52969 72569 78707 46374 -164093 -198324 -42891 50736 48542 -107524 -111522 -17690 -65136 -26900 156 124667 233604 143956 -220976 9469 -53644 109818 -58946 -118249 -83320 -67040 -44847 125713 50044 -130030 -192649 63336 -67569 54163 -11247 -16185 70074 33253 -55203 85073 -87551 88899 -47729 -104142 155672 148388 -68269 45056 -118765 17512 -166084 116095 -41695 45153 -79985 -1279 135676 -62124 34424 173607 -142628 -23252 -14470 119564 68634 -149788 53525 2496 -65691 -142767 -44307 -87053 -26078 -44423 -186548 -78193 -146798 30091 -93938 -78470 38146 13437 35882 -54990 -29316 -16913 51213 200787 1951 -82379 106067 85727 -38095 -133972 -122660 90752 59164 -54832 -77408 85313 -189096 53552 46701 -96900 -86457 -98524 -43252 982 175417 -115347 -41892 80527 -71597 19027 -31027 23601 -25662 -195106 -45037 -87668 -48359 -41820 41361 128 72380 -55088 107120 -18528 16814 103548 80531 -70390 -127524 47262 -283709 34581 -48214 -87037 -129546 -81271 73517 
+-100921 -44139 -17762 -3135 -196182 39128 119445 133876 80838 38602 -7572 -55664 53404 160240 -278728 -164461 77147 -27347 25495 20663 -131268 25005 49939 -95501 80901 102676 -57153 61924 136303 -49364 -200949 43190 -47784 -26599 85053 117587 -98980 -765 -132678 -10741 -171220 -13269 -70090 34827 -48965 10210 -16519 -39158 44007 -12993 39222 206828 198196 -40767 -208586 -134538 66464 -42638 37188 -42672 58431 48876 109757 137938 71466 53905 -11448 -230817 -113302 -7670 86012 -117529 174719 213976 76680 12332 45039 -47287 130439 171763 -600 -46937 -27435 -19731 54617 -252348 -141924 -139708 -21765 7569 -35981 74976 -2524 104129 65491 64940 -81091 -57250 -101416 74766 11030 -48623 49138 -22408 95303 125823 64888 -112763 -239765 6408 78420 60583 67001 -79130 -3487 -53822 -21072 -34146 28422 113022 49180 -243501 23852 -39250 -31698 -18510 -136361 -93535 -113730 41320 69380 55113 -96075 -171999 54942 -40624 -28095 30997 -21289 120700 31107 -14066 37356 -21680 84076 7718 -228462 128855 25215 -101764 340 -74803 55138 1149 112303 -29795 29320 -79628 13251 69936 -93266 45653 106860 -69164 -35537 -44081 132441 80805 -166394 97902 -27374 4533 -50947 37002 -91182 -50614 -50604 -116275 -79580 -186733 35924 -135152 -79141 -126936 14259 -6007 -129431 -59212 3571 62584 87559 -17740 -50281 86508 18761 -40775 -63069 -89915 85099 13589 -12128 -81096 88045 -114501 -38479 63154 -95984 -197055 -77137 12140 -111689 60207 -115028 -57487 89428 -87623 48948 13387 6720 52023 -162922 -28673 -57372 91594 1581 71262 51520 218492 -39319 106512 -128802 50513 143544 54843 29321 -118908 72894 -283861 -20292 -24154 -83903 -16781 -96799 74518 
+-59499 -122686 -16971 110178 -90457 47296 58336 131543 -34739 53819 17966 -44572 49491 95276 -238175 -211816 107774 -80240 4427 29821 -97871 -8358 1442 -120345 82208 102084 -108280 4519 157010 -98015 -233979 -2456 -29727 -51370 80674 193469 -91493 -56113 -106179 -34410 -59329 -21388 -82007 101121 9438 -4261 48165 -31208 109434 8399 25276 66940 226001 -64774 -256132 -94287 -24726 -69444 43173 -6680 56093 47476 -36093 94041 72542 40968 89126 -106008 -100408 -60811 36052 -139290 232795 151350 58218 61932 62345 -91078 132923 141490 -49258 -69601 76202 -1602 57765 -132192 -113101 -146435 29288 -9649 -23504 74217 -9602 70587 79559 77314 -89433 -91410 -123425 116892 -9090 -103273 33430 -15194 98953 158916 83643 11376 -103195 88827 78656 39186 93109 -30838 -11675 -32586 -23433 -85676 -70566 -15818 -40140 -246481 -26544 21256 -89619 -46015 -162635 -82222 -171460 89654 9237 47766 -56489 -181086 80538 -84503 -38642 60347 -5029 115193 31251 66499 -13127 27014 65130 70041 -128384 -62888 -39695 -136398 -61157 -40225 62989 118209 102358 9946 -44461 -81087 39915 -24592 -90553 67581 24130 -8577 -27210 46477 127076 42221 -141587 125362 -98322 71407 96672 46615 -109285 -3877 -47932 -36608 -71417 -198324 -14188 -108361 -34955 -211506 14072 -32377 -126349 -571 -17414 64547 -34539 -14668 -9684 138834 22053 -38941 -25790 -161256 62703 -25917 33430 -127558 96310 -72121 369 140263 -96013 -127646 -57828 79531 -165159 -47018 -52812 -65824 82184 -90214 44809 71254 10880 119676 7670 -38091 -29686 169521 -44308 93915 -7795 260359 -43542 97875 -186276 32027 137461 54719 40848 -83985 -11802 -268761 -24936 -47395 -55450 51175 -27133 58459 
+-71506 -154226 -66765 191843 -22178 64129 -109476 126928 -182818 35645 28645 -34818 49329 -9027 -151835 -235259 138468 -125135 5158 60725 -50365 -47346 -4683 -99241 81551 99600 -28918 32835 154303 -65342 -192761 -51890 -1297 -72710 82207 128801 -91985 -22070 -96645 -56332 30550 -36760 -34204 150054 28836 8479 -70557 -10475 111743 48973 -10238 -59594 204094 -51797 -254141 -11598 -135576 -6229 45188 28935 40311 60090 -145403 28410 59732 50756 164725 50103 -99746 86585 14166 -122309 181876 25231 70985 -32611 45426 -94862 84190 62033 -83655 -107766 165622 17664 53615 41764 -14566 -140284 17348 -22166 -43875 74520 -14102 69643 30288 73606 -86778 -15564 -87777 67856 434 -156027 29378 60094 78243 195976 102025 115699 75237 166532 80342 52301 -74832 27551 -13022 -21945 -32848 -133448 -31683 -65777 -77783 -228880 31182 43131 24113 -74541 -179211 -154349 -134803 103042 -71538 58416 -14095 -163142 31371 -82366 19185 42933 -22487 145940 33659 162936 -40982 -8383 39469 128191 63457 -228029 -78138 -130379 -142624 -48173 77190 69602 91641 -10461 -51470 -90232 73811 7092 -67028 84004 -2708 12879 -16206 111957 73583 52356 -75706 130298 -135328 74407 141554 -12267 -129462 -36012 -41001 52398 -53579 -140895 -21465 -93705 50631 -98351 14736 -2676 -130519 82980 -21855 54950 -36583 -8456 73655 107029 10323 -45779 28602 -140508 74647 -34060 95515 -162827 81270 46545 115618 111823 -55700 -12345 -5994 137338 -172154 -111294 9522 -49332 60424 -76163 20304 133334 22474 185969 125890 -75245 17922 210214 -33909 121970 -73277 167632 -56343 85188 -137263 21612 177856 36230 -63844 -55503 -47991 -248635 -46109 -50157 -45761 18381 89464 43109 
+-71311 -69874 -23512 127885 5486 75287 -164610 111742 -257689 35571 23208 -44435 48320 -120703 -81714 -231664 96963 -179571 22885 40254 -20459 -74734 -55628 -82697 86093 82844 79173 34494 140635 12995 -135837 -8854 -16862 -107509 66292 19703 -72970 -45887 -69434 -25219 -22122 -65921 44413 185701 -48365 31005 -185916 -16231 119572 63136 -49369 -60009 131264 -55805 -222465 26897 -213790 -36174 50344 27755 23143 49012 -168163 11858 40926 21036 101886 53350 -92623 228755 -5685 -71919 27701 -33715 88110 -195374 67585 -45774 26034 -10177 -127481 -110690 170933 15606 14706 86178 57147 -148711 34550 30806 28564 71390 -13016 17144 -62032 73988 -45998 110256 -47865 -28376 -23264 -152526 44475 133188 41662 207462 119892 100906 113551 201707 74161 57730 -228222 89639 -16442 -74444 -31638 -176696 96916 49441 -100262 -197533 15202 70719 129949 -78936 -185369 -118804 -48573 56305 -93309 52139 28430 -151762 43003 -75171 134651 8212 20136 175145 36195 219889 -73421 -78731 15451 189429 116892 -77690 27019 -127706 -189843 -31843 84246 -116077 106426 -68840 -83000 -98555 77985 49051 -18816 91292 52761 -70390 -8332 60476 -1770 24732 10463 102347 -180578 95580 78322 -97696 -90372 -28317 -48664 83104 -34453 -162276 4279 -125577 144314 64826 16099 22608 -31515 178725 -3813 35565 89135 -11941 98971 134746 62832 -47807 13058 -164666 71257 -42920 138567 -120133 75851 94744 228929 105009 -28740 57931 13400 136886 -77438 -201946 61518 -33755 26861 -59219 31556 194383 -9802 215389 91745 -111900 122834 98771 -64953 121198 -91210 -12576 -40026 72774 -19420 27413 158294 51959 -112050 -56083 -15003 -234040 -52801 -14406 -30312 -64487 115863 36340 
+-104906 -17287 -50688 -14158 -77824 72342 -19655 74138 -146171 46442 -2684 -55007 49383 -183145 2085 -221986 68398 -213998 69358 18669 6679 -123889 -38645 -75393 83998 59092 108237 26121 112751 126838 -72737 36599 -35294 -138480 59750 -90224 -52318 -53237 9418 -32339 -148873 -92360 109576 189245 -119245 32480 -183349 28762 70519 91890 -73135 46812 23179 -57449 -146653 33774 -178717 -41399 53648 -1164 20143 30059 -58839 56394 22836 740 17962 -111459 -68517 162455 -3383 -15908 -83020 30028 83056 -184125 58367 23560 16910 -77395 -159293 -103448 88942 9354 56683 -65471 91574 -143481 1549 -6183 44596 72779 -5795 -34266 -124928 97791 -20810 187260 -24003 -161381 -27136 -115223 45673 131102 -841 216152 136645 643 -27791 170838 54772 69869 -80162 133806 2192 -42185 -34794 -196363 142510 194488 -50417 -195379 -7307 141828 113752 -50102 -191654 -129280 -44391 -59270 -87770 58622 67724 -149060 90908 -39700 239396 -35808 3162 179335 36971 233644 -39420 -148037 3200 210400 -36005 134387 138272 -119016 -209763 6004 82749 -225735 89239 -82967 -19087 -65528 78887 161666 23924 103819 142256 -177216 -3531 -28344 -92698 32944 88081 73315 -191398 36648 -51616 -99398 -122047 9717 -51638 107061 -21369 -67714 13592 -116018 199632 82581 6927 27713 4595 108460 16865 10426 204092 -13217 59331 80953 120298 -65830 -61643 -179148 70682 -8340 182562 -111274 51816 140383 216133 144123 3331 -13134 48516 92335 82191 -173514 80119 -18445 -13026 -55046 40313 231522 -22267 219120 -71196 -147761 139284 -11382 -54862 135135 -57932 -124913 -42471 62913 -9270 35015 173178 96655 -120314 -87286 73509 -146453 -28965 -41678 -71865 -121423 67267 12879 
+-73143 -19948 -44033 -70633 -188044 69575 116809 43637 19936 11131 -8284 -63349 55348 -168269 34273 -185632 1724 -221956 65788 19878 58012 -142858 -39170 -86769 83878 43901 46555 28569 72020 221774 20867 82678 -49907 -167077 81157 -108593 -44991 -83115 66069 -42456 -197861 -155918 178264 144557 -113462 10907 -51004 44637 -3782 122764 -5113 189407 -49632 -38297 -98774 -21604 -74841 -57400 55260 -42383 15292 39987 73670 121090 15144 20565 -13650 -237091 -75898 -6436 9706 35357 -158236 148001 67614 -11626 95756 80718 -40380 -138230 -184613 -33899 -14655 -11284 30728 -222722 29932 -130811 -29375 5400 27344 76311 -11518 -62246 -124695 97702 1848 154201 -11279 -252385 -19352 -78628 58920 65647 -40129 208525 153041 -123176 -203748 91442 23476 65032 95369 182154 -20554 -62156 -41212 -198975 38141 241568 -23954 -134694 27924 186624 -7896 -35021 -190766 -116179 -97802 -110114 -25272 73334 101630 -137215 44453 -56916 246455 -48707 21155 117788 35349 174320 30209 -176472 4702 210609 -201326 170560 218757 -61477 -140040 9952 73479 -157868 89635 -80982 5122 -70166 112449 174334 54298 126472 177327 -207850 -31040 -49700 -165540 40720 137350 37265 -223205 21905 -175302 -38764 -118695 21751 -37484 47275 13200 -33053 16457 -77389 187911 -53309 -3052 42693 12882 35185 39382 -10715 156349 -7595 57130 92328 131936 -67073 -141674 -176005 85637 33793 223127 -79087 25405 145915 86970 77914 81054 -145928 77329 3442 126226 -82463 46820 -21892 -53690 -69497 -1818 249578 38564 177316 -208049 -163889 165839 -125922 -68326 79399 16364 -86714 -26592 62166 -113039 -2687 166662 31511 -10424 -118531 45617 -106462 -40902 -43095 -116443 -74642 -44065 30972 
+-111892 -127962 -27790 -35298 -116812 36949 73025 16657 105975 27262 -7590 -61197 54597 -103090 59034 -142524 -38075 -236883 65133 43447 60190 -146964 -8808 -73565 79444 12062 -93955 11757 40809 264978 85760 79327 -37867 -181313 69437 24147 -46128 -74959 79758 -4469 -113045 -153877 163977 73591 -33245 -4174 38648 56468 -95750 135349 19180 180808 -131787 -27077 -33134 -105352 33596 -24582 62215 -49722 31330 83427 147663 147645 21021 62441 27460 -138482 -59278 -62023 36759 45194 -105799 211869 70064 100051 116955 135227 -58115 -170170 -215430 -556 -86974 -36371 53298 -224547 -2300 -134375 -31459 -1648 -29842 77564 -2188 -118772 -58252 103906 532 37694 -29204 -253987 -8284 -41620 66609 -12218 -67893 194716 168321 -166113 -237810 12593 -14848 93551 117803 205607 -19630 -5440 -41744 -161969 -74268 117624 67361 -98636 -5830 218756 -79597 -15503 -180746 -132915 -131803 -124298 21949 65375 127495 -113631 36889 -56692 192462 -30968 6376 82479 32581 79237 21433 -174803 20851 207433 -193808 -6386 168745 -40667 -70008 3862 55169 32039 91216 -86720 52480 -67130 88098 149856 53473 154955 115820 -196488 -5047 -8896 -229248 -22200 147629 39367 -229935 -74385 -164119 60708 -149227 11026 -45895 -23318 20978 21955 1691 -90992 134601 -180293 -22246 -7082 -25908 -47627 21530 -20133 3585 -18925 11985 111457 113806 -72502 -158937 -199333 62456 86282 233332 -60508 2359 118029 -22189 48396 94125 -160224 102398 -27872 171785 9946 -507 -38136 -88262 -87148 44864 241758 31459 111761 -158609 -156512 140302 -101227 -89781 76972 46302 64578 -29709 67476 -191691 9576 155322 79646 15840 -130768 -15029 -46646 -14400 -22231 -84338 83003 -106776 8897 
+-102545 -165734 2425 114673 -59296 19460 -96380 2015 -11714 50759 24295 -44619 57057 2693 86395 -107171 -23932 -203990 36754 37589 76219 -192043 13872 -104687 81454 -8192 -67006 41849 -9933 213141 105286 -6118 -17309 -193633 90433 167563 -53114 -100604 83395 -40739 4489 -177593 136761 25056 21515 7838 -25069 55575 -194001 138961 8704 59412 -163551 -64139 19711 -129154 91787 -23746 67398 -21095 43914 45685 92670 92833 39632 49820 135422 30171 -68345 46189 94141 33815 18236 142689 61960 71905 98247 117900 -45525 -193473 -226210 38604 -54420 -48437 74991 -50717 -142098 -117261 -22507 17797 -30574 81009 -8996 -152851 27615 91347 22452 -70720 -75229 -175490 2702 -69104 67487 -21357 -75027 137328 182130 -100643 -93189 -33161 -31163 88139 -72480 207013 -12433 -46215 -43332 -117659 -37636 2030 148967 -70334 24569 258439 -16454 11477 -165245 -133634 -138720 -99213 100266 66921 143281 -113232 80824 -56076 89204 15068 -17666 54432 30963 -4760 64381 -88960 46544 168029 -5886 -198893 -7186 -56751 -12793 38222 24185 130318 89802 -44870 93985 -83267 47774 81941 26164 134382 24374 -65343 -42828 74894 -260158 -12960 107575 55021 -214798 -111875 -107457 38518 -172376 16139 -45847 -100462 47557 602 -26720 -86270 57504 -165940 -26505 -7014 -104017 -61958 28752 -30263 -87759 -8186 -44604 56143 66061 -69682 -109157 -177004 67129 147526 261625 -23933 -10137 47682 -29478 55685 122540 -67295 128681 -54380 67889 82799 -55425 -64462 -112463 -89581 52562 210051 24166 25136 9060 -125379 111283 23343 -54224 45477 -42920 203420 -20365 78149 -165116 22340 129653 40014 -26915 -95141 -72203 -5758 30279 -43107 -64962 32504 -62136 -15226 
+-62706 -105970 -32593 181085 5940 24404 -156136 -4675 -191950 32009 34569 -40393 55288 109939 106764 -48269 -8960 -181249 47905 66950 92125 -179593 62796 -104371 81392 -29609 -16996 13017 -71141 121506 116884 -47299 -4510 -208243 62672 168173 -61124 -50644 56998 -22664 22878 -117471 50043 -781 -7911 30551 -157290 44009 -236489 117472 48223 -93086 -141160 -62771 67448 -94956 22363 -14199 72103 20962 53845 53276 -58272 18063 58239 3903 153496 70662 -71873 200680 130694 -14979 161913 23152 52286 -133824 107216 88237 -42639 -149351 -231051 29135 40298 -49115 76952 70943 -135194 -113984 -5301 9836 -14897 81869 -8498 -172432 78086 109130 7403 -85722 -98606 -56071 2103 -117268 77821 55675 -60344 102317 194600 26418 80393 -8589 -88136 80243 -198518 188486 -2078 -42705 -42483 -68295 92910 -84967 206312 -24482 28515 264296 116861 15726 -152703 -155295 -58088 -8300 122108 58160 148215 -86468 56769 -39940 -15894 42142 -26200 5388 31621 -44050 132165 -12117 70997 118335 112673 -130128 -97239 -67317 55662 24186 6547 88595 79562 -14976 114845 -53963 51688 -1618 -14970 144301 -13005 -19158 -72246 93165 -238130 -476 42670 92648 -175588 -147902 26695 -70996 -139737 -12219 -36367 -180526 56279 -22415 -11915 -96900 -1246 9369 -34952 -7066 -133039 33008 55071 -12637 29976 -8217 -52505 57050 19245 -57780 -23709 -184947 84957 196856 256995 -18975 -39466 26882 80262 -3061 164013 51762 125940 -62338 -50205 157666 -111987 -75255 -121958 -74913 40862 157267 1795 -39916 139713 -104818 61927 168328 -90190 39449 -109753 242230 -15489 91334 -74849 -6136 126510 36031 -127438 -62428 -1285 46596 31864 -58093 -19345 -126726 12778 -8423 
+-76776 -13540 -28929 122900 -32646 9394 -43418 -37385 -262376 26510 7430 -37737 51388 175069 55748 -2906 67253 -140221 71973 47851 92492 -183014 63627 -74608 82113 -60078 64830 -2898 -89609 -655 92959 -34924 -28165 -221297 56968 79746 -64331 -76093 9953 -17716 -84643 -58856 -33115 13144 -70935 32830 -203418 50819 -234917 131157 81761 -43796 -70144 -62288 67442 -13856 -71755 -60699 83517 44522 54963 15441 -176951 17029 71648 -15348 50143 -77862 -77135 181368 110059 -72766 230047 -32608 75549 -218063 111711 12122 1573 -122476 -212440 20364 135655 -35582 59813 33602 -106050 -108099 26457 25839 41174 82707 -9867 -178080 55025 104229 4323 5204 -133310 59008 -15998 -141140 78818 126909 -27950 61267 205176 114872 111661 67362 -76942 76525 -125730 146518 -3324 -11985 -47778 -20406 143050 35235 258718 16476 22405 263324 130437 8725 -131016 -73262 -62524 88671 131687 58950 142247 -69701 42059 -42129 -64313 52171 -5476 44100 34358 -32933 193514 20790 86882 53809 36618 81576 -73570 -89271 64258 29903 -15589 -112485 80847 -18994 82076 -89174 44026 17186 -62410 134045 46007 20849 -48864 -16939 -187134 -45622 -46926 127254 -149666 -129116 123678 -111811 -150759 -50285 -45143 -218920 69334 -57724 35043 -96770 -94782 102978 -43347 -7344 -136407 124175 26706 4543 153534 -8564 -77567 44472 -6804 -54811 20783 -194779 98456 219038 255326 4277 -66308 -44818 197534 -7845 210842 22199 148623 10338 -166697 141125 -121282 -25283 -115257 -58549 20770 94340 37353 -89542 93602 -52657 709 199590 -84738 87729 -94410 171359 -6126 102121 -11204 1327 112118 39463 -128649 -51082 55455 72505 48829 -26205 -26595 -100820 97288 -36312 
+-92650 -37832 -40919 -16099 -87574 -1563 112222 -5402 -171871 58915 -9398 -47615 49053 175915 33956 38774 131678 -95631 21769 1737 84462 -163968 22955 -86694 81639 -84433 119250 -11654 -131436 -72714 27551 16286 -62582 -204459 80974 -60790 -98650 -100803 -41674 -64205 -184833 -28208 -77916 56086 -100412 11611 -118293 12105 -202126 136860 133595 81228 -17896 -33220 18631 14160 -196459 -2077 89278 15278 41083 16153 -169667 42858 72844 19006 -16380 -217930 -85391 19532 136931 -127080 188564 32833 91735 -46189 94327 -45566 35304 -73434 -197978 -27225 169786 -13673 57377 -142741 -2003 -67609 20573 31714 34286 84716 44 -188247 -38123 108539 -16261 129701 -112322 115077 -16097 -126175 88654 131550 14429 21601 214288 103945 -36954 152975 -131220 58269 81696 104154 -31205 -35463 -41166 11741 42534 193626 262781 5842 15145 282651 27613 4137 -110273 -99900 -56422 106163 75402 48434 124913 -44451 39872 -30326 -30633 15317 1134 29373 36702 48331 204958 17164 88712 -11041 -151188 198640 78575 -114104 9153 21296 -25107 -222827 75136 -7465 52580 -92261 4333 50797 -89700 140463 133572 -29342 -99185 -74186 -121310 -42588 -125852 128689 -101140 -117806 99782 -76065 -167050 -53884 -40320 -219455 93162 -154363 21618 -92428 -70520 50811 -56601 54640 -87209 142720 30292 26204 206110 -13541 -69370 50336 28755 -57735 29365 -186682 33827 229119 200567 14060 -82380 -125406 231586 30658 235960 -91189 141387 51084 -167637 90647 -101018 -46231 -93622 -55822 25597 30546 3729 -108396 -74615 -37878 -20467 152431 -73708 126851 -23559 8524 -15281 108014 -28427 -19812 59381 27613 -26285 -66880 58396 82154 65933 -47737 -86674 -8496 89799 -38894 
+-96924 -119394 -38275 -58718 -157141 -21509 94108 2246 9662 33760 -8035 -64580 45968 104283 -46724 71957 124311 -36356 -12905 21021 45719 -165536 -14286 -71433 84087 -78416 27745 -8275 -123944 -98351 -52727 99851 -67859 -216213 74817 -131231 -106665 -119016 -97065 -28012 -181255 -38852 -77052 115458 -115417 -4130 9798 35236 -184797 133632 126099 217227 93625 -38694 -42227 24535 -201894 -22844 94421 -24378 35444 11865 -60387 141292 62050 63530 -4092 -170717 -76822 -67462 85005 -142801 75149 150954 72188 67404 31451 -88527 60724 8734 -170697 -85361 122602 7461 50548 -247808 61393 -75006 -11487 19395 -28080 83344 2176 -168454 -113364 118778 -17558 189898 -116992 90006 -12175 -95862 70770 50866 56825 -19931 221804 -10585 -210691 203851 -177768 53653 122186 37198 -40968 -57523 -43784 19577 -68264 233014 252691 93860 3965 278010 -89616 -10965 -80811 -49459 -124103 62866 -3519 46595 98361 -31708 48584 -37715 55704 -8488 245 78690 36770 141666 184149 -54459 74068 -63328 -218600 21514 192318 -137956 -50876 29790 -42007 -134491 83373 -41909 49482 -96177 15111 139380 -85624 146114 176114 -121713 -134244 -28752 -23656 -36511 -165470 104633 -48562 -31867 531 18496 -99345 -16209 -41740 -178000 97045 -174037 -12775 -127188 -21106 -138857 -63323 36293 -53121 85081 65212 50581 77049 -801 -66275 9669 84990 -58101 -21108 -162942 46204 214979 187494 -8535 -97404 -212278 131544 38257 213266 -179941 114033 95151 -136261 -50063 -47457 -30547 -60106 -69329 36011 -13087 29839 -77562 -212661 -30089 -80123 19887 -104808 127972 69285 -110594 -2616 104672 -148534 -25835 33642 -2053 68935 -106772 2743 92239 49427 -39363 -120850 35204 20134 -34340 
+-85792 -170030 29307 -28964 -133768 -38910 -68151 20761 104147 30704 14762 -65629 48397 -9314 -133697 99038 106968 12253 30228 37325 33212 -122324 -38617 -79948 77977 -79165 -58220 -28913 -113641 -44711 -137281 85295 -26312 -193113 85129 -19034 -98115 -73101 -124031 -47063 -41379 -35488 -15485 174000 -25653 7188 37001 21208 -88965 97033 144640 158783 179482 -42967 -96518 -63 -119905 -38868 105651 -44103 12741 50029 91596 132370 41732 69855 133200 -1848 -99475 41819 62909 -124917 -54650 211301 53195 79906 49460 -94619 122252 88684 -120185 -128823 19860 18969 -1562 -159003 75752 -47115 -34393 11080 -33981 82458 3198 -123511 -135373 119052 -41133 138215 -49878 -9188 -7105 -45176 72903 -8523 88394 -63422 227128 -127705 -233992 197268 -146284 43114 -105944 -24361 -12830 4038 -36021 6457 -43875 150920 195224 93002 11409 273790 -57414 -48202 -62104 -64189 -169891 -8986 -60563 57777 63710 -10545 49916 -37619 193608 -42077 13660 135848 34745 216114 184333 -124610 50278 -105462 -84365 -156900 217449 -143674 -130297 18109 -35677 7463 83715 -67626 -29 -82340 39955 183482 -71148 143017 121456 -227541 -111039 78445 47867 -21773 -161348 75234 -11417 34426 -68544 44398 -115684 -37101 -40168 -102902 104718 -181292 -17874 -102435 32745 -201271 -72002 -22895 5704 -8496 67141 65172 -67458 496 -36474 28533 129713 -48179 -126459 -125433 55138 188536 159184 4417 -85690 -199126 12723 87247 195517 -118825 73923 115557 -19958 -137142 30346 -27179 -20439 -85808 30715 -46793 12908 -22044 -158173 -61673 -106172 -97143 -91218 118454 45580 -64424 9040 95746 -186571 -18284 -12183 64447 30270 -120835 -55690 109721 33524 -59818 -120831 25897 -91935 -77621 
+-83474 -110784 -7082 120183 -29073 -52054 -175504 56619 5765 24401 27823 -55658 52425 -111679 -178808 107662 89840 34885 38089 62026 -21889 -114357 -66178 -103230 83167 -65425 -64710 -11176 -71672 72137 -192987 6515 3819 -195453 96751 110398 -87258 -128009 -100392 -25710 24597 -69833 70542 206812 13278 30074 -96140 -28891 13248 73846 77587 -10424 211922 -45442 -161000 -108163 -1907 -38241 109295 -28343 11691 21167 158930 92458 23385 27033 124757 74235 -106347 212553 33329 -73858 -140219 155207 52415 -130578 52510 -45686 117025 129215 -95766 -89354 -62482 25661 56996 14230 26233 -59859 -27402 34440 -68960 81060 10481 -60859 -83755 136289 -105344 15168 -20989 -134538 21260 -51066 57525 -20859 99906 -79220 230678 -162109 -83993 105136 -174336 65305 -205794 -68541 -24668 -10001 -36585 -25929 101218 19775 133780 98689 29921 199811 94052 -70903 -38175 -49760 -99388 -81637 -118774 51445 23875 -2119 83967 -34128 247954 -42169 15648 163633 32024 233536 147824 -160920 24150 -117600 90384 -195779 78175 -121099 -198472 9498 -27565 138243 82716 -96289 -67821 -67450 45439 155347 -24065 139117 30840 -208775 -139630 106319 113027 2938 -116182 44610 25539 66672 -163200 -12463 -80798 -9967 -36081 -37374 93487 -181159 5843 -75310 154655 -76399 -70017 -23154 -11059 -59065 47118 64679 -25713 3158 43473 35241 130635 -34542 -132980 -125856 72661 137286 85774 -38263 -87551 -204616 -45083 124645 180042 15638 70497 75524 80470 -181692 67830 -40384 20275 -88206 20669 -52155 27525 53754 1127 -93932 -99918 -116851 -112291 102673 -42109 55679 7366 82530 -119725 -9825 -34255 44712 -36603 -117144 -29393 85961 2055 -10021 -68372 -75059 -104441 -70122 
+-77561 -36343 16173 145730 21776 -4261 -58384 78883 -180566 41315 16600 -42852 54697 -176188 -217666 70830 1862 66003 61866 48076 -43014 -76369 -49531 -97559 80434 -56115 -7757 -7050 -18393 173388 -217199 -61000 -29307 -192598 65773 188799 -84379 -109252 -60747 -19126 -29326 -107815 147769 173532 -2697 33197 -177980 -49217 58402 43183 19241 -106519 191829 -34074 -226751 -136784 90501 13927 114267 8529 30953 21342 71858 28819 15364 -14915 64749 -32472 -126793 183149 -23816 -15042 -138792 24445 47614 -192712 54793 25317 114857 177881 -55794 -82865 -71449 6869 77647 87636 -77903 -16731 -10488 47614 -9944 77363 17742 -14584 -4903 139334 -109433 -81724 -18905 -245641 -12774 -86716 45427 49612 90394 -94074 232252 -82223 88096 21895 -160262 48285 -112128 -107027 -18255 -39683 -38552 -76416 146321 -72535 43368 75773 36158 155782 151576 -57877 -20058 -48219 -40608 -156084 -60665 55159 -18839 -661 32149 -34810 213456 -21098 -21753 142224 30872 187627 69606 -160581 5730 -109349 86593 4800 -16546 -103668 -198836 -13077 -1665 59112 82285 -86744 -53385 -72436 48519 58292 20175 148281 -8866 -111466 -147095 46359 139487 11223 -56619 39678 44239 102880 -174265 -97805 -71463 -5151 -40938 50743 79284 -131461 2295 -100417 175706 77565 -94687 16516 -48451 -12975 59922 55822 119510 3365 72024 -11933 112385 -48500 -114483 -125410 72885 79452 63088 -79122 -80813 -138803 50958 118264 123833 48387 58844 22693 133707 -226379 82900 -24120 55361 -76248 1045 -33279 30037 126421 114775 -129978 -103531 -191 -91731 81284 -99093 178025 6083 69897 -30428 -28412 -72125 -30401 -124992 -79818 31555 67356 -22787 -41182 -60615 -99335 -32157 -71213 
+-71803 -14749 1532 110239 -31551 1880 116107 111671 -262308 35649 6629 -41717 57733 -174092 -268423 65871 -30621 62212 78057 26137 -95414 -35261 -39301 -117980 74950 -35235 73852 -40216 29257 247262 -223456 -42595 -44130 -157082 41990 130040 -76506 -99733 -43956 -35403 -155737 -162841 189661 124444 -65519 12302 -155580 -14103 81939 18831 50413 -13536 194239 -45689 -231440 -118587 69863 -36730 119347 37497 44804 66401 -68091 9291 20798 -17306 -9282 -207330 -125651 2574 7593 33032 -20126 -32937 84935 -97388 51594 101203 66991 183379 -15437 -47905 6032 -17052 80434 -16567 -111033 -35383 12398 16250 47708 74213 21377 31263 71875 152148 -75317 -76305 -26520 -262985 -24658 -138845 63684 123128 61561 -91523 231891 39815 106774 -31245 -134570 63033 92717 -128554 -17712 -45872 -32796 -131445 42290 34027 -34452 94342 8209 125953 74757 -40197 4427 -57853 -53942 -95369 6401 56512 -61350 -116 23586 -36382 130435 33465 -4823 140649 32135 105197 9914 -108563 1955 -71578 -79581 199197 -104531 -58915 -131649 -35673 20992 -111131 80357 -35988 -25817 -77930 95777 14317 50123 132654 37208 -25527 -172050 -34659 133470 -11156 28606 60816 56491 88512 -68047 -122525 -83692 -5739 -49486 81568 78384 -117918 6595 -65381 143273 90460 -104804 50968 -101436 63447 107876 33156 219277 4151 61080 23301 61817 -35713 -25438 -111156 46809 26188 23021 -88336 -56831 -127170 183346 158472 82074 -20074 3814 -33557 140733 -129223 51143 -38048 79330 -59058 27966 8191 31098 190867 100446 -156054 -41050 90457 -94426 39701 -73580 230819 -2291 62967 -34132 -25467 -90517 34243 -87501 -45772 65860 2423 -24434 -56200 -60490 -41285 50094 -75289 
+-97111 -75563 2367 -5149 -135300 12458 107862 114457 -157148 23442 -12919 -39313 56899 -107513 -318295 -8343 -40345 61186 54482 16121 -111485 4430 7362 -77614 82202 3892 112231 -32770 87827 248430 -187375 29292 -53466 -142724 48770 -31917 -59154 -136781 8649 10951 -196001 -156922 173125 67083 -89330 -4032 -26727 -26513 121245 -8664 -13490 145561 100248 -33483 -234669 -16468 -23911 -25855 121984 12084 59292 26014 -181641 66653 38090 57724 7302 -197409 -130917 -82398 9188 51507 111171 26847 81577 73289 127889 147535 78882 151003 27298 53413 105127 -37669 41455 -209042 -112757 -41163 18682 13017 53961 73029 13039 66780 61909 167443 -91573 27321 -63992 -190305 -28482 -139696 45902 126203 19642 -72248 229268 117386 -46687 -29047 -143164 64121 63611 -126514 -11351 -65924 -26590 -164149 -64756 177838 -73864 40121 -1360 92029 -81348 -44493 11972 -85014 -109448 -19362 56977 64021 -100303 4123 51019 -46788 26490 57671 14505 142794 34927 13191 -11424 -23921 13440 -28642 -215137 102564 -29624 -54807 -62897 -60869 41488 -202586 79101 -58041 -22459 -76516 98562 -31369 51618 131074 132370 2739 -140925 -51984 92558 -25088 96792 101714 66610 34129 58892 -51054 -48787 12694 -39191 89323 58411 -54297 8457 -85044 92331 -55127 -115021 58783 -115099 137355 76671 9270 118030 2511 56144 -9194 31901 -36725 30820 -71422 67111 -10060 -34546 -132224 -25051 -46611 236813 135923 49320 -150312 -39994 -58677 39867 -12650 -6144 -48073 88980 -54290 43294 68861 38347 221702 -69624 -162294 30397 224003 -67932 64591 -3768 170942 -944 61474 -115172 -16921 -105439 49591 -33388 -46102 -2740 -74069 -37330 -27988 -109869 73901 121617 -77663 
+-100493 -156373 -31537 -84302 -154220 27329 -73202 135458 43361 20854 11342 -51417 50703 -3509 -291504 -36576 7356 34441 81432 27559 -161599 8538 44138 -116135 84580 24936 31105 -41893 114440 179675 -112397 83221 -37150 -128008 78254 -117140 -40279 -128081 68447 -49016 -118132 -106334 104888 13652 -88839 6546 28580 -41043 80661 -23552 -29722 227839 2959 -37660 -218845 28380 -144239 -15599 129659 -16211 51538 56829 -155563 121046 57491 93017 89623 -43672 -124969 34856 37824 35110 228684 153240 65803 109135 98968 125237 37532 115101 48802 25672 178370 -50361 46571 -234705 -20328 -21896 18757 24929 -2973 73433 35863 68305 3614 161579 -59769 146977 -77578 -73598 -39032 -99344 43805 60924 -22992 -56200 224985 89642 -214793 44961 -108683 69834 -81174 -102556 -13575 -50048 -27989 -195640 -41037 232712 -104580 -5432 17678 23803 -41450 -12995 12668 -70238 -158120 61310 134528 58244 -133528 -4379 38981 -48974 -33668 44762 -1022 93773 36887 -46477 -39420 39467 35977 29504 -150382 -120371 120714 -42571 -3480 -69212 62452 -136329 88784 -13956 32492 -96646 109567 40655 29632 136951 175451 -31434 -146016 66000 18672 7853 144764 126715 44584 -39108 117670 46435 -38906 -34409 -34166 30910 37929 30220 -17829 -89868 30283 -189332 -115918 -8598 -117883 92571 74499 -11324 4240 6059 41505 14169 9478 -13579 63546 -49817 35952 -36670 -67240 -123860 11989 68798 188919 101352 -13665 -168045 -56411 -38897 -103171 90437 -87948 -28112 83009 -68588 18453 131921 48131 219827 -188502 -136093 75881 182718 -80296 89239 59539 -8224 -6672 69964 -161333 41672 -103123 9291 41818 -81890 -38364 -138413 -23500 -29631 -126403 51467 69357 -64951 
+-109791 -137390 6060 -4627 -107496 32949 -161552 140829 124451 56092 12486 -59202 48646 105714 -243486 -104079 52613 -8850 47072 59744 -188614 71013 44499 -95693 84096 54473 -60515 -35974 149370 65800 -34770 77666 -17083 -87211 91048 -80071 -50210 -143966 41642 -31628 -13537 -92011 18235 -5506 -17809 29589 -20560 15396 32949 -37247 -79320 109864 -83427 -33907 -162194 47110 -219221 25840 123348 -46401 46320 39715 -32304 155650 72036 62216 145273 86347 -133181 211209 76225 -13329 233011 212993 66632 -61726 133565 76297 -34088 48377 78914 24294 150184 -40799 37962 -86073 33862 -32487 -2696 12558 -35477 74069 44981 75273 -82347 154993 -38679 188981 -137603 51050 -1412 -57854 30063 -12126 -56566 -2871 218451 -27789 -230815 137491 -45269 97686 -224041 -52088 1891 -74687 -28555 -195725 89355 174346 -70688 -53049 -9881 -36344 46434 24071 16317 -78016 -142698 123904 123250 58324 -158481 -7996 40984 -49724 -28695 13902 -13768 83794 36437 -41879 -85219 23515 61698 92738 34014 -221636 209984 -67377 83740 -96364 79138 -3459 87785 15118 75966 -64633 86700 124426 -15497 99667 126900 -104129 -142383 93880 -72399 21037 138320 133803 18673 -76255 100759 42620 1411 -25523 -39566 -38947 28175 -24312 -16415 -75653 -51875 -156463 -131962 -12426 -89822 32649 97812 -21780 -61776 -4765 16603 75739 40412 -13834 9504 -29827 46506 -40205 -97063 -110315 19349 106097 27972 114768 -60534 -56063 -94961 1131 -172138 172641 -120598 -35145 61596 -83832 -16347 190004 32262 182734 -158640 -105393 96285 78029 -98046 97767 -31401 -88390 -23578 81096 -164770 8984 -104991 27647 -57351 -111672 -24557 -163463 -6106 -35801 -112802 -76133 -15517 -57732 
+-96664 -42451 -41710 83654 -29800 36506 -72544 150808 -1204 30395 45661 -63443 47610 168034 -175854 -144606 121236 -51482 -1024 58506 -212528 76005 44220 -81509 83379 65599 -90544 -41554 168785 -37737 53653 39557 -25299 -64638 76897 78965 -56650 -123709 63344 -50627 15541 -73534 -62143 27838 6732 33532 -198271 7707 -21301 -48763 -94717 -26039 -140800 -23224 -77231 -25442 -173406 -12085 125178 -38740 30034 61126 99349 93337 73937 12931 121769 -6930 -123004 176767 103867 -77226 111707 146295 52525 -206856 142196 -1261 -23476 -37313 76456 22565 46060 -27588 31726 69456 89272 -62150 -35679 25578 -60265 73570 30697 79350 -132210 158541 16627 119392 -131013 102377 14378 -47305 41491 -23855 -74453 44230 210322 -135483 -74911 195501 -21404 90918 -106083 -5196 -5909 -61818 -25292 -168005 148058 12081 -12084 -126958 26639 -55601 161846 -4612 6914 -73082 -55292 95411 97128 51717 -173067 -17408 79233 -30065 26147 -27079 -39402 31935 34006 25350 -64958 -51408 81706 149357 124355 -39468 172978 -85984 50682 -120455 85619 112383 95024 -67274 83759 -90257 54644 189399 -59974 72109 33616 -195598 -96911 44139 -154153 25913 93021 96305 -4752 -127976 -17275 -52024 22395 -47619 -37742 -116959 8342 -8165 10408 -91600 -132015 -18808 -131559 4497 -11842 -50553 94646 -22962 65598 -1115 -55835 49994 89468 -9178 -83928 2095 56848 -23147 -91965 -119803 61218 152150 -35170 44940 -71003 37905 -131669 71352 -168457 165237 -110887 -17962 28231 -93321 33870 230267 25023 101975 542 -69022 121371 -92725 -75951 121309 -68381 -86316 -7876 93920 -68575 37339 -71327 30682 -96052 -126709 24648 -222256 33453 -42601 -68077 -105150 -94036 -62484 
+-102326 -15079 -32651 185027 24871 35198 92982 131303 -163319 5102 22096 -50438 46899 179799 -108985 -202287 137853 -98521 23258 55375 -194753 115896 18917 -88559 82490 87298 -9370 -19433 156287 -97291 76468 -50226 -22647 -51649 75166 216103 -62722 -103790 54702 -33955 -85312 -47545 -92307 74256 3820 13010 -205939 21043 -121017 -66545 -8276 -94946 -156603 -63590 -7332 -81246 -58078 8906 128714 1364 15956 25314 138965 19611 61427 -14014 34032 -179199 -119875 -5285 140015 -122958 -21356 33527 75531 -148822 104049 -61747 -49764 -77788 70241 -23198 -40277 -7527 67970 77351 68360 -66092 -40347 20504 -12935 79026 46385 46959 -102106 173527 5136 -6225 -94398 95201 -38884 -59147 31216 40474 -69515 88234 200171 -153465 93255 193633 -21380 94358 49838 51776 -22228 -61864 -20372 -129599 43890 -77231 43285 -136088 20661 -87805 66162 -1044 -3105 -75896 -63561 15417 20970 54581 -177428 -35251 83652 -55505 149319 -56130 -40157 15561 31549 117710 -28555 -127015 89454 196939 -5211 156004 62753 -139271 32115 -112991 86352 107348 106120 -79517 97876 -119631 20497 163885 -90569 76467 -10019 -218622 -92700 -7833 -218331 40314 24407 64455 -57519 -135859 -110588 -118967 -8057 -47498 -31004 -191426 -17233 -36907 46625 -88307 -65423 108016 -134922 -3285 6467 -48246 101672 -12309 175584 -15223 -86094 73325 132610 -5607 -121032 31846 59900 18103 -72895 -86992 83366 173980 15152 13730 -102894 15293 -126998 122826 -97575 108932 -81950 -10049 -11613 -78791 -1007 249738 40208 27051 132592 -34625 128899 -82912 -66571 164724 -121829 12656 -29570 104649 -42410 12487 -90536 1938 -123119 -102592 70483 -236135 58721 -10036 -14018 -56913 -94152 -53358 
+-73682 -67397 -178 120001 -69494 61701 95797 112946 -275899 -1591 -11749 -42809 49561 110448 -42558 -218674 103186 -144838 17396 44667 -194244 115152 -31779 -95643 82083 95063 75177 -22380 132998 -71306 126271 -75385 -54937 -23769 70263 173138 -68182 -124537 -27323 -32773 -183969 -53367 -64623 149225 -81456 -3922 -66031 62114 -180778 -40760 8194 10929 -125415 -58639 38281 -129522 54221 -4415 132257 34172 15606 12279 59045 29931 42727 23397 -111 -206980 -98838 -75599 117363 -135725 -145655 -30115 55846 27249 83127 -96183 -66301 -139982 62670 -61580 -81834 12393 46301 -109802 -8441 -64135 -17300 15246 14238 79435 53475 6292 -40514 172955 28836 -89382 -58441 -9638 -19121 -126746 45753 124520 -44084 127055 188915 -72057 103224 136860 2646 65176 76844 110457 -24337 -53836 -18362 -75321 -62268 -7615 121218 -171668 33935 -103749 -70748 696 -18291 -85411 -102340 -73931 -48937 61805 -170165 -58287 29207 -72017 233638 -52704 -4686 47048 30932 199407 10066 -165937 81045 213277 -192283 154029 -63592 -159190 -49535 -154072 78417 -123312 116442 -63386 78677 -83324 32249 107472 -91173 50904 32211 -140761 -112756 -53316 -252665 78731 -63039 37812 -111524 -123290 -210320 -76209 37586 -45008 -36595 -210308 -25379 -85314 -12122 -88845 -3081 20801 -131583 46920 -6235 50821 63011 5512 160074 -4802 -99589 75080 131384 -5514 -109518 38718 92278 64952 -88815 -70819 78673 97757 154276 -3312 -115682 -89225 -109660 125405 24543 -12193 -18210 -57684 -52047 -58339 17493 244704 51827 -40916 105880 -34324 135918 -19310 -49683 119055 -51037 190742 -22694 107216 -62132 33168 -68638 -16876 -40368 -66053 19086 -296107 24750 -7631 -60955 55517 -7622 -37334 
+-97983 -170220 -27274 -18899 -136522 52253 -58728 82525 -180375 18752 -9295 -36193 53429 -8690 57045 -233397 80749 -185064 29292 16335 -183958 137284 -48456 -92343 81600 102597 88254 -21108 72968 2277 107025 -17662 -51677 7265 48764 15948 -86155 -127172 -59678 -17011 -166202 -96723 9786 187100 -113077 5913 44397 15002 -248433 -41849 34873 190347 -80862 -66497 56932 -103070 98216 -48536 130069 28256 20135 31090 -94129 62804 23718 77328 39003 -69464 -96473 49580 93554 -118252 -141508 30835 77433 110031 89396 -86929 -36229 -163439 42712 -96090 -35168 26180 55102 -249475 -92905 -77715 9611 13463 55874 84625 55896 -48330 50632 167587 2687 -64032 -45012 -130229 -7561 -147282 45372 130716 -6055 172837 175706 56130 -55836 37911 59330 74696 -100324 156750 -16345 -97919 -19364 -29899 -43231 162553 215745 -218579 46023 -93352 -96720 -19023 -37180 -112870 -152634 -158677 -78431 56447 -152115 -75202 76011 -65007 244485 -1879 7484 83286 32840 237729 55088 -198888 60171 226930 -202385 -62010 -66430 -132909 -124655 -133509 57631 -216719 114257 -88226 46304 -79038 40570 33698 -71298 32747 126663 -51816 -69524 24073 -250237 78151 -126799 32289 -153350 -72239 -138384 7274 112522 -26603 -38151 -210722 -49923 -120005 -15440 -88938 111792 -127591 -139172 37392 -41878 129433 45785 29439 41505 -18612 -63342 117421 97657 -10971 -39545 22814 53913 129823 -57199 -28432 96223 46404 227036 41965 -110895 -183402 -131372 74727 124345 -119945 34474 -59531 -87229 -55334 45153 209626 23405 -80797 -55258 -42581 83463 49991 -44829 59092 28031 275608 -36276 102886 -153555 21308 -42173 -2946 51126 -50627 -54072 -288900 84513 -33447 -93119 63435 94812 -34976 
+-78475 -140977 -21544 -74040 -176618 72218 -149449 47138 6597 39128 -4387 -46497 56494 -108264 117941 -222439 7383 -219189 -20604 23667 -146394 141735 -45906 -82167 82493 86009 32449 592 46119 132008 75955 48731 -4675 36825 66380 -103771 -88841 -77985 -60194 17313 -64780 -112185 105240 200889 -85881 29045 -4933 88342 -226714 -30607 100922 211582 6438 -71323 45401 -51546 21332 5468 125614 -8555 40274 78756 -188460 126558 15541 82847 144861 68812 -88331 219876 75605 -72120 -70044 154056 72512 -1186 71347 -49932 9951 -178496 15438 -85518 67997 17409 46733 -196306 -156662 -98190 7627 -11545 -7759 82186 70958 -87284 79238 170864 -29979 49898 -19989 -228619 -3853 -134848 38952 66069 37656 196112 161241 123328 -220833 -22575 80660 60410 -220448 197142 -9806 -100912 -14057 4801 78596 245230 268090 -206069 3939 -89065 45179 -52087 -64224 -141226 -120332 -125048 -99367 46626 -124911 -88696 64674 -84172 170365 43293 4473 115697 35684 207020 118559 -95261 34295 194515 -33765 -234924 -21847 -107623 -172717 -166704 31561 -135656 120284 -41904 -9150 -91610 -1753 -14774 -31850 15488 176402 28046 -102571 108027 -203793 46478 -160002 64827 -190755 12456 9616 50426 105800 -5298 -34361 -154833 -63065 -193401 19508 -99004 182865 -191736 -147728 5109 -100223 123426 79918 53676 -50316 -6328 -46125 86575 72072 12103 -13440 92554 76240 183846 -12944 -8736 89997 8350 193234 66516 -101052 -99366 -102990 38017 147117 -192956 64575 -57912 -111938 -67809 7978 160214 41100 -98304 -191172 -80860 24437 220024 -48909 56864 58937 194404 -43794 92497 -171216 11896 -10052 15335 -9391 -60461 -44082 -302715 30901 -20261 -99864 -98258 120676 -24022 
+-109127 -65086 -10178 -4351 -109464 35632 -69725 20407 107035 2758 26487 -56209 55302 -171070 122588 -214034 -9344 -229331 44723 30170 -129187 138698 -24050 -72340 76807 66766 -91210 4753 17280 229011 -7753 100601 22243 49964 72709 -155755 -91365 -109059 -105166 -20029 32816 -137579 176358 155842 -22678 33834 -132008 69518 -253138 -7661 111881 76644 102791 -62406 18900 12334 -91604 -20638 123469 -40019 55977 48283 -155664 138046 20518 -3406 145982 23094 -79977 201489 47538 -15506 82915 211960 69572 -166330 22986 34719 40269 -155108 -42063 -50219 161431 3015 14661 -1024 -83632 -112063 2719 14951 -54069 84556 76839 -119322 35915 176474 -26498 161968 -16581 -265565 -13711 -84109 42559 -10784 75713 213293 145687 76313 -225700 -35275 76603 59193 -149643 206823 -13156 -110426 -14412 19086 126221 196914 276623 -233786 7115 -45540 157391 -94449 -85071 -108629 -83293 -72592 -65796 61030 -89507 -113872 50318 -81210 83086 49208 2705 150503 37057 125606 177054 -14171 12300 157481 117474 -97426 129666 -72156 -167362 -182590 8827 39976 103758 -37213 -71004 -48981 -2855 978 15077 -19349 134513 -631 -51106 71130 -130556 93641 -158892 98951 -221965 50055 81436 11247 140701 17728 -37278 -95433 -73809 -184697 27391 -92013 189461 -82756 -139976 -15607 -119358 26250 88135 64759 -8078 -15756 -25803 117172 3502 38257 10481 41714 36492 205436 47932 2971 74973 -92888 76208 72933 -98430 19002 -105839 -29744 102443 -177097 76019 -33173 -121910 -86860 51883 100984 25464 -75211 -173820 -124831 -21433 209081 3958 67197 -31336 40721 -37066 79504 -87045 30854 5231 -12958 -80124 -99946 31809 -263977 42060 -42147 -68955 -127621 28136 -27341 
+-111948 96 -12821 111438 -45057 47964 80724 -17763 -21188 16230 26288 -58029 55044 -186990 107305 -170807 -35828 -221936 56857 71684 -90244 143311 -9540 -67115 80233 66692 -95593 14336 -62832 254209 -67856 77727 -67022 68404 78254 43286 -98156 -99787 -116228 -46614 -30944 -135633 174497 103791 34135 13722 -216399 97388 -178555 17383 130676 -51005 201284 -49662 -29471 38996 -184718 -28420 115744 -46976 54913 57115 -14273 85737 36026 -30772 71010 -146882 -66712 36710 -6250 30060 193772 145700 65879 -154722 55442 97493 79405 -96834 -68056 -52410 176862 -26327 68895 92678 -28662 -132061 -8544 -2346 -32148 83255 92332 -136805 -55679 181405 -40450 184705 -21426 -209958 51025 -45785 65883 -21141 95481 221018 129033 -47270 -64775 29570 48106 48840 91871 202531 -17567 -64813 -15288 4617 56031 53063 250042 -222974 28418 -42956 74074 -65355 -116866 -136831 -24979 41868 19332 53775 -49195 -129847 40225 -68382 -31862 47559 -19553 162850 36062 32164 200248 29713 1651 101473 57347 98940 181654 -53313 -145050 -188586 -13447 131762 109930 1801 -74976 -72389 87038 75122 43775 -14799 38998 -74223 -12661 -35438 -52465 96563 -110460 125789 -232111 84819 134899 -81498 132144 3484 -33708 -14937 -73125 -174837 27126 -66688 193764 78995 -146746 -11287 -111382 5741 50314 64091 127233 -7986 15023 145264 13113 18229 13632 93420 74564 228737 92292 23547 42559 -145700 -32411 90691 -49893 65333 -72427 -59671 -6012 -135711 39046 -35531 -115879 -90704 75411 33504 -5696 -20297 9959 -153751 -80601 109433 7619 69163 -97867 -80817 -54338 67901 -19096 29327 52066 -17372 -92203 -117507 68262 -246827 50317 -42418 -26703 -57685 -54766 -4506 
+-91000 -51969 5072 177942 22276 35260 118297 -21253 -183507 -10834 23131 -62319 50329 -124869 88681 -122115 -6097 -209734 53326 47755 -53983 115595 25231 -97244 72180 28167 -30139 51893 -81880 222692 -153759 18748 -59185 79802 97051 188471 -92008 -140524 -56657 -25022 -143227 -140775 132784 44093 4606 -3746 -130378 52684 -82033 35896 108933 -80863 232664 -50467 -115455 -23613 -210220 -14232 117368 -15250 36892 -2295 124935 33148 56811 12101 -13100 -224120 -72008 -72547 -9407 52034 242071 29076 43510 21714 37661 136624 130520 -30641 -107303 18815 99500 -49953 67227 -5103 84607 -139957 -41347 -1419 -19506 80543 92248 -186760 -119225 185785 -74634 99159 -79801 -97967 -5855 -54373 62725 36980 97269 210926 111548 -149117 98781 120934 46164 40297 108257 172788 -12101 -94582 -26445 -26412 -49039 -54559 219384 -208959 38150 -112 -39696 -56154 -139809 -137774 -79244 92207 90210 68836 -6739 -142229 66199 -75008 -55199 -13400 -10980 144809 33280 -36164 170079 28992 6647 34186 -125921 168355 124746 -34233 -85288 -222970 -28384 73656 119194 5850 -77854 -53708 48966 160926 53649 -48426 -12023 -170303 -13263 -44064 36768 78383 -39329 131343 -232809 92904 40992 -121127 163071 1435 -27949 68865 -76790 -95227 20100 -103235 94529 100374 -139680 32819 -53542 -63001 39645 49125 178179 -563 71217 129309 48490 33969 -85842 56735 79947 224596 138949 3642 26578 -197170 -7885 139211 -13708 -33660 -51424 -31944 -116775 -26773 -21595 -40432 -94728 -75976 20998 -11950 31692 65578 126772 -161172 -114500 -48956 46933 99561 -98441 -107212 -48358 61796 -26705 44782 62552 -43899 -70889 -112873 40401 -200782 8121 -42599 -48533 60228 -94062 7754 
+-73765 -114119 -8466 121351 -20809 17184 -39444 -12723 -286284 4960 -25475 -54091 47272 -16752 8228 -72416 44732 -167576 66073 13907 -2740 73787 26491 -70414 81552 -7567 87646 2029 -127039 131249 -195968 -49831 -33771 104496 79862 232968 -72760 -94847 -29487 -53054 -202298 -130774 60738 3901 -73944 5295 18808 52464 -5243 69568 131851 39625 208682 -47138 -191115 -76175 -119121 -11638 107129 27335 24659 36372 141676 11903 71203 36736 -368 -107883 -68020 10592 37535 30683 156566 -31147 62221 135276 61014 111316 97420 31778 -142620 16920 -10806 -51727 39401 -184010 90082 -140286 -32407 -45754 18112 78609 94973 -195725 -125103 187756 -102448 -26462 -107683 21951 6110 -94790 55606 114541 76568 177532 93113 -157279 97238 196029 55462 53584 -58330 120503 -25704 -98512 -23767 -68301 -43544 -10929 146664 -177684 -13368 11046 -102283 -41544 -154542 -111627 -134168 130534 105148 59599 35288 -154817 68570 -56203 1643 -38531 -17597 85929 31120 -46044 195386 -63129 25025 -20938 -224788 8193 14862 -58398 12411 -223459 -38826 -63280 109215 -14070 -33738 -74175 70664 196254 27736 -87182 30404 -236152 -28957 9113 96825 109637 40901 96295 -200284 49123 -65902 -37160 178759 -13545 -43046 105730 -79968 -65899 -9847 -116103 21100 -59317 -142204 47820 -6720 -3293 64900 32233 67455 -646 75245 124346 89842 37104 -167138 102389 86352 199329 158399 14486 26359 -193384 116427 130236 31426 -133040 -2915 -5117 -193921 97670 -89486 -13038 -61846 -58858 13642 -47671 13659 138324 103054 -153960 -113751 -107268 44735 114162 -39896 18908 -51855 63020 -144089 36385 117057 -21005 -16709 -75419 -42171 -170808 -39804 -51612 -72108 82113 -58101 28890 
+-82069 -148000 -8507 -1530 -116274 17132 -175452 -7901 -180068 2283 -7073 -45182 47263 91354 -46414 -24761 106979 -117364 5077 18734 32854 27342 47975 -107646 84199 -27010 67504 28682 -123138 19708 -223307 -47879 -34590 95905 76603 86805 -70508 -74409 33506 -62851 -123516 -73622 -15721 3644 -120931 28520 28658 -5255 58641 96704 64723 190538 161159 -25896 -224107 -120671 6595 -25661 103398 27405 23341 22185 47587 61143 74363 55286 132425 53198 -63666 209926 67001 -12698 9625 24342 87541 7273 73700 58681 115892 104602 -181075 22693 -73358 -52863 60994 -261175 56109 -152255 -31686 4994 13836 77139 98279 -171290 -65235 198765 -98701 -93204 -132637 103447 -21082 -136848 70157 134287 40769 140827 74616 -56996 -64822 197860 -8216 63490 -182358 69388 -15656 -76677 -19805 -125180 86411 119094 39333 -132383 -7662 87833 36637 11979 -177161 -84384 -162450 65812 104947 65523 73890 -161916 38118 -72790 78667 -50320 -7547 94759 31184 3077 174668 -145147 52047 -72049 -104292 -185245 -109904 -80835 59662 -226139 -40368 -237373 107354 -55274 677 -112256 96739 102288 -11047 -100301 118862 -183373 -18912 118504 142075 112925 109107 63287 -176458 21068 -143432 19216 155681 -21691 -29882 94870 -70558 -44098 -28982 -63636 -67770 -202269 -123121 20484 -4222 52614 24679 4051 -41267 -3860 90542 134266 119481 52683 -138292 80633 79065 158080 206266 -52541 -23927 -169229 215276 155636 76901 -168742 -10479 69053 -164298 135992 -122580 -27841 -22326 -53779 39715 -52587 -24635 195323 -63449 -120589 -126542 -98334 51239 133410 46462 154583 -61073 72106 -181513 14478 138307 -16722 16161 -58799 -51270 -90900 -40468 -24983 -109161 -32398 43940 34216 
+-70709 -83712 -9337 -80396 -193018 -364 -103252 -2923 14409 -7074 19926 -39655 49182 162479 -75957 27837 120428 -73519 15522 31498 54978 28009 16505 -71659 79622 -57816 3971 11066 -113220 -63159 -202464 27621 -3468 106849 80718 -103046 -51841 -96965 90706 -18278 7821 -40870 -76602 34770 -90493 34136 -57496 -31027 122449 94539 37759 190041 51058 -71337 -236040 -106629 88476 -6616 98799 7064 7856 32267 -81593 138004 63610 22174 170673 49382 -75071 185448 54106 -69574 -112627 149913 102008 -179540 140483 -3344 101191 177728 -205787 -1095 -55637 -19873 80150 -114464 -55349 -152750 13361 -15004 -19057 76893 108799 -131552 26331 194003 -79519 -48665 -105111 91083 -2347 -151722 54179 69825 -1998 109543 55936 72411 -224896 150804 -73997 92180 -116650 13794 -20682 -124674 -35501 -171501 145285 243884 -8338 -117802 30750 144336 131928 4403 -181808 -108068 -102939 -38792 66111 53215 106645 -161872 28211 -48785 183354 -38447 24331 52770 33490 93262 104685 -185014 74721 -108037 79601 -146698 -41493 -114037 51738 -177422 -22531 -151822 103277 -75797 33116 -87758 100005 32975 -58458 -94862 174579 -81357 -10958 94956 135734 111045 145294 40058 -137389 -51967 -198688 41717 211638 -44267 -31649 29603 -46247 50071 2087 -93540 -84165 -162231 -125960 12018 -7133 168760 43660 -16032 -58078 -6314 48936 127176 142921 49960 -74366 96118 104389 104187 234223 -73155 -53321 -87704 215502 122413 127105 -71738 40441 108329 -35998 170729 -119038 -48682 18654 -65327 32708 -33222 16979 228652 -197735 -82071 -84478 25190 52410 104910 67096 277570 -53601 84948 -120015 8251 162350 11597 -59405 -48521 19225 -16019 -52591 -42186 -143537 -118472 104969 44228 
+-82793 -14573 -12410 -8440 -98256 -27396 76113 25555 111474 4267 30855 -44558 51683 170553 -211132 68857 97571 -11850 9285 61250 72220 -5047 -20430 -124188 78833 -66968 -83521 34116 -64509 -101192 -156242 88964 -29229 106817 53565 -151178 -51174 -56781 71950 -5415 22399 -48964 -85771 86777 -9909 14409 -174706 -4936 106781 121679 6629 15030 -12830 -25702 -223788 -42706 52833 -5254 92186 -36007 28049 29259 -178084 152545 43944 -7338 69288 -120181 -75414 35376 108364 -120922 -160386 209927 66616 -156695 99746 -64357 38434 170887 -221557 -30781 33503 -8705 67016 45277 -122174 -150059 26049 -35218 -49925 72669 115900 -94993 77063 194042 -71648 72420 -63714 4331 13771 -115715 77592 -11554 -42403 54435 37410 123701 -220638 58028 -64492 81974 90341 -49769 -7786 -143117 -30608 -196220 50348 183588 -68002 -58178 12088 171446 133216 6454 -190329 -86140 -61941 -134676 19857 52244 130773 -172672 46203 -61537 242691 15872 3176 22551 36233 176733 69583 -162840 88759 -117596 101620 62216 39314 -141937 20879 -198201 -10745 17664 97687 -104050 103303 -65784 68512 -24933 -86695 -131712 139543 -2956 -59407 -8671 88862 129577 132695 34987 -87461 -106365 -90699 -23201 221296 -44349 -31735 -61469 -36662 37743 36729 -83253 -46000 1588 -116766 3519 -66620 124235 28151 -23104 77551 -7106 -12682 106176 80518 82150 5460 92064 74438 46157 254605 -81137 -85878 -63657 96189 115206 183670 49415 70030 131008 77796 97293 -73207 -72239 53974 -82739 44917 6982 -4972 209685 -157870 -49974 -42180 180543 88357 57714 -41003 217079 -40143 97574 -42272 -24870 158173 241 -112703 -85398 69993 -11114 -10889 -40767 -54639 -90716 105637 66678 
+-107513 -48368 -25932 92695 -57597 -15051 113112 45780 -5641 28817 30163 -56219 56099 108603 -199399 86902 84542 26338 -32327 54474 83714 -50445 -41243 -87646 76156 -74380 -72585 20178 -44562 -48197 -96412 82345 -43692 101313 68605 -39953 -54878 -27664 22550 -10360 -86764 -37319 -46113 165166 -354 -3623 -180001 -45861 96981 130846 14779 -70141 -102291 -32819 -207868 42650 -49480 -27107 80390 -43690 43849 39243 -136548 90202 24872 11343 -27464 -229109 -92758 -68559 136614 -139069 -82407 152015 53937 -23185 105578 -90727 8092 172299 -238034 -67707 131724 14578 31155 67145 -142024 -126578 20646 -6956 -66535 73618 116639 -61653 64094 211911 -21808 174057 -43410 -119957 -5472 -72968 78923 -29016 -68190 9227 18852 64931 -55597 -10855 -107041 90010 94896 -88335 -5333 -46198 -37361 -190215 -67630 81889 -98966 -52218 1221 213179 -3395 1585 -194205 -93448 -45419 -143110 -77503 49226 145033 -178289 63928 -49883 213348 62869 -14049 37669 37084 231560 -3485 -105320 86273 -105359 -57106 196673 171764 -148943 -62991 -188291 11715 124838 100381 -56492 99304 -63579 77424 7091 -91878 -160685 49373 27956 16945 -77921 23724 90681 86892 66250 -44217 -153733 1272 -87435 228886 4473 -33476 -125399 -17920 -36436 6095 -39586 20915 115666 -102324 -2454 -94499 19775 -7816 -21943 201828 -2412 -61729 88850 41976 70923 27793 42573 95147 -2480 226531 -128931 -83220 38271 -14738 55273 197110 6504 111645 81934 161827 18919 -3356 -58218 78786 -91170 17312 62801 9506 167388 -5967 -35387 -22098 185584 61185 73407 -83795 47963 -48477 105281 17619 2307 157710 -14866 -90523 -120380 37634 42430 -1979 -57773 -42265 44190 22187 59030 
+-80271 -109379 -19978 187470 9239 -33071 -30219 83829 -171497 33019 16918 -65133 58812 4267 -267332 93145 26665 55163 -5057 32205 97129 -97971 -69251 -66443 77339 -90462 22833 15099 17738 51001 1847 18321 -31510 92990 72668 106936 -63266 -31422 1812 -2320 -178845 -63550 45024 186844 -22229 4698 -29777 -44558 19270 143873 -14120 -41780 -132139 -58862 -117482 36994 -178026 -9120 76711 -15861 59946 7261 -2467 22858 16379 23847 315 -140038 -99774 47888 105680 -124129 48369 30160 55896 142412 115396 -84214 5894 150537 -222691 -91398 172869 20582 -4135 -84799 -101691 -144663 -22439 -21243 -24080 74928 122527 -6895 -16296 201271 20812 176341 -12958 -222091 323 -43964 71456 36966 -74082 -30873 890 -59423 102624 -33554 -131045 112978 -111071 -119574 7802 -102510 -38122 -178453 -49298 -45829 -60292 8819 22787 255987 -82534 -8179 -188892 -62778 -117614 -80185 -83625 53004 148269 -166432 66200 -20619 107478 54030 -29238 66593 35385 224052 -65407 -29806 70198 -69385 -213615 78136 242366 -125720 -124802 -176131 39649 71035 101169 -22641 84494 -46761 35048 101054 -74814 -157521 -12796 -44368 -21116 -31026 -61195 122113 3750 103860 -2864 -161432 139576 -112279 227739 -13930 -28891 -199037 9241 -56194 -7261 -113627 144273 20298 -98884 10575 -129528 -27798 9671 -8611 144762 -4970 -85796 81287 6441 74278 19670 77586 56090 -34650 242846 -105886 -93382 111734 -30171 21629 206231 -123287 150558 23342 124494 -87768 57507 -51824 88752 -78010 24293 126502 18011 99399 144915 -38677 78470 131306 97140 31370 -71394 -99399 -46169 106654 -67597 5311 171731 -13590 -30976 -136811 -32757 82688 13052 -54297 -32479 58080 -81431 31642 
+-92184 -160959 25943 135369 -28000 -15225 -164006 94136 -262034 22990 5352 -56261 56119 -102398 -321957 90602 -42279 75478 32961 10609 93010 -118585 -46642 -118034 74535 -73581 104171 40529 77425 175944 63812 -27127 -43923 79540 79486 173717 -71694 -70260 -38506 -53532 -193294 -105561 124230 194611 -81855 27958 48222 -16601 -45349 132119 -52233 96907 -161089 -65191 -51846 -24212 -204363 -31784 68778 27813 54469 27004 122223 5937 20631 65864 104236 37559 -111021 190469 106366 -72357 172245 -32422 62165 82377 94702 -21953 -44939 96225 -206475 -100377 130324 11699 23252 -225168 -466 -137783 -42452 -26191 24512 76076 132222 28875 -96615 195463 2777 77472 -18625 -266595 -25549 -61063 57932 111998 -58672 -61510 -16438 -154543 93820 13401 -151798 85761 -215614 -131008 -14858 -88176 -38383 -140668 89557 -10584 -10878 29516 35647 271709 -5347 -40039 -172092 -73059 -161552 9272 -92375 50877 139700 -148257 50270 -41550 11907 25693 -24505 74076 32627 156733 -75744 37578 45451 -18863 -173530 -174358 136118 -67415 -196973 -154379 64012 -92615 96483 5478 62154 -70631 46503 166095 -26710 -177592 27803 -150359 -30901 87604 -141423 107844 -68766 129356 17714 -116372 116813 -16627 258438 -6102 -25249 -198973 26034 -134426 -13855 -97037 204008 -157035 -91060 52678 -104954 -107247 -12747 7376 -11896 -2096 -94852 49086 25993 67579 -57280 59821 84156 -45518 213265 -161329 -94113 136613 74460 -9361 209088 -167068 134621 -28419 72187 -163850 79979 -47058 83449 -61214 50423 188971 16813 24111 104831 -69791 136447 12786 107290 101016 8268 -121814 -30033 100711 -186775 -7645 162838 -44613 82268 -102196 -38877 84297 36601 -51602 -81809 8597 -99796 27775 
+-73449 -91073 27300 17100 -150067 -42649 -100203 123852 -192100 23004 -5065 -47846 52030 -176560 -278637 53073 -36226 74249 69689 26321 57419 -174018 -22737 -107639 75540 -67394 87755 17834 123700 241936 121659 -55903 4496 53114 87192 146659 -79507 -59001 -78602 -65179 -68373 -136329 169871 143896 -107370 34350 -32647 -16192 -119737 121804 -25163 203884 -123825 -82733 -8749 -73312 -155790 -20931 63087 34564 35698 28253 136963 71758 34647 44708 170588 71442 -119296 212575 48462 -10453 238969 29627 82134 -140599 82752 38778 -51202 18484 -175995 -76162 27435 4762 19811 -215103 77090 -120194 -36538 -28481 25394 76425 130305 53225 -125202 197953 43456 -44550 -23110 -206512 -13698 -115104 67731 130161 -25025 -84439 -32816 -150283 -74047 89966 -162450 63618 -118271 -115362 -16162 -101961 -38396 -83270 141754 101129 39535 87052 5821 258874 126696 -42272 -156128 -54848 -122811 94110 -15610 59661 120854 -147206 66778 -36495 -61130 -19692 6986 101825 30922 56399 -56774 13583 19837 50575 18322 -224978 -794 -70631 -180015 -150363 87812 -235918 86240 -34650 29172 -69924 378 175653 16127 -168844 115252 -216713 -103435 83218 -195747 37128 -136789 123089 58843 -57642 14868 40634 247424 34472 -33871 -204089 45773 -163157 22355 -72600 176005 -197331 -73710 2500 -69943 8480 3737 33059 -83793 -9330 -59699 50948 52017 89580 -130256 18233 58125 -32260 190376 -115347 -94874 144455 217419 17852 193620 -120653 141497 -60905 -56402 -182974 77314 -24826 62830 -51801 16144 229226 26927 -52113 -59142 -110988 137799 -88350 126191 108347 20689 26500 -29230 89428 -187700 -12847 151745 -16367 20238 -65361 8516 75902 48311 -39819 -90735 -124497 -21823 63606 
+-68215 -24128 -3737 -59929 -169253 1986 62800 119907 18836 -7889 25787 -35791 50870 -179382 -244351 3633 -32204 57039 58519 56794 36955 -178826 -4069 -104530 73952 -42012 8648 31708 142161 240362 114427 30162 -22522 31722 84049 -12682 -85420 -26090 -124545 -58882 27644 -172562 184640 81021 -72755 15132 -170332 -8474 -186447 126431 -17743 156460 -50513 -46417 45527 -112558 -43669 -16834 61114 14302 17686 73246 20257 124682 55898 -25321 117477 -76072 -126434 72018 21546 33418 189278 155410 86651 -186740 54534 107884 -25850 -46101 -145185 -62763 -57084 -18450 77563 -42235 91469 -147353 -14607 4860 -28828 79291 139172 81087 -98967 194929 27196 -93757 -79907 -104510 -3575 -154976 57409 70017 16113 -84125 -48270 -44304 -231362 170853 -163955 71625 61346 -82631 -20763 -99541 -38723 -30793 55041 235411 143083 64653 28345 263907 147666 -67963 -138135 -38211 -58045 115432 60946 59575 92975 -138849 32306 -43798 -36374 -50712 -2517 121833 31638 -24056 -54156 -37368 4553 113201 117990 -15981 -117921 -35047 -134858 -115679 79140 -172956 79418 -31016 -33513 -106197 20987 133277 49326 -219732 172291 -198570 -88375 39096 -253449 44445 -164998 97023 66061 1831 -94584 48918 219727 -9543 -26260 -158032 68752 -150822 26574 -57817 163136 -81278 -62125 1925 -10044 86696 803 54495 22290 -858 -51970 68942 117380 91350 -134840 2660 26345 -1486 146796 -109893 -66030 118866 224184 -11534 183856 4001 132068 -24582 -181283 -165716 24799 -26060 30297 -66085 48707 249317 -7737 -89020 -188486 -148032 148794 -96729 122026 117723 -9527 152336 -14946 75893 -91569 -33085 153984 -37726 -86250 -48204 58044 84559 45950 -43967 -114385 -97850 69747 88531 
+-93052 -32095 -18155 -27293 -100980 18974 122573 129620 125151 20833 31788 -35712 45692 -133730 -202040 -21880 37155 25736 60432 64757 4681 -165196 51994 -83998 76656 -15494 -44882 12382 162829 184765 95768 69645 -19231 11509 69304 -130999 -90973 -20415 -127618 -36010 -9185 -145085 121909 26884 -28978 -3407 -191202 30626 -260632 107629 4635 10648 68013 -70427 54429 -104331 57810 19053 51776 -36714 16449 37315 -112268 147288 70375 -14521 8283 -219107 -127111 -63152 2084 53195 59691 211270 60703 -39014 46301 148390 -21846 -121304 -108398 3624 -70410 -50000 27047 90900 361 -83844 26861 -32520 -56619 81980 132250 82517 -10047 191443 -13763 -30935 -116711 16098 12518 -129074 43382 -1082 58393 -84754 -62481 84039 -215685 199308 -153452 42636 106859 -38124 -20301 -69883 -43521 4682 -58536 200714 209327 122478 29191 272493 9391 -31579 -115672 -57114 -50663 79840 108286 64122 57172 -118098 64652 -42120 37970 -45497 17385 150692 34259 -51721 -31285 -115935 2710 166344 11128 175885 -46336 -16519 -58217 -91260 77806 5195 88247 -16314 -76160 -78607 59167 75752 54981 -231688 144440 -118965 -70460 -51175 -259571 69012 -156613 56677 73662 64032 -181781 -70552 280222 -21097 -30518 -71775 77498 -167337 17480 -88904 53025 88641 -54691 -1104 -23174 120583 -924 66248 141810 -343 -29591 -339 137397 104975 -81805 13267 65387 48960 70712 -50205 -64593 68492 133862 78371 129243 48728 103086 7573 -169428 -69967 -43717 -34942 -9425 -83689 57842 243371 -3556 -101485 -166491 -164154 124969 -13719 118057 143549 -60715 249107 -12427 65696 -27352 -1820 127377 -26260 -143423 -66751 53544 59312 57527 -28130 -60076 12834 106953 74584 
+-81469 -94601 -28735 107225 -16457 18959 -4213 139616 13106 -16111 -9608 -51146 46122 -18071 -103040 -95340 108588 -34105 40713 54018 -23452 -202023 52566 -110494 71972 15634 -41840 10527 139435 90107 28738 60583 -53403 -24588 79484 -56898 -97925 -23999 -94343 -45553 -151883 -101049 42899 14994 14937 4109 -116876 47802 -221694 75173 20879 -96387 153774 -55830 28013 -44093 79211 9527 48312 -49207 17922 62656 -191018 85084 74601 -415 -6993 -162570 -130262 11168 -5068 34836 -74341 151754 68560 129309 74131 113948 39249 -155147 -63883 54410 -9102 -47657 56215 30130 -48633 -79008 26974 -32438 -44740 83644 146387 87870 59755 199002 -37682 93988 -124450 103109 994 -94394 55873 -22374 88888 -65341 -75399 122918 -46683 170642 -132525 56290 -58628 22187 -9498 -98243 -43757 20812 -53050 71065 250584 83725 -1214 236099 -104644 -63802 -89389 -63461 -100691 -5392 117813 68278 16507 -95371 41232 -55465 156241 -16382 -5786 159748 36652 -18244 63935 -171117 16672 202330 -168140 106736 70815 -77789 18340 -115422 73562 95916 81607 -73523 -54192 -88617 90461 -25810 33448 -216611 52330 -26077 -128100 -45903 -217536 27075 -94080 40201 48384 74681 -156802 -105393 235958 -15321 -29752 37527 93115 -111821 -10678 -66884 -27882 74179 -37789 42944 -4072 95800 17357 62963 186719 -5694 22462 40596 131225 94076 9929 -29166 38357 103028 48250 -37969 -16735 8439 -272 49332 129416 -51001 87344 73605 -152918 70354 -101109 -50852 -50148 -89654 4283 213060 17441 -73662 -12460 -156957 123878 120465 125343 124457 -108115 185381 -14767 61518 -33499 11049 79359 -52575 -106913 -102280 -30207 6975 56869 -29178 -48252 44418 64660 82344 
+-111758 -159098 -15422 185001 18325 21650 -161662 127898 -150017 -1515 -21155 -62757 47807 83405 -27036 -122324 134901 -74496 603 12911 -71872 -167646 49591 -91156 69462 40277 30185 18946 135224 -35408 -16655 22382 -55810 -40768 66902 73082 -93881 -37658 -44733 -3721 -197667 -78015 -45359 4319 8344 27416 21174 28937 -186076 42833 110452 -52452 191486 -42932 -12117 3827 8140 -8933 47597 -37155 26496 7308 -118505 9526 63841 71197 67908 4829 -126654 177992 40190 -14219 -136148 27481 69395 71819 54941 43381 52814 -186440 -25646 13300 104057 -39265 38737 -148533 -142255 -82858 22641 -23774 -12605 82865 148609 24261 76447 203512 -62417 182627 -102079 113190 12327 -51222 56754 30725 100775 -41154 -86569 52817 107844 78282 -122534 57286 -228575 84577 1942 -64926 -45470 21890 70017 -31990 322941 69529 33515 215010 -43729 -628 -60785 -54980 -149896 -110642 108555 73004 -26429 -71946 70104 -69738 237688 45884 -18992 123901 36799 66628 52409 -178788 41098 220367 -219076 -91412 185920 -82352 62115 -71740 50853 100992 67593 -89746 -48819 -96444 74815 -11158 -10124 -238075 -9802 -5353 -74601 63889 -149819 22408 -16285 35197 20077 78286 -32577 -87181 250790 -43991 -24159 77800 100510 -96153 2779 -51057 -82510 -58089 -33598 28485 -60377 -768 -22490 50815 76765 -5717 70677 3284 83917 94880 28339 -1202 27419 151767 -14371 -11296 651 -64813 -36646 100745 75604 -152911 54369 115575 10992 147264 -128860 -73389 -86111 -82361 47671 164819 21630 -15252 115120 -133680 56624 187376 138246 87728 -32114 36872 -8025 64769 -122550 -9518 65933 -59426 14386 -125955 -46819 -18848 24954 -41876 -78384 -45135 -51711 73561 
+-74506 -118780 -3134 142909 -51926 71007 -119199 109065 -262826 -8080 -22724 -60370 53793 159836 78997 -182461 131430 -123797 -3364 31670 -109528 -151385 9372 -104947 73057 68152 83635 16974 92939 -92097 -106601 10401 2999 -65918 61774 147706 -73892 -31752 14405 6577 -115430 -61638 -75518 58933 -60159 34606 10486 94734 -137203 41695 99818 120069 228495 -57172 -63770 34980 -110718 -12878 41602 14004 50221 13511 27758 16549 44546 48842 154888 71543 -114653 210242 58598 -73012 -139945 -30179 59276 -88247 68046 -21095 84129 -182542 3921 -14723 169790 -23119 25091 -247782 -106818 -22198 -14285 -5962 14335 85026 149382 2426 9402 200843 -103251 165063 -83517 41082 20233 -46963 51357 121182 89123 -10464 -96487 -71548 87369 3525 -69966 64627 -137113 137612 -22236 -76724 -43707 -15132 133362 -44391 253852 33950 13317 160084 87157 95 -42285 -100518 -149913 -140374 41553 59252 -68380 -62897 65453 -42329 240964 53663 -39216 106036 34722 155510 154510 -97903 66790 214203 -57520 -208071 194467 -128985 61115 -53201 40184 -106014 85080 -89954 -19095 -92619 67505 70830 -55414 -220295 16657 -31239 -132603 122428 -64465 -10365 66903 71389 -23609 41610 95903 -9999 258752 -47067 -24004 82934 99965 -35664 14073 -74726 -67551 -191051 -28064 23327 -129677 -62965 -9976 30659 -74302 5836 58182 9839 30415 102937 -869 -59946 43818 201780 -65659 6648 37880 -127534 49013 183306 12879 -170014 29045 131246 78628 174339 -106533 -43362 -111192 -60601 28298 102795 31014 62634 108679 -99997 -25661 159314 119183 50957 23801 -87677 -3112 75181 -208973 -310 22215 -54226 16715 -120657 -2725 -104885 -19959 -11411 -74110 -114228 -103220 79660 
+-92270 -22762 -54316 -8660 -145606 62231 58223 61659 -175409 11703 16729 -52630 58848 176366 68681 -220355 80195 -169595 -707 32437 -136811 -172552 -19629 -77297 71894 77731 109580 8091 47422 -91462 -159200 -52314 35614 -92454 88397 197334 -70330 9521 -1420 -59312 -1650 -40919 -71840 129982 -130815 15829 -105093 83344 -35413 37123 140051 238445 199858 -43100 -125598 -31068 -204536 -10510 34285 30986 55723 43246 131688 61156 27241 14705 163132 -39658 -114743 39716 96708 -118339 17608 32363 81221 -196438 58234 -79362 123188 -143748 41504 -29999 161755 -1277 -13883 -158318 -33508 -15184 -46782 3307 28914 82328 147438 -59726 -65914 207321 -84972 54999 -37180 -106269 5468 -89695 57122 136725 58795 42100 -104139 -160696 -84116 -41687 -58323 54225 66112 177805 -14338 -106885 -38624 -68954 60538 77249 211043 45741 3793 127938 154620 -19913 -23188 -92799 -58800 -112836 -48698 66488 -106387 -50282 41772 -56923 180725 49971 -18911 63240 32030 225897 199808 -40673 84536 186432 98228 -78076 85746 -163063 24147 -28701 7069 -223961 90295 -69317 17853 -76597 148959 152106 -83031 -244785 107152 -137579 -111893 59953 16881 -35720 120880 112695 -66484 -9027 103370 50311 261178 -41736 -32839 74435 97883 16481 14540 -88560 -16783 -149789 -12619 1707 -142951 -11582 -13488 3735 -15587 -5811 73669 15707 -7698 99178 -52912 -62542 45009 226771 -71006 -1959 52078 -205243 174436 131907 -14576 -40278 -17672 97260 178682 170568 -44074 -54236 -121708 -52668 35446 38278 2347 136443 -47620 -61655 -43227 9589 121639 52843 50254 -135685 3818 88370 -112032 1292 -10172 -51476 -44504 -86979 69162 -158278 -8336 -30002 -103559 -112195 -77096 57645 
+-77422 -8259 -32022 -89851 -156186 56180 112263 59557 25883 -321 36666 -45373 55910 125844 92157 -249918 10130 -204966 -11600 52287 -164383 -135740 -46816 -99226 76279 95246 -30628 -2195 -380 -7312 -219124 -22182 9709 -121344 96951 11264 -51610 -14942 88166 -58168 33695 -48999 -12779 167532 -84523 -3187 -180061 42107 20646 -15503 137499 154136 125354 -73987 -199796 -65632 -201013 -3890 39985 22512 57244 61509 124077 144064 15430 -25722 59093 -209848 -93136 -86810 116447 -143778 143114 150717 79389 -62765 79100 -103443 109733 -83278 57819 -72961 66312 18321 26549 28626 70178 -29499 -39819 17354 -23548 79763 163886 -99463 -133133 169083 -121058 -60427 -14685 -209359 17418 -147730 37914 88904 19015 95042 -110201 -139184 -234946 -10479 1669 62953 121985 205447 -17466 -73136 -35619 -111411 -51578 231465 127607 -70692 9010 77509 32483 -9008 -4085 -130958 -39023 -42713 -91408 55977 -138261 -23217 63425 -51608 61651 16792 -18889 59606 30859 229832 194699 15980 88916 137561 78913 115304 -24885 -124850 -75497 -8408 -19227 -180690 80354 -22134 77460 -45006 78373 195794 -97313 -227489 172193 -217586 -122196 -41181 103056 1862 142189 131293 -131445 -68192 110775 -3645 193227 -746 -24263 5793 95248 1198 7681 -87949 72909 3595 -11938 -36597 -141557 59404 -18490 -16896 100409 -6760 65637 39724 20509 94528 -96712 -83078 67530 229519 -100061 11637 80687 -195019 220241 141003 -59189 37808 -28924 33387 90927 25501 9098 -19198 -116314 -63686 57572 -13058 44270 198436 -183590 -40250 -119153 -77095 141805 69307 -12532 -3516 -4652 99988 -29532 29666 -43395 -63792 -102938 -53640 55482 -196607 -63246 -39939 -93409 34519 14886 37334 
+-89291 -79277 18296 -26204 -116631 50409 10843 25336 118796 19121 44828 -41856 53941 15679 87944 -228027 -15290 -224029 12824 70540 -198805 -105131 -69426 -88158 72833 95518 -82629 18143 -67685 104097 -229507 85848 -10892 -146145 83955 -100841 -49002 -10775 79450 -37311 -80772 -62907 76434 192063 -4775 3568 -167801 55292 47203 -35788 115580 6292 30046 -48094 -249021 -124157 -83943 -14835 32130 -14784 39749 43696 21789 125749 18147 -12577 -6582 -192068 -94567 15666 102434 -118697 211857 213432 75609 123862 109980 -83064 103212 -16535 68241 -87837 -41717 20256 77456 79731 72366 -34079 -24376 -18668 -43693 75717 151945 -129030 -123258 188592 -86418 -90689 -23665 -257874 15210 -154171 32490 3766 -24347 129915 -114379 -24036 -210110 75163 30315 70542 -72741 206965 -18352 -54118 -30779 -153223 -49373 222850 56847 -80282 27489 42385 -71745 11573 12278 -148534 -66231 65054 -86655 52488 -161798 -1011 40950 -40348 -40137 -20796 -13703 33610 32185 176149 199818 15346 78306 81002 -103761 159111 -96776 -101587 -147113 21409 -27691 47589 83760 -11497 122331 -64395 71378 135599 -67983 -228798 149847 -213940 -140650 -74328 131558 -6531 133579 125698 -167947 -152500 -6860 -82390 210777 -26136 -22945 -67067 79303 2391 -14686 -37611 157799 104971 780 4569 -39013 120538 4618 -22737 208636 -6369 1094 16702 73532 92471 -160405 -143892 40485 218374 -109976 -9612 102133 -176173 158352 45194 -105308 19647 -47007 -41520 1334 -66127 72686 -15579 -96255 -80314 34052 -44969 34678 227763 -162075 -26299 -92081 -102925 150587 94013 -105336 142822 6754 107284 10530 31115 -48555 -51365 -149409 -47238 -18087 -236924 -58133 -42915 -73711 73276 92171 35344 
+-79199 -160289 16420 120284 -6097 42675 -153774 4784 51213 2869 2443 -39178 50107 -87485 115350 -210621 -26657 -227868 52564 51763 -208744 -68627 -30090 -74233 69327 91585 -68507 6087 -114369 206753 -190216 121137 -18586 -170549 59037 -133217 -57365 18641 61244 -29746 -178744 -109534 157057 180286 28305 26820 523 -2726 100074 -33112 51621 -67452 -72933 -35134 -244376 -109688 31318 -5566 39441 -44764 24949 3523 -133671 90289 34188 39535 30148 -33994 -83908 189246 113256 -71172 201055 149042 65046 98319 96091 843 73230 61893 62283 -104834 -71677 11784 77156 -57339 82919 -33513 24893 -340 -52153 76134 159885 -174721 -54397 164079 -39265 -11498 -49714 -232138 -4215 -127420 32607 -34616 -58276 171449 -116640 92337 -37112 164268 53085 77387 -204651 181396 -13706 -74858 -35295 -188835 77119 87427 -15233 -118074 53206 -12677 -76853 -32448 14874 -129943 -185505 116347 -54415 44370 -174755 10929 18380 -45471 -61308 -48841 -29605 33514 34988 85230 173676 -46883 55378 9907 -223393 -32326 -2296 -67763 -165699 -10034 -37339 87040 96711 -10339 84997 -92117 71060 98008 -23445 -245251 59185 -126197 -123882 45017 136729 -45907 67456 89414 -184239 -169456 -127429 -97591 186784 436 -22728 -138559 66210 -73632 -8291 -80821 212556 26507 6601 29513 -17875 143354 -4782 -21875 139825 -3474 -10398 46637 104174 102624 -114884 -116185 35979 170916 -80184 -20686 95567 -172016 41018 100616 -117849 -74474 -99033 -86907 -93840 -190679 86366 -29910 -63783 -90394 12503 -53235 29137 221712 -23153 -54307 -120895 -52149 129875 129449 -95896 234543 3356 107033 -63792 17767 -77660 -52877 -10656 -81255 -65575 -288276 -32391 -29670 -31099 -2767 104141 40137 
+-99811 -143623 -13733 187399 -5374 53878 -124677 -12145 -144652 -156 -4848 -49635 48205 -171373 48105 -191031 -32895 -217175 53327 20116 -196927 -26311 1895 -61372 70001 86501 11398 -24593 -136458 263453 -136684 56197 7204 -182488 74634 46273 -48622 15186 -25739 -29930 -176412 -143278 172762 125142 -19407 34792 30803 21437 120527 -42711 15720 -4714 -118239 -17634 -224556 -45709 87556 7523 39223 -36234 5056 38075 -175818 11127 53194 73660 135083 78044 -72450 204748 83529 -13793 105695 29174 51943 -34104 110281 49507 43765 116275 71372 -42829 -40398 -6100 78471 -216760 -27189 -35352 21735 8248 17721 74108 157603 -165730 41681 193324 -26054 114822 -44573 -115520 12704 -77008 34168 34029 -74760 192769 -116572 119493 111212 197210 41210 79615 -122995 150764 -20091 -45668 -34309 -194655 136382 -28693 -56565 -152680 54819 -45958 92055 -30959 13249 -150516 -160252 98032 20967 47056 -177034 13832 75261 -60662 -5120 -38045 -19300 62900 36906 -2071 161216 -126843 30224 -45269 -123091 -208508 115798 -48242 -179350 29630 -35879 82068 89496 -52884 80817 -72407 29204 -11959 15242 -235484 -7572 -45002 -115483 102019 98438 -40103 -14317 54903 -197649 -142287 -211577 -44323 181899 5489 -22308 -192721 38887 -95602 10492 -72258 177074 -146404 11897 32649 5014 37961 4591 -9089 -5663 -14954 -104767 22827 132590 93745 -74244 -140846 70666 124809 -65111 -63962 92205 -67233 -42193 35524 -104361 -176939 -102480 -38009 -167586 -188440 58364 -45358 -24129 -82941 30976 -37128 25903 166512 122948 -86148 -56837 107250 157958 171576 -15269 227731 -1017 98441 -140441 24752 -63551 -50219 45070 -106141 -7614 -290621 3940 -37911 -54214 -99115 26039 20927 
+-82496 -32688 -52881 130237 -43109 80542 45473 -32839 -271849 -2946 -17953 -58393 47063 -188921 12809 -136347 39808 -189179 63399 3206 -173719 7840 36331 -84995 72033 72800 90587 -34848 -120754 234567 -64928 -6866 -32289 -193717 66121 157551 -61820 8551 -59188 5661 -50103 -165483 150006 60732 -61068 16547 -30773 16659 72244 -64229 28241 138854 -161529 -54723 -193010 27226 45952 -16350 45155 -4661 14643 35586 -107752 10839 69541 35681 150069 -3949 -66229 37835 58201 34648 -25279 -28667 68340 -207067 55979 110586 17332 172880 41436 10638 60644 -25127 87527 -235919 -105355 -29593 19970 31251 30191 72947 155574 -186545 77958 179855 14502 188200 -99695 1613 -36083 -50421 25611 121467 -68369 210415 -114451 39272 81388 183237 50185 81144 39424 98512 -14495 -66524 -28684 -178749 69647 -36685 -95146 -203264 23895 -51861 139029 -43653 13001 -117823 -87137 18911 76167 51403 -167626 14704 61647 -83179 97564 16730 -36488 110582 36567 -47845 37840 -162114 8827 -88836 55195 -110828 192551 -41121 -139977 32002 -30882 -78166 81722 -67601 43134 -82707 -7987 -22697 53665 -254912 10046 22899 -107018 57932 45078 -40779 -95616 31848 -224431 -77225 -125027 19245 148291 -1150 -24730 -216164 11895 -149027 32977 -68181 108263 -211430 13403 28633 -20295 -26070 20523 12265 -51363 -20757 -74849 43008 129875 86079 43712 -135966 67181 66332 -51089 -103462 83149 13517 20912 32686 -157983 -106608 -125268 19103 -173386 -156359 7495 -70352 16711 -63409 20907 9039 29856 101416 97905 -122556 -47507 176798 117385 106119 13421 57030 -1959 86504 -153369 42834 -112393 -105623 -18620 -123928 61138 -300843 -453 -15617 -116867 -86360 -68308 -4836 
+-81632 -28071 -19269 4048 -131946 17175 135326 -20441 -176046 -2370 28717 -59722 47748 -138408 -138675 -82521 93760 -146459 55864 35893 -180025 23284 41791 -88907 63823 28623 63788 -34300 -94378 154317 6430 -44313 -31026 -209119 74507 192284 -86482 18181 -91937 -9264 37737 -122380 87441 7815 -108901 -2914 -156232 -35291 -365 -44789 -37206 217249 -164862 -57357 -111471 54594 -73501 -25221 50018 28521 26963 53305 31401 63666 73007 -8263 78647 -175132 -63023 -79346 9357 47826 -147545 28543 77700 -98248 69393 129932 -35937 178776 16245 26520 149993 -49078 19815 -73700 -140148 -84055 -5515 20281 5218 74075 154310 -170499 46197 179267 14198 151100 -118812 95509 -29359 -59133 40161 134817 -43454 216721 -110850 -87539 -93933 92931 58917 72174 111069 40492 -9186 -33622 -22478 -143792 -52983 57179 -74791 -215860 -4318 -90937 59121 -64513 1186 -141931 -56956 -86095 111573 51593 -147572 835 34873 -63645 197539 55795 -5165 134173 34126 -34790 8783 -187572 1513 -109463 113487 72708 144147 -68990 -69994 25793 -6927 -218577 100929 -91606 -28268 -64168 35830 59315 54858 -228637 100076 -15712 -91395 -23017 -34814 -15763 -147490 46811 -207409 -28806 -2201 52453 174157 -3301 -21705 -197527 416 -178944 20659 -68080 25547 -75690 22111 -27820 -70510 -57724 17543 36823 35862 -8918 -104777 95410 91431 82294 29486 -132828 45607 19346 -19931 -122937 42236 75388 143514 11184 -111336 -11749 -135833 97794 -59664 -73074 -67811 -69441 52486 -55172 10409 63424 29568 17680 -52420 -154387 55477 182682 118451 47928 17434 -72610 -11412 72327 -63131 32161 -111386 -82403 -75721 -107941 61007 -297138 24433 -20441 -97107 -5519 -102799 -15225 
+-95117 -54471 -18619 -87632 -177866 10619 19452 7214 -13884 -23883 16124 -56359 53080 -34491 -175408 -39403 122431 -103897 46354 66888 -138849 90949 50176 -133979 66527 11702 -11721 -14134 -99907 32622 58434 -34803 -52711 -205942 83891 73548 -90859 31452 -129269 15848 -15516 -94480 12963 -842 -84612 2987 -175667 -29266 -63418 -22581 -52864 115183 -88444 -55083 -61888 -3393 -174738 3478 51029 20932 54221 46578 142452 134882 65656 -12419 -1345 -205992 -70368 6557 -15228 30457 -138133 150674 96101 61519 69795 95486 -25608 172112 -24865 40500 171600 -48468 19513 59906 -92209 -79394 -23060 -7001 -22532 73806 151640 -151584 -42693 163269 47983 32532 -105589 108236 29542 -119987 50528 81631 -3559 192293 -104934 -162330 -237958 15264 42488 81603 -89926 -19512 -19535 -20759 -21772 -88506 -53712 203214 -30031 -259759 11745 -97243 -74636 -68894 -19966 -94242 -68885 -146769 135169 57743 -118842 16703 59465 -72311 247822 60825 -21592 131823 31558 42492 1959 -130725 10231 -116886 -27330 173802 50939 -100555 -12604 30246 11712 -200048 112421 -92261 -41251 -80373 52306 114086 30152 -205912 172053 -111870 -87245 -70346 -136413 2290 -174012 79948 -198663 20788 106354 -17718 118568 -32065 -22276 -132193 -12883 -197199 -20938 -86528 -36327 83135 12507 -4957 -118652 -24285 23685 55668 175609 -15899 -64216 91583 14945 83728 -39261 -163873 58124 -16977 23670 -169775 50586 133698 235651 46104 -64959 51712 -122211 111436 87359 35298 -100350 -52088 78001 -62960 44031 125600 44465 -57999 -189324 -161111 57436 105688 89113 45368 -32376 -101251 -16165 63261 -3868 31833 -87928 -54398 -111983 -65471 -4652 -265187 28338 -47488 -98627 82417 -41223 -11040 
+-77262 -144894 -6279 -45316 -124549 3174 -152254 18811 90949 -12632 4836 -39971 55881 80639 -208911 5044 128190 -37910 17508 64455 -115563 97095 37249 -92120 63864 -19749 -84138 -25540 -23830 -57919 125626 56251 -45599 -200321 59827 -56810 -110643 23038 -124944 -30456 -135016 -77552 -65476 21682 1208 26242 -79218 -2773 -157036 -21077 -45705 -47264 12811 -57333 -2044 -82250 -202152 7721 57126 -4701 61175 37338 117850 135418 44953 44529 -27606 -73807 -71815 171637 30387 -16376 -48802 208020 78550 128355 52844 57364 -54866 130135 -46886 23991 106212 -39171 24476 47913 -19533 -66642 -44039 8851 -62528 76511 154769 -97833 -112440 169891 39139 -73648 -106007 32714 33993 -163089 51973 -242 40120 185387 -97313 -127150 -203015 -36982 27630 50924 -230497 -66238 -16935 -24149 -16309 -41317 80621 231200 40110 -228232 -3706 -72907 -75000 -44513 -34942 -77740 -118697 -139335 90309 59709 -82885 -4699 56500 -86812 216255 24574 15124 160677 30838 135125 -57835 -39311 30523 -94476 -204007 5635 -54271 -114585 54317 2165 34031 -3215 104407 -61295 -57035 -84643 40795 199742 -7543 -198389 150244 -197229 -77614 -11991 -188620 935 -137410 111936 -166171 81771 135134 -104235 123514 -61708 -20769 -51363 -49390 -168777 -8275 -79090 -98092 82547 14203 16676 -111874 70589 16585 66678 175936 -17751 17555 71751 173 80262 -118060 -204396 66564 -44002 103718 -104833 4101 184603 190607 -8158 -9882 -18046 -123987 133620 141350 129520 -118303 -55324 88924 -84553 54138 185558 9547 -95954 -169440 -153386 129252 -25060 75417 59687 -83756 -23786 -12278 61558 -56227 16917 -97414 -53983 -54683 -49724 -46083 -219935 52813 -28332 -38098 20898 47917 -22547 
+-74264 -138190 -9045 95651 -9720 -24403 -132568 56563 55672 5346 5680 -38656 55997 158462 -288456 53947 87225 7286 17206 36691 -63987 107934 -12813 -100774 61430 -46200 -69305 -24280 25802 -89509 129640 99942 -14572 -206804 50977 -143751 -91672 26676 -97094 -40390 -191555 -60850 -77500 74880 27062 34958 28567 -40820 -181948 8219 -36996 -75805 86418 -43854 52232 -132592 -150561 749 66804 -42820 47244 8168 -6196 84268 28017 76351 73083 67772 -79114 206795 23369 -76670 85115 152502 59245 -20974 33854 -40093 -20031 86558 -91161 -4787 1798 -15499 48183 -122677 59954 -68209 -26897 -8005 -55712 78469 152571 -31789 -134206 161872 22364 -83807 -47705 -89454 -570 -133056 50274 -25345 76362 132616 -87895 -7091 -26824 -16556 3019 50069 -126781 -102971 -8908 -23264 -22285 -8684 153488 135889 116042 -217434 -7048 -53104 35746 -8545 -59197 -90052 -153973 -73358 29352 62278 -41864 -16098 30962 -65064 119997 -8443 -17885 155088 32745 210893 -68950 11326 56161 -44946 -189122 -184455 -76916 -122819 41528 6227 63347 141590 109352 -23371 -52825 -68092 80689 166940 -53716 -189825 70274 -225583 -36194 95481 -242086 17378 -86753 131621 -114010 108834 30874 -116775 97556 -25478 -21641 28545 -54402 -110021 7388 -73979 -46873 -63808 23015 40070 -106040 128445 55276 64722 45695 -895 40872 107818 10151 58974 -142114 -207784 94531 -28341 125676 -99912 -28904 136695 78100 59237 5221 -127525 -98387 80705 143947 168870 -100121 -39754 84071 -91068 33499 227741 42301 -104317 -16375 -109881 140680 -112644 132408 91698 -88400 118311 -31977 66523 -140901 24256 -47056 -74042 22301 -57258 -17632 -155525 70718 -37460 -39508 -126340 98113 -31763 
+-94422 -50360 -43284 168001 24586 -17041 31359 76292 -195077 -8542 -12877 -46996 58052 181596 -295255 68275 37797 40553 -8147 17940 -51001 132177 -40171 -86145 60761 -56477 6031 -30920 68179 -68103 94492 92022 -21224 -198940 64340 -42300 -87027 30607 -49249 -74963 -147291 -47615 -44728 141469 4438 17253 -13295 -18508 -214131 33813 -14253 14099 171385 -42244 63627 -109338 -15191 -10448 64966 -43991 40284 23668 -128621 16048 16736 46213 184627 26345 -95258 72148 48904 -123955 212835 33157 61874 -224869 58609 -85965 -7463 619 -134953 -36540 -77754 3358 96029 -252325 87475 -77424 8051 34537 -5994 80014 148559 8402 -66566 162763 -32586 9882 -20726 -198028 -13049 -93442 69089 29717 97334 106227 -76604 99993 112764 56581 -50722 60341 46623 -127791 -2030 -13599 -13455 16533 69944 -19917 202940 -199342 19131 -45305 148034 -12300 -81551 -79206 -112140 47342 -83699 56624 758 -34082 81860 -74019 14409 -38708 -30342 99157 35527 234026 -59533 65 78786 12984 -12434 -161587 15293 -120371 12956 1387 84164 65431 118411 -11578 -22300 -52059 93229 71553 -84457 -186938 -4130 -150442 -41189 71613 -251875 43784 -3166 130873 -65897 69876 -35833 -8170 81708 -31974 -17675 55376 -74570 -30955 25171 -57478 15377 -203784 792 44797 -47822 108313 30830 51393 -55132 -4978 75859 102912 85041 78330 -109755 -175032 71551 -15248 169138 -94226 -42031 114077 -37770 76791 66034 -157667 -78195 14211 61397 150429 -39554 5501 63887 -81422 23678 248094 13690 -72537 107937 -80564 172359 -75768 121360 104971 -1436 224204 -27932 78770 -188327 -1014 -39408 -80836 23802 -90838 39355 -120912 36744 -41423 -66694 -112247 92895 -50682 
+-92212 -4228 5290 121563 -83317 -23137 131162 87794 -278929 -21445 -8228 -54994 51315 118209 -249617 100037 -20623 56531 13201 18578 9949 138314 -46979 -60623 61683 -81055 87660 -4817 104131 31554 13016 3158 -1047 -195968 79329 96773 -84184 53930 5868 -71265 -5788 -84009 9732 179478 -94306 -2686 -135793 27289 -249360 52063 20687 178891 215155 -61235 32487 -35513 78601 -7567 79989 -8412 24466 46894 -188815 868 18163 16011 114920 -151400 -102434 -71961 109697 -140953 212176 -22820 59355 -130055 88338 -98158 15955 -64348 -171319 -66584 -64848 22501 89200 -189187 53483 -103483 21535 16578 21829 81941 145478 44411 8579 147456 -74050 133868 -14142 -263134 -12099 -70197 65201 104960 96525 52811 -63759 118843 74714 138926 -60238 54173 107534 -123711 -7704 -28289 -14190 14039 -62696 -59352 270887 -207809 14745 -9096 69088 -8441 -112768 -80460 -43557 117112 -106119 64849 42452 -39259 30225 -60962 -63015 -39591 -19263 66054 37032 191016 -30009 -36781 89417 63263 117514 27563 177929 -121886 -60968 -7809 82217 -91672 111987 -13351 17581 -72463 67778 8149 -99069 -140431 6829 -77028 -12129 -2279 -227004 24924 71091 89269 -16664 37482 -187089 62263 86781 13950 -19043 104738 -86557 32286 28881 -82247 56781 -134484 -11077 13074 1929 23288 26196 25703 -21953 1893 85690 129396 110352 57004 -60650 -159945 73453 30061 224272 -61706 -49506 29319 -7419 118401 95611 -60258 -38306 -36774 -77729 56823 31719 -31163 31918 -62927 20421 243328 26424 -11718 103954 -43770 135253 93238 101698 109236 51882 238294 -34292 91044 -105790 233 -4307 -79244 -76857 -117416 61491 -58741 33672 -18644 -99719 -5391 -35758 -46770 
+-85638 -41857 -22886 -4524 -148301 -36386 34029 108470 -175762 -7233 31020 -63351 49475 25930 -283327 99961 -16023 73353 7511 49192 32184 108879 -54332 -73701 66451 -92284 71939 -38378 165209 144401 -47124 -38064 7328 -175150 54475 187559 -78855 17782 31607 -16094 19564 -83078 113509 194252 -111411 2450 -182636 9085 -202792 90518 88823 165032 215600 -72553 -5930 -620 73716 11198 83869 34589 12006 45310 -94233 64064 32904 3225 48943 -223172 -104723 -14314 99834 -124569 148343 26004 85014 54971 124041 -66460 89099 -135452 -203499 -105358 29202 20671 64068 2796 -45395 -101338 9085 13284 15536 83718 136519 86446 77986 152166 -83133 190168 -19001 -231674 -54507 -39833 76257 139284 75868 5218 -49869 24249 -101826 198565 -83649 55562 -69349 -100592 -231 -26809 -20077 -11188 -60436 31042 289850 -96709 36958 12289 -64606 4462 -127377 -29103 -52590 113030 -96604 51978 80195 -71267 51490 -57129 -20006 -18751 -7958 21252 36104 104771 6190 -119466 83342 122223 36866 187808 177486 -99164 -130373 -22420 87225 -252772 120819 -30455 75232 -69836 95184 -50808 -74638 -158074 97706 6386 873 -96678 -164902 28834 129481 51327 6865 -28213 -141810 18634 36127 17231 -21116 69589 -71923 3308 -8124 -73455 175439 4396 -20029 -7094 -7548 -35374 27603 6120 115382 3241 63700 123060 134730 63661 29202 -202481 53177 74161 228691 -21981 -93444 -53289 112580 106270 158666 44746 -15805 -57742 -138557 -90147 73125 -22097 -7806 -55156 30607 211189 38496 71992 -41980 -29769 108497 190874 82773 130865 8917 101333 -39993 102921 -8368 11240 -1661 -102909 -159096 -116090 2176 -11605 -4206 -24682 -133513 72008 -100621 -70811 
+-97123 -141889 -13024 -88976 -174927 -19264 -125429 139354 -19056 5021 28132 -56770 46057 -84026 -204040 76818 -9506 56015 42148 57536 59221 142278 -27983 -91998 56979 -79609 -24411 -9283 181085 242623 -124475 -48813 -38421 -156158 81788 143440 -62139 19387 91448 -4772 -75323 -128195 161961 166239 -79646 25619 -143600 32727 -125645 96983 134610 100527 191559 -61578 -72040 52756 -18114 -29360 92052 35593 25407 40633 55333 134000 52317 5783 -16429 -109785 -116703 154177 130211 -74087 13523 154013 83868 114178 161841 -6453 92927 -165781 -224750 -85624 130799 6914 31572 92774 -116264 -140441 -4042 31853 -18477 82267 137447 91576 62073 163656 -116745 134167 -63049 -133908 51096 -96582 70322 81915 37976 -36114 -34261 -104789 -239729 184748 -140551 56915 -240430 -58732 -28299 -7021 -18969 -55454 76500 198111 238150 -47630 3610 75700 -71803 -5123 -153786 -56038 -117647 44524 -26353 52124 111557 -90494 35890 -33593 54630 32245 -14827 48309 33454 14201 60730 -167282 65817 181675 -144206 106780 109187 -44431 -183107 -20025 78388 -182486 113312 -18465 70107 -55143 76306 25846 -37536 -124483 170011 5273 -13641 -11506 -76471 26674 146612 28512 48090 -110237 -93611 -55806 -27827 -7099 -24598 2133 -80089 30880 -13330 -57976 247010 106584 -13453 -5584 -39984 -42367 54153 -14645 198221 -5659 27162 150661 120339 53714 8936 -179791 106543 135804 250132 39976 -86378 -96320 217847 141844 174686 37679 -205 -27972 -211941 -155137 85389 -75653 -48439 -61112 27900 164356 35396 143938 -179599 -43966 10763 189724 37743 112044 -81516 -65043 -47315 107147 -26006 24854 31592 -73976 -123191 -83415 -47841 6755 -28256 -50463 -75908 33217 -93901 -72792 
+-77778 -157569 -10416 -11034 -58520 -29476 -150117 154814 85766 -8956 8624 -50868 46649 -156494 -102154 27040 27402 42731 74924 57739 84817 107866 28843 -116097 58202 -66616 -106909 -33665 141156 260023 -179895 3237 -16182 -135131 78965 -33014 -51890 53666 67635 16705 -178127 -157743 182091 100903 10944 35126 23011 17579 -30750 114012 143622 -72840 94795 -61077 -136272 -13690 -134225 -662 97115 6818 39217 53722 144620 151985 67467 56489 65324 59153 -123835 221885 138074 -13844 -102230 206391 75841 -4669 118703 73288 121957 -174887 -221358 -62626 176852 -9874 22879 -8520 -134591 -139014 -26317 48281 -51170 83058 135338 84906 2979 147073 -83650 10146 -92934 -8340 -7217 -119269 70875 8486 -5336 -70698 -18094 -166421 -197601 131697 -159341 76428 -116907 -6217 -12768 -33949 -21636 -112243 143717 220008 208903 -16244 21994 111012 22735 -1797 -169423 -55613 -168950 -42591 57235 49639 134051 -101121 70583 -43442 187511 61095 -2108 34187 31139 -44218 118448 -181857 40574 209474 -217444 -127850 44227 -26629 -190360 -55294 58473 -3171 108170 -88559 123002 -56372 67602 122417 13105 -96714 156896 -77566 -20765 77946 -8159 42050 116256 45473 69450 -124517 31246 -109936 -15505 -17017 -19587 -74715 -66694 -21162 4273 -39351 177655 25565 -32509 -15798 -86169 -12551 51312 -31778 106719 7578 -11415 110692 63668 48128 3428 -154138 94542 183143 259187 24491 -105621 -186375 209698 107787 189517 -112569 58329 -13916 -133527 -203055 53132 -44099 -84454 -81759 11934 104579 18665 196320 -178877 -77734 -45997 140583 28628 105548 -105248 -122382 -49817 105756 -62606 -21294 56358 -94134 -12627 -58902 -25631 60896 -37984 -38769 -63103 -105089 -10012 -53705 
+-72780 -89451 -12457 110349 7234 4850 13277 147783 34439 -26651 -15226 -43968 50339 -185774 -41187 -27192 87166 -5589 45866 41623 77763 101618 43702 -83938 56036 -47499 -62374 -17053 130246 205046 -216770 68397 -7146 -105716 87408 -132083 -52705 -14782 29201 -41951 -185916 -148096 144798 39746 21631 17974 26344 50114 38372 122309 137903 -54104 14359 -50339 -210265 -67945 -196812 -2385 99985 -33619 42761 29819 119417 76892 74386 93734 153951 51631 -129483 83764 95254 34073 -131471 150019 58308 -152107 103767 120735 86872 -163208 -230487 -8740 139726 -34916 22989 -196038 -111539 -164811 -25867 16256 -43738 79197 131138 67256 -86350 135073 -88738 -83745 -126687 105264 -3030 -156051 87607 -29731 -43952 -94291 -822 -110182 -18213 29990 -194188 84936 71925 53370 -11421 -35006 -22367 -163744 75736 138851 135569 17517 18554 184927 141156 -15837 -186206 -55820 -156776 -105217 72928 59213 146327 -124936 52127 -66597 252001 51851 -3904 70706 31140 -45636 192185 -119881 16186 224811 -79352 -204807 -66665 -37503 -144415 -102263 37688 109890 114706 -88292 76927 -72364 43735 164741 38346 -88444 74705 -159635 -2720 114305 81448 79743 58114 81022 75126 -149844 115998 -113329 27275 -66850 -25798 -161209 -52847 -87480 33079 -79704 144557 -149681 -31543 66599 -135197 82293 57024 -19113 -58934 602 -35382 117881 11175 43519 -75486 -148553 120363 229864 236979 28469 -87937 -186874 103597 85770 201870 -185222 86493 76670 -13747 -168585 -20796 -58512 -109845 -91084 22014 40837 25021 226818 -17525 -124893 -93960 -24121 30081 35836 -74233 -56223 -53107 95280 -187980 14789 96432 -103637 54326 -52935 30208 90282 -36393 -26439 -47273 -120339 79414 -66157 
+-66545 -2919 -25854 173314 23979 29907 128733 124528 -142736 6589 9512 -41863 54656 -135710 53515 -59105 125378 -38259 21150 12346 93493 67110 48511 -84158 53473 -38039 14429 -12982 105928 98117 -216312 66199 -5461 -89310 63073 -88006 -46923 32497 -21411 -33149 -61132 -102778 75702 -1337 -7608 -2356 -82498 50685 101996 151649 131255 86181 -85578 -48033 -221137 -113328 -198655 -32656 109536 -38422 57744 19719 -21389 23062 64891 17166 164232 -108629 -126050 -90329 54329 53069 -77535 24814 65161 -177834 129705 141440 83140 -132784 -217978 15737 32450 -43750 61839 -243664 37956 -161905 -15642 21673 23519 78924 124154 27208 -131624 149745 -64779 -73997 -139370 113186 -18578 -132421 66716 22610 -68034 -88297 17194 8317 115606 -38668 -187220 92205 83053 107884 -28912 -12351 -30487 -190824 -61958 -5318 66966 33024 -15413 219560 104377 -60656 -185225 -36536 -75694 -137271 119342 47696 147037 -149280 68494 -35399 230140 13638 11710 115981 33466 15052 180063 -38014 2834 213720 86954 -36004 -26390 -58655 -72425 -110743 17570 85435 110796 -84667 43308 -42877 25769 178820 51189 -69167 -1937 -204388 -12738 49125 127715 91515 -30125 113856 56985 -122543 112062 26155 1531 -51938 -16692 -198790 -44682 -163713 15873 -37416 -61 -183422 -54707 24484 -141292 163708 65503 -9539 -40004 -5614 -92766 100795 11437 60705 -132297 -117924 67628 232618 215019 15006 -65777 -217842 -14106 121667 217053 -128314 102805 116747 58245 -101710 -81199 -46424 -121548 -83996 14215 -7784 4772 213404 141235 -139272 -123283 -91274 5447 55708 31851 92236 -47578 82760 -153839 -1224 113182 -108125 2067 -72115 73203 117553 -32109 -31426 -90796 -9081 91528 -45380 
+-91967 -22301 -11236 118508 -95844 40900 43335 112419 -280935 -17221 21191 -46015 57317 -43246 121042 -104485 126139 -93277 75461 30393 80698 30937 34464 -76043 50042 8219 69404 11824 29909 -12891 -172005 -9259 -26140 -58477 56757 76095 -68569 -14963 -77941 -50566 16385 -76911 -17381 -3296 -106848 1935 -176490 61769 107404 142504 116110 195224 -146022 -43507 -240303 -86002 -71074 -6298 113612 -14373 53245 44953 -149328 9464 48298 -11858 44639 -227439 -127256 -9737 -3253 34812 55159 -34752 50198 -23945 125489 98525 79290 -56276 -186739 68718 -53484 -44296 78281 -110162 81132 -151861 12506 24220 30340 73330 117356 -33506 -106297 128033 -20230 32161 -67347 41982 -34426 -72878 71203 94827 -73630 -103213 35673 105876 68682 -34314 -162336 94242 -108301 166505 -34346 -38164 -32378 -197405 -51408 -51809 -33459 75449 47082 219951 -34453 -46086 -189303 -26387 -43845 -115595 104636 53155 136974 -151582 71388 -15339 157817 -34194 -23459 137752 36122 106715 176524 18892 4650 181416 93525 161959 68665 -80832 -11645 -109474 -8027 -90924 102694 -24667 17614 -83027 40949 93537 33303 -38324 6298 -182978 -68198 -42274 137722 92386 -98292 135577 43074 -80383 -10262 52618 -58186 -31338 -17875 -214016 -20525 -190761 -13251 -30383 -56136 -69934 -51631 9065 -92985 97262 71131 11365 69956 -5095 -87747 60280 34014 24699 -138054 -98565 110127 222718 181478 5381 -48047 -147287 -24383 27510 170455 17026 132328 111502 168344 46191 -118142 -30129 -117150 -64111 36291 -43300 -5875 167501 99778 -168006 -109828 -91584 851 82688 42930 251836 -55614 70012 -69064 -14441 136745 -103437 -105771 -113030 10060 82876 -10072 -12119 -74314 68646 62175 -72310 
+-84247 -100907 -6749 -23389 -184825 62125 -132470 103413 -153810 7473 34076 -59833 55874 67973 108045 -167657 85417 -149202 23764 46110 43625 10771 -5905 -64012 58054 22099 102562 16565 -6958 -78149 -132919 -43640 -56404 -34519 84672 184491 -68181 21529 -129156 -23835 318 -56255 -78873 48201 -109338 24975 -168468 69014 121550 144705 57963 176603 -166445 -63668 -234546 -46785 61773 -33727 122141 21104 34628 59223 -169332 71081 29191 -11345 -22462 -144282 -127698 146185 5601 -23221 179551 30426 73444 152386 61664 47393 24705 1733 -157300 28768 -81448 -37245 65097 56855 120072 -185007 26507 8060 11654 73114 102828 -65402 -18520 130878 250 150464 -59178 -70552 -2379 -44228 44772 141813 -57195 -68411 54199 115466 -112134 32509 -158113 72628 -204797 190372 -8049 -76984 -35297 -187012 66717 42831 -65508 67354 2548 251019 -93386 -45507 -187598 -33661 -97657 -9092 63128 60932 116589 -158534 58241 -26939 45553 -49931 -15058 158113 37091 198573 169010 29946 21526 111858 -78824 125415 165371 -132044 46068 -133801 -28963 -204232 100191 -14911 413 -61925 33840 4041 -8893 -23873 90112 -100640 -55177 -51765 113973 98046 -165623 112248 6867 -13725 -120303 11609 -38767 -42617 -21751 -175595 -3889 -207578 4295 -17502 -103517 82984 -69768 -7229 -27677 -22075 69389 40081 203196 -6410 -75849 75051 63816 14288 -60114 -79682 82506 193413 133368 -24939 -23137 -85784 80055 -16126 161480 49500 130755 99446 128272 141451 -117557 -16674 -97338 -53750 40665 -53442 30017 85721 -56857 -150868 -95667 -20604 1341 101028 -18290 227106 -52015 63402 -17323 723 156598 -115007 -129441 -128036 -47610 76723 29092 -25652 -79276 -6793 -52887 -45244 
+-85332 -159210 -26665 -77911 -138744 66352 -149056 53094 -9499 18412 17479 -62678 52845 156887 92749 -197099 40841 -189688 17191 44399 33824 -51492 -47803 -54794 49796 53009 -12277 25556 -49989 -92839 -44502 -57616 -85461 -17046 84290 135801 -91665 -24368 -110044 -55082 -123736 -46816 -90906 99916 -35731 35257 -38266 7249 60632 117661 3394 33566 -131409 -29062 -167508 22822 89448 -4956 121793 33750 20856 42487 -84268 127071 16263 20574 35913 31332 -115356 243241 9140 -76606 222708 147984 78149 52198 52652 -19983 9036 84345 -130924 -23823 -19791 -14126 51872 72235 22676 -143457 7243 803 -68548 71758 98040 -118700 57037 132623 15265 188011 -27820 -194117 -6436 -57436 53176 93020 -23681 -42618 72767 6739 -243073 123384 -134473 79960 -133870 205116 -10814 -18996 -43080 -143194 135804 159181 -81187 59215 31820 275075 36409 -25620 -180353 -75538 -139550 71554 -12248 60132 87084 -159677 52388 -34062 -42141 -39768 13142 155194 35553 231385 125362 -31679 46274 59506 -223136 -77110 156555 -155057 54221 -140535 -36160 -179722 79175 5928 -57096 -50387 53212 -6897 -49786 -1125 166011 -9648 -84408 40795 66750 110170 -167841 77647 -54640 41869 -179048 -108976 -77185 -10404 -17167 -117997 12844 -161693 -1213 -48216 -100183 73946 -79096 9946 1190 -61062 91432 58162 143927 -4242 -30496 69947 123210 20221 15874 -84533 55678 151883 103143 -74981 13097 -54386 212015 12462 156166 -27403 140322 18430 27707 151888 -86725 -28485 -65418 -61117 1326 -33918 10640 4591 -185118 -127275 -76580 135327 122 117693 -93472 103797 -48598 62011 -81769 -11877 151635 -85181 -25776 -114982 -15183 56999 22347 -40971 -62603 -92820 -114340 -28473 
+-87644 -116632 8728 -14763 -84386 73544 434 31650 117071 -33058 1874 -56976 51395 172803 62643 -226047 6953 -213991 4644 53876 5353 -80178 -38581 -88310 50829 78833 -103061 36538 -122446 -22939 35097 -1434 -54755 20198 82002 47516 -89848 -29961 -75407 -41951 -197737 -52254 -28894 164407 -1507 18674 49689 -8419 -3652 121529 -4627 -70238 -64157 -76095 -102522 13731 33479 -27675 129093 9710 3660 33999 69628 143736 17735 42672 125420 67308 -98295 85106 66380 -127318 167398 211012 69292 -131499 66291 -94099 -2336 136468 -83985 -42109 88030 11813 64985 -96026 -82734 -132858 -22718 17958 -47669 73548 104139 -130673 71575 124861 49923 115296 -17011 -263464 14069 -121997 41510 6642 19030 3368 91206 -113802 -192641 191057 -76467 59402 29718 202911 -20100 -74445 -34360 -98556 77053 262370 -96967 123149 26704 285799 136536 -32791 -161601 -111801 -143205 126611 -81966 61318 50180 -175149 57889 -72416 -73544 -2638 1221 140680 32743 210062 93571 -93218 71583 4559 -149084 -183082 80962 -138991 4276 -169473 -39152 -2761 80230 -51588 -72969 -73859 79502 21044 -85794 -9456 156286 21947 -72510 141263 -13794 81901 -130628 46453 -99289 103708 -150726 -132899 -93957 -23154 -11499 -29719 31224 -100247 11340 -78572 70764 -76917 -88083 28889 16547 -56944 80392 66645 14679 -4641 18960 48665 118216 1178 43136 -72783 55863 96940 58882 -93464 29126 50166 229954 22676 91567 -145019 116722 -18829 -87277 149665 -20877 -40362 -26139 -78973 40607 5915 -729 -55094 -172961 -89934 -43740 216699 -30791 143686 -104348 -56221 -42673 69973 -176697 -10608 175205 -102030 18422 -69336 38488 8584 76083 -35377 -24980 -73738 -60256 -33288 
+-104083 -19839 7317 103500 -9818 84179 112757 6278 58895 -24637 -19914 -44944 48784 128406 38422 -235788 -36769 -234778 19161 12294 -53576 -89877 -39788 -106520 48313 89231 -59937 5675 -103511 90363 94399 80893 -1934 40120 79373 -77697 -95176 -41540 -73420 -15162 -132999 -71801 45728 194272 17733 -2086 -41317 -13968 -92601 99003 -43615 -42143 37250 -57730 -44357 940 -85243 -42726 132443 -23167 19865 12512 151639 78838 31061 59061 162373 -76402 -101244 -54546 68089 -140656 49832 154152 78341 -157082 60996 -90395 -45593 181791 -35476 -91209 173406 23072 5737 -233551 -111991 -126568 -37329 10117 -10901 75667 95196 -177302 26278 105066 6834 -11117 -27688 -248037 -12676 -138973 54173 -22838 60148 53978 109690 -166057 -8223 192395 -98473 50658 81755 168162 -36217 -38836 -38032 -45046 -41639 155297 -19686 71083 45030 233683 120789 -15794 -142109 -111611 -95512 122259 -98131 63111 9133 -172048 51463 -38610 10476 33713 5472 103898 30943 133902 25890 -150494 86258 -53217 39434 -89380 -40447 -99480 -60329 -205258 -25096 92437 78699 -65302 -24347 -91773 69530 111869 -93081 21968 78863 -50624 -100711 55529 -100205 100830 -65890 38347 -140738 103174 -20885 -41618 -106883 32627 -21433 29545 45379 -82655 4241 -40000 116109 -194001 -98725 40998 -48869 72492 91525 64551 -41727 -5237 63312 62855 101771 -14629 10002 -42078 32826 38450 6361 -121062 56011 109805 141144 18921 66036 -151163 108751 -54804 -189679 78838 47096 -30134 15087 -91789 51827 62304 3302 -89688 -17313 -51083 -9094 156614 -58149 98019 -21664 -102639 -49209 81637 -186545 16831 172968 -108150 33086 -51740 65744 -45504 69689 -35737 -32526 -14824 39910 -63084 
+-90914 -24089 9947 176906 8840 92284 57212 -24880 -115337 -1444 8661 -38237 46885 33767 -38100 -224011 -5095 -228997 14142 35778 -67060 -107721 -30861 -120648 53226 104834 24654 28060 -115878 189333 107689 78903 -16488 58948 37028 -124006 -99016 -22597 -10485 -7230 -1366 -100364 122440 194862 -18217 1398 -164779 -13191 -167589 71324 -62248 88676 124029 -53540 34630 -88129 -194642 -16931 132858 -44816 35500 25366 104566 12114 50768 959 83786 -222700 -92688 -9763 83518 -121610 -86881 33772 53934 -20074 52641 -54437 -66008 187663 -9520 -111699 158139 18586 40059 -208606 -112693 -114868 -31694 -29893 20761 74880 90201 -186420 -52211 109528 31481 -90501 -34009 -142413 5282 -143435 34231 12170 88817 89556 127207 -103261 118579 141508 -64317 52684 -66590 119310 -18180 -68009 -42206 -10133 -56276 40002 41235 56039 12441 236875 -19348 -25161 -119536 -134336 -57144 4812 -77756 67417 -33833 -168432 61796 -20544 116492 51886 -4678 66937 31580 39927 -31923 -171703 87482 -94981 120333 150439 -111543 -75256 -142170 -173247 -10267 87352 94069 -51406 -10963 -94154 111760 139667 -77149 68018 2634 -133707 -116511 -52879 -181805 129889 14914 48410 -160805 50962 83631 29003 -87443 -20431 -20861 87156 69483 5089 -2340 -24087 193410 -159622 -104499 6399 -66570 126253 85858 48829 8128 -1438 71016 11630 44478 -8451 -91089 5162 38682 -1966 -39636 -131920 73898 151281 11163 91538 11548 -44450 71444 -43929 -152234 -38678 77892 -59606 50776 -84201 74789 121050 -11090 -102235 121075 -32994 93852 30595 -53873 85209 43195 -56969 -34163 94848 -114777 20072 165313 -107825 -99098 -51304 20294 -126002 60662 -39811 -107548 69000 81005 4461 
+-79435 -108325 -4987 123100 -113345 47038 -111770 -24689 -260337 -7522 37436 -44644 47986 -75179 -94329 -199846 24950 -205315 46046 32306 -117802 -149041 3033 -125037 44748 107680 96614 47503 -113888 261481 101176 40239 -51043 68080 69478 3648 -87013 -27780 49072 -626 5773 -118909 178311 132407 -92323 24371 -191377 -51220 -228395 32627 -55557 214940 189450 -54303 72109 -124790 -204254 -3196 125711 -17042 44196 76887 -32133 12748 67728 -10250 -19581 -170991 -74499 134349 134264 -71371 -139263 -29157 45370 113157 119440 396 -57261 157866 37519 -79299 75021 7674 45657 -23583 -47002 -94191 -5936 33490 47115 77574 78465 -160167 -115587 123129 -29405 -60853 -95194 -16971 12557 -95958 53666 93220 99674 141813 143878 25588 61619 51218 2895 41730 -221534 69511 -5708 -52710 -42320 22598 50824 -60355 126119 37648 38566 224604 -85385 3215 -97139 -141653 -84663 -102937 -1717 55927 -75493 -149553 79989 -40438 216040 44260 -10326 31079 34105 -30284 -57690 -146967 74385 -121921 -1297 150532 -21539 -46522 -188594 -199830 14611 -115872 77846 -81061 42206 -93742 108607 146845 -38140 60575 3403 -218788 -126241 -53364 -235238 97372 91066 80401 -210234 21057 134978 32413 -111822 -6473 -18524 77964 77653 1575 -2867 -43520 201920 18487 -122903 -19006 -141935 133905 85138 25276 173723 -9033 102126 -21751 25987 -22751 -144609 2113 27268 -37430 -61847 -115700 88574 179511 -54240 93316 -27967 26313 97243 30020 -88287 -112610 67176 -50348 77210 -66112 32790 184668 24885 -65583 107804 -30936 141145 -67837 -44937 64035 41458 125221 -38047 104544 -21273 6791 125610 -125536 -136506 -86552 -56316 -147127 39397 -38464 -121410 24102 100279 -22893 
+-104363 -158091 -24485 8228 -178056 50137 -165661 -20395 -235637 20621 41292 -44999 52961 -160170 -162104 -157722 75955 -179660 46159 67494 -142635 -174648 33166 -101182 48234 96094 63843 24033 -94785 238928 78335 -33935 -58135 88053 91943 141629 -72228 -47399 57878 -12028 -70872 -128895 177914 76595 -109131 35381 -63123 -51046 -247692 17892 -24847 159948 217387 -39571 49310 -108469 -140025 -25378 128336 16411 61713 50464 -163479 72796 73163 16025 1849 9457 -76214 225666 132445 -10185 -95547 28416 58748 74317 112582 64805 -5401 113800 52421 -36775 -28038 -10835 57716 106058 38636 -87302 7157 21041 31539 81306 79738 -153335 -129138 94914 -44128 54675 -134931 83405 -24891 -47351 26299 138848 88280 173469 159738 110140 -120375 -20475 21025 74173 -134775 12771 -11903 -70641 -41829 14292 148909 -6696 201884 -20437 18713 184400 -26030 -15623 -73151 -111045 -123707 -144127 70954 53574 -112627 -122249 81051 -36476 233308 949 -17516 7434 36622 -47131 -23222 -62255 50176 -110237 -186105 -14363 81377 -38832 -202541 -191315 35983 -216136 80856 -66166 83477 -78104 105259 129641 12419 96657 80672 -204612 -105611 22398 -262876 92045 135835 119826 -221865 -45864 76974 -46046 -146215 -15305 -19520 44396 84539 2015 26337 -17252 163135 119092 -131791 -26552 -112618 21305 74021 1514 195777 -1755 39029 18614 10161 -19801 -157699 -9639 49028 -38892 -84973 -105247 90357 99536 37117 137714 -88687 25901 31532 81234 41302 -207144 22472 -66025 88865 -54929 27514 227181 2182 253 -38595 -69578 153527 -60749 -65294 98820 -35484 222550 -25392 107167 -10617 13954 107971 -119259 -91972 -124016 -42450 -209008 -13123 -34726 -89842 -68951 -5017 -27303 
+-95795 -111724 -51213 -78094 -135443 38409 -10853 -5920 -20527 -34808 6968 -62420 56830 -182974 -236454 -117306 125290 -126182 54987 60359 -164292 -179512 52516 -90819 49838 60541 -15560 28965 -28081 157471 8192 -88658 -28352 106007 61413 169897 -68240 -53818 47239 -67498 -184500 -146367 122152 19297 -76452 19387 48099 -39191 -230853 -15185 15433 16851 212311 -41400 22677 -53703 -12320 -9391 133414 32737 58931 68005 -167677 128381 65905 49470 85142 77959 -61883 104748 106955 27754 15299 154892 74286 -111414 131888 122772 44091 39500 66706 18019 -73339 -32260 50305 11006 105954 -75320 25333 -684 -15816 84136 68483 -122207 -52021 85466 -88031 164743 -117883 119399 -8937 -46108 48882 93129 56323 205827 174409 99801 -244875 -31484 21520 73357 57179 -46995 -19794 -115841 -44169 -13564 83665 169872 255645 -64749 14211 137895 117067 -9215 -46961 -117728 -121350 -121325 108586 42646 -143353 -132307 23010 -61763 223529 -37469 -8606 34438 36956 1160 -66263 11967 24345 -87947 -207434 -196575 202127 -78198 -148479 -216632 61557 -174649 85643 -85214 107410 -74051 55280 40933 55832 116344 163113 -122328 -91215 108140 -228518 66097 142980 134578 -239041 -114583 -35507 -111005 -139225 -28804 -17783 -10360 85948 -41497 36792 -103502 83902 8687 -126792 5125 -65770 -53101 57646 -17413 71599 -9395 21676 -153 33918 -25704 -94381 12663 46876 -27606 -93116 -86834 87996 100472 192372 136679 -109917 -97255 -3155 124297 131372 -189241 -29814 -26102 84656 -58772 29065 247913 21626 65164 -180252 -101468 168074 -23181 -82427 88224 -87903 251511 -23820 102814 -94279 23081 72604 -67480 3403 -122400 24747 -272855 -11050 -30670 -52307 -122683 -83107 18008 
+-96998 -45805 -19361 -65061 -42218 28145 126265 10316 129205 -6185 14819 -62971 58900 -143364 -238513 -65848 128267 -77238 55462 36096 -193246 -174971 37948 -123048 44593 45013 -92317 46025 163 45859 -56594 -37820 16957 106082 79339 95422 -49744 -47551 65333 -54721 -182909 -103206 33054 -947 16288 -1750 -949 -25539 -160997 -12867 39588 -106592 150367 -43075 -11191 12250 77797 -32337 124775 23268 36937 -11423 -59568 134102 49794 92963 159829 -51046 -70919 -78457 105425 51990 158843 210915 89480 -216335 121548 113703 83984 -14841 79470 29729 -40155 -53906 41024 -161976 59788 -61955 12378 -15363 -43675 81371 56512 -48427 28941 82384 -88514 182971 -103784 50479 -10607 -80817 49897 14072 14534 223204 187641 -7712 -185522 19246 87189 71062 85842 -88195 -25536 -41357 -43553 -59405 -49702 249119 255720 -113366 25361 109320 117087 -38557 -24780 -127787 -103925 -61361 120063 52479 -164833 -108400 42465 -83762 97862 -58886 11609 64642 34974 92213 -55734 17738 5762 -38986 -36154 -119488 162891 -70777 -87374 -218743 74266 -12559 90494 -23441 93225 -60479 68187 -33642 57001 106627 163253 -20668 -114173 65407 -178840 54791 105623 120706 -209015 -151507 -138276 -99065 -120496 -36514 -16525 -86344 97979 -73657 32647 -5630 -20477 -157295 -138985 5072 4207 -47969 77751 -21732 -48545 -4917 -16383 21128 82158 -31728 5748 43903 55237 19343 -90645 -71975 74001 18890 236654 177683 -116849 -175594 -36343 143378 140076 -129891 -86122 -27066 65448 -79615 62023 244892 15806 151542 -184771 -134230 92564 92000 -91667 96836 -71265 103904 -18837 92156 -178939 34267 73312 -132645 35391 -96473 65508 -279097 -20234 -52896 -20837 -54292 -98683 31551 
+-81320 -7129 -34340 117874 30593 5720 66136 37728 44963 622 -24454 -52149 59133 -45421 -254330 -8397 91966 -20638 41801 19890 -202218 -179472 1899 -88471 38427 23940 -48261 43398 36015 -51616 -162248 46369 -608 106355 85016 -65147 -56234 -97423 8978 -15177 -72541 -68142 -37951 8658 -9886 942 -122934 10195 -91530 -24823 90763 -3202 58282 -49129 -108219 37461 65905 -30107 121778 -20150 14850 34401 85359 82745 30061 13157 119711 -205662 -66721 -10865 66531 31091 235691 149158 81072 -65244 118396 85376 100872 -92332 63399 35067 49198 -39124 66720 -254493 -31532 -67784 -16686 2292 -26265 83243 47759 -45499 71240 84607 -98112 94547 -73721 -56391 -13973 -120418 33773 -21445 -26972 209191 199276 -123818 307 99904 70729 86295 -77994 -117604 -9627 -79488 -40245 -105608 -61516 168757 258476 -136762 50935 63944 27189 -54699 -7199 -141710 -69998 62790 99817 51472 -176126 -90274 48351 -62964 -12651 -29931 5124 113029 32149 179716 -1199 -59070 2388 22291 112804 69459 45680 -101500 -3913 -220843 80671 124291 73861 -18956 87115 -57781 59479 -7843 30182 109438 87824 33335 -119890 3451 -106090 79012 39103 79860 -185033 -137225 -196062 -2454 -140445 -28160 -14748 -169852 91686 -115149 12989 -80533 -66786 -192806 -140557 41341 -5810 2291 52308 -23340 -45736 -9240 -85423 21568 122432 -38666 24212 48463 35063 59697 -63513 -53333 59167 -113416 175174 102023 -117547 -97068 -63602 77707 87601 14830 -125765 -32873 33482 -90443 67032 214947 33557 208691 -27781 -157818 48543 212008 -93778 139766 12866 -39159 -16258 79100 -161836 19535 15777 -146571 -47967 -55577 41317 -257673 -33193 -68098 -60075 85485 -24998 32491 
+-65052 -76712 15349 203123 -25878 4501 -103557 51995 -123382 -12242 17881 -46935 52464 69101 -292435 38627 33676 21457 37935 40671 -184956 -182654 -39461 -110533 41521 -4443 25708 51085 119707 -89583 -203872 73808 -52660 99219 93020 -116988 -47272 -75474 -61509 -10829 24605 -41214 -97608 64713 -40076 23745 -188006 -769 3360 -38294 127860 139264 -21545 -57196 -184036 5601 -34496 -29259 123272 -45197 3798 54656 151379 6680 16873 -15374 21020 -199484 -79003 142742 28733 -13745 218406 26027 49211 99547 109293 22038 107052 -141571 54199 2631 154183 -27292 36077 -140616 -116121 -27292 -22338 2419 57288 82286 40962 22316 50713 70037 -65456 -30612 -50809 -189015 -21748 -141500 49445 9860 -60219 188153 209416 -168038 120254 168156 75983 75891 -176965 -126760 -9999 -81541 -43323 -151486 46611 28993 203078 -209657 5663 17912 -95089 -44307 5443 -99656 -62098 131879 44662 53043 -175867 -74169 80300 -74964 -59793 12667 -3546 123518 30892 232446 36835 -109018 12706 83307 62506 174449 -91646 -149115 59503 -187243 86482 55574 79829 -1812 21764 -81581 14011 81980 -8404 139018 5259 -13277 -134268 -65189 -10598 52246 -43195 39955 -133101 -108252 -130181 48034 -133606 1651 -17396 -218213 80480 -188974 -10127 -64023 -89728 -61639 -141900 -3963 -21446 92855 63205 -10375 111681 -6671 -95995 37915 136259 -49121 17432 60686 55816 117625 -50064 -10956 28696 -164119 37364 68125 -109566 19842 -100175 20214 -48449 76461 -117680 -27762 -5626 -84621 35131 166506 18827 223523 112874 -157914 29187 188551 -92678 145775 48153 -97728 -6068 68041 -75024 32694 -12394 -114135 -146744 -47153 -45222 -272005 -34181 -33461 -85681 29185 63901 16620 
+-89160 -167424 15757 121739 -94713 -16772 -154656 84078 -261446 -24751 36851 -37871 49282 141541 -210510 71641 -25266 49224 34860 27769 -185866 -140539 -46941 -99117 40202 -30122 97712 52418 138808 -74116 -223184 27316 -72330 100435 65931 -51129 -55252 -89628 -97782 -11655 -8152 -41117 -58819 126633 -95817 35451 -122364 15952 49085 -67381 106166 222685 -108098 -68260 -230889 -72976 -152586 -35717 111744 -36133 18971 62933 86913 8019 16786 -7261 -11472 -34174 -88932 226794 -7205 -82251 82901 -32628 56700 70847 95370 -38926 113151 -180549 28105 13714 166085 -9672 20271 38570 -143166 -30842 -38749 -24597 46878 80385 42815 62734 -26734 56258 -71632 -93712 -21860 -257606 -52820 -136848 59915 101844 -73406 175256 217752 -93508 54831 191533 46394 78442 -128367 -115101 -18142 -73252 -37012 -189801 126630 -71295 134386 -236287 39040 -21158 -43928 -56874 13977 -106851 -115178 109407 -45948 59730 -165150 -59122 41044 -66528 -20283 49198 -9780 186496 32061 220350 103998 -191551 35738 141083 -123904 40439 -78147 -133580 58859 -212970 76641 -111449 77586 -27204 -19813 -83301 46093 164776 -46196 129886 -3680 -123804 -110725 11756 62718 31955 -122275 32765 -97429 -46508 -18029 23625 -126458 -16368 -14836 -195218 68455 -195458 1051 -46073 -11803 99545 -149848 21837 -28594 127799 28432 18431 181750 7625 -104155 37399 95749 -42098 -53106 70260 76601 179178 9808 -18192 7476 -160932 -47319 94476 -86887 50990 -119067 -36091 -143848 119064 -60101 -50467 -46460 -66731 48626 104568 7440 207764 101757 -138522 -45278 56671 -112433 157944 15780 -66341 2916 62117 22273 33799 2442 -99379 -91330 -72130 -36411 -285132 -41626 -22920 -115873 -88360 117594 55058 
+-79125 -136589 -899 17377 -174589 -28226 -28021 106491 -215256 -4153 13537 -47736 46674 169815 -174997 103176 -26512 62334 11304 61128 -175753 -132000 -54418 -87564 34262 -59696 86684 36395 148249 30557 -224857 -14794 -75252 85225 53994 127590 -63007 -51524 -96911 5982 -143421 -56386 -6094 174699 -125722 20044 -5987 41295 113585 -45205 115850 120774 -158508 -74695 -239123 -126099 -204069 -27527 101634 -397 41164 47576 -56813 68339 31205 40472 66914 69968 -88651 101626 10398 -122503 -42386 36180 41303 -56935 84062 -91166 94984 -179293 5174 -29397 103983 17274 33296 87336 -119605 -20027 4855 -26409 228 79980 33835 81358 -112993 61608 -33686 -44888 -35409 -240738 -937 -87323 64617 136792 -67376 142059 224270 37078 -129000 160550 33819 74130 73589 -87438 -23905 -117977 -34679 -197469 73898 -17707 60235 -209365 4961 -73542 96306 -39645 14217 -66748 -148630 34008 -74220 68523 -143263 -40387 51729 -91852 79685 63947 -7993 180170 34862 153010 183739 -176596 61532 192235 -222014 -156540 35231 -116108 30579 -189428 60920 -207549 90415 -54977 -43094 -89361 52655 184580 -82696 150465 78452 -194637 -82869 76881 120808 31927 -159005 47942 -53229 32905 83480 -83255 -120053 8817 -6328 -176166 45922 -170300 20047 -64830 54567 83964 -141177 24533 -98548 93360 19936 37340 145635 -1004 -54683 51583 32806 -43582 -94402 70100 83557 210511 14271 22972 -24120 -172143 10354 38066 -85744 -55760 -115654 -61104 -189656 166909 5076 -46737 -82925 -53172 32713 42600 751 161536 -28154 -100415 -103837 -68505 -112576 95437 -63564 83854 4141 63371 -73115 36311 -57373 -120159 -18816 -107556 19520 -239253 -16140 -34084 -82444 -117869 64901 36262 
+-89195 -49605 -38236 -73638 -140207 -36123 121521 134653 -21495 -34312 5908 -52409 47979 134394 -86355 95108 -6842 68943 18125 58518 -126260 -95393 -45684 -87333 40782 -75751 -632 15073 172278 140426 -158752 -55090 3732 52979 72836 176992 -84734 -70096 -113414 -8002 -207760 -77172 88333 201345 -69980 -1385 16371 31000 119799 -40930 122507 -20297 -164748 -26334 -233323 -120025 -168995 -13989 103298 34100 51967 22510 -172036 139441 50151 84673 165791 -2362 -97885 -48899 17356 -139762 -156722 153618 73938 -169792 83839 -96851 46683 -152220 -34896 -97833 6434 23088 107011 -58888 -23412 -36402 19215 22580 -39198 76127 29678 94296 -141233 53934 2097 77107 -44016 -164534 -1659 -41060 75126 106847 -41375 102943 228943 124555 -246958 77305 -5504 69220 124091 -38147 -16511 -129799 -29339 -178889 -31340 135530 -14767 -222463 -3155 -99364 137677 -24303 9135 -73635 -121368 -68616 -100021 53955 -113056 -24398 88683 -59376 150185 32588 -8808 158233 36875 63084 214064 -132650 82598 220394 -106841 -196274 104166 -72520 -44927 -157134 41011 -160194 95873 -65019 -88608 -67190 20514 143559 -96977 156281 157774 -229641 -90754 86816 143307 8148 -158610 88903 -25281 69115 155053 -134735 -63919 5327 -18641 -119661 32856 -128580 37019 -45350 212525 -68721 -150980 42099 -148668 9197 38256 54140 -29933 -163 -1854 87060 -3041 -54416 -148836 79333 74707 228384 79458 24726 -44543 -185319 129491 -2304 -17179 -175888 -127637 -29898 -126535 97795 57027 -46303 -109080 -60829 31059 -9671 15491 82335 -189086 -65427 -105607 -107590 -89002 49837 -104924 220772 5654 71902 -157079 44774 -84535 -89266 57678 -132802 63311 -209850 24745 -56133 -20739 -15560 -33878 77061 
+-107789 2034 -26584 -36085 -61378 -24956 90322 129319 111744 -18904 -20784 -60787 50802 51349 -24971 74528 30632 37492 -13578 25664 -79852 -58784 1087 -84766 43899 -80112 -100564 16204 132156 232742 -91247 -17626 -7476 41021 97041 121486 -84459 -111700 -101490 -46558 -131715 -125950 147069 175742 -3852 442 -67154 40673 86916 -38843 66721 -79464 -123964 -59408 -207740 -53615 -57305 -55221 93459 23506 61642 45519 -165545 143503 67662 29671 149299 -168185 -113735 -17995 63268 -123951 -139003 213755 73467 -88298 44825 -50386 33142 -110125 -85907 -85158 -67570 20726 40556 -223332 69306 -45266 22411 -11018 -49432 73431 20734 76985 -90707 39603 16224 176263 -66572 -36257 -6072 -71664 78567 24181 -977 51858 231746 87987 -177593 1480 -41272 54851 -94297 15926 -11145 -99902 -17948 -153483 -64670 222852 -71531 -246562 13041 -105430 39765 7722 3385 -71557 -75158 -131840 -31112 54905 -76164 -10586 38976 -53391 240701 -17669 5042 116655 36586 -20290 197518 -39131 89760 220206 76972 8332 192718 -57163 -116193 -159111 9339 13672 96390 -54895 -47103 -78001 103663 60766 -79753 175413 166725 -144494 -37535 9571 122363 -8738 -121937 124507 24682 94870 64153 -87975 -87524 682 -20118 -29736 11265 -72167 25186 -31696 190986 -197891 -129859 24257 -105650 -38614 36703 65906 -68182 4999 36271 95383 12575 -68373 -114491 76805 66424 235558 126240 -9252 -74686 -134395 227127 -10 43529 -167093 -134175 32374 -45655 -26077 83978 -61380 -121627 -79314 35696 -44634 39499 6080 -184069 -37928 -88268 -47128 -84147 27083 -55570 230333 -10234 84996 -170866 45241 -104480 -136583 16730 -107159 33185 -142739 63095 -44723 -30326 38233 -107565 78009 
+-74485 -71088 -41765 122638 28075 -17616 -91054 146417 67516 6788 -13623 -63077 54791 -64312 -3882 39394 64527 10665 77985 27659 -47951 -23498 25094 -91310 38591 -81806 -66358 24991 123042 264575 -29643 46473 -24994 21313 80715 -32714 -99342 -120727 -44102 -52515 -11886 -130120 177027 137747 6411 23084 -197170 62854 10442 -19265 46864 14134 -44760 -57070 -145563 4526 56631 -32245 86973 -9973 47122 22780 -48531 67159 73465 -7965 52142 -212315 -114313 151584 97325 -69538 -16967 150983 71356 58429 51727 9698 -24867 -41838 -131783 -58505 -71010 -3917 20021 -225048 116784 -48216 -416 9997 -12451 74922 13843 54550 8338 60699 40343 174326 -105463 77104 -31988 -92341 76703 -15564 41924 -1957 232371 -19287 8798 -35127 -72252 38572 -237810 78357 -28598 -111931 -26224 -107028 54787 218701 -109107 -189898 6698 -96942 -83036 36783 -12251 -51056 -75683 -140226 27453 50909 -34560 868 61787 -67442 217586 -46623 5931 44876 34125 -52110 193786 32507 81486 204868 105085 171324 129678 -36267 -183537 -118982 -3161 125962 90958 -42644 -4790 -99279 130570 -5307 -33647 137791 92611 -77659 -22456 -60157 79905 -9782 -44100 135547 53856 65148 -77805 38869 -55155 -13007 -24260 57272 -9767 -9887 -13751 -34221 183554 -131379 -130319 21683 -57801 -33261 17625 62348 39442 -11085 88360 95021 41156 -58402 4154 97699 67974 203009 176743 -43100 -88489 -66151 186228 52158 64618 -43942 -123964 74782 77213 -152463 66399 -25875 -117779 -92369 38516 -56263 2186 -57864 -29709 -33549 -76508 42844 -124389 68112 28351 141078 6877 98377 -110838 30661 -96782 -105482 -88351 -80905 -45924 -92183 38537 -30500 -52110 30733 -88337 57051 
+-78342 -157350 -39947 170741 4422 -425 -166981 127734 -129517 15650 25745 -51888 56685 -155162 62094 8886 108992 -19981 57431 14849 5566 11749 47351 -97554 31965 -74535 27070 7138 46383 211589 54426 70991 -54963 -2897 73139 -139346 -86607 -126712 17636 -65941 20872 -152031 161465 65198 -32476 35496 -188776 21740 -57434 7706 4716 159065 62706 -49314 -92918 43215 99286 -15105 83247 -37371 35800 50163 88106 29512 67641 -12733 -8133 -75150 -127617 217826 87964 -9158 122226 28068 66458 104901 39493 83649 -52845 39742 -159599 -47725 14229 -20111 65709 -54155 56184 -27847 -29048 -10560 13964 73803 15929 10841 68700 47752 25807 72636 -108232 102615 -49371 -160772 73126 5228 77734 -31304 230860 -135559 122073 -6670 -97396 45593 -141156 140142 -7288 -128036 -22030 -48184 142996 54136 -37197 -144352 -5293 -71516 -79430 -27229 -28185 -58828 -85384 -71649 94934 60184 8157 2071 73989 -73655 151796 -50849 9087 18680 31651 -19303 164350 29151 60873 161767 -59465 97574 -8802 -43383 -186263 -84271 -30461 99481 109052 -49896 21398 -86859 113373 -15592 6567 140395 11653 9829 -4019 4252 2580 -40086 35526 107729 61547 56421 -151541 20367 -102006 -18419 -20488 83093 -21579 15888 -39003 -1206 157186 31056 -121281 -12106 -4275 32415 29158 45501 189023 -1623 67173 109725 89878 -48958 34565 62876 110736 162387 230330 -86249 -111317 13792 62037 71031 100181 41047 -101133 138607 154421 -215014 12309 -34087 -98428 -83481 51677 -38360 31120 -97100 129121 -54338 -44987 185774 -87303 109318 43283 -5489 1821 105359 -60450 -6797 -73345 -99253 -78735 -53391 -39197 -55867 67883 -54327 -107957 -70651 3098 48537 
+-74459 -153806 -21112 135398 -120518 18716 -55222 131272 -273241 -1175 32394 -42312 57210 -190574 93521 -42869 124434 -60318 78018 34806 16656 50498 43146 -103787 32453 -60544 97250 794 -3042 105677 110258 90230 -85741 -27292 65812 -79777 -89514 -99100 36677 -50739 -70523 -144870 81727 1601 -116816 20724 -54799 11645 -109370 17261 -37623 199954 132678 -62467 -8689 1081 27731 -41306 77577 -41956 24733 50203 154258 8661 49877 40953 20019 74945 -127559 78002 112626 34867 208764 -28765 56246 -57888 117157 115453 -38150 110244 -185818 2138 115809 -39650 21731 90597 -33899 -59353 -12414 -28907 71787 72143 18273 -60263 83059 30050 -7582 -48371 -97677 66411 -6272 -156218 85213 81076 96645 -66041 227624 -164051 47895 76197 -141903 57547 67289 179549 -16385 -122157 -18701 -9876 88587 -60304 -7575 -92876 7113 -62233 61719 26704 -58116 -50386 -148691 6862 131407 51474 49608 27607 34137 -56717 46746 -19620 -13829 50160 30925 61419 116950 -21220 34442 106806 -214294 -131574 -86244 -59771 -157673 -92661 -37346 -77168 107584 -23145 54299 -80238 119687 43095 45047 149924 -5893 -24437 -11972 99536 -79717 -58199 106393 74047 61457 -6402 -167317 -15817 -17978 -40542 -20104 93594 -34205 34006 6974 -41614 33894 104035 -119361 -43888 17569 119960 -20380 23301 197801 -9247 71534 96940 132717 -47528 6548 99890 88974 118267 229550 -69034 -93215 96836 -27228 73952 144322 3343 -72897 116630 148195 -173668 -43230 -28349 -67144 -68187 39181 1123 35016 -97298 114251 -85761 -41097 218447 -91900 106631 -14376 -115888 -7272 107527 -20638 -8374 -98188 -112142 -101123 -51965 16991 194 48340 -37530 -106394 -110584 92143 86266 
+-74298 -53840 13142 -21022 -156949 -315 106619 98575 -237082 6561 -4014 -41428 54130 -151691 102822 -90946 110351 -113271 51456 60463 28182 88455 -1226 -78895 30864 -37410 85217 -27063 -62836 358 130708 -3657 -25016 -54303 77156 55673 -81454 -110948 46758 -4415 -179368 -86848 4145 4198 -115326 -1024 44713 15193 -180266 69566 -31224 104388 194126 -49234 13803 -52294 -97457 -20055 67618 -9757 14867 47899 73166 81517 30377 81436 141176 25177 -130983 -66148 136056 53124 230750 20827 71156 -194291 65797 135793 -26429 172217 -223017 21253 172084 -54976 -12734 36201 -121413 -60348 -28868 -7035 3639 76253 7229 -91937 7098 36561 -49465 -93083 -90764 -47787 28305 -97978 103297 129542 94540 -86499 222356 -77875 -139731 175000 -195102 54108 125785 202974 -10687 -92989 -23806 18585 -34255 -36361 39086 -97742 15039 -36552 141821 -30444 -76178 -108530 -138488 118154 119892 56910 86144 11519 59596 -42202 -39534 27719 -23514 22069 32668 156074 69315 -76027 11694 43380 -173802 -198685 -85558 -107226 -73400 -66112 -37746 -198443 107360 -3960 103073 -82571 77561 131929 53963 124832 67663 -87210 -10774 109799 -166910 -6914 141291 45024 55172 -94442 -101286 -90511 -31018 -14207 -16057 39109 -62595 -10115 32741 -50779 -36695 8920 -111459 -8649 2610 137232 4470 1598 24559 -1228 45684 107619 123605 -54887 -70790 58954 91395 65574 253399 -123484 -97227 137514 -18032 112282 195235 -116334 -20247 83753 39342 -110882 -94631 -47294 -27623 -54972 27615 54666 43780 -63146 -34431 -119514 59233 89242 -63148 152480 -101697 -102169 -12618 101221 -129045 -17764 -79249 -99012 8006 -89374 68869 46595 13294 -46591 -99092 -51646 95434 88451 
+-87357 -11642 7414 -104448 -149424 42519 94519 64487 -54975 29498 -31683 -47406 51562 -59612 100829 -147205 44052 -160494 64442 40659 71527 84803 -17590 -61781 30548 -23957 -48256 -51785 -79653 -80467 109825 -62008 -24282 -79837 64911 179840 -64714 -126962 43508 -11755 -193396 -70493 -49431 24100 -45712 -4 -25412 10207 -232515 84175 -38383 -58489 224088 -48879 69892 -122619 -194796 -41066 60638 22299 21057 32189 -72237 143823 17847 69425 157762 -150172 -122674 -28527 77450 27464 127786 145376 78687 -139491 79156 85907 -36335 187403 -223296 18274 149669 -37235 23857 -133415 -144886 -82575 -23739 -5071 -27904 76191 7899 -127004 -79078 18299 -77494 -26740 -47178 -158892 16307 -56991 80814 108244 73464 -94581 215156 49221 -248250 211030 -150851 74050 -101869 209020 -32431 -139245 -13882 17902 -53563 104936 146696 6440 1768 54024 49475 -64184 -103287 -30529 -88197 124424 69347 39407 116092 286 40211 -60161 -44065 50839 -2360 63219 35478 223617 14494 -163255 1390 -18769 8813 -37112 43113 -138444 -13928 -43009 -40911 -197597 110826 -23153 112954 -75217 68847 186860 32952 123416 151708 -174104 -19314 48565 -222774 -25575 135645 34296 18144 -151643 36828 -115514 -4412 -19602 -17515 -32444 -65519 -55085 -25526 -59831 -79074 -167189 -98336 43091 -51138 71133 -12062 -16094 -64890 -3586 13179 122276 81540 -46307 -128964 76952 102225 6711 250250 -176611 -71406 145832 108944 153396 185118 -166350 -3646 22536 -57022 -32480 -126730 -72269 13095 -59887 37916 122319 55795 6440 -182504 -151596 88209 -34274 -50910 122217 -76831 58638 -13962 89086 -164743 950 -70787 -97829 52482 -120293 38645 80584 2304 -26830 -45518 63292 18374 66416 
+-89403 -55662 -18382 -25596 -80735 48943 -74803 31233 98080 36988 -2568 -57338 48149 51915 22163 -182343 7983 -204577 58507 10212 85872 112427 -42644 -98447 29559 6188 -101808 -20037 -121520 -93198 43621 -29022 -24809 -104798 64792 156115 -63177 -125086 30053 -5870 -76311 -54731 -92966 75782 7143 22397 -147069 -52545 -235380 117905 -50133 -56203 193596 -46359 44433 -97732 -216693 -13211 61556 37287 32936 24663 -172616 126117 16903 21541 60778 -228836 -123930 118118 79418 -18624 -9544 205685 76303 36931 122144 12439 -6903 184446 -227199 19167 46157 -19431 60330 -244707 -64705 -105570 23624 -888 -47421 79933 2356 -156784 -138462 12665 -94895 98689 -23716 -249304 -55986 -47899 68944 30255 36575 -92801 206188 120464 -169589 172868 -181574 74260 -232680 189734 -26860 -103029 -12481 -474 42630 217844 189047 22356 19143 44356 -48318 -64244 -125751 -63447 -63411 63511 30167 44879 137192 -20592 45653 -43584 43748 57608 12732 131562 37137 225596 -45633 -153809 7158 -67501 119680 145301 198360 -128498 57119 -42001 -9866 -18848 119664 -68436 101242 -72023 29426 156344 -494 126768 170344 -204329 -23999 -60024 -248071 -47779 98674 57185 313 -131932 126752 -55072 -13497 -44301 -18296 -117775 -61378 -98822 -19620 -49183 -30832 -184801 -97628 48235 -119389 -28698 20948 -25240 -15238 -13979 -52995 140238 41253 -42992 -145713 2635 109607 -22723 243339 -133790 -48659 126979 215943 119629 201462 -108034 -4616 -34473 -187646 112416 -104490 -74592 49712 -77381 27237 179117 21371 84852 -190602 -156368 172530 -87593 -67994 79758 -20493 182477 -21668 75710 -168791 -13637 -33307 -109715 -36156 -120809 -20112 91066 10011 -32645 -85201 42427 -69487 75332 
+-100751 -132631 -31998 86179 50824 63830 -172723 7181 26652 -7295 16735 -59049 47447 146121 -5599 -220447 -36720 -221767 -22067 30775 95683 133780 -60458 -101649 29235 44473 -40120 6902 -110575 -26564 -22268 30396 -76764 -131239 57899 54350 -57461 -146508 -16419 -21402 26337 -38500 -59890 150250 11881 35535 -193235 -13607 -188204 131138 -23962 51678 123684 -38664 4546 -73491 -125396 -26544 51502 7316 52782 34813 -164844 83421 28574 -35067 -1507 -99816 -125319 241841 -4296 -70597 -119312 153553 76115 109808 123449 -53907 53600 133144 -218466 19153 -45819 -8868 76944 -172245 30673 -95165 21128 4666 -21937 81831 174 -181370 -123982 8855 -109290 184079 -16486 -268237 3103 -62379 53802 -28893 -7614 -69788 195637 83961 15900 86002 -167407 86848 -129065 147386 -18170 -134864 -18300 -41575 143660 213132 258318 57111 10277 126382 -66581 -39941 -149818 -118713 -80481 -46554 -60463 56078 147381 -28560 62266 -31488 118802 16355 -10795 159459 36086 179487 -58532 -153023 26040 -101384 21738 157421 205106 -97163 69723 -39875 7430 97043 113339 -92690 40820 -78242 42426 41851 -48200 93203 96256 -203655 2976 -43214 -227482 1927 32854 92249 -67450 -134822 81644 46464 -6929 1640 -17135 -172759 -78338 -143985 -11070 -45319 -31622 -54602 -87652 33068 -156963 -57685 1396 -21247 142446 -10813 -76947 117636 12085 -50856 -112234 35362 64582 -40373 200184 -97460 -16427 116520 230735 155081 220242 15426 49509 -57306 -152398 156733 -48331 -30225 76206 -89100 45976 221173 17197 162101 -21718 -151837 153639 -60906 -33516 85577 34845 251503 -37114 66071 -36858 605 -6266 -104685 -142782 -90342 -43915 96881 -59221 -52879 -64919 -34908 -123080 72872 
+-72834 -167128 -43904 181983 -18638 70950 -65345 -12015 -146013 2785 28983 -58259 51031 172683 -98667 -227527 -14717 -235280 19848 48826 94504 136392 -57739 -102799 26025 64424 48636 -22747 -120245 81342 -105009 68770 -20302 -160140 71659 -81906 -43972 -105719 -62543 -57794 -4246 -94935 25100 187624 -60527 21397 -110506 -40622 -163985 152587 37319 160805 7470 -33597 -50673 19349 10849 -15569 48595 -29864 58945 50891 -30621 18675 49015 13113 12116 49845 -100814 116705 -29137 -122823 -155279 28925 55215 -13416 110094 -100324 89849 95069 -206928 -26126 -88558 11947 48989 22787 67532 -134626 7318 35393 17704 83121 -8362 -202947 -48372 427 -83294 162507 -40561 -181854 -45735 -124101 66968 -8004 -46267 -35464 183499 -42489 122703 8001 -170100 88462 55059 102900 -19168 -104612 -18013 -104267 89286 75343 273352 104753 47760 157523 28419 -44324 -165094 -87307 -136305 -135565 -105179 69934 146776 -49971 76445 -17971 235623 -32967 11035 164404 33474 89112 -81046 -65544 52139 -114904 -168363 -80719 98279 -75672 19883 18679 30152 98003 108097 -56197 10794 -85773 34263 -6282 -86090 84264 12422 -80898 -17869 48659 -200207 7113 -65763 117946 -94448 -96416 14481 35416 12718 -16486 -14189 -214103 -78619 -173855 -595 -52357 98447 110091 -82171 2 -101565 -13119 2418 -6776 215839 -7378 -99407 104942 23240 -40632 -23860 13471 86160 -29456 190610 -28438 1516 15172 116065 93727 167954 40972 87211 -40685 -114191 176399 13079 -32337 88592 -86339 20855 246144 29312 202015 111762 -116501 132971 -12530 -59254 60802 35627 153905 -41897 61772 -35222 -25511 12364 -136451 -101185 -63902 -1789 100375 -74740 -36620 -95665 -131291 -34379 60008 
+-75429 -94649 11959 124362 -107460 57316 111931 -15247 -271487 -13991 31363 -49918 52052 134528 -188282 -233709 1712 -205844 25872 48698 69502 143484 -37532 -84404 26922 93653 78552 -20834 -97955 189189 -150549 128757 -39797 -171316 69669 -120919 -56053 -115984 -92120 -40471 -130003 -66771 112646 193266 -102594 -603 15226 -75092 -83243 131923 69091 186325 -47264 -38995 -108962 27496 83752 -47528 45950 -49112 52901 56971 104904 5008 66690 48347 82496 49274 -95518 -59154 -1967 -142093 -75964 -34021 53182 -177257 70306 -95903 123852 44466 -165575 -78680 -26667 21224 50898 100267 77694 -135535 -7558 -10184 40705 84289 -15092 -167914 44207 -1064 -103370 50248 -58574 -52429 6403 -142847 65069 95903 -69409 3274 169676 -145203 40341 -40543 -118490 68033 96903 40777 -8165 -108716 -14841 -140963 -39371 -48997 266545 73435 17381 180555 168533 -37303 -184022 -109168 -139805 -151564 -86325 56796 134280 -75967 76957 -49927 253350 -63970 -17947 140882 31179 2893 -40439 16307 75443 -99261 -216119 -185753 -37422 -81208 -50796 24638 56711 -99201 113496 -62702 -58351 -70777 56684 -7549 -93517 63058 -6795 -23669 -26496 100196 -115794 -16255 -131267 128014 -148297 -23568 -93311 -74102 62255 -2883 -20234 -220929 -66360 -194651 14667 -49397 143143 69333 -65377 -8486 -83210 47371 -21889 15334 117352 -17770 -86820 131354 40445 -46729 37990 -54542 79546 456 137539 -67811 30283 -60997 -17317 57775 164304 -39074 111491 22072 3163 103918 60533 1627 85372 -68895 46010 244146 35944 219645 109862 -77330 77960 163582 -23874 42883 -42967 5101 -43728 65089 -49978 6572 49165 -77711 -17643 -49525 67095 79280 -30664 -26052 -104019 -66364 58697 35243 
+-82194 -27700 -30070 -17685 -199573 45622 103418 -15703 -200484 -12151 3877 -37843 56045 51668 -289707 -200953 85908 -192830 37401 39051 52365 129192 9535 -50987 25712 92135 70790 -11259 -45005 257171 -205568 42729 -30751 -186675 64637 -4649 -71367 -136896 -128368 -52338 -213991 -133759 155922 160187 -103759 -386 39898 -30012 -1392 126767 92899 53090 -139006 -52724 -184848 10231 58999 -5342 41211 -17612 35773 44819 156753 56975 74306 89778 162221 -116756 -82943 -50910 13284 -115522 82932 34589 63719 -162350 98581 -39396 111604 -36169 -129315 -83412 81061 18426 45892 -20454 1759 -151482 -54430 6986 461 82641 -7608 -142582 92044 -16088 -67288 -63650 -116485 71517 4341 -127115 44960 134258 -72977 49823 154734 -154869 -146239 -17956 -80281 82595 -65340 -13546 -2330 -82278 -17712 -184398 -68763 -51470 213427 90185 1720 234689 111452 -55144 -186848 -112990 -118709 -103850 -28114 66285 112077 -83776 57307 -25154 197253 -40778 -15228 160892 31161 -47502 -24538 23920 88171 -63824 -66679 -93391 -111942 -43449 -150327 18863 73015 -242405 107834 -40616 -55748 -61244 27449 64898 -77441 82642 63306 24561 -55762 60969 -27532 10278 -170994 116009 -176807 56034 -165878 -125162 48727 -3465 -19528 -161542 -56077 -159566 20927 -54869 198640 -82776 -61698 14078 -13063 124124 -3794 41657 -20292 -23718 -50270 93265 88844 -39001 -5308 -45029 83853 43994 101082 -28407 57187 -103154 -28537 13498 143054 -166107 129248 85294 126922 -14844 83619 -32704 66485 -55231 57200 218015 34035 210120 -44586 -45642 37649 197346 -25301 79060 -112248 -110709 -40652 75641 -160173 887 63141 -103619 39912 -74513 56971 38115 -34018 -31979 -56610 46505 114577 31768 
+-79313 -38890 -27635 -81383 -170050 40757 -60438 -2945 -33816 -5114 -19038 -40592 57622 -64595 -266947 -174487 138611 -146219 56282 50978 27366 99920 50690 -96512 27615 95276 -72820 -6730 40084 247098 -230044 -23132 -973 -198727 69211 167372 -78679 -134211 -89008 -18145 -150342 -152929 185568 101986 -46866 21736 -88962 -29724 73465 136132 175754 -94746 -164282 -67928 -219344 -62864 -45787 -59536 41024 23841 19814 4221 52607 117604 66943 33135 106300 -230229 -80613 119004 53674 -67566 206012 155330 68085 16235 28308 42631 119242 -119240 -96279 -119285 162879 74 6834 -208169 -72607 -140111 -47683 15148 -44548 81597 -15715 -76181 44724 -21767 -25629 -89406 -106317 119862 9376 -97268 39412 116770 -55682 96238 138453 -66234 -249829 69944 -55499 85889 -211579 -67628 -8132 -75131 -24535 -196255 47476 85683 137966 107109 40128 254422 -24516 3537 -186911 -121875 -64715 9257 43688 67613 80776 -103638 70065 -38679 81256 14825 -34332 115353 33319 -34656 24468 -14277 87340 -29516 105352 88624 -77899 -34966 -192099 9737 85403 -174391 118721 -997 -46870 -67085 68869 130047 -36532 40237 152782 -62034 -69442 -49836 48979 2122 -158335 70352 -199987 77327 -150336 -86778 55417 -17631 -16836 -76372 -49279 -102825 7192 -41171 180937 -197576 -51906 21885 26335 114927 -12789 59429 -30409 -16630 -806 80878 147354 -28293 -17665 -65276 62469 84740 25381 -14838 64279 -195266 82534 24009 103850 -142182 130859 128330 168817 -99967 67540 -32431 35247 -58795 19544 171707 33281 155677 -192235 -32550 16047 176992 -6591 130081 -97421 -72437 -45188 88305 -167632 -31307 101598 -93947 -14352 -103148 -27239 -34382 -23789 -34282 -22266 55117 62750 20093 
+-81434 -133143 -22663 -26504 -44008 54210 -171532 12937 131358 -18099 -3617 -51137 54990 -154866 -314122 -144453 128569 -104153 76392 10077 -22771 65882 44961 -93736 21598 81503 -68582 -47793 39572 175184 -212145 -52568 -30511 -204590 67958 217984 -95081 -124551 -55587 -40615 -13464 -130101 147563 41204 2002 35541 -187368 -29048 108866 123496 142507 -26230 -148143 -48949 -244760 -130050 -159823 -19043 37884 35688 16213 18025 -101717 127515 49143 -18367 9056 -144766 -68623 253495 85974 -11197 242673 211179 78154 133914 47594 96631 109871 -166065 -48502 -33899 158833 -29550 27378 -245161 -148073 -162635 -9141 9917 -39435 81595 -3573 -53480 -41251 -17239 18368 -6552 -125671 91885 -14225 -32815 24631 33127 -21703 146109 121256 63295 -162170 145176 -43260 65713 -91763 -107548 -15462 -121759 -25288 -190496 138431 202716 90013 44792 20503 290548 -88973 23302 -191105 -138465 -67095 85608 110304 54869 43217 -116370 81427 -28097 -6986 51646 -26876 80159 36018 35123 94516 -97101 70116 35111 77141 137303 116452 -52683 -190266 13754 80521 909 105513 -27204 -51499 -59329 97707 204143 8706 47811 172424 -157664 -126782 -83789 120362 8260 -114377 40475 -216173 75530 -31862 -12944 135050 -37353 -19660 1141 -15470 -38571 3409 -30653 113093 -151018 -38112 31627 -5313 5148 -3883 65167 73125 -12751 49083 69884 137099 -36034 -120213 -135529 53929 149846 29569 -9139 109000 -196421 202642 27198 44330 -41131 127503 120432 131536 -174575 2928 -65441 -3675 -76819 6105 109964 34133 74470 -191873 -42872 -55262 47836 41462 168204 5920 46578 -55619 100027 -118366 -6717 124116 -96080 -78176 -124248 -51867 -57394 13144 -29321 -58073 -43852 -15968 -22564 
+-89391 -151749 -1095 80262 -20834 16528 -78593 29843 41786 -5710 47676 -60748 52793 -191354 -279523 -90276 83713 -51831 47586 21227 -60196 57927 23408 -96067 22551 71914 -51643 -8730 96716 54768 -135920 -11345 -33025 -206926 82189 113589 -96394 -95804 -31106 411 19195 -104859 58681 13589 21989 22111 -131509 43364 128360 104457 100181 91807 -75169 -61996 -249186 -132205 -203491 6501 39615 15182 22231 59976 -174036 93131 30898 4050 -24805 33556 -73458 96868 113849 37133 149199 148694 84736 21299 58197 118741 40530 -186820 -16923 -23009 78627 -42626 48271 -100553 -131436 -136263 12776 14576 197 77839 -11865 -2487 -118938 -19954 9 118922 -89020 -21160 -8425 -54031 30654 -30620 21709 173053 103479 124145 25097 192422 29965 49496 75411 -124718 -11482 -52862 -26805 -157046 91099 220481 -14004 66400 11926 292884 12345 25617 -176992 -113617 -111656 115353 132463 60821 1540 -125881 51451 -37050 -55203 52888 24387 29886 36983 135267 142536 -171012 44918 101100 -97668 -15123 199175 -80885 -132342 29525 81482 159121 108543 -10348 -4627 -62107 92923 139266 46081 -1814 104862 -199663 -112688 34349 137955 28499 -34834 37662 -227722 74829 67133 56251 140006 -62587 -20105 56428 -1615 -6239 13284 3627 15806 13761 -15917 9551 -67176 -61928 -7493 56683 199297 5619 67906 70967 81275 -22917 -142241 -95782 88942 197213 -14503 -8517 93856 -192420 222222 29662 2396 44666 136043 83745 -9625 -182239 -61777 -56291 -45025 -91746 40156 44087 16213 2808 -27669 -66003 -104537 -73015 6475 156457 56562 188671 -54749 106912 -746 -3325 138004 -126113 -165888 -109137 -3994 -115621 40345 -39792 -66875 -128523 -90130 -25209 
+-108916 -97815 -19797 151331 -39595 27528 103092 64117 -149204 325 30885 -62366 47400 -153786 -253062 -26018 52511 -15741 42965 19750 -88465 39960 31348 -100832 16714 52683 47715 -3420 154278 -43593 -55484 91726 -44989 -227515 63343 -54502 -97976 -99945 18020 -10946 -66014 -62268 -30257 3211 -52004 -178 -20196 43925 80821 98156 104854 192278 11019 -23264 -191026 -56946 -158504 -13903 40129 -30516 27294 52730 -149441 17628 18103 22810 42591 68574 -65900 -46732 122314 48380 41450 26387 70761 -168489 54713 126230 -13840 -174601 30186 30644 -22124 -46992 68530 86010 -48229 -147488 18266 39613 12897 75503 -2278 38393 -117780 -23454 42565 188766 -48047 -173937 -17116 -95557 32593 -3197 62062 195050 85481 69791 124376 183797 24139 66875 126250 -125039 -18946 -63961 -35933 -109415 -47174 94475 -79406 11446 29035 245124 126339 -9832 -167544 -112648 -132468 70317 104551 47867 -41481 -150673 36211 -41087 -9902 34956 -5582 7097 35609 216406 141858 -182181 20038 149405 -221934 -198257 147331 -141002 -76161 8211 65013 66108 102949 -62378 42739 -93114 121144 89349 50289 -23516 20967 -193283 -114571 102807 138088 48751 43842 59251 -198447 21645 130123 7620 180399 -36699 -17364 85412 17416 36933 5484 -21054 -75963 129024 -24020 -54408 -129406 -58480 37829 42435 154342 -6818 60006 38056 41272 -29892 -145200 -116468 94876 231121 -60712 -7591 89770 -149859 138992 50654 -81037 18337 112061 20087 -139602 -124120 -106615 -63403 -81589 -86496 1396 -3969 20384 -60107 110539 -103271 -92864 -90136 42123 111103 27362 254158 -47951 107071 -49017 19200 145334 -77455 -106521 -79112 71056 -156715 56153 -45300 -127596 -34637 -91250 -18850 
+-100936 -14815 -2295 124659 -92576 29300 108857 84358 -246590 -3114 14156 -53876 48244 -62940 -193734 17130 17572 35876 45664 67347 -138846 1169 -39078 -85446 20414 38483 102074 -49542 160618 -93079 3543 114110 -17165 -200840 67038 -105790 -89225 -79607 93370 -16834 -188035 -51736 -76546 43035 -99864 -827 77381 42392 45115 52077 61167 182391 68613 -34225 -148704 12017 -46517 -53668 44177 -42748 46343 57889 -20673 13714 15668 73496 167678 -78242 -72212 -33020 103956 31817 -94767 -29257 49930 -158426 92324 80660 -30482 -140534 43664 30145 -71533 -36127 67656 64401 48075 -147888 141 29089 45464 72563 -1761 79371 -75937 -41059 14111 147717 -38982 -251335 -30006 -149251 23458 73353 90993 223408 66852 -51812 31566 123191 56404 47457 -51281 -97539 -10150 -44451 -38327 -56144 -77726 -35388 -84578 -20702 18493 273732 120219 -25269 -144633 -116674 -136009 -6085 58970 50147 -81933 -159214 43735 -62827 95920 -9968 -11352 18171 32815 235352 207305 -127703 4182 206297 -141946 -188100 43942 -132320 683 1986 34008 -113710 79471 -77486 88861 -99311 83758 1907 36518 -33926 -7725 -129919 -105221 67715 85666 78347 111615 93533 -180976 -53708 79159 -91041 156340 -10305 -16015 92654 45449 18796 5182 -31095 -92117 -4410 -15129 14471 -129448 36920 13189 22576 4098 -3088 57459 17281 15039 -3481 -54116 -137197 33450 222400 -80376 -29253 81237 -127295 -3483 69236 -78826 -107418 66350 -27868 -199489 -101664 -122858 -29054 -108203 -69177 37583 -39897 21004 -99538 105220 -139613 -120579 -21845 59069 83403 -57756 191298 -51657 98389 -111950 34338 170266 -100931 33909 -48253 62152 -190050 39706 -38923 -105727 23398 -3746 -22997 
+-86980 -14841 -26962 19292 -208033 -2363 -50100 131835 -215045 1172 -7943 -43989 49037 52497 -130396 66519 -33989 49038 17507 37974 -163078 -59862 -53847 -53545 18015 -1209 70279 -8715 169512 -72513 70217 47229 779 -199680 83419 -62517 -80890 -126612 65778 -29838 -193052 -50500 -82539 100533 -120188 21067 -54438 52693 -53244 18483 36635 -20223 150778 -74459 -61925 30725 62865 -35626 45076 -36704 53746 45760 120356 71928 28592 49841 155381 -215461 -76510 124566 91877 -15365 -139391 26629 66681 -50704 59096 4727 -31341 -64309 70684 47277 -54324 -21480 32793 -102192 67964 -146870 -13478 38903 3036 72739 -10905 74658 30794 -36372 3724 27804 -21231 -263030 -6891 -139192 44967 133557 98629 216656 47971 -147862 -154894 30322 81266 47735 -221188 -63235 -36502 -64908 -40115 -10861 40905 -44284 -106787 -69436 12731 243313 -14398 -50674 -126776 -79964 -63845 -89316 -52639 61300 -118244 -172373 88578 -62106 186839 -44327 -45954 26397 30883 197452 195411 -46675 3336 222733 53245 40304 -58499 -127902 58889 6156 12356 -214751 95332 -100116 97597 -72188 38798 -21827 -1455 -53334 55971 -24760 -120269 -33320 14952 67996 148498 125058 -126408 -119496 -22601 -140274 154770 -6696 -20554 40131 55483 -22752 11476 -31637 -49770 -156596 12181 28649 -109330 90111 -7442 2374 -57021 -3389 -8578 4527 27415 817 45664 -155874 43480 215084 -95117 -66648 70054 -6542 -38638 132029 -95227 -166516 66127 -57020 -136688 75128 -93682 -49217 -121300 -54028 918 -56097 9006 -93352 -29357 -164067 -69999 102353 71093 45511 -114223 7520 -52268 86074 -191854 15903 170466 -145105 36873 -53570 -4520 -255115 51382 -31286 -56846 71204 70582 -5253 
+-73592 -85581 -13065 -87807 -135202 -17820 -158000 134020 -41896 -21329 -7260 -36397 51155 150856 -34293 60897 -9897 70835 16200 32729 -183860 -72228 -47271 -75797 18198 -34576 -55891 -24088 147001 13410 115297 12510 -45685 -188357 69419 100147 -64147 -98690 45605 -44940 -78558 -45025 -36024 155384 -52299 35534 -170670 54932 -141307 -6968 -18490 -95657 208987 -44724 -21872 -8959 83968 -30535 49169 8571 46080 26095 133621 144106 47541 7026 56438 -181676 -82472 217543 29255 -78805 -91755 159791 90142 122962 91556 -71440 -72922 -20178 79518 -940 47221 5443 -22124 -251922 48620 -116036 -47471 24094 -41782 73982 3006 73302 70435 -23209 -25835 -76119 -34115 -183078 -9668 -117433 32018 115904 86519 205351 29368 -147419 -248409 -26950 48870 66060 -180581 -8514 -3936 -49801 -43032 18060 145266 72898 -29780 -120397 596 165272 -95555 -35484 -103932 -123540 -40030 -146025 -73597 50996 -147744 -172133 47071 -33354 235458 -56468 -18828 95735 31497 110568 168846 16242 16327 212674 114256 214328 -52696 -125540 54824 -7427 4142 -157153 86127 -100528 91136 -70985 73128 32107 -45029 -72867 144005 11240 -139277 -66870 -65694 104353 137357 132412 -92595 -130444 -120822 -55160 191516 21402 -19532 -66480 67190 -73471 -11745 -41262 25585 -201923 6132 41236 -41523 122242 24 -21574 1930 -15738 -15443 4525 51601 980 19454 -177182 23773 181481 -109053 -95570 34723 69152 48804 147606 -92056 -93418 17590 -42928 -66406 143642 -36660 -18678 -118177 -58129 -24642 -38635 44863 -58619 -177891 -155704 -59657 200731 75733 55770 -56635 -111609 -47298 71830 -128439 13653 150387 -79050 -62095 -84531 -46746 -294480 30983 -24616 -60940 -24870 119197 -45775 
+-76112 -159393 -24705 -17044 -52966 -34866 -86352 126370 91062 1745 9746 -43699 55985 176054 9005 92349 12125 69239 -1707 26128 -191505 -122402 -38410 -108848 17567 -45052 -102226 18256 106802 113896 91694 -914 -21302 -164136 61574 192103 -64966 -79445 -13111 -24216 9526 -86954 67530 194766 -6794 22749 -182404 59054 -160485 -24809 -63458 -11559 221453 -46198 37622 -51850 19399 -9687 51510 41274 29381 -1702 41363 138027 66435 -11078 -30453 1707 -79199 111801 5030 -126795 24894 211876 95530 23918 120871 -101666 -20017 56144 70155 -80380 146665 16482 45721 -192682 -3143 -116588 -19890 43291 -60723 74154 625 75020 64771 -39653 -40924 -82029 -33964 -71893 -20225 -62030 57634 40335 54989 169476 11372 -47751 -153320 -20523 46524 67724 49131 52777 -14908 -44375 -43600 13077 99055 193457 6988 -134104 13969 159628 -14161 -74077 -78131 -81744 -85015 -132439 -95267 70541 -167509 -185890 48443 -63016 236013 -34339 1679 151364 34059 18845 149329 21805 41120 190979 -28478 33531 -8289 -88534 37032 -23853 -26796 162 80451 -70288 61709 -75571 27993 100551 -85026 -97084 172990 -18925 -105437 -10562 -158307 96564 82051 108002 -51430 -150731 -176372 5436 175419 -7011 -13012 -129832 90373 -114701 -16502 -9861 114072 -63062 10692 45954 -41618 47848 21408 -27903 168533 -2670 -64871 29731 125891 8446 4016 -222214 30140 129082 -70360 -151406 2748 100415 166744 137778 -100929 43599 -17620 20766 27177 168210 34760 -51925 -99760 -74213 10581 25 15334 3653 -188264 -132613 21520 168886 70097 59902 12942 -102707 -39974 63811 -37445 49678 162603 -81244 -99906 -114799 -14887 -280453 22086 -34686 -78735 -125853 40118 -61410 
+-100397 -109657 -54912 104571 9392 -18482 95242 155862 92158 -27173 22768 -57445 57830 156383 50269 92603 69997 44801 -21127 22356 -197236 -132816 -651 -112966 13040 -68736 -28412 733 64568 217507 101236 10577 -30162 -136507 59489 160229 -47680 -83039 -35480 -30572 -4867 -112157 127931 180618 9395 277 -57146 42327 -218062 -39599 -63503 105168 155710 -55215 45488 -123158 -120384 -30968 50853 22382 19083 50554 -117796 88528 73819 22407 11671 75970 -99685 -46552 703 -143734 179878 144941 80022 -117644 79447 -93316 -6509 106665 64276 -86346 175010 16640 12109 -3330 -97361 -74107 -15164 42957 -4100 77998 6347 45348 -10773 -45719 -89925 14611 -114985 51597 -62280 -31918 73652 -23613 12719 133396 -6569 72956 32769 36270 36880 88001 130038 108323 -15402 -73031 -42730 -1739 -21805 248115 107109 -196373 17123 119600 119209 -51539 -48604 -75890 -120929 -53867 -47942 58642 -176963 -158703 50639 -63806 97442 19388 -3137 129704 36459 -47058 85483 -2437 65789 145857 -198338 -169410 141389 -61375 -92976 -44929 -30077 107536 79529 1602 15872 -90477 32654 198926 -92156 -141094 110386 -125474 -101076 109759 -216004 100752 4116 62579 -13209 -119999 -119739 54553 186035 -9811 -15464 -193705 80736 -160691 34086 -24849 165064 78816 4286 9143 5649 -51681 15943 -21126 184429 2492 -90096 84392 128464 22381 -83223 -186486 41288 82364 -39362 -91566 -24913 137039 235489 125608 -92728 57901 -18227 72941 130810 96988 74043 -38793 -68522 -89857 51072 56109 3995 78512 -51351 -88870 85997 85186 77344 115602 32985 67504 -42432 61808 -44857 42297 151545 -85490 -93563 -131919 57159 -272601 -17742 -35142 -47642 -90504 -56768 -38182 
+-90007 -26635 -57627 168862 -20390 -19828 124324 112243 -103492 19801 23531 -61776 57867 59622 92542 70077 99351 4340 58956 41198 -176933 -160732 17513 -128139 15570 -76682 79927 13412 -20772 257827 41155 45032 -33729 -128973 91575 -4136 -41512 -94713 -69917 1748 -130025 -145911 175142 154963 -66417 -1214 49686 41602 -213441 -42028 -69918 215884 94093 -51682 37426 -104216 -211063 -73267 64533 -23667 13630 61263 -186242 23599 67942 57812 138898 -40609 -112586 -58944 44402 -119606 230744 34041 39407 -203456 152898 -35946 18312 169366 30944 -107105 117194 17171 29890 101540 -148788 -70291 7029 55473 33285 80681 3941 -7485 -87535 -54643 -60458 137497 -111889 118966 -32744 -70944 68571 -2294 -28643 86762 -23523 120286 123223 117528 3880 81373 -46343 158933 -10187 605 -39366 -32759 -80639 112221 201856 -207254 26487 74722 120353 -32101 -28649 -40307 -149453 48148 1371 63263 -175043 -143799 34358 -62018 -4767 54883 17 152949 36931 -44367 -16507 -96954 84911 83199 -195290 -185368 188232 -62275 -135342 -83499 -38315 96311 86805 -19636 -12130 -65800 41120 176366 -76452 -138432 23622 -167506 -150394 112952 -248807 121044 -78005 44912 32922 -71534 -18573 -37595 225549 -19097 -17879 -228713 89433 -215624 17863 5254 178957 83167 8934 -24394 -29149 -73585 53621 -8006 90373 -966 -85276 53385 125293 28925 -132621 -194820 38594 32888 -10604 -119229 -53454 138513 176347 114322 -78824 -62530 -75778 104909 124059 39230 81522 -97055 -29355 -86414 42886 117902 25158 153499 106000 -58826 134491 -50367 109135 163543 -13059 187095 -27651 67853 -97870 45787 111392 -130456 -20150 -92667 70065 -255746 -36898 -38451 -140925 15932 -104884 -62805 
+-91401 -19013 -42902 130041 -147941 11573 -29077 114786 -213346 23333 5137 -63618 53740 -48401 128295 24800 142984 -41295 78971 59349 -169454 -164832 55043 -89374 10593 -77522 104290 21238 -70305 216276 -49506 84885 2192 -101211 71789 -134276 -58727 -72114 -125336 -28282 -201933 -143917 167349 76194 -105899 20368 -3620 -15546 -231285 -44410 -30767 142371 -2115 -42584 12996 -82617 -197623 -37044 69753 -47550 16464 28407 -131623 13539 51876 51796 146499 -208317 -122538 96897 15260 -69890 184966 -32496 52772 -60238 82779 25996 62734 189268 11455 -54714 19597 -13188 75943 1467 -83746 -69079 14660 42100 39989 81979 11506 -48195 -140147 -50670 -99408 190004 -97345 78052 -3826 -128534 66484 69572 -60519 32646 -39576 62446 24536 190659 -24291 86681 -214682 197152 -19068 -45243 -45271 -91617 39690 -17859 250322 -232841 47108 42731 9829 6785 -10277 -40201 -82029 76062 56954 60592 -162184 -138246 35827 -78468 -38263 50889 -9369 157602 34894 15100 -33530 -146028 88907 24725 -10593 9127 137298 -46010 -167444 -105699 -28327 -85049 83142 -6898 -39503 -68783 27524 113186 -40546 -153314 -10133 -205885 -128480 19999 -250441 79594 -138761 33355 60946 -2964 104948 -133601 239032 -10630 -14072 -204837 96474 -187563 -17900 -38823 142271 -85876 29651 -11776 -97063 -72330 33813 20344 -65989 -9678 -85086 58688 70522 34503 -146031 -190887 55474 -12505 32987 -116939 -77706 112987 44048 104931 -33926 -161691 -97971 129394 107348 -98478 47456 -74690 11326 -70106 42898 177813 -18875 206730 108626 -29001 124080 -120498 71950 129986 -83433 230095 -21320 77788 -168031 36361 85631 -101717 12271 -65115 13429 -251408 -48200 -29586 -102527 92062 -62826 -68066 
+-58616 -84595 5252 -2063 -175262 41861 -164136 87614 -217210 10067 -10579 -50203 49598 -150885 87848 -26502 111729 -86846 55159 65798 -145786 -170533 49099 -68883 11819 -83718 48387 14268 -96708 124944 -108891 55700 -7762 -70046 83456 -117703 -62554 -25920 -109359 -22959 -148857 -132156 117457 24369 -101779 35478 -140734 -7648 -152646 -69649 20044 -24952 -101456 -56049 -28129 -22875 -99191 -3886 78004 -43458 38061 49755 966 81237 33890 40200 69533 -203961 -119703 241220 80503 -8413 70957 37739 47472 106497 75567 112236 102917 181394 -32201 -14941 -65124 -30827 70081 -175148 -5024 -60849 -7106 23930 2456 81578 22757 -95171 -104584 -58209 -98832 130222 -102612 -13573 1584 -157353 70726 136762 -75385 971 -54549 -71988 -163553 193758 -21233 87190 -125085 206940 -24219 -4777 -40993 -139471 130606 -70155 276399 -203252 35223 -27345 -94669 -1494 -320 -36584 -40477 82365 140907 67023 -138897 -123118 93654 -61009 -50378 25788 -19536 147693 32143 105651 -65300 -187221 77923 -23175 114403 178890 25689 -63524 -190174 -122270 -16346 -235606 87153 -58507 -89419 -86731 89939 19831 8291 -172061 47974 -138068 -72011 -44997 -205765 109095 -162567 55370 66747 71062 127184 -105010 221780 -21022 -16237 -144954 97527 -116845 -26530 -2008 50303 -211264 2301 28226 -127686 109875 52710 43377 -40616 -1786 -54794 38620 18857 50279 -64077 -176472 62542 -37509 59507 -122774 -85462 24697 -38821 60048 -16990 -160248 -97743 83451 -26398 -186283 -17426 -61310 48241 -56369 13665 222148 14804 223057 -29813 -43436 160843 -65595 108157 120140 -76735 191639 -22472 91641 -190474 9428 65691 -85248 5563 -53283 -48316 -189656 -29182 -52603 -58618 9457 36816 -70310 
+-78306 -149882 -28560 -82054 -137943 19906 -100910 53800 -56293 17178 -7567 -38811 47333 -186748 28853 -84117 58557 -130950 65980 48653 -117879 -162025 14891 -103256 11825 -63760 -63914 23801 -107835 8150 -186081 15335 -56307 -42802 82231 70520 -71232 -36886 -72714 -7031 -14960 -122292 40007 3403 -27543 23413 -196557 -39719 -84178 -50342 35476 -78479 -152708 -43831 -129688 46126 25060 11632 83515 -3653 48159 31037 132597 131449 17440 2983 -38299 -27001 -133907 139512 84155 35512 -69753 149656 71522 68396 55177 127336 110636 131753 -68586 -58338 -72842 -50604 44427 -244908 69592 -38936 -34559 34490 -72031 85092 20431 -164148 -16109 -60083 -61053 5812 -79523 -141835 -33621 -140525 82729 125447 -66846 -37751 -68443 -161755 -247904 134379 -100093 77014 77991 194830 -16969 -64322 -43772 -179591 109827 58732 261371 -240548 25932 -68321 -10054 1496 18054 -55691 -74967 33807 131182 63392 -107305 -116271 48261 -60382 36303 -31580 -28009 52501 30781 193032 -83434 -142697 54823 -81395 45679 106351 -79067 -119754 -131023 -120772 3154 -177617 79474 -61773 -58525 -51651 50837 -33525 47013 -191636 140267 -58586 -77514 -42568 -138224 112369 -154237 97015 66941 99812 66923 -17373 237045 -54935 -15992 -72197 74834 -72893 -10831 -31866 -12956 -151073 9538 20309 -133807 125877 65263 62129 108565 -6380 -11819 62719 24610 40529 13142 -153590 80621 -38335 122488 -86320 -95090 -31793 17713 1229 55488 -23117 -132193 28482 -169060 -182809 -79988 -34001 75215 -57459 38329 245510 2313 206582 -169238 -52709 105046 113416 142060 81302 -21641 41390 -13534 103082 -61790 29958 26692 -105771 -133284 -64152 -14998 -154374 -8102 -6745 -37194 -117406 95884 -75486 
+-73052 -127691 -8495 -33110 -25741 36040 73459 47607 120250 34824 17033 -42389 47115 -156622 -9780 -112973 -2452 -179164 19996 37848 -75613 -164433 -15831 -83141 6478 -52124 -93135 47198 -107827 -67899 -219803 -15207 -22093 -20798 59510 155153 -81220 -83899 -51605 -39339 34749 -62470 -48151 3345 13907 726 -120086 -52768 -11568 -30211 55133 10157 -154741 -61757 -179299 13388 77857 -22572 94931 34566 62018 53775 138984 153256 15623 -7267 6024 79362 -129727 -28115 97288 48113 -143786 207381 78518 -81414 64619 114594 115389 74102 -105082 44181 6543 -43505 20233 -133634 99993 -18308 -50925 -3696 -30501 82192 22505 -169697 66137 -41770 -25007 -85415 -17611 -245608 -33096 -82700 92341 42359 -39588 -71105 -80457 -140888 -145240 45992 -118600 81844 103983 175881 -16930 -39959 -30659 -198833 -18740 201281 227432 -210790 1311 -85852 110342 -7459 14824 -109894 -142965 -54152 78678 40855 -69163 -98350 54272 -57037 147282 -58474 -19684 37753 32116 236548 -56107 -57440 30077 -109420 -149168 -109115 -58944 -126368 -71422 -147444 34993 -15112 75924 -82516 -15176 -85016 82987 10859 55599 -219050 176247 24442 -83077 69561 -46867 88038 -102358 126100 50331 92544 -94543 53558 285232 -55288 -17318 16083 66571 -33672 26247 -42909 -62864 40083 -7142 7889 -90910 132859 54239 65314 217153 -21094 41809 58197 15871 62963 36358 -149836 81732 -18900 182821 -36914 -91538 -100517 135823 17207 90943 72020 -111668 -44307 -178506 -147345 -115716 -61216 88342 -75710 46300 247231 12981 151787 -175377 -97261 100230 191945 115099 52722 24234 -105111 -16497 107878 -41832 15137 6417 -84184 -152412 -101386 37303 -85870 12329 -31872 -51586 -117723 97784 -75419 
+-99181 -53284 -15785 82450 16643 54272 121330 10985 74742 20539 35219 -43194 48503 -61551 -98116 -157443 -21687 -212376 28353 35539 -45737 -155962 -51881 -59977 8411 -25372 -79680 41079 -105523 -91326 -222915 -30420 -56421 -2267 61108 163085 -91964 -24781 21779 -54192 -68943 -56779 -78854 63881 30459 -1563 27317 -40518 99148 -9050 124279 151002 -139789 -57868 -222871 -61448 44957 -36852 97095 28299 47474 54278 22391 86099 26985 56796 98678 -11915 -125358 -59353 140229 29051 -112320 150345 94617 -214214 42807 84513 124976 18557 -152333 48333 112624 -34933 48303 52816 33954 -13688 -15774 36151 -4150 81606 39201 -168881 81190 -56475 -15365 -71512 -23124 -269426 -13157 -63036 88645 -20210 1001 -92192 -90867 -33802 40574 -27822 -150795 59709 -39386 124456 -28294 4770 -32851 -185750 -74857 222186 160509 -181195 19391 -112682 121691 -18973 12540 -97681 -148512 -143088 22113 49902 -27315 -103768 45051 -45625 249342 -32408 24327 29522 34683 214837 -32178 4197 8925 -109154 -214386 -195998 47482 -120764 -12653 -167982 55703 156836 80141 -77636 38418 -46726 84968 97411 41098 -209243 116945 2114 -40476 90274 36624 75184 -21502 129758 9103 67540 -193454 40251 264357 -7468 -23292 81890 58842 -7528 39714 -9788 -62952 116164 9709 -24933 -74936 20131 53475 58846 135338 -5122 68375 72616 71015 46855 33536 -167599 73844 17369 208143 -15949 -98367 -180424 219483 20999 147893 3588 -137822 -65719 -140870 -58396 -121211 -32218 85835 -90160 -1078 220905 8792 67961 -33886 -131219 25027 214497 140746 89013 -1750 -122074 -7496 105029 -49696 15239 -20373 -88598 -56068 -127373 58739 -42486 37089 -28519 -145948 26519 599 -63742 
+-91753 -3614 -21078 169672 -85539 71019 -17812 -3942 -110139 1080 33284 -58955 54760 35849 -173570 -191356 -21085 -235045 8390 46398 -9568 -143935 -52099 -85270 13835 20055 65404 21823 -84002 -47155 -183214 39586 -25581 32652 86236 59859 -91293 -14301 31334 -53457 -176665 -38113 -70050 135057 -63365 19680 40397 -17665 128797 316 114438 211032 -79186 -33633 -263878 -126030 -64878 -5447 101999 -6026 36039 43087 -118809 21971 47583 64612 168708 -174839 -120813 99082 119821 -18337 -25904 32847 66182 -104146 77815 -5120 78622 -66329 -190300 21551 172686 -24182 40871 78793 -51184 -51113 -5650 21998 60020 79682 49383 -183468 28561 -62146 29727 36828 -31189 -197592 16128 -50016 64122 -5815 43476 -87453 -100035 89331 123075 -40468 -128593 57115 -240776 71999 -25049 -19247 -35853 -161474 36860 138124 70139 -113379 -13132 -103073 41211 -21403 7791 -95622 -104532 -121158 -44212 50224 15857 -61560 62705 -51021 244578 -13428 -2196 47670 36805 136381 8033 46996 2268 -97007 -86727 -57137 186392 -137115 50454 -180383 71225 110442 89366 -74799 83196 -84234 119479 156938 -679 -231421 23894 -75124 -42638 19488 101117 71294 64970 100752 -42024 -3568 -161607 -68704 230107 -3644 -18474 89821 17941 21059 3374 -6245 -58221 -6419 -15025 12716 22827 -36676 75482 43176 -13948 -24134 89305 61890 124117 76780 -66728 -128470 101649 70251 251298 -6680 -70266 -180937 190401 50816 173608 -100207 -99448 -38198 -20158 32952 -74945 -19265 67462 -88090 13348 170990 1470 1199 111442 -160117 10440 110619 140379 108019 -77755 1618 2759 95202 -127311 -16551 -46577 -103044 33660 -114518 24833 -7392 41994 -49692 -125145 49503 -82892 -53300 
+-86546 -69819 -15242 131351 -157947 33212 -161182 1443 -228326 14493 -8447 -67386 56779 130408 -272766 -220590 11165 -230133 25587 44896 43241 -88571 -52562 -97451 5468 30710 84536 63316 -24363 62169 -131943 93312 -15761 52328 75058 -88981 -106932 -6572 82684 -24483 -187339 -47931 -12986 166892 -120021 35393 -103137 -18636 76791 16282 98486 96886 15757 -56108 -238210 -140586 -172365 -63668 111463 -41830 21443 28492 -186466 16015 63180 51532 104118 -217797 -117636 226782 100360 -76241 141991 -33406 44714 75629 77049 -57608 45542 -144854 -206780 -24760 150627 -899 38474 -79780 -114673 -68224 8586 15833 25015 77010 50895 -156533 -82221 -59001 49802 153971 -38551 -85200 6926 -101086 69641 68509 80442 -89667 -107012 120431 13831 8107 -189045 59213 -179317 13062 -31974 -27517 -33489 -118059 133755 -1970 -2572 -106666 32895 -94826 -84540 -66571 -8353 -115869 -68027 -77926 -100499 51419 56225 -40079 61236 -26338 184952 37274 -12134 48207 36645 45056 88494 2767 9580 -59358 84510 141095 222219 -99392 66812 -148928 92098 -54445 90604 -23657 104298 -60560 74883 166221 -48369 -215410 -8454 -180617 -22763 -46840 131537 54004 124016 55193 -80220 -85908 -83612 -99807 293799 9124 -22687 70358 10269 -10357 -16774 -26695 69916 -161056 -12315 8901 15914 -24479 69952 22890 -51904 1712 47271 89861 127797 74233 -118309 -133776 69107 128247 252790 -5369 -42624 -179942 72758 83291 188172 -182948 -76300 7731 114374 161531 -10589 -46160 36945 -71088 11066 108135 19895 -70723 120571 -157552 -81075 -46650 149642 128415 -127567 170596 -3169 82746 -169354 -14092 -46274 -52132 35543 -77365 -59303 72287 41988 -32683 -105518 19545 -104928 -42240 
+-88511 -165512 -12997 -4949 -173973 57664 -99267 -18183 -233463 14850 9533 -57544 57045 180468 -258226 -241877 45296 -206847 20873 37938 57574 -92463 -23688 -104283 8383 59553 27729 18104 -6982 170043 -41947 96469 -22594 68204 77430 -109605 -85393 -54044 77691 19923 -73338 -70507 84831 195073 -73848 24078 -197352 -7377 95796 37997 127875 -31165 121795 -43510 -170358 -69291 -203979 -8169 113125 -43440 15049 23531 -112778 62777 73703 2713 13997 -76985 -101022 145920 61151 -127956 211365 35076 53514 91730 108911 -97536 7644 -174601 -226955 -81117 52368 14881 81393 -233992 -125791 -36352 14793 -12576 15166 75186 51880 -108323 -126530 -60660 25708 187399 -81368 44854 6944 -130906 76278 130681 98108 -69505 -112302 46239 -171513 114670 -175024 49378 27997 -43190 -10206 -53537 -25802 -65125 99879 -61659 -44706 -48105 -1108 -103091 -46466 -29298 -26173 -113412 -58403 46162 -77374 57142 92122 -12357 49433 -41854 65262 65418 8485 119353 34217 -33291 106661 -58602 30314 -4443 95725 125522 94308 -68677 31033 -172550 79337 -215738 106054 -7928 115016 -57242 63223 114728 -89838 -240933 42522 -211633 -20475 -32186 137512 51779 148129 34007 -128949 -141850 33756 -83586 249392 2972 -19437 25471 -9140 -44156 1469 9499 126939 -195127 -30889 2740 -42233 52225 71165 -2177 31321 -5234 26997 123944 103819 82170 -128924 -85964 80446 175214 256903 10664 -14606 -177411 -23328 117344 205514 -100235 -45833 86177 134359 181386 45835 -37732 -2012 -57957 30431 48309 18189 -93857 -34073 -144260 -104313 -109727 152627 138208 -83946 243706 -108 69988 -122786 -2050 -86084 -103816 -63786 -47936 -40288 65924 50609 -41671 -53074 -79239 -21424 -45008 
+-102206 -149609 4124 -94082 -125025 80941 59149 -7911 -45774 31949 13601 -50549 53668 150066 -288906 -211736 114599 -184620 3523 29750 89804 -40050 39557 -92012 3588 75389 -64108 49887 76199 260141 21123 38652 -41867 87773 83515 -8373 -75484 -8484 42538 -11202 16687 -118423 157109 180281 -26002 1194 -140372 25658 23815 73265 76697 -93283 167738 -29556 -100377 -7831 -149298 -25169 115847 -6375 20103 61769 14235 153469 69502 -1009 -8454 65187 -89254 -36931 27057 -135018 209476 151868 82572 -48163 97711 -82240 -9548 -195002 -228997 -81395 -45547 15169 90297 -239857 -58214 -20054 3613 23755 -41329 74113 53337 -79223 -120182 -58097 1641 110893 -120757 112162 -13802 -148881 52181 132627 94729 -28706 -115386 -80016 -247763 174425 -163987 61011 149341 -89304 -8685 -60338 -25257 -17749 -35157 30532 -84537 65 -6327 -57181 84402 -24671 -45517 -133735 -122064 76797 -13119 51733 120527 -8930 70008 -42017 -17764 48468 -20175 107261 31616 -52166 146328 -145147 56327 55998 -80795 -36555 838 -23702 -43532 -209051 78782 -145238 104222 4169 77381 -84325 63874 43178 -91435 -254143 133996 -154260 -11701 43719 92415 29999 133660 31311 -158212 -143399 122372 1477 240983 -5530 -21287 -51816 -38639 -127255 23065 -7322 223775 -59303 -25526 41204 -77318 112400 82399 -23009 166062 -3826 -4108 102183 62676 89522 -80087 -90813 58057 210927 241961 -1420 10756 -132933 -11183 132473 215804 35608 -18011 107216 130961 119854 80530 -53482 -42813 -57672 49797 -3947 5408 -93530 -171983 -103882 -111553 -61467 138674 118250 1602 212886 -47 62017 -10807 -16492 -103532 -63833 -119272 -57190 27382 109738 47319 -46318 -42049 -89176 71185 -31179 
+-84167 -64900 5460 -47160 -28058 48377 123686 3028 97104 49155 38427 -34571 53394 73193 -309269 -189451 114519 -136207 -5241 24057 86120 -14866 59672 -82664 7573 98908 -94353 37659 107024 254868 91844 -26837 -27801 100818 79520 123386 -62049 -10315 -21929 -25936 5666 -139959 193299 111935 699 -1953 -13326 42606 -59329 101379 37513 45948 209093 -64534 -63875 34388 -10684 -55702 125182 28015 31858 47070 141554 146774 54184 39566 51811 26324 -82019 -78948 7407 -114612 118005 212458 91613 -163827 134714 -17277 -60637 -161581 -221343 -82390 -85670 5565 48910 -38636 18193 -35509 -34454 33255 -14947 73884 60599 -44730 -56995 -57641 -9832 -15446 -107297 98778 -48598 -128997 54741 46113 71920 11347 -116799 -163632 -138039 206192 -127791 54752 -44848 -121267 -25093 -39593 -14959 12057 -66613 182801 -76142 28708 14003 -2828 145515 -62325 -72143 -138034 -138691 134275 38288 59696 139742 -14242 85782 -34953 -58206 10235 8320 162490 30936 -8196 206554 -192367 78215 117830 -217371 -169468 -91451 -26653 -138613 -198178 61055 -6073 105412 -22684 68273 -37751 50037 -23279 -74717 -252627 177337 -87343 -275 102967 32602 28055 67662 63479 -171687 -127241 103592 46575 228659 -39042 -13983 -132938 -55134 -181679 29641 -29597 213909 84650 -46296 -6348 -128839 145562 79885 -25611 178515 -11179 -56071 107570 32109 77283 -10860 -74459 60075 231141 235884 -27941 46933 -31523 97749 134124 210334 29306 -20749 132519 24655 38770 75000 -29927 -79688 -74847 39215 -45812 16915 -64368 -194336 -77515 -115970 38453 127572 80502 68869 46464 -1058 62526 -11207 -8533 -88686 -78946 -117611 -85503 76436 97423 47411 -42623 -74630 11580 117887 -40322 
+-90450 -13208 -80 99052 56332 31256 -6408 23457 70881 36186 43010 -35117 46134 -38094 -232816 -157727 111242 -86725 61482 40307 101642 12010 54270 -112213 -2816 98678 -34207 33013 142624 186528 112958 -42155 -63113 97547 67559 218436 -51895 9132 -60496 -19938 -138674 -136157 157010 55392 1917 18983 47457 22140 -146589 129594 -20625 177542 199814 -68283 -6534 21595 73930 -21153 124599 33964 50374 47861 127129 66564 33474 67888 156457 -155558 -76023 103369 -4504 -72627 -35617 146954 84764 -133580 128307 56211 -71499 -119514 -202009 -85427 -25891 -13120 43124 89645 89618 -95579 -28349 12722 17662 73963 66448 5969 43043 -76978 -30520 -91293 -118211 -1837 -19268 -62907 50307 -16125 34077 59663 -115982 -138797 49616 153967 -104314 83714 -219374 -128931 -9996 -29094 -18324 19159 22819 231851 -46933 29816 34602 28151 49687 -56409 -98879 -148894 -126805 59286 94033 67202 147885 -398 12544 -37214 -5461 -34193 -9667 183574 32670 83639 174750 -162546 89047 166787 -156049 -118081 -25062 -44762 -193627 -213676 38725 116933 99321 -57476 -13247 -60070 8318 -3830 -38448 -256698 124097 -18000 -19670 32507 -59623 17336 -8734 107060 -218459 -110225 22495 -7981 195700 -41355 -26254 -199362 -61393 -203799 5690 -41270 131919 68543 -59266 -7428 -109017 92655 83793 -21071 69546 -7357 -82619 82059 9493 101091 35093 -60258 62195 230028 175836 -81739 57406 38282 220727 126240 168744 -60659 20880 69155 -131534 -60120 29310 -53078 -107353 -88345 17221 -52617 3936 14469 -47351 -36568 -53952 135760 121663 69606 33825 -75555 -905 69633 -85375 -15103 -114961 -101915 -27810 -124976 23851 87510 -7059 -48842 -104860 74333 64107 -7270 
+-93066 -49535 -15716 187039 -29808 36536 -165603 55345 -94362 35997 3859 -43225 46648 -136495 -174865 -114472 55428 -46599 94744 76563 78787 51117 14735 -67168 -4867 97922 69708 30258 158095 73935 114856 19220 -6562 107778 78095 101253 -50882 -11852 -86540 -48213 -206130 -111626 86269 13218 -68524 35311 -31988 41494 -208671 129548 1812 167131 146962 -47972 62782 -45615 85005 -26480 125624 -70 48166 78743 18630 14005 20204 35623 140100 -231473 -63659 233274 50012 -7414 -139472 25301 75461 23390 110475 99920 -36403 -56258 -179843 -22257 75782 -31218 20619 33065 72022 -80412 -22720 -4780 31472 74013 78513 32999 78248 -78967 -69088 -57521 -77261 -118923 -14754 -30731 26382 -16010 -8815 105809 -113068 -17979 122153 66716 -80386 113527 -134400 -117683 -16439 -29735 -12667 6591 134898 173163 26452 105425 29814 68485 -71964 -31945 -119560 -127664 -84814 -11582 128550 63149 144958 6008 52025 -26691 92891 -64807 6831 126362 35397 173489 190886 -75501 84151 201374 29623 120457 81121 -72589 -180377 -220986 18812 71827 113497 -83821 -21873 -71209 27323 65364 3105 -237662 32979 3291 -25718 -49928 -139614 -36822 -91597 122867 -232452 -23851 -111754 -78956 199061 -37897 -17992 -214829 -73188 -190558 -9539 -21153 17678 -82670 -63360 -19860 -86615 21919 84059 -1257 -74412 -22334 -53525 124711 36915 94176 21330 -11557 56724 205486 153382 -87774 75764 84756 223966 127879 130937 -176369 61927 28773 -182435 -135950 -39738 -25016 -120849 -89450 54347 -39481 28551 77237 106158 -33465 1814 197677 139617 51325 -75113 -116705 -3663 81635 -180230 -5160 -86564 -56252 33669 -127093 -38747 66279 -2078 -53276 -93143 -16571 -31794 4475 
+-100050 -134444 -36944 132000 -142073 -11552 -111923 92272 -266946 21406 6557 -60721 48183 -193261 -128744 -55225 9151 -1525 49878 50430 60190 91524 -8326 -128702 3664 73698 93411 26608 172985 -29083 76043 115877 22511 110146 77077 -71279 -50266 53356 -110866 -38504 -145594 -104581 -2329 13490 -102985 24706 -147543 44630 -243955 162774 -76378 71667 37913 -34819 46678 -125395 860 -59780 131219 -40319 53906 62742 -129587 1176 15394 6283 47055 -102510 -57170 138229 53723 36559 -145358 -33547 67807 114825 77511 127148 -18128 534 -150722 12549 159137 -51946 38754 -162114 -7396 -100293 73 -1292 1716 80041 85457 74346 48226 -78324 -111441 59667 -45794 -232889 -13431 -66103 53279 53925 -46915 142312 -108486 96782 5680 -14135 -48515 60510 30668 -86214 -15471 -29032 -17452 -35244 105161 15507 121822 69922 37046 152451 -96435 9967 -144747 -92366 -49263 -132813 125621 63314 131229 6250 57867 -41646 212888 -35123 13067 103388 37014 229462 130529 -1848 65521 223541 119355 192318 213079 -112782 -164818 -200862 -1849 -91988 115844 -48646 -40517 -77969 39733 163012 38285 -238783 -12014 -67756 -20704 -67234 -209012 -6707 -147437 132895 -215663 29995 -196899 -118136 192799 -18159 -23956 -187181 -75418 -155303 8751 1340 -41439 -215698 -80088 20857 -34647 -67750 74892 17981 -17791 -7486 -58869 103028 87941 89095 -49368 81 108533 160228 97712 -60277 82413 152195 102404 76795 87763 -141978 93098 -27608 -158465 -206263 -89190 -36154 -118508 -69886 4648 -1594 15881 160104 123946 -50119 37629 121803 114926 55996 -99302 -14520 -10635 93295 -172251 -8035 -73233 -76911 -25609 -99676 -43489 2800 -62327 -34256 -74049 -79680 -88157 13882 
+-97240 -143852 9621 -8915 -195137 -21552 43145 117019 -240831 46443 -17169 -68014 50961 -165505 -30716 5719 -48433 47547 25160 27720 39767 106925 -28244 -73998 -1896 63327 20516 -26475 147529 -89610 16515 69481 -57156 100335 85019 -147999 -54608 37327 -108544 -27211 -17045 -47870 -51972 27251 -91274 1687 -179351 41683 -240448 146089 -56799 -83052 -35302 -37713 28976 -130256 -128135 -29021 131811 -44000 41742 7384 -175769 82652 25423 -26777 -6352 55447 -65830 -19566 99868 53657 -56640 26136 64401 -11836 76789 108239 20257 91263 -120027 40971 168623 -39388 62151 -247329 -79744 -105911 19233 -9119 -19027 77166 96763 77614 -40984 -54777 -115679 167714 -7811 -271320 -21571 -131439 18453 128260 -70729 180674 -101784 114621 -178854 -36769 14379 73316 126975 -41152 -1660 -52431 -20874 -83844 -30378 -60154 171951 79985 7420 169261 71405 -1446 -163018 -98117 -115659 -147814 77920 55717 107290 5948 52500 -61264 251863 10951 -7678 91896 36136 225496 133535 40389 40285 210661 -512 -10405 210330 -129878 -83997 -169637 -29087 -207363 117794 -58820 -66988 -99927 37007 194956 55086 -234148 36690 -187801 -48949 31668 -247167 13393 -159572 99236 -203096 86493 -140772 -51619 122107 -14746 -18040 -132851 -72709 -85792 11131 -10978 -84108 -140713 -72161 6082 27035 -32664 62005 42868 124305 -41 -26396 115938 115506 99347 -135813 23187 82386 93675 59060 -149453 89445 166695 -18743 53701 59572 -41155 118338 -45196 -73869 -166244 -120521 -34594 -100780 -55698 45478 51264 25749 217338 -8788 -82195 96778 50143 89184 70053 -53504 149414 -7085 104669 -60578 11182 -72533 -69702 -115812 -63428 28250 -57118 -12864 -39017 -60222 -126494 -83658 35262 
+-105228 -79109 -20653 -66977 -103451 17870 123629 127267 -31541 33845 22304 -52437 55620 -84133 10251 47084 -31583 62517 9169 10688 7866 131178 -63736 -51864 865 36486 -46242 -1075 110609 -78572 -69346 62304 -72110 85713 81759 -34462 -76946 21335 -103548 -20517 21819 -42945 -99641 77303 -23103 -2247 -107578 43390 -186141 128856 -43725 -87649 -90429 -58184 -17708 -97592 -211681 -3341 127146 -15770 21359 47446 -103817 137053 44630 25318 22533 56274 -66888 -47151 129586 26952 89725 154795 83734 -160515 69618 63522 68856 141247 -78919 8135 93641 -32906 79578 -158729 -161646 -130861 16730 -21290 -42767 81481 93896 90399 -118204 -62122 -84437 181351 5128 -213063 -25542 -151571 34061 127904 -73081 198932 -93420 29095 -246484 5534 10539 69807 -56586 21469 -882 -68445 -18519 -136744 -69030 10722 226936 83188 5543 218653 132559 -20803 -177158 -89772 -136304 -102478 -16357 51955 75124 -21254 25486 -38324 235037 43196 -6792 27057 33581 159978 70798 3682 16191 181750 -179646 -191363 68619 -141675 20059 -199501 -31827 -177973 120671 -34504 -26659 -54333 86477 135209 32139 -239559 130005 -213692 -46040 105572 -245088 -23900 -148504 56620 -150203 110360 -71701 43451 136530 -26077 -23425 -51856 -70616 -45318 15084 -23190 -80542 37011 -93084 -15088 -28279 55370 64924 58555 186057 -10055 30320 122288 147083 109136 -153959 13779 71689 47821 8059 -135390 95803 127187 -33672 19209 23866 60530 141314 -42310 27336 -57302 -111708 -61972 -70190 -54075 -14864 115507 25688 225558 -185492 -118403 159653 -95288 101248 143787 33176 208976 -20223 107925 -45062 4358 -39889 -57268 -121375 -48617 94386 -75991 -52687 -59341 -53985 32677 24821 39765 
+-79281 -15752 -13977 -27435 -28656 -27777 4233 138362 80428 22449 29736 -47246 56965 33782 67289 76200 10294 69622 12196 20971 -31203 124779 -70545 -51694 -3335 20734 -93618 -19455 45372 6852 -139436 -26924 -9050 67317 82285 101860 -80771 18319 -20746 -6780 -47829 -29300 -39580 149635 24839 18261 40347 3809 -121998 137270 -19635 65227 -158083 -49039 -48277 -7342 -183050 -32771 127431 19796 9555 32691 33242 134184 63852 34820 141160 -116707 -75646 100483 147811 -23049 221321 210273 93539 -133465 79408 -19760 117309 183478 -30240 50658 -17776 -15183 76706 25774 -150210 -131058 10346 -12240 -45624 81561 111402 49343 -130250 -47033 -58411 89869 -60765 -100607 -7901 -130617 38267 50992 -54444 214469 -83222 -87778 -128665 89683 45089 70982 -203118 78334 -3227 -49268 -16146 -182001 26523 162610 280926 66702 -16209 244237 84105 -10682 -187396 -83382 -114378 -21892 -44678 59752 36010 -41144 66286 -50713 133591 55814 109 -1706 31289 64706 25269 -70603 3752 127422 -195079 -155958 -29857 -114352 47162 -181950 -48191 -9615 108734 28381 5527 -60132 119218 54544 -3271 -203919 177141 -179280 -82809 66594 -214250 -55702 -92001 32877 -115122 86158 59742 54538 131001 9282 -28437 17644 -62270 1127 10042 6704 14945 102881 -107973 -6822 -38318 166308 55758 66433 121771 -20530 64254 91694 100867 88170 -124165 48117 72330 -903 -20899 -123887 94809 122372 69769 26625 -50254 -23044 138909 10561 122833 40441 -67416 -56418 -31627 -72334 50640 174184 48949 200297 -188492 -152974 177393 -113926 112199 133917 45076 210452 -34623 103102 -30574 18042 -23624 -46592 -65946 -71682 6390 -149659 -22535 -22532 -60055 74951 97377 44077 
+-70939 -51528 8157 107075 4161 -27673 -128647 137764 32895 33410 22330 -41111 57160 126114 124164 99536 72337 57814 12839 31778 -65804 155799 -31035 -111115 -8023 -13626 -17590 -18720 19044 111159 -197884 -50980 394 61244 63264 154196 -95448 -7987 13878 -2956 -172721 -76922 23412 183992 4405 35168 -8138 9791 -8171 103090 24897 190750 -159985 -55727 -147425 53312 -71048 -46279 122986 36118 23147 62661 152772 74965 73986 55127 160079 -220035 -84286 209973 110330 -85091 233078 149804 83015 14745 91171 -84728 100991 181834 4289 -29819 -76270 6209 53162 83089 -33929 -144664 -34729 -39 26337 83946 109868 24175 -78183 -64078 -33787 -34526 -90542 28800 30510 -99351 57430 -18081 -20001 217412 -71319 -168749 55658 167714 52786 50601 -148094 134197 -10802 -46138 -21548 -201149 132452 242555 251022 42845 -4267 275389 -71870 -42249 -188427 -57613 -78610 52197 -93152 60801 -5939 -34219 46846 -35219 -6623 30308 839 9080 31079 -15214 -62603 -121571 4667 66051 -44898 54595 -118966 -86125 55042 -161533 -27593 147415 92578 -20793 61456 -67792 92662 -16369 -44754 -224559 130543 -94671 -88466 10144 -156789 -36708 -7054 43638 -67690 52391 126051 -25453 70653 22133 -20241 83547 -47205 17354 7395 3240 112968 3946 -112051 -6964 -83317 112612 56438 64502 -24955 4813 79070 68434 48158 106827 -49775 59999 58633 -23483 -69676 -123771 66860 20061 191032 -9689 -68022 -108681 138842 72971 172690 141144 2481 -55292 9083 -89449 15377 219656 19987 142464 -49229 -156577 176245 4772 82209 134695 -18024 47438 -35567 92546 -168112 10460 26744 -66677 35827 -103793 -29623 -195041 -9477 -54692 -98662 -3567 100592 44048 
+-80982 -126226 2231 174354 -56290 -18914 -124388 136989 -101934 8366 1046 -44320 53275 176236 120373 96787 79553 30276 -27395 52444 -114570 144888 12414 -84965 -1296 -43901 54545 -47452 -55618 218467 -225838 -44252 -9106 39861 75302 176731 -96697 33361 46895 9483 -191213 -98382 114632 192111 -67831 25352 -113723 -20967 3804 81437 46385 194051 -109460 -57497 -209230 15163 40754 -38603 120995 11165 35174 7618 121177 15791 70419 40515 63989 -138275 -99494 144104 103558 -121552 142291 26761 64899 100234 68440 -92884 136002 160023 35455 -31293 -54887 12856 28859 -44406 49858 -173358 -33738 -16839 44522 83102 124176 -7414 18877 -65567 11919 -93953 -100195 108455 2666 -59670 44260 -9594 24093 208799 -58102 -123314 120468 203260 78072 56537 52834 180059 -23739 -73576 -28417 -186060 102473 182339 242594 -7437 28812 293032 -71194 -35005 -192160 -77246 -54599 138499 -84106 49137 -48597 -46747 55365 -46041 -11760 -1021 14150 55558 33274 -48332 -82009 -191422 20850 11683 108610 192843 -5224 -59104 27306 -112117 -22552 75047 104369 -40880 93694 -53816 88527 -27906 -80324 -203185 34988 -48561 -99500 -93366 -62899 -37519 77007 70219 -38094 -21761 79188 -127717 72059 -2425 -24835 112625 -38838 -12471 -11954 8938 156985 -159613 -117446 -268 -121835 54983 42890 42950 -73042 -4333 103658 39814 14650 101303 6872 46839 55660 -38116 -89197 -113671 44217 -57174 231164 38658 -89250 -180626 128516 120071 66361 154035 55448 -62283 46569 -90646 27081 247867 33247 73311 112717 -152873 88541 118824 84071 91497 -100281 -103209 -48666 78904 -246950 19132 40894 -57998 20916 -124377 -44713 -212796 43104 -37742 -118191 -84851 39109 64213 
+-81507 -160390 -25017 120998 -148119 -10159 42696 130584 -251110 45937 -11269 -55203 48986 150459 72422 74701 121711 -10329 28497 57228 -133965 109032 17823 -105454 -2741 -61451 109564 -17411 -90435 266842 -216261 53651 -13507 9454 71244 12127 -98376 17972 69499 -36797 -84123 -107377 168845 155879 -107024 2216 -202861 -37969 99570 59623 104175 34629 -24751 -33772 -256366 -55286 87790 -37591 117967 -23397 55127 6280 2026 17827 53842 -21071 -16714 37758 -101071 -38799 56071 -141454 3656 -34336 57753 -7822 83858 -83877 92290 117213 61671 -123860 34412 24659 48767 -218839 86859 -127153 -31810 12555 26459 81895 116434 -59924 67504 -53897 19717 -41219 -125597 97469 -23155 -64546 49230 47960 65036 163892 -43249 327 -3215 165072 55291 46435 85217 201888 -10172 -79143 -28299 -169848 -16732 26033 158776 -43339 22310 300124 33119 -58572 -182592 -99581 -78977 90584 1154 57288 -88748 -75245 48430 -78002 -36653 -40091 15395 117659 35936 -23643 -35504 -163308 46720 -45141 63034 58217 139720 -47672 -28383 -121910 -1010 -59343 114834 -31483 73412 -63487 80473 47253 -97215 -186165 -9749 29741 -111704 -9788 19967 -19193 135698 104637 1640 -97001 -35352 -95254 42276 -48285 -23783 65731 -17853 -43879 7476 -35085 169394 -183038 -128549 22156 -80243 -32431 46853 19653 57958 -552 41763 24878 545 92908 21191 56850 47310 -27388 -92886 -51476 7144 -117176 148087 68792 -127455 -78559 90342 119620 -17793 103540 89381 -16542 74040 -71079 -16148 247733 27125 -4833 118056 -120015 71609 223363 68172 69940 -93571 -118583 -41286 67733 -108621 17103 85556 -76920 -44586 -114701 15503 -259714 27328 -43665 -48060 -122897 -63681 66684 
+-100131 -99790 -11612 21620 -157549 -12737 121389 96544 -220061 14758 -11261 -59471 48438 73124 39424 29342 104362 -52871 37776 24141 -177655 120394 49892 -107842 -5499 -71199 48020 -18806 -122544 235083 -166353 91838 -68692 -7757 87924 -98639 -91231 23795 82377 -44118 7781 -151367 175336 99132 -86193 -2532 -133253 -39181 109671 51009 132889 -91371 76652 -63664 -249498 -99819 34524 -60938 109718 -47634 65383 31148 -139834 77027 34971 -18862 19387 65739 -108168 -90908 32769 -115432 -120790 32797 47288 -178908 124191 -16771 70129 58776 71191 -88582 130590 -2472 23115 -224102 78911 -133495 11041 -5049 834 79727 125323 -106911 70405 -71282 22484 81744 -82636 18217 -1180 -93600 60379 122601 91398 139487 -27823 105536 -185541 83933 62794 50775 -88602 210223 -41807 -75942 -30371 -128420 -73435 -64096 84393 -105353 11854 274109 148946 -51976 -167680 -42623 -146225 10614 81376 47305 -124163 -90156 62902 -79762 55128 -36529 -10576 132362 37108 56688 -8256 -59391 70105 -89330 -119514 -159131 184670 -41077 -132247 -73516 31717 -259178 106423 -80753 91793 -66659 39720 156449 -72056 -181077 35982 -20030 -95553 81165 84878 -13080 147540 130816 53814 -142492 -134242 -27631 55110 -40487 -23584 4308 9770 -81637 22991 -48776 163763 -50615 -145442 6513 -86332 -38481 34936 -7732 179016 -14141 -1020 59721 57521 98656 -34585 75953 44759 14239 -84740 -38345 -20577 -162930 7996 97825 -137853 23436 77343 96866 -134320 68373 66703 -18508 88136 -56468 18295 224000 39495 -72280 -14386 -83531 22156 182588 56720 41066 -23354 -34745 -50476 61946 -28653 29145 118365 -32755 -129217 -83905 67957 -307269 78253 -34852 -39902 -26696 -101518 77408 
+-94963 -3256 11054 -113052 -105477 21370 33254 73797 -58740 34465 30619 -60834 46441 -36209 -27445 -8572 68575 -106352 44502 -3747 -195754 75533 44446 -103965 -8969 -91341 -74920 -50272 -132259 129871 -98423 60803 -61547 -33132 71285 -121277 -80984 -6343 11640 -14307 -1135 -147833 132299 45102 -9860 17566 -1998 -25547 107354 13165 151214 -51161 140076 -61156 -235793 -124805 -95129 -7572 105364 -31087 49989 19884 -185636 152124 18734 29847 92976 -86147 -120241 60178 -5575 -67117 -156694 152849 59613 -158037 118669 48411 56100 -7653 81349 -74667 181544 -17624 57256 -64427 -18048 -147066 18226 -24603 -57013 77060 133206 -148946 4056 -56315 40833 178021 -60025 -118784 -29572 -135640 64419 124223 99969 78413 -10745 116352 -244682 7658 -25290 57377 -200375 193343 -17790 -89688 -35271 -70264 21822 -5937 3247 -131200 7460 243218 100215 -56980 -150095 -57678 -119785 -92201 127065 57673 -151734 -107527 75990 -84436 161263 -24057 -15670 140812 35601 150495 -56460 8613 87503 -113278 -220095 -169281 130499 -76310 -187466 -67012 53694 -184869 95400 -71233 70235 -84204 33481 193042 -42628 -142557 123667 -106534 -124716 101610 126909 -12788 120687 126461 61797 -149392 -184730 84323 -16459 -56511 -25861 -79439 23961 -155873 25290 23357 117234 87683 -127209 18578 -43313 -4567 69410 -19782 185662 -6945 -41665 40023 85045 88115 -111858 81930 35025 48191 -81613 42 -45024 -226957 -45165 108816 -104476 64960 52551 6594 -152146 -28974 10912 -24510 86283 -56065 15167 169396 35914 -104749 -161902 -53136 -17591 32094 63498 66900 49661 149352 -53037 63205 -41907 34548 112063 -44242 -74539 -53219 51510 -295494 65229 -9998 -49896 54308 -50958 72583 
+-80772 -10864 13806 -33475 -19661 40328 -122069 37283 95751 42520 22618 -59464 50139 -131837 -95396 -50334 16046 -142069 83011 32768 -199434 29188 15944 -87578 -9399 -79467 -91712 -27231 -124594 31572 -23290 -1382 -17460 -68790 65788 26112 -70281 16896 -35323 -28042 -119440 -107062 56324 1977 24253 35070 59042 -32288 68784 -17119 143672 97478 205658 -27233 -173621 -83604 -189706 -31471 108944 14411 42136 30136 -89879 133503 15132 73802 158659 -224823 -121486 192467 -1501 -7692 -71258 206014 82591 -12650 138167 109327 11118 -102513 69029 -54986 129308 -46365 62912 75669 -114416 -139057 41736 -19977 -35311 75713 131248 -166097 -90855 -44059 10780 171879 -59035 -211304 -26932 -154846 56733 48996 85682 36896 6719 20861 -118898 -28929 -25528 75815 -107961 148195 -12254 -114518 -36570 -22515 135597 127849 -70858 -166111 21849 184555 -20385 -23186 -134314 -52539 -79525 -150780 149847 55011 -170057 -129581 77473 -36975 226796 32999 -12222 153721 32876 219943 39223 27832 88429 -110693 -118576 -6648 31595 -109989 -197421 -57439 67993 -5408 87214 -68776 20485 -93300 31126 139334 6071 -138529 174925 -192609 -136556 10824 139718 253 50686 99746 73488 -141165 -102695 9353 -5660 -66301 -25276 -151863 30246 -195597 -13856 -13698 11483 75816 -145188 -6719 8751 73325 17098 -24810 47019 -8100 -85539 -11140 146981 74083 -153694 92798 75180 95497 -64512 897 -75703 -187226 42293 148666 -93216 -56030 21464 -41055 -106688 -173783 -59356 -42149 69090 -72932 47937 113987 24656 -92007 -193580 -35259 -92837 -44799 23986 69118 32678 258590 -50701 72927 -86062 17565 162153 -33502 272 -46935 -16564 -286048 50161 -14526 -92702 34190 65249 59871 
+-85477 -103551 -12662 97446 37053 41552 -134017 24224 79514 36383 27099 -42857 54049 -194548 -174496 -105327 -23443 -196742 33934 62334 -204685 16823 -28533 -84256 -6348 -81664 -17731 -31816 -83720 -71389 50367 -52001 -15897 -90076 67968 195133 -52581 6583 -96362 -13345 -197361 -99456 -25405 -9948 -5439 25962 -77438 -33210 12686 -13577 98701 202086 214665 -41967 -94405 -13615 -200150 -32445 93007 33118 20087 15406 57605 77912 24702 75886 131767 -169664 -129231 193844 21697 36594 60746 148183 95828 106081 96600 142820 -6818 -148143 52040 -22728 31934 -53994 74479 43364 -149902 -120088 8246 -9114 13411 74159 129603 -170203 -135770 -31443 1060 67758 -14955 -254289 -12720 -130675 73767 -24410 54501 -7561 24954 -112411 63922 -9840 10271 76338 32440 97042 -19268 -119034 -39716 8880 110544 245371 -97527 -224804 -27907 175285 -112941 -14262 -104631 -62214 -44318 -120935 93640 64160 -177492 -128970 60649 -73650 218136 46443 -4815 157310 30945 234954 77626 -4755 73938 -86278 72084 141517 -95052 -137533 -144107 -30008 85005 133683 97139 -81597 -40003 -63659 -22312 58520 41563 -112302 136721 -191242 -155496 -51243 109619 30422 -26767 57997 66559 -75585 -993 -44519 -10319 -31566 -26172 -194624 54711 -162308 -25076 -11073 -53973 -76700 -148379 -10957 9832 147907 39855 -17401 -57479 -7245 -125149 -3008 113574 93870 -122192 113868 59766 164602 -13658 15877 -89050 -133146 170080 114601 -68927 -179978 -28654 -67183 -29739 -228676 -101264 -65362 38536 -88461 8304 51409 20158 -53920 -66786 -42174 -122264 -110894 14295 107552 -26722 191145 -45455 84660 -192123 23089 157776 -55762 83027 -76431 -59778 -285537 3068 -35968 -95165 -104998 104319 60196 
+-82220 -156143 -51727 178364 -50353 61235 13831 -24840 -83437 25633 6682 -41040 56388 -159570 -216026 -147482 -25737 -219445 65932 48496 -175461 -18540 -40018 -87844 -4157 -52576 90710 -38062 -41855 -101775 95314 -34782 -27526 -120787 65718 210837 -46538 33305 -105079 -16791 -155457 -52382 -69589 32862 -59742 2746 -187423 -3680 -81986 -43278 69034 143411 203324 -39925 -52602 30368 -130851 -34872 85934 21029 8677 35911 146238 9161 44353 2186 1410 -176 -129270 -868 50147 50105 183337 31996 70857 64488 103762 89613 -71519 -189968 26203 43711 -60613 -44445 66387 -105790 -119943 -113184 -29513 -19095 50012 70065 137119 -155014 -102142 -45903 -53748 -51973 -20198 -219776 33623 -63241 81975 -16314 11414 -46033 43427 -167992 118249 67073 -54244 88757 95420 47737 -25961 -111874 -37562 19370 -23071 189207 -92854 -229581 49673 124813 24738 16412 -84374 -56089 -65044 -45705 18601 52751 -173821 -166277 77085 -100909 162265 50633 9222 141515 31564 184166 125182 -63473 50610 -53079 107059 124939 -73257 -137225 -65532 -556 81516 43642 80863 -12681 -53708 -71621 35227 34470 52245 -94809 44621 -134518 -114821 -21548 36900 22545 -106546 40561 29203 2033 108350 -92799 -3635 -18700 -32506 -219680 82775 -153762 25201 -14211 -73260 -198599 -149177 2883 -52470 89159 19931 2036 4054 -20651 -95430 15847 106537 86608 -26274 83284 60510 203844 27546 -11166 -86986 -83126 242765 163267 -33999 -144287 -53007 -42452 72283 -195722 -125832 -56386 231 -87701 16122 -4573 47833 22870 97926 -68114 -108705 -7805 -10408 137311 -91267 90357 -56369 97620 -153311 11767 184777 -35178 2663 -111864 -698 -215634 22047 -48079 -122161 -122142 90515 68337 
+-75344 -130307 -65271 130647 -164046 61011 130774 -9861 -266524 33355 -22459 -41119 55892 -89024 -265492 -198456 -2844 -232345 10660 64957 -164525 -51493 -54139 -94389 -9862 -31069 69663 -13399 -2167 -47891 127903 31245 -36539 -144979 80382 54117 -44501 -24287 -110172 -9353 -26668 -27772 -75681 96764 -120785 -2808 -163199 30435 -164061 -46851 48474 23825 119909 -73794 18137 9334 -13707 -24236 81865 -16495 17065 -3986 98963 22743 63753 -45927 -30830 76953 -125092 -59286 127904 30859 241087 -36315 58722 -111139 89458 40419 -59839 -180808 -15191 24262 -72209 -30635 80046 -259790 -18051 -142182 -37787 -7807 19677 74386 150073 -156982 -25056 -25871 -61819 -92871 -48519 -110885 10822 -36940 68145 50477 -30210 -70544 62341 -109136 -9207 158042 -119133 76114 -40995 -15900 -24408 -101430 -39030 17408 -74567 41753 -48580 -236537 15537 74869 115851 22279 -53643 -69957 -133911 42562 -50311 61704 -158735 -156869 34413 -73803 50505 12761 -9629 65502 34019 87610 186569 -151618 24825 17313 -49119 -78592 80631 -134875 15770 -13001 80113 -81468 78771 -9899 -82026 -82968 93669 -32689 34949 -78491 -13098 -44943 -133906 86353 -32967 27282 -153502 39102 -7951 46653 122792 -80521 -35011 -259 -33770 -201451 100572 -109831 1354 -15479 -38692 -133292 -143920 60350 -103347 11580 18879 23163 165816 -12877 -82998 33323 61239 83500 49592 60435 91293 227372 75800 -10973 -92707 -873 165158 101615 51906 -20524 -57223 39091 162670 -97048 -88794 -67408 -41238 -76132 27255 -42599 23755 101727 124827 -105599 -59409 97454 6511 102254 -70656 -74373 -46956 106188 -31557 35662 168691 -28523 -82136 -122674 76442 -172977 -21376 -27698 -47292 -44666 -6847 89983 
+-86299 -30048 -58672 14565 -190369 71642 45209 -25581 -240152 48826 13182 -49803 55947 24500 -289760 -211149 33830 -214840 34713 26910 -117195 -73931 -49455 -111930 -7399 -1127 17915 -31578 47738 47650 116442 96372 -40267 -154800 70997 -93331 -56372 15219 -116964 -752 37439 -32526 -17879 154256 -84316 16864 -29968 21159 -201241 -44968 -31393 -103983 23058 -50780 45803 -48963 65075 -40455 76302 -47675 33754 47841 -24781 82978 72238 10027 62672 -32167 -109423 84019 126513 -25410 190428 27982 40261 -188305 33569 -17001 -44741 -166685 -57745 11339 -1054 -6110 33725 -188233 70624 -105350 -29291 -4721 -50596 76056 146939 -111781 52661 -34374 -90348 -22658 -105851 14677 -11553 -56291 83354 118609 -63165 -91251 80882 15531 -191518 200040 -129374 92992 -189096 -65943 -26472 -80216 -44427 -24618 25404 -68162 38623 -222940 14321 40292 138763 5270 -31179 -92510 -169956 97736 -94755 54407 -133885 -176295 46290 -58331 -50303 -31108 -12256 58527 36436 -546 193068 -187440 6834 79970 -208824 -205394 159138 -93491 42023 7930 63819 -197088 86158 -25702 -38315 -58187 81451 25105 6646 -58974 31579 -17621 -101002 145086 -123571 43267 -161602 81414 -51961 71153 27299 11392 -51902 14681 -22437 -119723 95233 -21349 29391 -10524 34786 43261 -142374 29223 -115680 -54203 1583 45727 178396 -3621 -30693 28780 11465 58171 24205 51336 62365 226639 131443 -33719 -82172 75248 31627 96417 89639 72428 -120489 98825 146018 28265 -42779 -16176 -78462 -56435 39958 -54582 32665 159414 -15049 -141258 -72585 162928 -14109 123757 -14916 -120403 -48870 107271 -6928 17429 158504 -61275 -81576 -103487 37504 -107198 -68409 -31741 -31439 39716 -106232 62555 
+-75731 -6735 -31387 -59665 -109394 36897 -109400 -14717 -71522 32378 34813 -63212 49673 123041 -292820 -235095 103899 -193030 4473 18845 -100588 -115006 -7647 -96717 -7571 26905 -82301 -15178 99848 166026 56170 91176 -63162 -174603 83295 -114248 -66906 2650 -53344 -38060 -48589 -62556 58588 192030 -9854 34851 49883 56691 -243540 -54917 -38661 1295 -69440 -45238 60449 -111926 79784 -41543 66584 -34212 59765 66109 -152165 137979 70821 50066 165283 -204706 -110596 231590 122488 -86079 56884 153859 70029 -57852 8647 -82326 -394 -121037 -89558 20412 96211 7198 710 5171 94379 -66766 -4563 16954 -50110 76444 154436 -63922 77899 -14684 -85749 103202 -109032 106015 11157 -112401 66705 130698 -74515 -93625 99304 109524 -242923 173494 -160605 92365 -161943 -100679 -20480 -141897 -44470 -83609 126130 -19334 111308 -195033 22842 -27459 3739 -34918 -14718 -83135 -110766 126638 -108243 44952 -100875 -169413 40985 -56599 -47381 -56488 -23368 20264 37002 -51464 186189 -159243 2173 134513 -175921 -58864 190390 -78556 58977 18603 46690 -188236 71604 -40858 2996 -65711 70957 110958 -45894 -28513 116346 -4303 -95722 42711 -194332 59798 -128983 109841 -95677 102069 -80820 68150 -106491 -6129 -28371 -44877 97931 -18026 -12718 -1668 87177 115025 -152267 4622 -100222 -71563 5717 60070 104335 -4405 44370 39545 11217 60573 -26664 44421 90991 214508 152305 -76500 -69822 131752 -50638 77071 109956 -802 -98307 136867 38969 104517 13731 -35824 -106231 -56991 6372 -42125 20235 215864 -169527 -162944 -16238 170336 -9676 85052 59150 -48323 -47894 100763 -93788 6575 135716 -30522 -37773 -67051 -18294 -66580 -34161 -33202 -57784 35073 -82002 57121 
+-83412 -83257 -22931 -46022 -3692 46576 -158861 -6260 67840 18840 24767 -57383 48873 171545 -249169 -230849 147088 -157075 15633 13067 -72194 -126725 31649 -107666 -12749 60614 -88580 -7196 149632 247215 -11690 5442 -8827 -189143 60197 -39503 -79105 4021 -19553 -42125 -161807 -94754 132660 192807 30872 26564 -4762 33554 -232671 -26368 -83520 106474 -128112 -88748 21580 -117145 -22286 -19366 59662 -2599 64338 40771 -170888 144151 56535 85041 151170 -198245 -104815 167562 142255 -126072 -83797 212794 75399 112147 70878 -108338 54196 -48691 -132116 -85414 176006 22612 11768 91467 49918 -63049 32775 -5937 -51176 80086 153681 -43911 29423 -37669 -92392 185456 -130798 103638 -38514 -151081 43377 66425 -66150 -86455 117218 109988 -109923 110038 -182896 65094 35369 -127792 -1714 -142812 -38688 -126778 110973 110236 164841 -199330 12890 -45999 -101450 -41722 6507 -102474 -67502 3371 -54966 52524 -61874 -168150 77436 -45826 5883 -40857 -17106 25438 35049 -30318 177954 -73584 12801 187117 5443 170327 97748 -37694 22764 -1649 12605 -44098 80832 -54889 18960 -75296 118222 152124 -75923 -10752 173957 -111232 -89256 -30027 -242583 64969 -62065 129714 -124986 62665 -142613 -9746 -77671 -16871 -27458 41220 100676 2044 -15407 -13503 213725 -1091 -129743 5058 -94519 39966 -26785 67384 -42918 -15002 79740 46928 43338 71450 -91780 46286 49015 177717 199714 -102665 -33799 154662 23829 -4525 132310 -134322 -118002 108440 -97324 195508 66393 -32635 -120434 -69094 -21758 -3985 34062 223243 -183258 -160635 34833 75115 -48676 73458 16937 108525 -31116 89095 -163838 4688 136534 -11730 27407 -44571 -41847 -32016 -47022 -20503 -58240 -55436 -10516 29080 
+-69141 -175932 -9901 87065 -10736 49043 10482 25669 76572 27943 -13892 -53309 46811 156380 -223956 -200988 111233 -115089 24357 62326 -18845 -171010 46694 -110982 -8579 70548 -9349 -12823 165799 262404 -91134 -43671 -16617 -204728 49233 143535 -86855 -43251 35883 -47346 -194190 -137683 164754 141712 -17308 3296 -150410 58641 -169789 -25982 -105487 190481 -150148 -73587 -16036 -97960 -149520 -53406 61318 26102 47306 8140 -72716 88630 36180 11419 43409 -32134 -88876 -2330 89122 -137615 -141840 146532 69246 93875 52957 -82657 83881 33830 -167943 -73381 158939 15581 35220 -3549 -37940 -54133 23517 8903 -12457 80069 153955 22432 -51315 -23557 -52494 159490 -126691 29699 -3793 -144211 68157 -13645 -37976 -60762 134334 654 70191 16081 -164603 53865 119242 -125224 -18997 -104649 -40893 -166017 -15920 242250 227999 -172280 -427 -86083 -16227 -43766 9831 -134839 -53992 -53798 10845 44567 -19584 -154841 47012 -38382 116017 -6733 -13961 35890 32259 30050 96418 -6415 35496 216381 126650 133228 3658 -18366 -59300 21172 -10223 141381 73904 -88996 84536 -86342 92553 159709 -95764 146 141241 -219554 -69580 -38343 -257459 130018 15723 127128 -190262 18337 -177249 -76160 -102289 -32344 -31406 90612 75340 2352 4273 -20939 204666 -164750 -126210 24845 -14748 149676 -19787 57163 -36804 5450 100981 69160 99057 58716 -136002 27743 52379 112521 220733 -141800 -19608 150350 128084 15753 182298 -166467 -144122 85744 -122216 158732 76585 -17798 -118968 -87462 23599 50496 21508 201635 -51555 -137257 93648 -51829 -71901 87417 -54887 203273 -36604 75692 -169290 -4622 88078 -45511 3392 -63027 -3344 19533 -33235 -34062 -124823 -91379 77120 72732 
+-94166 -144614 11723 148206 -59012 31062 117385 48101 -103882 35712 -3234 -43721 49259 78542 -125952 -166868 77074 -65829 50144 47434 11663 -149547 57582 -120433 -8447 78501 79461 15386 151090 186832 -163353 -18483 -59984 -210802 66613 192480 -108882 -12578 64972 -43591 -93507 -158424 167248 94105 -64424 -3081 -188800 42810 -123136 -6137 -44055 105152 -138393 -40942 -77272 -3095 -202293 -14219 54737 28586 29849 29709 62320 7947 20126 -20219 -28684 78087 -82707 -59092 43663 -115926 -122165 28814 71020 -114987 95475 4419 77932 113469 -197354 -71822 62618 616 73386 -190261 -128688 -22367 -7108 2598 35201 82043 156415 63862 -131404 -19420 -18716 45399 -92543 -90376 6383 -95507 70276 -28690 2795 -18462 150706 -123407 116785 -34917 -157479 45623 -65144 -103795 -17091 -98175 -41337 -189719 -67240 194020 288730 -123943 58346 -123234 130216 -80710 14654 -158555 -100894 -150833 98473 54965 22996 -158170 58578 -63429 202562 41302 -3858 63858 30861 125082 48977 15842 61380 226181 26379 -47205 -111573 -53305 -142484 5204 -26382 114023 77566 -80878 117535 -82397 114542 110133 -80622 19353 50051 -186689 -31968 38217 -229669 83282 91353 93104 -192375 -52399 -79811 -136652 -88673 -31513 -26452 88176 68344 -1704 28065 -25575 163506 -194461 -111370 34900 28436 141895 1797 41992 93248 -10939 72049 66103 141807 43106 -120927 -4182 96592 73801 257398 -139219 15569 127841 218326 -20868 213873 -95059 -120022 2591 -158512 50983 53648 -45854 -102222 -91709 23431 112461 15951 143832 101572 -93652 112124 -99478 -41733 70966 -98527 258097 -25043 66063 -112139 -11763 84799 25761 -74856 -97573 79453 55712 10763 -34697 -101035 -26498 117892 8207 
+-71995 -54738 4605 128755 -150908 10654 44785 101736 -200430 45895 -5523 -36612 53134 -19645 -98855 -118532 28787 -20754 36375 52416 52338 -168777 22771 -80947 -11848 110688 87509 14734 124291 84291 -207868 -4336 -46400 -217089 86747 128970 -103808 -32819 48836 -11418 24663 -132438 109852 31456 -118308 16160 -83557 45885 -37649 31087 -28675 -33931 -103685 -36231 -154129 27607 -169915 -54082 44475 -10269 13150 14426 142194 5342 16159 -8219 36622 -3720 -66701 61126 17131 -65953 13748 -31548 42316 -171262 97966 71721 132044 150820 -218248 -50937 -47979 -25944 58152 -253423 -116690 -65542 -28997 16140 8598 82883 153196 76298 -133730 -17265 -6445 -66379 -61026 -209972 -13074 -61250 42882 45413 45800 17961 166045 -166040 -20055 -21186 -122250 52966 -207617 -63067 -14861 -129828 -38129 -195774 14229 56129 267227 -55930 1502 -120090 148577 -42902 11949 -112374 -148212 -133824 134989 52206 62680 -148778 66777 -49860 246072 63312 2455 116082 31980 213980 29220 26423 81509 208892 -168121 -188970 -48764 -79051 -190035 24060 -41682 -54353 86649 -73048 103850 -77266 55424 13595 -45380 55220 -10294 -120463 -12928 144144 -169590 115014 140737 42739 -218575 -118908 -13523 -32751 -128810 -25112 -35612 63389 66336 -77021 12972 3993 62760 -66298 -110853 63955 4110 61848 -28876 15026 214789 -19903 33735 47180 123593 43258 -64525 -19969 81911 9326 260199 -104361 50114 64443 205857 -1446 194217 22281 -106639 -40520 -120589 -38812 -2566 -63928 -71690 -74199 44783 171503 26672 61205 119532 -61128 121612 -78844 -102809 92205 -65556 80796 -21554 61389 25787 -29449 65680 -28512 -103272 -125547 54515 91892 17019 -52505 -71277 82129 52147 12510 
+-70270 -11982 898 23155 -167002 6704 -114180 106820 -251424 50270 14734 -49097 57045 -135193 -17620 -62072 -31196 27762 95720 31283 75528 -181799 -22148 -97529 -6035 102201 -2307 7255 89685 -14191 -241149 84222 -63133 -205119 71085 -17915 -89652 -36841 50378 -2774 2765 -119648 29876 -5741 -73821 34693 11276 37392 76374 59306 69426 -82113 -6231 -63899 -199291 38960 -57494 -11205 43285 -47268 22740 44864 94639 74429 25361 55004 111468 -175972 -54710 203495 -36588 -5417 142831 28648 59198 -91931 69426 120351 111507 173275 -229164 -59641 -80346 -36930 46507 -119657 -85031 -66396 -32371 7246 -12701 81299 161416 69541 -57752 1670 68789 -88142 -19065 -267284 11167 -31745 39426 114772 81171 58597 180033 -96746 -198257 43732 -101606 46637 -153417 -10858 -3299 -117152 -32908 -170377 132687 -56557 228682 -37019 15465 -100135 2671 -33782 6951 -107062 -110591 -95650 137826 56288 97930 -137389 55524 -41645 233877 36625 -8354 142686 34626 237448 6017 -61197 89629 164036 -218199 -125807 130427 -86659 -209285 12367 -40371 -186251 85169 -16962 73671 -60757 70178 13591 154 61602 23030 -49468 -30249 93886 -83952 95443 145177 37865 -223232 -157800 108834 47586 -130877 -39003 -30825 -6389 38416 -136495 -19747 9304 -31858 87146 -99127 33946 -49316 -24302 -16648 -4686 160918 -4488 15942 104986 86171 34493 1349 -28086 63335 -25755 224849 -132230 74224 24560 76468 59211 204934 43110 -68712 -44982 21148 -130697 -68215 -89159 -33249 -58773 39962 219223 -12150 -13395 -10155 -33860 118774 56552 -83143 130274 17742 -58142 -17458 65089 3291 -6524 7096 -4574 -101167 -117522 -5213 105785 33452 -40856 -29041 56440 -53020 15196 
+-85440 -67032 -17113 -69032 -88150 -13702 -154976 123393 -58411 50969 43800 -58979 59003 -185764 95677 -15351 -28251 58081 73490 22955 84303 -164043 -52626 -79786 -11841 89590 -61184 45618 46742 -81770 -201523 86359 -15656 -195306 63366 -138555 -82542 -77453 6649 -13863 -117449 -86020 -45457 7959 -10014 27168 15689 4427 101056 81626 49669 23930 90361 -54482 -247025 -41129 56456 -10618 37093 -42047 25552 50489 -36534 143202 43264 86375 145115 -217823 -73047 168492 -9425 38144 242620 151983 56544 90201 132220 129684 85630 178730 -232014 -1513 -31925 -51692 13461 64846 36605 -12524 -35864 16226 -63638 83023 155464 67716 30134 -5660 32088 -2199 -4732 -227472 -8034 -79493 31709 143569 98746 98596 192643 28285 -239827 140063 -85557 59419 9295 47411 1727 -71818 -36021 -132435 114741 -35423 157452 1659 -6746 -69792 -96952 -48020 -3043 -112292 -78114 28623 76347 53151 124369 -113693 25696 -41426 101747 4419 -28532 187902 36825 201880 -80748 -139894 81390 111928 -67985 108625 163199 -133796 -170192 -8171 -35078 -196118 81767 -18616 23104 -47219 13936 18353 42711 66692 107936 22985 -7673 -23892 -1912 101420 112587 45239 -197245 -129474 92566 55596 -137201 -4625 -30865 -94723 16884 -179289 -13214 19076 -76301 58717 -84316 17744 -88359 -54484 -109 -22290 1532 -4721 -34084 108845 45201 24854 21722 -64287 60111 -41818 215965 -100583 80356 -123261 -29109 101502 195914 -41927 -70998 -54647 111631 -179373 -119073 -65239 7747 -55813 2349 244420 11108 -73731 -171956 -33176 102420 154024 -75969 172626 65807 -147438 -6965 75058 -136064 -22081 3907 -21524 -11036 -81429 -53453 125580 71987 -22524 -63332 -53465 -100130 -23299 
+-83710 -151682 -2683 -23185 -14507 -12915 -13038 150144 83753 40101 2756 -63880 56663 -168102 95157 29225 -5036 68404 44260 32001 87806 -162278 -48250 -133878 -16151 68393 -74937 35127 -1475 -82286 -151453 66330 -24057 -195449 81406 -87875 -76001 -83857 -36184 -21360 -188022 -40031 -79059 52603 16688 3844 -113406 18697 103334 103018 107126 140989 170019 -66745 -259099 -118367 98747 26005 40360 -14125 32441 54644 -160942 141462 62925 44663 76510 -74894 -61819 1508 39605 49854 225566 210504 77870 123558 112896 91565 65334 144687 -207640 55192 69581 -33897 18472 70393 74389 -22348 5644 34650 -24855 80964 149299 53310 83528 -7523 6387 123325 -18928 -138661 -13575 -128627 34581 70437 95168 140768 203624 120812 -100618 193945 -56662 78835 102764 111693 -4257 -107906 -27765 -75651 -14147 101832 80035 78566 32114 -49369 5968 -758 -20283 -78860 -45996 112575 -37129 62384 141747 -87035 50791 -42132 -3211 -43738 -49687 137813 36680 119713 -102358 -183913 61451 45335 99175 197650 206076 -144009 -75344 20419 -20775 -8073 101040 -18565 -16116 -65566 18057 130608 56021 80261 172745 10162 -14545 -48756 78470 102677 33191 75765 -209743 -111079 13853 -53031 -143891 13659 -36618 -166898 10872 -195898 -6803 3179 -60104 -89756 -94805 340 -112519 -38827 -3417 -26348 -78327 -9112 -101093 104031 5497 9469 -3876 -67500 107532 -40853 197794 -71673 97404 -143751 -12508 126471 171540 -177216 -30667 32846 131930 -183796 -114347 -53806 44929 -70042 38624 245448 -375 -100421 -179615 -59900 62604 213081 -118939 148612 -26195 -46673 -13603 88409 -178077 -19121 -23140 -1154 11189 -55920 902 84587 57335 -36330 -97796 -138522 -67834 -23226 
+-71886 -130258 -64149 90402 47260 -31339 126626 133007 103942 12531 8946 -57664 54931 -100497 92319 72737 45167 63243 7225 64311 94121 -113917 -37079 -83095 -13031 44611 -11090 -12260 -48862 -12447 -79156 -31820 -47480 -175572 57777 90838 -58661 -73272 -70842 -49960 -144588 -7851 -74958 129433 -12629 -3327 -221816 -31180 80880 109082 147808 197848 222873 -54142 -211230 -123648 24925 -31097 40303 21613 52482 36696 -163273 74144 72541 -16525 -23937 66376 -68872 -76131 72614 29205 98955 148530 78284 -67266 108613 43292 15126 92532 -202601 25465 153076 -26476 12573 -96629 68540 -53968 23387 23251 -2518 80402 155687 -1484 48049 9674 21300 189343 -46294 2189 -11098 -154630 45034 -11345 70793 174889 213156 91439 76371 194246 -834 59310 -32389 159403 -9429 -90618 -18971 -34820 -78609 222100 802 105978 -474 -7716 104196 -26814 -42818 -87265 -104899 102524 -56098 58310 148398 -85333 67254 -29972 -65080 -53206 9420 121602 34376 25960 -81569 -144700 35405 -13592 84837 38155 65342 -127199 7249 -34782 4706 134028 91182 -37595 -63310 -66303 -11432 206352 42722 107870 146148 -82912 7663 29501 135172 102644 -45966 110174 -153995 -63648 -126479 -133972 -103857 19217 -34922 -215102 -20282 -118823 19738 -9620 -21731 -201936 -61449 -2207 -119167 73711 -2772 -19487 35927 -4315 -75914 98382 18770 18293 -66294 -111718 110622 -22808 131997 -26996 90427 -202500 104342 177293 137685 -145566 5688 72175 83065 -58865 -93436 -32883 73344 -87559 51381 225474 15221 -88658 -59215 -90287 13644 122710 -109126 43196 -87677 114827 -11368 101247 -138364 -18135 -55914 -25184 -35985 -49426 51364 51964 40228 -32675 -134565 -41133 27298 -34685 
+-87123 -56521 -36709 181577 -99646 -18759 69238 148017 -72452 8499 -11557 -48316 49667 19686 97952 79158 90790 46560 -13560 39066 80010 -94328 -19706 -83032 -11323 14750 109585 48834 -90737 95144 -7658 -38550 -53213 -161474 103098 202348 -55622 -91656 -131756 -43961 -16471 -55378 5552 167706 -98206 15420 -136162 -23168 56136 118272 159748 56270 207150 -50895 -171972 -84007 -92458 -31291 37092 38124 55533 20278 -57087 18970 70658 -10155 -6472 26831 -76994 95535 114092 -22748 -54334 27488 75165 -189767 84953 -43766 16399 36702 -159242 -2431 171770 1686 45140 -242978 6274 -18614 14610 31034 35702 76209 147702 -30994 -32653 7880 -10720 143971 -106956 92137 18609 -131222 41894 -23247 31142 206573 220711 -15364 113934 125795 -4918 70028 -203416 188300 -5026 -80673 -23669 5116 5972 217572 -74918 106356 11278 24445 132659 -5873 -68607 -65508 -131773 64962 -74348 59797 143505 -55969 62440 -42485 -24718 -45328 13853 79364 31611 -39150 -21707 -104274 12031 -64917 -95613 -172720 -37509 -91995 54942 -34429 27411 109897 99174 -51279 -63149 -57057 45489 216782 8108 125385 53501 -156637 24477 106265 151410 107832 -120235 130697 -109086 4103 -159742 -103605 -113055 -4646 -35619 -217044 -41643 -145552 1442 28650 91377 -134886 -56556 19957 -86380 107229 -12312 1876 146954 -232 -76073 139765 74654 -8459 -150281 -122452 76828 24285 104095 1853 91633 -197626 216460 151099 66735 -13858 46759 102512 9468 -6246 -31148 -47753 87743 -88780 27403 174656 21525 -51780 110715 -127176 -33742 -9156 -73697 65792 -118787 238275 -1403 107484 -65247 -20608 -74975 -19189 -112535 -83035 60693 -20316 27148 -41535 -62922 44456 102045 -32860 
+-80358 -17467 -4994 114177 -145075 -17335 -112061 108976 -239574 28452 -2091 -38282 46096 117233 17127 91101 134885 20593 28204 41141 63100 -77044 9896 -79104 -15316 -9498 89780 24166 -106938 201805 74522 5246 -55492 -129016 77145 141549 -53358 -63242 -126638 -19746 32108 -107497 88701 191345 -91158 34495 -7484 -63777 -29898 139261 140130 -49999 181085 -62485 -105586 -15672 -198723 -40401 35861 1868 44284 13943 80665 23401 56959 3527 122303 -141410 -84956 226586 119493 -85472 -149656 -26583 55452 -125276 53996 -83518 -34666 -47266 -130889 3327 93733 17983 56111 -209168 -106108 -67400 -11969 20832 -4655 74598 154327 -90393 -113074 14209 -46226 22881 -103242 113520 -2612 -74225 40377 44182 -12329 213070 226550 -127092 -29516 42781 50461 80029 -129792 208477 -23802 -44047 -20593 21403 133324 83891 -83131 88452 4294 87057 45248 1576 -94801 -91959 -124552 3383 -65041 57755 127605 -48777 39724 -23283 62504 7232 -9517 58823 30816 -46715 8069 -28412 2079 -93612 -218651 -171344 -86332 -67989 70916 -33276 49781 -62558 94031 -83676 -67707 -86547 60977 84834 -38019 107024 -8960 -240677 -20857 98249 128842 86335 -152991 125068 -68533 76477 -157165 2810 -88423 -10344 -37647 -178617 -47375 -71722 8565 2440 152303 54615 -56826 29790 -33224 121674 -2946 23379 176689 1423 -72161 115037 107774 -3529 -150692 -133505 111212 82044 59211 2616 78427 -178125 216105 135094 37529 47856 56970 103104 -118514 91206 29134 -28652 86632 -72569 15429 115199 -17653 22707 129604 -153741 -123555 -90401 -84322 42031 -17373 235630 -1348 106813 -29896 -6194 -75210 5251 -87213 -112359 -17755 -56433 -14003 -37745 -48131 45687 87418 -27360 
+-70609 -48471 -14733 16240 -175420 -13497 -139629 103076 -241367 32010 22930 -40983 48939 173543 -36435 79578 115726 -30654 9577 15033 21497 -51710 34959 -96493 -12396 -43818 23447 43077 -112320 263525 103736 77303 -10711 -111803 75636 4206 -50669 -84952 -88218 -36341 -50864 -89502 153981 164755 -66373 27720 30801 -24988 -79566 140791 98796 -76275 93373 -36330 -32811 42055 -191437 -18200 44004 -31650 24421 31192 149888 93001 37186 88708 170250 -219894 -100581 190653 137488 -122975 -125710 31235 50193 61307 38127 -88235 -55592 -104976 -86247 -65725 -3952 16141 69124 -27293 -141769 -64330 -18494 34522 -26536 70287 146502 -130205 -126559 28597 -93731 -78530 -105034 37483 -3187 -59084 49896 119425 -49272 213545 230458 -168309 -205645 -28233 62320 71787 62473 202756 -16986 -83829 -15936 2677 115404 -21150 -74012 83560 10660 132158 -76663 -11571 -118405 -55251 -107887 -98655 -9051 48522 102158 -19217 56184 -26651 158280 58310 -27883 44583 32571 12127 103458 25649 6765 -117250 -143858 23284 -33930 -35810 27206 -49569 70297 -206230 100979 -75327 -29042 -78411 89688 19699 -79452 122806 14156 -172689 -39233 -23935 64425 64206 -164668 91800 -23468 101604 -63574 54177 -135316 -15246 -32978 -93864 -56907 -20669 -16941 -31915 165480 95839 -43369 30518 7252 25958 -3848 47037 87623 10096 15684 62234 152242 -8478 -101294 -136633 96419 145827 9870 8040 71614 -81271 110566 89088 -16888 -7526 75978 71902 -166110 185358 67914 -61536 69902 -55552 35485 53548 11288 103700 654 -158243 -103193 -68745 -98885 69156 63569 126156 -5574 98035 -83154 19437 -91753 -7308 -25696 -128197 -61069 -120342 -2838 -62720 -59687 -44474 28714 -44534 
+-80779 -139972 -4329 -74581 -55211 15550 -21408 80968 -76042 23209 19382 -47474 47819 161772 -82353 51575 87300 -70960 42911 28488 -14721 -4977 32946 -118588 -10325 -58702 -63372 18689 -119712 248624 130486 77653 4500 -80353 87599 -141679 -60646 -108298 -46572 4259 -184657 -152814 173084 112380 -31012 4436 -51551 -11846 -193774 148014 64807 46236 -10416 -34376 15054 30696 -116762 -17603 46911 -39626 12671 22254 90668 138968 21902 45488 106977 -113707 -105262 34206 102827 -137614 -35140 155433 52578 97924 71342 -52138 -1037 -155994 -56084 -98989 -78964 13494 44753 98552 -105161 -105124 -26465 8780 -48820 72300 142464 -154296 -91812 17152 -111398 -80044 -84053 -77960 3721 -61964 48836 135390 -71362 188635 232249 -80889 -236950 -32806 75587 69818 104683 173082 -18786 -95403 -20755 -25729 -324 -35053 -45840 60053 21363 188723 -58618 -32273 -143269 -43454 -59811 -152759 45546 49714 68510 -3448 54344 -45686 247910 54269 -8131 22821 35307 102336 132176 6836 25566 -108603 54878 172657 124675 -51175 -69228 -78160 82473 -161539 104596 -49507 -2491 -92567 86083 -16307 -95176 158726 106651 -68882 -36911 -57131 -11699 65834 -121787 47019 11086 100031 65946 37421 -90292 -28317 -40865 -19556 -67877 -1575 16005 2379 203560 -10353 -16938 -27101 -21102 -78021 35223 58693 -52240 10000 77101 89338 123576 -25946 -6611 -173684 76930 184051 -32142 15393 44039 -34317 -19402 91819 -32755 -130904 138845 14625 -157979 179870 79708 -58278 40099 -53494 46766 -117 -24819 175574 -141344 -145011 -92452 -5781 -97904 136625 19887 -39002 3825 85932 -172101 9710 -114727 2122 4661 -101301 -12211 -159704 -47762 -56083 -87851 -135023 -85082 -64734 
+-82794 -163770 -11165 -31360 22816 13745 125313 62634 62676 57305 25249 -60900 56317 92217 -150511 12246 25169 -127577 34692 63433 -45987 11878 32441 -75134 -12764 -74777 -67991 28729 -66983 164976 85042 56742 10677 -56814 84236 -90969 -79778 -95863 12697 -37377 -201551 -130099 147610 53565 3334 -3508 -168034 26601 -266685 144416 35805 184766 -90053 -47520 59974 -29211 16162 6530 53284 -15244 8591 36606 -55879 127850 15749 12649 -14259 55030 -110734 -75046 52005 -116671 94929 213383 66304 -33692 54908 20053 871 -191132 -3587 -102350 -61990 -6123 41021 23358 -39177 -107750 -19988 49877 -13843 73927 135526 -172379 3113 37183 -97498 19542 -49921 -192947 -40083 -103284 53197 75185 -72931 164770 232024 40141 -90682 20713 69750 73011 -36480 131729 -20002 -33958 -17306 -68234 -73002 77836 10480 4450 19150 219673 69282 -69285 -163118 -89580 -53008 -122327 108990 56028 29087 -9115 45857 -64005 266543 29717 -22478 40791 37027 196760 161762 -46469 50580 -82052 113962 84538 246783 -64444 -118548 -92502 90338 -6893 124207 -21008 70738 -79357 131107 2223 -80268 143541 170600 -18228 -93060 -2136 -107798 79001 -46382 29774 77115 43519 136111 -89790 -123242 -47550 -39960 45789 -77919 43018 20044 -6882 100862 -177104 -14638 -6114 -26061 -56959 35070 68268 9264 -6561 41221 105591 84597 -22090 18307 -166851 108883 216715 -66143 -31500 10215 -16214 -23023 18838 -88084 -186691 136129 -44440 -57355 70979 37774 -84770 2005 -68841 21964 -40954 12924 217961 -202201 -105687 -112757 122343 -74511 145067 -37359 -108876 -3799 73748 -170874 15752 -85493 35734 3389 -72773 66216 -203405 -33504 -54414 -105017 -38015 -104260 -39824 
+-79294 -81813 -33746 84845 6062 24119 67811 23117 112689 18233 -18012 -64136 56684 -14211 -238881 -30603 -22582 -167858 63141 51879 -73735 78572 8843 -60822 -7775 -75972 -14770 36756 -60078 41622 25518 -10616 -32544 -47736 79237 42997 -91863 -153380 66183 -23887 -86717 -153493 87783 19546 -11239 14734 -190196 5932 -259916 111325 -3778 174397 -154426 -52422 47124 -91854 72965 -22925 50283 22809 26267 55084 -176584 72814 23897 -9271 5154 50019 -110363 48448 26831 -65627 219389 149905 75061 -186328 67925 69255 52219 -176249 27808 -82483 38148 -27831 1458 -169268 36071 -110141 2401 5206 33213 76291 131184 -175946 63110 33378 -86661 141086 -36622 -266900 -30294 -142976 68490 -4511 -52495 123484 229702 123265 82576 107750 66833 66923 -203876 68260 -12435 -52755 -16494 -118875 5502 198256 112486 -31231 11749 236187 153850 -55391 -174146 -48984 -101550 -9090 144946 56301 -13450 -8859 59710 -56080 173193 -20490 -10178 79908 36227 234216 180582 -133708 74114 -30796 -24430 -81063 157837 -119697 -173436 -131570 83123 89193 118740 -11790 67656 -49908 65280 89718 -43929 146727 155901 23746 -100937 85580 -185134 16614 29585 40240 61693 -10221 44301 -118004 -78628 -38097 -37431 92175 -74028 33330 16955 -9134 59942 -178878 -24602 2540 -114267 3498 37481 58656 126087 -12890 123884 96988 18865 -34732 8192 -183542 36694 230565 -86117 -36937 -12649 61920 68372 -25662 -117771 -96508 109402 -64909 64531 -9590 -17535 -83448 -39165 -86999 23762 -57182 19531 224796 -70259 -74954 -52641 189556 -101797 151792 -93489 -47169 -720 64191 -67302 7687 -75279 14619 -72950 -54232 75294 -232939 -25390 -26065 -106904 66687 -32094 -77367 
+-97877 -670 -30811 157663 -109356 32903 -98510 100 -60754 32083 -5242 -54043 57930 -124809 -258078 -78447 -41602 -200925 54577 35319 -136769 87514 -41065 -107773 -14808 -92047 90748 32257 15252 -54349 -43780 -43267 -53939 2580 80329 194540 -98659 -143491 63379 -40941 21314 -93664 9896 9347 -65502 34217 -76327 30099 -222525 117094 -35880 54640 -173520 -32968 17581 -122422 63484 2668 61160 39424 33075 9296 -165590 25407 42748 -5024 102579 -110286 -136240 216533 31060 -5466 230101 27776 76040 -148135 53085 115185 65628 -148364 51298 -44665 127521 -39463 31922 -248781 121727 -152458 45087 19750 23143 77717 129173 -180884 80167 29896 -56881 189615 -25756 -237101 -904 -140152 72702 -22914 -16329 78018 225654 84312 109744 185275 15026 45485 -133595 20625 -6632 -21242 -20784 -165452 127716 229601 183197 -41511 -4243 280968 82526 -62833 -189583 -71185 -150300 81445 106953 49709 -55912 4223 46808 -77474 25905 -47057 -4120 79470 33646 214463 179076 -185504 88859 34128 -195477 -223686 21353 -148737 -211258 -148548 63390 121553 122048 -408 121188 -70225 86712 160420 4802 179347 59977 -43765 -89765 95501 -232078 44198 103306 75384 63065 -75508 -36164 -65795 -57963 -19676 -34096 74121 -82524 -55801 -17601 29480 -12676 -53571 -11506 29062 -105154 101383 31312 36651 204259 -7840 70096 54212 -1482 -42175 -77772 -177737 41307 222598 -91628 -103816 -72068 98706 195633 24806 -115239 52455 116335 -25001 112777 -113178 -74916 -18560 -76646 -89981 13951 -41027 22403 190101 99748 -41454 10094 154397 -86418 132384 -65571 98017 -2486 61368 -20083 7656 -70545 27117 -114246 -54566 6435 -260559 -50577 -29980 -79253 74766 58965 -50276 
+-84201 -31711 -30883 148949 -176855 48373 -161541 -35791 -234081 34537 -367 -43660 54595 -186440 -304806 -132069 -29043 -225975 65042 24571 -153899 106372 -70592 -77796 -14865 -76914 64780 43488 57596 -89441 -111899 4769 -56744 21902 63583 192866 -102727 -131682 52686 -56307 -3068 -58393 -64465 14191 -108190 28272 39185 63829 -158963 70536 -51531 -66927 -127932 -61816 -41725 -83141 -49577 -8672 64436 16866 60713 75855 -46548 18422 61622 39578 166276 -220255 -125777 174067 -35056 34217 123989 -30062 59767 55709 101719 144485 79922 -71584 66123 -7208 177286 -46581 25147 -141710 50870 -151259 8078 63774 -12525 80999 119598 -139067 752 50301 -35702 126352 -48144 -136541 -3768 -98136 58018 34774 25668 25417 219616 -30083 -38688 199408 16537 64148 73020 -41297 -27335 -55523 -21249 -194642 116838 99549 225667 -108780 14064 314257 -74759 -44356 -195519 -35901 -93237 133968 48570 59463 -95862 13077 79414 -73309 -32407 -47983 13199 138038 31244 144678 151176 -171983 86650 93461 -189242 -24754 -76939 -145076 -149841 -167835 50979 -87297 110590 4442 111462 -64039 69124 159369 40449 148669 -3463 -121219 -105466 22588 -260282 39447 141556 116453 55549 -124933 -138316 33723 -65662 11642 -32162 38453 -63768 -94408 -6847 29689 -72974 83081 9808 35912 -119123 148043 54363 11631 124188 924 56535 45832 25643 -29761 -126993 -218049 71229 194489 -95092 -129597 -66554 171811 230318 51516 -130845 34394 134419 32055 129168 -168902 -117865 -11702 -105018 -76808 25660 -6486 26072 133973 134655 -32720 47713 66985 -103444 79321 355 217544 -12100 67290 -65066 39043 -41245 45157 -69285 -93895 -38921 -288762 8735 -43030 -41257 -27749 112422 -63129 
+-80354 -121732 -16931 -5748 -147414 55858 -35991 -14224 -265459 42584 53170 -40414 49915 -174943 -301998 -176911 41003 -223840 18438 52175 -175529 104564 -60617 -94275 -8855 -61045 27958 12924 108749 -70502 -183146 63175 -28919 42053 41281 26760 -96500 -120014 29532 -41361 -130909 -32927 -85021 86274 -79843 5051 2677 77089 -94480 48447 -64213 -66832 -71899 -58148 -111541 -26476 -155139 -4972 70101 -28463 57845 18487 105519 78207 73272 63852 131851 -146283 -129472 16758 14549 50732 3829 23763 62422 106932 74174 86156 112062 -19581 76514 47229 137554 -39194 69678 37776 -46984 -133726 9741 968 -38137 82130 118303 -87984 -84376 56560 -2235 1278 -42650 -1129 3808 -49541 70097 110627 66480 -7862 211546 -140456 -212993 152479 4941 57155 121025 -92398 -16991 -23312 -20478 -196994 -4492 -37157 300934 -134257 21043 273896 -76851 -52358 -189158 -81614 -78922 110595 -18860 68324 -129557 4378 57694 -38442 -62992 -17372 -21190 175255 31061 48488 150785 -109255 70765 144345 -23954 152849 -65366 -124001 -106076 -174064 20139 -212118 101434 -24368 50208 -76291 29965 104941 51747 130805 13902 -200613 -143825 -76226 -231422 39923 144768 126618 29408 -127336 -168018 67143 -20721 2761 -35137 -40878 -45417 -145083 -7228 -3380 -81525 82368 9139 13549 -73842 80038 64521 -9087 -25699 -1500 2846 73574 71153 -53096 -181266 -216940 54479 151154 -68513 -127811 -72992 129749 133844 55179 -118670 -63171 102328 86266 90311 -208834 -116032 -7339 -120097 -56396 7926 43634 21699 66229 10342 -45736 120699 -98265 -66957 55848 45758 268371 -24006 78420 -158510 27625 -24391 18164 42441 -122010 -38980 -284805 34386 -14182 -66515 -122904 67264 -83206 
+-72729 -154292 -16316 -37217 -99680 57074 116945 -19725 -48555 52495 23516 -40683 49649 -101751 -250399 -219279 112310 -211459 24363 36381 -190870 146035 -32019 -101970 -15952 -32895 -73326 -7125 163643 39035 -229303 74148 -18175 60638 63257 -72273 -97333 -153821 -28524 -29050 -190004 -10027 -42816 154581 19165 -3729 -137277 50263 -22026 -1257 -55863 110198 48702 -41598 -163622 13496 -197146 -8982 77711 -49195 52786 21618 154320 145915 71804 43148 25492 26804 -132481 -55216 23301 31124 -112839 148195 56785 3848 119084 49537 115647 48734 74020 6792 31498 -22021 72646 86861 -114900 -170180 -28639 13931 -56140 83376 119427 -62393 -129340 44508 31394 -87310 -87811 90535 9502 -55835 68341 130419 93138 -41441 201982 -156550 -234685 59088 -63855 56392 -44930 -116566 -1152 -53636 -18117 -172350 -75316 -38231 267070 -168133 18586 238718 37620 -23742 -181481 -70499 -46997 11875 -91239 67497 -155753 -3032 28959 -65559 23242 35640 -9111 157788 33211 -30813 99480 -10139 44948 186179 116415 135425 34846 -95439 -364 -178516 3896 -210464 106988 -60918 11098 -88959 -18150 44288 42374 123085 97346 -185270 -116759 -20549 -186462 13061 89614 120296 -6657 -110359 -130284 -37933 1975 -5099 -38565 -122890 -32593 -183626 8393 28620 8387 -94494 -6134 7744 -15858 946 34611 -23892 -64037 -13156 -54350 14142 119631 -51623 -124438 -182065 77168 90308 -30555 -144441 -99963 67399 7812 89223 -67249 -176911 69098 119035 -53318 -99802 -81152 -32323 -119352 -55819 47059 110829 32232 -30738 -163765 -74951 121754 -108479 -67706 27179 10962 120587 -23183 91399 -149274 21257 14800 49355 25973 -123639 54217 -283033 25640 -39799 -80959 -70153 -12489 -65210 
+-107303 -110387 5423 -44397 -26821 47673 78733 -1160 58884 55763 -6463 -54634 48879 2748 -192453 -244623 130485 -184150 32223 52679 -194188 137843 -827 -86989 -11770 -13191 -96630 -5363 138262 145284 -223890 91130 -42862 80499 79244 -122547 -78065 -123187 -87830 -26024 -141859 -63534 37750 181849 27689 14005 -206717 38916 89758 -9173 -27482 208530 136883 -43166 -218438 23033 -159492 2472 87672 -36812 37411 56779 73736 118283 57737 -1391 -40770 64406 -118289 33418 66807 -22471 -139269 214182 73174 -172983 103259 -48113 84701 117339 57782 46286 -61510 -2954 11720 -55575 -147084 -155002 -38583 41484 -31918 83652 112794 -8731 -114336 63959 50010 -68708 -109906 101389 -35477 -78354 85576 73135 98823 -81548 190333 -69318 -82724 -6847 -76089 54952 -208347 -128123 -8684 -8271 -28976 -142719 307 50863 242103 -193240 11227 241387 147603 19387 -176744 -85949 -107930 -95348 -95006 64427 -172122 -15883 38958 -74150 98737 59704 -19272 117440 35957 -50578 59325 27169 20546 215731 52967 -90743 159414 -68457 42934 -208466 -8305 -70581 103085 -59954 -25200 -62199 13810 -1261 -95 142901 172407 -118568 -107570 45520 -105776 -22436 25095 85574 -62361 -104162 4699 -107815 -1629 1318 -35508 -189453 -8770 -199680 5671 -10487 90614 -205192 2192 -10459 5397 -82651 71478 -24460 54658 -19338 -69058 33272 140922 -54602 -16771 -194702 48851 36223 6937 -108517 -92841 20258 -33795 105201 -33543 -156033 48581 113561 -138820 -14761 -3336 -56071 -103137 -69025 8555 172870 30715 -80340 -192328 -116945 160455 -75583 -17221 67755 -74517 -20461 -39593 101862 -114813 30954 58004 43230 -83032 -80244 50900 -258024 41731 -50004 -91373 46290 -107419 -50218 
+-75063 -25151 16336 63679 -19862 37780 -98320 19158 82973 39692 -25413 -56686 49846 112505 -140617 -244616 120684 -145967 5264 51857 -195674 125938 48113 -67599 -9507 25640 -1448 -16669 171102 231429 -198054 -4179 -47068 85244 79423 -42370 -64551 -109667 -89788 -6707 -22806 -84624 107592 187858 -34878 33932 -125612 21502 120402 -5506 43145 135669 183201 -43580 -248589 -24133 -38037 -51409 93271 8791 23163 33723 -83606 83568 37935 -4314 45415 -71806 -110988 180091 89253 -84415 -82078 145511 86980 -152440 100941 -101549 70496 161537 44908 -21475 -74812 21265 16108 -229635 -119522 -166222 -32513 39213 26405 81656 96344 27482 -30479 58566 9712 41807 -101357 60824 -8646 -128023 74646 1990 83627 -94801 177780 56839 87818 -34193 -134655 85877 -139360 -116457 -11421 -46484 -29853 -85036 120468 199226 159175 -208994 9270 217683 95955 19423 -153139 -124759 -132818 -126978 -57473 57756 -177507 -43271 65267 -72858 223907 51639 -27803 123272 37055 -9283 -38140 21547 4642 222628 -148079 -193665 151581 -52221 72226 -184125 -32739 114858 112675 -65745 -38947 -87663 35800 13702 -35024 141851 158170 -18578 -109725 111125 -21305 4216 -65712 51589 -97011 -19632 103596 -126214 -21022 -9329 -40457 -207350 9766 -141974 -1702 7094 177588 -128567 14346 -2913 -38576 -13672 87814 -17266 186962 -19850 -105110 -7387 118966 -52135 25827 -197070 44739 -4823 23159 -93028 -76560 -63733 21559 108792 10467 -48239 12925 72950 -185171 87810 48349 -66039 -73429 -85462 25746 218816 30644 -110493 -64851 -150415 135916 91966 -13572 128141 -112519 -130708 -35992 107582 -24207 17256 61373 51984 -131651 -51570 18527 -171214 28899 -29918 -100099 85594 -89017 -50281 
+-68286 -9590 -8305 187055 -97975 57242 -161111 43819 -77154 8942 8393 -61169 51095 173396 -56708 -211616 80241 -96338 9030 56325 -183092 109490 65274 -108498 -10713 46584 108796 -16133 161878 267779 -136808 -15335 -50165 94465 82781 122440 -57357 -131071 -109613 -41012 30603 -148076 169866 166283 -80288 28803 6813 13545 141168 -23534 111269 19938 209751 -41966 -234910 -86208 58800 12017 99202 31441 11581 30971 -177471 684 20061 59844 147549 -219659 -98969 204656 111778 -124550 58503 20046 76459 11585 93959 -108086 52452 174692 8780 -80014 -11936 21271 11184 -226661 -10965 -146539 5651 4851 37036 80649 89490 77509 57319 84904 -568 157297 -110647 -70951 1251 -150097 60356 -20728 51551 -90445 163374 125363 106190 10705 -158233 106032 66949 -83385 -16949 -47594 -36657 -31895 119250 230626 83071 -202077 23390 187733 -45894 10790 -132282 -126778 -138627 -137491 -1882 46762 -171750 -61938 78970 -60240 223821 14666 17037 32315 35701 78336 -72075 -45560 3189 200297 -225055 -127071 141924 -42561 10742 -223336 -33931 72040 121818 -50923 -59811 -80485 48740 68768 -74779 104609 70744 28845 -132669 13936 68704 -64529 -130545 38802 -154988 39353 101925 -42728 51733 -23736 -41089 -213503 35597 -75361 -12645 26243 175602 36100 11149 34720 -51570 67822 84983 -2217 157525 -10446 -62036 19358 61291 -72167 12486 -155369 70477 -29956 62900 -114082 -72590 -149099 163629 173399 49717 43858 -40727 15259 -97125 147839 73145 -55794 -35450 -89876 62869 247075 17043 -90832 107485 -166813 115753 197859 -18882 120502 -47482 -43184 -52629 104718 -19234 23825 118270 8986 -114482 -50162 -43729 -152148 45539 -34776 -66119 -14859 9598 -28023 
+-72567 -119433 -25827 161228 -150881 44310 -42833 77756 -249738 21507 14809 -50582 55870 163948 25951 -188119 20649 -52177 30852 15223 -160396 113444 56672 -77529 -13939 67351 88787 -13157 111392 207016 -52875 -61993 11553 98799 66481 214922 -40983 -108052 -120321 -23572 -40922 -151554 192468 110053 -121477 5638 22915 8164 99728 -41064 99746 -76256 195449 -69816 -189489 -119274 85617 -22459 106454 23239 20900 57601 -149839 19793 16179 72227 160216 -170364 -91442 23511 141602 -139492 193224 -28822 73841 119502 82392 -39794 3121 182959 -41268 -109606 96337 9339 50928 -60300 79471 -135612 19573 21855 17740 78738 80373 79017 77214 92371 -42527 186381 -57110 -186363 15781 -135129 79122 30402 8403 -83774 147775 77200 -48676 102093 -128430 88429 77982 -35411 -4694 -16320 -38257 -2082 3852 137182 1183 -257946 39674 136437 -93368 -10186 -112454 -115421 -51429 -44884 85126 54144 -155172 -88773 51627 -71385 192875 -44762 -3417 5020 32901 177501 -61308 -167058 16562 153239 -93782 127415 38535 -71288 -41572 -227403 -31488 -82539 87945 -9218 -64160 -91877 72842 164170 -92912 117514 -5484 -21772 -103465 -27543 115638 -11120 -166961 46517 -174221 66729 59239 36073 44881 -35988 -40952 -154859 48388 -32568 -4507 -15785 176727 119768 16362 994 -123615 136361 76993 26576 30173 -12982 -76779 6956 33793 -60060 -54651 -133389 48050 -42086 138202 -45169 -58267 -185409 233249 124952 78730 2580 -59918 -39112 -36625 190272 67716 -62396 5601 -74989 23662 248611 49022 -38180 135493 -152290 26522 168411 5921 120079 47792 65916 -52572 95621 -92274 -918 130375 26616 -46491 -76402 -31617 -96701 24195 -48085 -64207 -107755 94641 -27557 
+-70738 -140194 -1412 -2538 -153264 47059 109534 110080 -279139 14432 40380 -41997 59011 105223 76220 -148084 -41505 6625 36200 40117 -126369 63882 5903 -88201 -11778 88365 6725 -15163 60781 102718 39501 22467 14829 116005 73111 126002 -46239 -109874 -88219 -21476 -167403 -149167 136743 50098 -66441 -3829 -89001 -2130 -3829 -47799 107681 -19683 143433 -69938 -155708 -69709 7422 9907 107605 -27238 36170 33813 -18209 88257 23782 63665 71778 3004 -79212 -83893 113680 -114310 234269 27517 43938 25552 86463 20913 -6009 138408 -74769 -104826 171160 -9600 57008 82811 68391 -133986 23302 -4760 -25277 77573 80194 90029 36264 75118 -27965 106625 -13977 -260102 7976 -83913 71283 110710 -33151 -61875 131159 -42774 -217184 176583 -142521 81560 -79148 14682 -11304 -49904 -43940 18493 -79848 -2737 -54151 -212125 -15670 97263 26260 -41840 -82729 -138206 -29037 34565 133933 57647 -128756 -88788 73306 -65500 77589 -59099 17134 25275 30985 224130 -49555 -160881 40742 98837 81935 145380 -96990 -70110 -138975 -183570 -15111 -197114 93007 -22898 -12721 -68356 85360 196751 -77878 93042 10875 -119031 -113709 -60886 149543 364 -164760 91767 -208340 95086 -59236 36935 24079 -48499 -42766 -60667 62357 -763 21326 12916 118709 -3885 4372 -30934 -122172 131187 74281 48421 -73385 -11117 -17623 26510 3347 -65514 -118042 -111435 24924 -27423 162736 -24852 -28801 -218653 166238 102838 115959 -130527 -67939 -69354 102246 97969 33842 -32683 43478 -60046 21062 223400 55417 35328 3457 -127715 -11561 76278 18649 106575 36622 202991 -54567 82608 -159234 13770 175101 47576 63323 -109778 54398 -50048 275 -21409 -65006 -104080 103710 -25588 
+-73311 -106308 -38786 -92240 -16688 14769 85082 129493 -71585 37602 13164 -36753 54970 -6702 113480 -90911 -25507 32595 56827 28489 -89211 59003 -28096 -104111 -10034 109447 -87230 -6230 -5182 -4485 86854 63442 -52816 98013 69156 -13193 -56665 -144705 -30142 -64713 -187653 -107043 46687 12761 1899 13308 -173004 -31600 -45021 -72201 166811 109457 52476 -65231 -79854 -17055 -120519 -18064 115154 -45336 48576 49636 111036 126040 41712 -11216 -35729 83457 -67785 33684 82561 -62043 176787 152846 57859 -142214 58301 77273 -42068 85231 -119116 -98574 160484 -30423 67880 52000 57617 -125431 8440 -16822 -55407 72810 73567 67437 -45413 96134 -47733 -19638 6648 -253694 -552 -41852 59631 141966 -63621 -35672 113921 -149057 -229498 206574 -173273 75601 -234646 79840 -8147 -19152 -42568 15533 -5067 -65085 -102777 -159632 22713 36899 147655 -27851 -62309 -135072 -94043 114609 144212 59310 -94409 -116768 69959 -80722 -5377 -42769 -5238 62930 31471 229271 -50312 -168575 65757 34798 94329 -9582 -63439 -117865 -175957 -202626 -9937 -200280 85172 -190 58065 -51872 86071 152856 -43963 74506 91399 -198403 -118980 39857 137331 -21018 -108783 114031 -221478 79051 -175255 -61577 82658 14821 -38015 -7447 72946 34934 10564 2654 356 -167522 3353 -28372 -75971 78383 93792 59187 -14099 -8501 55239 23680 30318 -68132 -173249 -145754 33693 9870 204447 -11429 172 -180550 40298 93111 145359 -165894 -73280 -22547 135774 13730 -36091 -20970 72385 -53544 42777 177977 22274 108775 -149004 -89867 -78902 -57099 9712 54312 -26234 239355 -50957 70029 -152667 690 140497 73181 23332 -123864 64849 5062 -32673 -34832 -81496 9593 27787 11085 
+-85809 -32937 -15507 -27236 3247 10590 -68166 142413 104543 44951 -28912 -46476 52947 -115440 113277 -33365 -25034 60936 78067 50876 -55544 11579 -45483 -126054 -9386 107721 -75833 -38613 -57110 -81502 115595 85160 -45747 89912 72231 -143590 -76185 -111320 34016 -49631 -108358 -94764 -18948 -597 21377 33645 -172241 -25322 -129949 -29932 102748 181750 -45728 -46895 -18172 8980 -193187 -33453 118736 -41550 57780 2638 146057 128702 59782 -9157 11691 -37452 -69493 209579 62707 -7906 29463 210547 64887 -195374 34372 139690 -20808 10989 -154985 -68229 68326 -46085 73381 -145439 -52290 -94759 -20831 9034 -23399 72702 78501 31538 -118110 113081 -111285 -92358 -17884 -151188 20282 -40989 56713 82893 -74368 18725 95971 -151812 -72502 166501 -161706 66702 -159383 135376 -19517 -8077 -45184 -18172 130411 41352 -81507 -146864 29183 -8938 96506 -48629 -35504 -131275 -133651 116372 95165 59609 -54676 -126674 84423 -44361 -62667 6724 -28522 63604 33900 166263 32397 -102156 84413 -18278 -77547 -183596 93418 -144243 -202492 -185231 14400 -25903 89179 -51460 79045 -61139 88948 49669 -372 59845 163300 -219720 -59750 129528 79854 -23307 -34038 133540 -216078 16946 -158611 -133240 143032 2688 -40293 60040 93774 12719 17306 32021 -52426 -195840 5378 14899 -55623 -50907 83064 68691 116799 -10138 74130 3737 55015 -55697 -105143 -57513 50657 63621 223971 19839 32019 -155959 -37889 365 178635 -77305 -106951 24466 137806 -90521 -91432 -35876 87582 -69330 -10482 119567 30936 179351 -195617 -52252 -99010 -149871 34004 52917 -79077 134443 -53493 61966 -81637 26384 175869 50407 -132979 -111791 15928 48860 -49248 -29657 -114892 44233 -70825 3618 
+-102422 -27294 -30797 118954 -12265 -6161 -163917 140908 106001 48037 -3080 -58481 48237 -186964 58166 15067 47778 71583 10322 65200 -7434 -40441 -56046 -108006 -10992 83849 150 -21802 -80551 -94095 106933 38377 -48203 81013 81737 -40304 -82733 -112616 57736 -28077 19226 -77322 -80367 37975 -33888 29327 -30007 -40963 -214140 -23299 58243 142150 -102977 -59672 9525 25616 -190599 -10463 124396 4027 41760 39177 53275 59947 70964 24275 112764 -209987 -73150 205290 3085 39770 -101121 151208 71111 3760 56614 132787 -33740 -61536 -197758 -3620 -32177 -41657 73619 -252393 -123055 -88599 -45740 -136 27540 72485 55054 9544 -123918 88162 -58431 -54363 -45698 -28148 -2494 -90030 47569 6006 -64355 71726 77476 -58667 94325 83975 -157620 65725 55917 174819 -30184 -34302 -44469 -61566 118798 186461 -43220 -133419 31425 -31860 -14452 -68098 -17434 -105001 -123114 29811 17311 58536 -12399 -152098 44167 -39833 -16970 36963 -22977 140306 36393 75502 75210 -26747 89063 -75755 -219132 -133960 164147 -131827 -156946 -146480 43154 120147 84080 -38626 117243 -95880 77158 -17861 39697 42768 161045 -166442 -65999 61164 4137 14943 52099 112661 -200840 -43677 -57107 -81974 117739 -18029 -43810 81340 84455 -54538 -25738 9065 -75493 -36697 -22055 16895 -16660 -90234 63588 54761 192413 51 82684 38835 112986 -39790 -35863 -68334 40181 122942 247973 714 53710 -62830 5144 1568 225267 23229 -136955 80837 33005 -204486 -113175 -41522 86860 -86524 70421 55828 20599 215613 -79244 -30658 -140623 -45320 64606 45949 -87553 -21964 -53912 61375 -10135 3195 178937 55409 -129484 -68569 -49824 95542 -40353 -27799 -105735 -15244 -115561 19845 
+-82541 -83331 -6077 174755 -85145 -25433 -55227 133260 -83105 20251 20634 -60568 46408 -181638 24286 53337 70105 60206 70506 43702 25478 -60499 -56528 -80419 -11344 60529 74391 -34605 -98591 -25452 83857 -42679 -16025 70866 71970 114460 -95328 -85915 57756 659 10050 -54445 -71743 104535 -99011 6252 52445 -41106 -242254 -6322 13244 2746 -157552 -66802 67636 -27229 -89552 -10599 129222 27974 31004 8324 -83935 -3601 72146 65927 157441 -201953 -64032 50580 13480 52686 -154951 24495 90247 106363 43038 94027 16540 -126598 -209193 36686 -82321 -39658 41611 -179765 -113041 -77158 -25533 -21788 44691 73434 44935 -52663 -69082 109858 -67339 64492 -82267 83230 24960 -148795 37444 -28123 -35381 111377 58641 66947 101361 384 -96046 51596 103350 204209 -3520 -25750 -43219 -103772 10534 238635 15036 -78140 54040 -63728 -82389 -59071 -2830 -110566 -74342 -59198 -35702 61937 30188 -152725 50531 -41153 91203 49938 18707 123520 36919 -7428 145926 8715 78317 -111789 -157404 47811 188101 -99405 -80082 -172206 61478 108645 92836 -127820 94795 -103378 70874 -6428 52719 22572 73087 -41258 -97973 -29725 -82046 4340 111758 84869 -179453 -122029 29508 17556 149319 26650 -39827 75540 95593 -83434 -8955 -18879 -45928 87982 -9519 44166 -8319 -1336 93788 35523 107975 11229 65529 97121 146968 -57089 50768 -45220 117355 163787 256671 -2851 72124 11242 131805 33363 210689 48219 -102283 120117 -98659 -204786 -113801 -65453 70768 -91137 20770 528 39929 222908 75632 -35269 -86610 75355 35166 103979 -44611 -130824 -49005 69290 -80047 -18391 153604 38500 -73890 -55811 -41440 103194 -26537 -34968 -81858 -144200 -41740 41117 
+-80334 -154965 -12971 151232 -188614 -49901 103929 112669 -211953 44279 16895 -59930 49222 -106775 -3448 69765 102588 36482 17301 21514 47599 -112525 6946 -94341 -6744 62834 104004 -37853 -109262 76945 14306 -45511 -7027 44708 76108 213668 -96829 -99711 48575 -7982 -107287 -71559 -25378 161965 -101266 -3988 -15015 -35448 -240703 13696 -6574 -108648 -156547 -58797 42230 -98543 30188 -7689 124248 30131 15929 59899 -175130 16734 58754 47034 80797 -35316 -67009 -83355 -8527 29533 -110000 -28119 74318 53336 74219 -2186 32444 -155355 -212356 32597 -45756 -11158 26755 13274 -61181 -94344 7994 1560 -14426 76470 51765 -84296 24124 121690 -78518 170004 -103457 103069 -9829 -128560 24979 27219 5547 153478 40054 128069 -57353 -32104 -78494 67684 20857 203967 -23219 -62371 -42512 -170077 -70636 151609 111210 -6975 5037 -81877 -33019 -19119 7378 -91076 -37981 -142335 -89390 60406 69484 -182741 79073 -43738 169990 38929 5753 137651 34975 -54699 170312 21329 56209 -115647 27788 177695 69186 -96588 -27610 -169696 76653 -61541 88135 -60137 65173 -79419 30868 74485 43057 -10957 -113 16763 -59971 -33153 -158370 29191 145839 43116 -150865 -147274 135601 64843 190768 -12038 -45687 38017 99012 -128790 2125 -13 50197 78591 -32873 24770 -18578 72047 78894 12491 -35657 -7560 4852 78786 122260 -34921 46424 -44580 97473 210343 212046 -17975 84202 101431 225056 21690 180017 -59208 -111868 115824 -155326 -114936 -58855 -56123 41505 -76993 54525 -35783 34245 191377 121167 -59414 -76051 152020 71538 146878 7375 -107371 -48243 81999 -171585 -31439 160815 62975 51172 -60226 23435 103219 -25631 -58505 -50262 -91687 47400 47979 
+-85189 -143084 -19859 9649 -116350 -24045 111675 101296 -258442 37566 22118 -48171 51476 -6091 -88085 86956 120212 -5620 -14121 3180 61853 -120978 36987 -78531 -9649 39237 -17851 -13385 -119902 198917 -71333 -20376 -65463 27947 86641 151159 -95031 -72971 -173 -8419 -195907 -63490 57619 189894 -56426 12602 -175262 -36611 -223280 34645 -23098 1019 -106624 -36796 19379 -133140 89618 -19009 129563 -12751 18138 51899 -136391 68844 39145 31464 21457 72493 -78683 17915 20745 -23924 34598 31605 25923 -111772 104387 -62546 82567 -181410 -224936 4089 70941 13243 53341 94652 25926 -34327 25631 -11707 -28757 78770 39838 -137003 76910 111746 -52591 179427 -125182 61661 -857 -92648 47046 101864 48556 187971 21665 63346 -221860 -6174 -47340 52541 -183611 187992 -13747 -42182 -43822 -193797 253 -188 191479 28718 25076 -55925 129182 -11473 14813 -90752 -82908 -133537 -84025 66975 102551 -165988 72182 -27079 245067 -7102 13965 187492 32347 -20656 228156 -46391 30042 -99193 115677 47862 -26615 -50722 39275 -125031 82809 -196831 71721 -47646 14883 -58146 45532 141615 5241 -35002 3838 -7152 -33153 17589 -226674 16877 136220 29097 -98686 -144265 75755 12002 144416 1518 -44382 -44997 89591 -157791 37934 -1843 138159 -91037 -31144 -12768 -98013 133404 68099 -12218 -27392 2488 -28114 112641 74402 -35752 -15518 -6798 85402 233001 210827 -35134 92546 130451 196970 42558 183850 -166344 -99546 69659 -178107 16974 8183 -45615 3767 -58933 44012 -53194 28040 126394 -9439 -98454 -61026 209926 46914 120268 48722 62134 -47171 95004 -189224 11210 125731 48051 64416 -101601 85297 81838 4324 -47396 -51552 40083 116245 27779 
+-89961 -40641 -4491 -77167 -58645 -20248 -65196 86984 -112443 50140 6305 -42180 54206 104379 -181133 86227 94274 -46661 44268 38142 78453 -147026 48635 -97155 -9595 10828 -94621 -6210 -76332 249540 -141138 52163 -38725 8845 59672 9549 -91815 -85008 -8946 -8332 -158457 -126398 143615 180393 16767 33345 -185274 32762 -162415 58772 -53372 189681 -54215 -70979 -41809 -100807 48785 2983 132449 -47228 22435 53604 -5485 138429 21830 -31455 3187 -9559 -93376 188477 77212 -84430 159668 154740 56143 -196761 95663 -97486 111333 -173631 -216700 -23876 160851 23563 65410 -17969 124805 -44952 13054 -37252 -44503 78718 35618 -175669 71054 131930 4703 85280 -73424 -57358 -21659 -51963 32633 134334 82449 212514 3595 -60291 -225972 70298 3334 61433 -149123 154188 -10427 -35164 -37207 -193377 112032 -37494 250167 33324 11535 -136001 146884 -7271 16564 -63637 -111436 -72687 -27902 65442 128379 -167957 66752 -29369 233841 -39199 -16274 123207 30848 50948 228648 -121087 10146 -68112 3592 -136506 -87056 -45299 52337 -112493 80014 -179810 72478 -8341 -27939 -57334 16693 164784 -32260 -50230 84303 -100572 -14530 95046 -255388 33781 84440 50644 -42882 -130011 -30928 -76140 189389 -41598 -48972 -133807 75131 -192791 23133 65870 214090 -190807 -36027 -30040 -140113 116124 65251 -25654 91175 -902 -57106 105368 12920 -38085 -123929 -9055 57095 239174 197646 -90663 90687 158967 85969 96002 106554 -132755 -94428 7873 -60430 74793 50024 -49269 -37398 -54364 25004 -43307 17529 56130 -160876 -130173 44039 116602 55759 137331 -45927 221672 -41877 103342 -120497 -13617 87827 70466 -68571 -113071 32010 70035 37700 -33088 -109227 62562 93797 42904 
+-84189 -22922 4835 -38453 11823 -6119 -170201 49199 64889 -1655 -15270 -39640 56151 168444 -191530 73923 27165 -101575 -9537 56668 105055 -161880 52465 -74870 -12953 -20272 -88739 -26944 -44835 259066 -201899 93371 -78265 -30236 62391 -141640 -66585 -91066 -78504 -16794 -44329 -160272 181197 142951 24860 29865 -76771 55217 -78181 81552 -43732 218710 59243 -65506 -116169 -18750 -55255 -3765 132306 -45361 40742 16159 114194 141844 14502 1648 98908 -173364 -97803 196253 93829 -128192 235302 220107 47744 -55496 121798 -80012 112398 -123580 -199510 -98536 173711 18695 56992 -205313 88300 -60141 -18747 -9965 -496 84264 36849 -173967 -9114 133043 33139 -38670 -65148 -176984 -8777 -31046 31280 88166 99236 219503 -13893 -158035 -63189 153622 32483 62003 53772 103546 -26779 -61299 -36746 -179684 122869 19321 276375 100701 15640 -83898 1050 21393 7514 -55298 -147751 -11724 45522 60944 143689 -179760 45274 -28320 149658 -51017 -26866 126617 31953 143173 184285 -188163 1207 -20308 -170912 -173043 -28833 -32011 35484 -85959 63819 -33711 82853 -23373 -64000 -72234 -1176 148769 -73853 -41464 164397 -187704 7092 90843 -228645 57581 463 88847 -4067 -70036 -111472 -103019 197354 -42122 -45514 -186295 57959 -152122 -8095 -4269 183441 -127682 -63024 12063 -116163 28288 53520 -26148 189138 -14289 -105757 133600 7676 -43356 -145867 42168 120885 206326 140503 -122984 82292 112029 -34822 102791 118684 -24526 -52368 -42401 88590 179826 81543 -49564 -75388 -68449 23749 -6178 23983 -28247 -192158 -160960 75511 -38849 120266 106143 -97742 260785 -29633 107806 -50486 -6720 95523 83207 -99138 -121639 -40544 15046 54167 -12805 -101822 -20491 -19761 44382 
+-79217 -66009 -19656 76710 -26742 733 -71902 10251 96076 11349 12690 -48020 57018 165489 -243376 42493 -17638 -142523 64011 42119 89616 -185630 34628 -74378 -7061 -35179 20741 -33938 12826 167903 -229197 73199 -37838 -51802 67377 -79131 -62549 -71638 -103117 -42285 36899 -151444 163342 71211 -47810 6904 40580 57369 -2797 131090 -16787 108020 152059 -44691 -190707 25398 -164009 -10016 126011 -9554 52504 10054 145151 65915 21879 41903 165057 -221390 -110925 29111 128897 -136061 198458 146990 76567 86518 128084 -47043 158320 -63335 -168794 -114971 104832 5867 69043 -237480 -11838 -48045 -39007 -4864 58098 83678 35436 -196594 -97105 138649 59574 -93732 -35803 -257494 8067 -74020 51337 11561 93661 216197 -30646 -144017 99401 206051 57694 78298 110241 41979 -8076 -40040 -34310 -139179 -2098 163146 265621 100293 8729 -78867 -103828 -4986 -5662 -85825 -104218 83771 110500 58550 148265 -173994 52923 -36117 37580 -20183 -14570 22011 34542 220801 142299 -147476 9139 48108 -211727 42923 127660 -58613 -64886 -114515 48215 127234 83216 -27871 -50712 -65790 59320 67304 -97691 -63498 162490 -211350 -15884 -16072 -196287 47028 -78752 122326 39208 10088 -191405 -56448 246991 -65083 -46452 -217230 49159 -102312 -10795 29748 160126 56073 -74971 5542 -108033 -76707 47918 -15777 144232 319 -86164 116638 27975 -8999 -143895 31765 92846 168099 110179 -128585 58767 100372 -17919 148468 75615 71502 -5924 -56176 92753 172879 63900 -33112 -104293 -84752 44009 46222 4941 -86772 -78281 -161755 126221 -94386 93437 95960 -89809 159946 -22619 102951 -22851 -11236 58453 28405 -145036 -93617 -34159 -37535 54276 -51378 -99986 -103382 -89467 75896 
+-100223 -134222 -41253 188855 -139119 360 86286 4495 -52218 19190 31100 -60130 54881 108977 -283767 -28 -27792 -194850 30401 11776 75651 -189773 5771 -80706 -9992 -65636 82845 -11451 32251 55097 -213961 -15935 -51872 -78982 96136 31558 -64624 -59058 -119161 -22186 -46974 -157423 89884 39621 -104241 -4105 -6831 79430 42740 121043 7811 -49067 188832 -47465 -221572 13648 -209564 -12119 127012 24584 58363 21748 29777 6634 38469 89780 122773 -76814 -114895 -68903 130090 -115971 91901 31540 73310 92126 120821 16745 87300 16607 -131629 -115771 13678 -12582 63303 -93229 -95276 -47390 -40076 -20810 35300 83360 14348 -153988 -133333 127087 32534 -37319 -27273 -246269 -8551 -133802 44904 -29228 68319 194646 -46285 -34493 97217 183909 43166 86066 -42004 -11338 2165 -61178 -37682 -96978 -78701 239200 213829 83655 9343 -29822 -25020 -2608 -19093 -49637 -51549 114841 149322 49868 141647 -149033 49567 -55706 -25178 18901 -24604 31233 36763 229638 110006 -117851 30630 104879 -44829 179290 215403 -103804 -127022 -97771 22181 82329 84502 2948 -51652 -31248 52427 8306 -81612 -96489 84853 -190207 -8029 -61282 -124576 82944 -139682 125071 60083 78596 -135470 27285 229035 -3188 -46872 -210655 21439 -75293 9095 30587 60592 99238 -86767 32209 -57461 -21777 38448 2728 15305 -3186 -55848 127672 72511 -33317 -46170 61810 96756 109493 46348 -135381 25927 36719 103392 150826 18472 -3665 18842 -31749 150973 108275 13879 -21058 -120084 -90006 30724 105883 16181 -106940 75891 -141223 179210 -79386 87255 46531 -41 -1102 -18519 92648 -116988 -9219 37274 113110 17983 -55574 33860 -84108 52403 -50326 -42673 -91082 -102228 70544 
+-95147 -144373 -62395 136568 -179393 39188 106106 -2283 -258904 11393 25496 -61181 51011 -325 -247394 -60481 -35851 -214098 51921 20766 36289 -185043 -35012 -84438 -5061 -84430 101662 -4579 110029 -54120 -187721 -36000 -21181 -110279 76328 170870 -54586 -70101 -75119 -43830 -165613 -115533 13206 -5693 -105991 11889 -126865 58729 94785 126518 42203 -67248 230466 -33439 -252503 -35482 -154110 -16379 121110 26167 48755 52760 -107940 15681 58979 31119 24257 71722 -119906 38480 120646 -63965 -71387 -29459 80903 -87351 98530 90744 64096 70991 -98719 -91887 -71113 -31951 39583 66362 -145591 -42394 -18859 -19073 12398 84007 9440 -141401 -88799 129789 32335 86665 2996 -176588 -31635 -149106 48824 20883 29453 167189 -60366 83339 -67601 100791 73055 89433 -203460 -68081 -14251 -39408 -27511 -41298 2632 192960 150675 112625 40185 317 117403 -33914 -42983 -44554 -74737 68922 118323 60331 123746 -142913 62598 -44071 -55074 40451 -40916 41347 36770 188559 62031 -40350 56698 167669 121135 68806 178985 -114539 -195052 -22456 -6563 -91735 86188 -83912 -15803 -68563 58508 -3899 -49822 -107095 -379 -58062 -731 -11838 -42206 82474 -168851 112495 59374 83568 -19435 46255 258241 -2690 -53269 -158011 2611 -5867 15435 26983 -20877 -26674 -96810 -1296 4855 -4509 28902 29895 -63626 -3483 -19053 138015 97701 -28634 14607 69858 101785 68799 17012 -166913 8386 -31073 211886 164012 -31249 -118094 25788 26879 89074 6058 -49063 -35740 -119654 -80781 10834 168112 14518 -78143 122432 -99616 138484 1528 98359 38024 52817 -84538 -14185 78890 -162743 23740 -23245 66417 51963 -46819 67671 -121082 -5258 -41344 -47012 23402 -14097 63727 
+-87729 -66453 -17896 -7035 -135600 47141 -47760 -22107 -231292 12663 16062 -53593 46435 -105123 -273354 -121019 18345 -234406 48697 20740 8015 -155482 -56536 -81238 -4963 -82483 17002 36555 146655 -86777 -108785 -5266 -27978 -125466 82266 193333 -50615 -90411 -14024 -19236 -196444 -70887 -42127 11784 -60988 32993 -160413 51944 111351 144124 89184 29931 176183 -59746 -220932 -96986 -27157 3194 116683 13180 28659 58500 -177494 83288 71190 8431 -25040 33587 -137204 196599 85639 -6960 -142564 37935 75250 -158406 105068 138625 24427 128592 -51857 -61509 -64732 -47799 32803 50878 -104419 -57275 4345 -4290 -35961 80589 15082 -88659 -14852 154194 -22303 180057 -31634 -44452 -36699 -134532 50778 100190 -13522 121412 -73776 120867 -225660 21112 77252 88159 -167036 -106778 -16743 -87613 -28303 -463 124384 27912 89076 45153 9284 60219 148498 -46635 -61286 -64405 -114897 -29509 62759 47756 96842 -122652 47599 -44671 23945 60155 -4154 63890 34475 97434 -11091 39518 78707 198166 63788 -113221 26025 -131765 -202470 -19921 -30110 -233624 91517 -67786 45282 -112161 95832 36943 -6848 -143460 5319 -16452 -25221 84048 46586 103763 -148586 73457 49028 76578 74716 -44306 242674 -7744 -48604 -71198 -21202 34489 -3610 42518 -89563 -168251 -106074 -18152 26245 122584 53225 45903 10640 -10519 37161 86591 147547 -3584 27743 97184 96269 6046 -38755 -139849 -19081 -84671 215813 143080 -74520 -166760 88479 67401 -5929 -108998 -105028 -57738 -104170 -60249 23420 218275 -846 -37774 10304 -56140 106555 154897 126474 75159 23771 -93917 -14131 68074 -188124 2651 -12597 47352 6612 -75516 49268 -158300 -3177 -26893 -61750 82592 74498 70648 
+-96369 -4897 -5197 -88508 -24528 44877 -153810 -4430 -106883 48090 -5251 -47257 46211 -189153 -202152 -170300 100943 -212533 44724 55198 -17012 -152160 -40726 -55672 -5754 -65163 -91866 -13970 134040 -72309 -36254 58556 -72401 -143468 54079 42889 -55322 -47258 14491 8644 -96950 -65841 -86943 60423 -9023 30345 -127762 24428 70330 135625 91353 164658 118791 -16964 -198807 -126211 77066 16571 106825 -40467 10982 22641 -134685 145440 73085 -13101 60548 -144005 -132064 212423 81823 35190 -149496 154915 57980 -100158 77318 119125 -15764 173676 -18429 20094 16694 -49321 14551 -107054 -37218 -55653 10006 -32174 -42702 79707 3224 -45170 69662 136156 -32267 169345 -110701 72392 13013 -82978 52934 135028 -50617 79410 -85253 51679 -221271 -23943 64071 81250 53013 -129063 -27337 -104097 -23144 20632 129616 -64100 12329 59879 24364 96417 19693 -53241 -88780 -48832 -165484 -98335 6775 47200 61843 -108276 58928 -40387 140577 19870 -5335 65550 31810 8073 -17372 9738 89002 223512 -117984 -177650 -66025 -134340 -146140 20782 -31007 -181500 95805 -78876 53512 -81936 118305 130659 35867 -162287 75444 4065 -13257 100774 100154 124744 -97393 38391 52673 73789 129401 -116284 216738 2980 -42626 24219 -41280 10467 12678 36284 -65321 -177656 -115454 3377 -13227 111852 41222 62570 156197 -9939 56220 101923 98408 -4190 4737 48335 121723 -29008 -53382 -95510 -43207 -182534 120501 75447 -100542 -97511 120735 136860 -138742 -166671 -132164 -45489 -75047 -53235 64434 245290 19934 33917 -141845 -36861 42840 206481 102103 94494 -76858 32634 -1024 61769 -77209 17699 -70377 94617 -91867 -107912 -49092 -223162 -30896 -13204 -99897 -524 105809 70013 
+-76293 -50364 -23190 -23224 27752 64354 -76627 4324 80193 16491 -8573 -39579 47714 -186063 -173693 -201046 120401 -207506 48540 53192 -80992 -111870 -22746 -71856 -4719 -79795 -96747 -3326 192921 14795 60058 118907 -51488 -169788 62302 -71568 -71795 -69786 65175 20208 5130 -255 -69414 125953 6908 7545 10983 34202 12005 146338 148911 187609 26285 -76314 -134543 -93961 77597 -21972 111530 -53311 3118 10450 17012 141410 60256 46679 182637 -222362 -115266 32961 16279 55409 -18371 208891 58854 86640 74417 75195 -68995 186049 24184 75886 123082 -31729 39052 -247860 70178 -70440 29362 9946 -17619 76931 11072 16127 76807 168702 -78998 63198 -100054 122648 4115 -49258 86919 86820 -72197 21935 -95038 -79434 -54183 -34202 51210 84869 122881 -127025 -27022 -94426 -19275 13844 15965 212 -53720 13576 8555 153053 -72178 -81099 -112616 -69666 -104259 -149204 -73567 53140 21789 -89241 70707 -69497 219153 -14656 -4707 114084 30915 -54516 -74990 -59310 84511 213588 -221899 -65014 -94175 -91534 -87582 3709 -42097 -74680 95596 -44023 85311 -64625 93718 186426 57768 -177324 158461 -63196 -35704 11427 143573 141572 -16243 38190 11771 3458 103164 -99404 276727 -11415 -47706 68293 -55993 -21090 -10016 -5645 -16645 -39203 -116479 48685 -75869 51406 -2438 64133 187913 -13289 101492 141539 59212 12541 -98539 32027 82817 -45057 -68513 -54111 -79491 -181922 -22550 60869 -157950 51123 123396 122727 -177799 -211810 -104979 -44437 -36953 -66768 3229 248145 49125 113166 -210086 -29990 3152 136101 164562 136908 -117162 180857 2493 63885 -14254 16881 -71674 97677 -123175 -127553 -41719 -248269 -23690 -24394 -125508 -94063 55780 47881 
+-75202 -130190 -21796 109456 -21666 105706 86709 12841 116664 -11901 3039 -41178 52559 -116636 -61818 -216583 128859 -174178 30016 66533 -98088 -84057 14901 -118139 -8769 -38235 6256 21812 156544 144280 103538 70563 2695 -193126 75507 -122664 -89919 -4527 53776 -14429 15670 -55338 6626 177937 -20263 -4152 51815 21222 -54956 127561 163461 51986 -71657 -35881 -59466 -28385 -15271 -10809 104272 -22845 25122 22132 133301 81589 40213 91723 158500 -109595 -129276 -75321 21007 28054 113853 151539 73298 126439 51052 -17165 -74693 177003 36423 16692 173315 -10152 22745 -188208 98639 -52943 4456 -13918 41913 77232 -12452 54663 19773 158090 -86000 -55365 -114715 68825 6434 -50947 69228 9565 -72396 -7095 -103345 -158799 104024 54405 -4827 63082 -50552 -99928 -14728 -99354 -24544 -9510 -82409 144734 -106375 -71740 17202 195245 -58195 -25084 -137613 -82166 -66395 -109817 -83952 67058 -20964 -63881 58043 -43256 266384 -46498 -13978 145694 32504 -34534 -51971 -141246 65198 188514 -117746 155418 2254 -56426 -15977 11671 -36276 73027 100311 -38076 99002 -64422 81476 198031 44966 -187776 164095 -146831 -78926 -66512 126735 130711 63555 49841 -45808 -43159 -45678 -9643 218105 5052 -50306 92037 -77408 -76034 7678 49267 70428 101710 -127593 27319 -108826 -53000 23421 53524 65778 -15409 94190 60486 16969 7893 -154345 87858 53226 -41343 -91738 -1644 -85059 -184681 -30582 -8287 -151770 39218 148326 57735 -148659 -180185 -37817 -33454 3808 -85965 54367 224645 14584 177764 -73332 -47586 -37093 33302 135356 150547 -68714 233865 2001 72533 -82760 28847 -90060 85174 -69215 -117106 3687 -255045 -12739 -27535 -82523 -114751 -44758 63786 
+-87333 -163797 -9415 183529 -135993 60458 112046 39143 -71281 6002 27206 -50673 56359 -5714 5364 -236660 89417 -132812 24650 40992 -143045 -72203 46978 -105075 -4683 -21195 117084 21594 99613 225679 138212 7963 -21045 -189213 74132 -36980 -96936 -3451 58405 -34104 -106458 -70223 85670 185398 -91517 11224 -54476 -12419 -163204 94080 117286 -86064 -125466 -37102 -13341 12618 -133536 -27813 95531 18227 47371 31802 132598 16386 23214 72049 66234 57246 -105850 13265 74 -24767 223928 33445 87074 -38430 74683 -56060 -46549 114557 69879 13547 135670 12537 116246 -19193 44524 -94906 -17388 -15109 3793 74475 4141 81281 -76057 151744 -96257 -92125 -91811 -29798 15651 -89695 67768 -19716 -51019 -48291 -109473 -130884 92474 137436 -21192 54354 -219790 -59348 -22455 -82615 -14056 -60619 -17754 236178 -59215 -94731 -20 225533 92920 -36818 -156270 -134489 -51846 -32439 -68684 69551 -62940 -35803 41312 -61022 176939 -41041 -3306 159440 35278 32307 -46209 -185088 40728 137402 61822 140408 168225 -45766 31087 22184 -28843 73889 105516 -23299 71829 -70418 36609 100262 6696 -211943 86943 -221642 -62672 -13933 88900 106196 120245 90643 -75584 -105558 -131042 40883 208533 -13124 -47397 75650 -78672 -132574 30986 -5624 139042 57593 -141429 -6013 -133688 -75146 17321 35730 -55984 -14022 49981 51974 18361 14858 -147648 72406 82445 -341 -96112 -8183 -98017 -187538 54509 29806 -113306 -88528 119890 18208 -32262 -91593 26600 -17490 41878 -92040 39024 182725 -13426 224672 89254 -90291 -52260 -65816 133521 121577 18843 190373 7566 84593 -144322 26242 -79831 83745 7974 -67729 63670 -298394 -38386 -51245 -33969 -2971 -118086 35819 
+-97401 -97912 -19299 152959 -169553 53362 -35378 81439 -240972 16366 17752 -64186 57613 92053 35801 -227015 21076 -71813 28522 12699 -160154 -32020 65171 -103953 -5126 3757 80052 34024 70759 263059 90778 -32319 -39706 -197601 57786 175535 -90271 -40504 37197 -44767 -207469 -134823 165946 167960 -104781 32667 -180450 -12260 -219777 78651 86303 -87569 -173708 -48584 46632 55627 -207997 -30375 83341 29040 50818 38983 21460 17531 14953 35463 -14359 46441 -103080 166962 30600 -82755 232147 -27557 85447 -180508 70184 -93366 -8310 55956 63120 4670 46410 21499 75450 102997 -11208 -98909 -49274 -11690 -8836 72339 -4537 86924 -127834 175262 -114894 -18493 -70307 -172052 -8819 -139302 74201 19789 -14977 -80190 -113949 -26405 -75274 197482 -85821 38089 -152449 -10226 -12229 -49128 -20321 -107881 118611 196674 -43789 -125518 14360 250264 161664 -51560 -170784 -140976 -91550 86260 -8482 71863 -101811 -14006 78871 -59541 68413 -14039 3134 144101 36973 125034 -15286 -169163 17012 76634 117703 -69032 208328 -58715 59817 11337 -4399 -91035 111147 -28285 54668 -46912 48494 32265 -38100 -218992 5675 -154703 -125201 77953 23700 104893 149831 122159 -122103 -146896 -189217 30981 244230 -40754 -48019 19334 -82043 -152660 24878 53047 213458 -102331 -150231 3057 -94354 -30608 -9552 11752 -35213 -10302 6213 62387 43535 14269 -96998 104432 56133 46926 -83393 -5730 -74935 -116854 204568 -10665 -107617 -168987 132200 -40810 94361 52490 69474 -19065 71367 -80868 29862 121457 -809 219868 129135 -118143 -75225 -52798 115699 51233 43977 20502 2871 98481 -174810 39033 -95271 91664 28050 -53368 42855 -295412 -23741 -18771 -54431 72256 -75305 49111 
+-98897 -9182 -26889 -1328 -136029 47358 -158644 110748 -291032 35546 6214 -65631 55889 164551 117169 -208468 -5372 -19226 41939 29221 -190339 1921 46983 -85328 -5652 35509 9817 12673 19437 211396 59428 -17744 -79225 -215536 77464 196359 -93872 -10215 -21604 -23665 -166691 -138864 181584 120668 -47642 30816 -165527 -40483 -287931 70394 51014 65821 -145563 -41237 63173 -11972 -180969 -8839 79893 13872 54757 41930 -127483 84228 20794 -13101 34355 -110978 -88167 197919 61195 -131072 113555 32770 60580 -131530 100708 -88975 -13259 -12199 78079 -65769 -48469 11182 67824 5284 -111629 -125022 -5029 15535 -31629 71825 -17341 92704 -122130 167327 -65682 107503 -32008 -256485 -19831 -136408 75830 98554 28474 -95305 -116201 91477 -231802 190124 -86537 48201 41983 52737 -4567 -115319 -20405 -157070 125800 31456 19554 -171505 28777 275524 38397 15783 -184901 -117933 -139153 122590 54399 63383 -134908 -20916 34620 -80483 -23024 41164 6997 106301 36346 207016 29736 -99733 3462 14073 -46758 -194673 123413 -36832 33462 31805 16468 -252320 97015 -51075 28047 -57228 17909 -36137 -80399 -217386 -3569 -98459 -125216 134565 -64846 112916 130095 132153 -164607 -146630 -132275 -48488 234118 -52189 -48554 -56753 -71785 -169929 -6672 71561 212326 -215178 -154715 -23615 -36658 66812 -3508 -10146 98335 -16085 -24906 73250 78995 38203 -8411 80845 69244 98536 -36988 -2239 -79568 -16715 231997 42628 -81560 -138436 127984 -74366 142506 143025 69917 -31050 87299 -60769 26690 59241 26872 184084 4548 -151653 -114520 -13692 133718 81075 -15653 -105328 2402 105332 -113822 27826 -81800 85970 -60381 -53584 -27550 -262531 3637 -5956 -55546 8328 20876 40602 
+-76159 -37945 -28865 -77256 -39974 48894 -92146 118450 -85727 25464 -24672 -55541 53469 174673 132117 -172975 -22318 16821 28519 37555 -205328 55796 8100 -62959 -9726 51922 -75988 36108 -47479 118948 1563 33914 -29432 -211850 86761 136050 -88916 3604 -32746 -27699 -30754 -136578 160817 55556 17815 8208 -49628 -54434 -225844 27955 -24596 186328 -76486 -15547 36783 -87997 -76250 -10045 70117 -24208 44015 15181 -183547 140964 37274 -14052 110987 -225450 -85289 51712 115041 -140278 -30319 151293 43682 -85 114385 -36243 30329 -95519 67816 -100384 -71416 547 45935 -185853 -139717 -125094 22786 -4542 -45546 75080 -9282 63910 -47984 171398 -33482 186543 375 -253224 -2649 -104683 69571 131326 68071 -94082 -116645 117114 -214249 131083 -109013 59069 120707 112697 -4860 -88296 -20583 -193218 3316 -53022 97108 -201351 -22419 279776 -52741 -12371 -188693 -131607 -156227 90135 105196 61711 -159279 13678 62132 -88676 -56077 53758 -3624 97974 33744 236033 82945 -11546 5057 -42784 -209563 -109591 7965 -86869 -65898 42585 45720 -198283 114352 -42197 -34926 -55743 31684 40404 -91191 -236341 73705 -10782 -139553 38611 -150802 80612 69584 110626 -182123 -84488 5240 -141710 223539 -29181 -56867 -130002 -78899 -194561 -33321 22922 126741 -106397 -138774 -4085 11075 148391 -40237 -23319 195441 -15286 -89007 40563 124877 42039 40357 44301 63468 147900 -17741 -8808 -80797 15227 134280 8317 -41253 -17541 102419 1380 119050 180798 46664 -60090 87629 -55689 21208 3293 10665 126536 -158691 -160399 -72926 108526 114384 56049 -99430 -98964 3172 107219 -8278 10213 -70764 76112 -136825 -88712 -40210 -230295 32422 -28029 -118646 -94915 94142 41566 
+-75103 -106362 -12898 -26640 25520 -5277 71462 123615 65292 31372 -21926 -44788 47944 104349 78910 -112131 -6749 51176 66239 51566 -198770 76857 -30449 -91125 -1572 86233 -83691 36227 -73477 6105 -88640 93676 -14397 -210052 52774 -19019 -82865 -17001 -113299 -46780 24044 -124672 85589 10821 24526 -4235 53264 -33793 -193265 21663 -17604 213700 1011 -47370 2308 -113539 46190 -15923 66850 -44438 26812 29003 -117251 146668 57171 61578 167562 -142819 -68036 -83716 119540 -116531 -128765 212128 60747 102820 95212 41463 50770 -145081 37928 -75293 -14472 -28082 30237 -240801 -94584 -128708 39039 12935 9098 77383 -979 21364 38298 163104 29455 156141 -23414 -167228 12540 -66102 85735 96732 93073 -86981 -115012 40865 -45227 37477 -128041 68796 -33205 162161 -7402 -130034 -20632 -196941 -75121 -18290 158932 -203140 15468 279635 -66026 -17801 -188073 -108541 -84383 25101 133455 55538 -173814 1466 66240 -60361 2728 54130 -12127 80534 31373 210282 196753 24073 20584 -96277 -181225 76244 -90356 -113763 -138807 8448 68563 -4778 110291 -74967 -71969 -90596 62531 90479 -87239 -234895 157837 11096 -127794 -48624 -205577 90281 -9926 74655 -222476 -35239 118459 -57083 217575 -34695 -50028 -195351 -51235 -128354 18185 3518 77756 57495 -149073 10462 -17799 100518 -5907 -25451 113375 -8558 -76031 9786 115601 43229 8800 27437 48312 194046 38095 -32958 -37428 121788 24211 47989 21261 64751 65330 54051 23486 132766 -4581 -55418 72603 -64903 25635 -36778 21190 50579 -196640 -149155 -65190 196802 141686 59974 -90402 28797 -14319 100735 88 26495 -66141 79537 -104641 -116727 6298 -182578 61810 -51153 -121340 -138426 110750 30885 
+-78282 -175031 -26533 62176 -21571 12607 118941 141046 114558 28285 18908 -33684 44460 12844 65746 -79589 15246 70877 51352 31411 -181734 102656 -54322 -90016 -3192 101459 33546 75446 -111831 -75569 -174804 84891 -1851 -188732 55031 -127197 -64080 10129 -103709 3895 -45737 -95261 1075 -606 -63986 10532 -14154 -14668 -141291 -21107 -11726 35168 97877 -36022 -78450 -112047 90707 -31330 61570 -29141 16389 52369 28320 80532 70766 87466 116731 24963 -66921 21357 124631 -65943 -144027 147573 82267 -14494 105718 108424 96727 -177228 3498 -47874 92652 -35374 31112 -130018 -3698 -140197 5471 13096 52374 79360 -2636 -20766 75102 196225 14188 40653 -33402 -52743 22953 -34450 81379 7758 98845 -51656 -111493 -92285 108547 -22159 -199603 86804 -209603 194145 -17711 -106593 -22898 -180160 -5741 115657 242859 -255316 -1700 256088 60921 -26757 -185824 -147369 -29446 -98087 84302 56611 -177219 5350 38888 -45391 99750 5388 -36362 61232 31059 113545 180665 28841 45919 -107527 5158 181643 -65460 -141443 -173745 10766 77373 68581 111363 -77017 -75728 -92045 62841 154911 -49960 -261320 168728 -37489 -135266 -53616 -248477 74837 -88928 42824 -220112 10133 112184 19022 190984 -15094 -49614 -207559 -43726 -64678 21277 39965 -63786 90518 -142940 49949 -35309 20136 -16680 -14915 -10449 8353 -107737 22757 91187 56835 -72333 43036 31721 226328 61199 -37105 -28811 157171 -32672 136520 68806 -32299 18529 88713 -61624 34553 -71336 -37560 43383 -85822 34954 -51777 34619 -25986 -85358 -112595 -39430 191991 93168 101509 -16679 177330 -7957 89404 -125943 26940 -42320 72966 -11289 -126082 64372 -129627 38029 -48365 -44575 -36089 21765 28055 
+-69830 -92465 -27470 186962 -100885 -3329 -12889 141540 -63562 18221 32279 -42146 47191 -108741 -24372 -13498 73410 68211 59057 5284 -168328 127594 -45098 -104605 -2449 101764 123594 28740 -101884 -99173 -208726 14501 -16278 -191931 66593 -77300 -62642 27666 -133559 -6969 -157238 -78884 -65823 23100 -120356 32288 -157510 -18882 -39526 -44061 -57836 -66163 159906 -68389 -150102 -39814 38336 -2683 55197 9289 16498 35724 137688 12961 73634 33188 24025 77314 -72593 199029 124120 -1811 -35006 28298 72406 -176063 108150 125323 127329 -182973 -28512 -33202 169740 -35937 69521 49233 81946 -123133 -13820 26363 33711 83228 -9628 -78109 44684 187712 29055 -69379 -92234 61894 6110 -71441 57403 -21636 83038 -14048 -105754 -166017 87102 -27344 -170276 81612 -143440 206153 6875 -113214 -19530 -145435 118308 250339 270388 -214803 31787 217567 144368 -17887 -175947 -124716 -72527 -132825 43595 49601 -169745 2649 29983 -51429 211153 -37244 -37914 17412 33233 29315 200760 -62344 70606 -111292 117877 23460 45529 -145762 -178455 36644 87583 108060 108226 -81233 -19128 -86627 87375 177288 -3221 -249968 94381 -136516 -121499 18270 -253101 44616 -153825 37870 -220169 69497 77967 50986 181476 14846 -53379 -184480 -34052 -46702 -12146 20905 -51382 -20480 -143899 25782 -80449 -63302 -3789 6537 -58434 2797 -77582 41935 42895 83606 -140816 31590 25779 235416 126347 -70299 -1509 161067 33138 144253 84337 -128609 -1510 128670 -187782 -87491 -112200 -49107 5405 -90723 4009 -45751 9233 -78680 86918 -76000 30318 65998 95674 116565 33330 271864 -13082 75084 -174127 32253 -24749 90419 77106 -98122 60352 -85788 63878 -49183 -31449 51568 -80579 -18413 
+-84146 -21473 -19614 126931 -153326 -30048 -160263 116375 -214034 -238 32987 -58190 51723 -174072 -49061 34776 124168 58263 36976 25327 -136352 132094 -46757 -87581 -954 100358 73070 20353 -104180 -43656 -230855 -28653 -88213 -167793 70906 98306 -51774 -11919 -81512 -44022 -197577 -55433 -81882 80313 -109852 31251 -199545 -5341 11996 -27864 -58503 -44358 233664 -22967 -191051 13921 -68911 -40675 50006 41535 31339 23582 132719 4134 61248 -10729 -47504 -76203 -62239 218605 56258 35365 81992 -26208 61965 -154913 86322 123783 97759 -167496 -69390 -3835 159828 -40056 97943 84512 55640 -173044 -34929 4214 -19329 83101 -6066 -125986 -37641 183210 33366 -86472 -102388 112498 -38551 -131943 53891 9447 48231 37650 -98374 -129706 -85277 28149 -165620 80042 46626 204202 -21366 -94838 -25096 -99695 127892 213015 276355 -223575 47977 208573 81420 -51254 -154632 -124174 -144069 -142996 -30981 46589 -151160 1089 78011 -62503 238840 -59290 -16799 26233 35890 -41480 221490 -135792 87128 -94030 36428 -192964 170059 -97320 -134220 -21258 87583 -47340 121670 -24381 -11254 -86460 62007 114584 35421 -254322 14878 -183739 -131919 123731 -210734 58457 -168151 62113 -199345 94260 -91575 5 168288 -17929 -51733 -132230 -12405 28141 1427 51513 -81576 -168743 -143545 -3166 -111322 -59956 -28128 32170 52775 -6650 6862 41311 14579 69932 -136538 -10814 44781 221847 147283 -88899 38532 129333 173890 126320 139086 -201223 -33622 115162 -199309 -178456 -120920 -25589 -35743 -80446 34305 -12558 10425 -107344 136244 -52296 81133 -61937 90516 131120 33528 180750 -21158 65545 -148847 14804 9002 81821 -28413 -57023 -25968 -48026 54144 -50089 -56262 11653 -102101 -5623 
+-95158 -24665 -8789 1991 -112990 -11044 -113420 102699 -248479 17665 -28105 -66465 54046 -180581 -173185 54336 127489 24930 44101 54930 -109040 138423 -28662 -92548 3023 82700 -4980 17963 -79654 67635 -197595 -64132 -64334 -154967 81559 172051 -54496 18616 -25806 -48092 -112543 -34544 -45560 146219 -57915 8870 -92674 23858 108955 -67594 -38329 88750 202544 -22014 -243586 25241 -195365 -6142 44603 22665 40360 37569 755 82778 42150 -2145 90378 -223200 -66005 65974 54711 58018 203803 25479 66007 -8026 28590 65057 106977 -111866 -109609 55389 78971 -29117 90842 -70398 22565 -175974 -31874 42247 -66094 83518 -8817 -152540 -112879 188063 10945 2165 -103073 82470 -19994 -145372 46375 95149 6514 65621 -89426 -8439 -235106 127388 -126948 108208 105373 174239 -478 -102007 -26634 -42570 18143 54974 238162 -199268 8422 136057 -60086 -84846 -141070 -108905 -150393 -71036 -88289 56813 -122956 -4556 20609 -53439 235696 -24227 -3539 82334 37173 -48557 178340 -161100 88148 -55130 -165510 -156882 226364 -68041 -98034 -32814 63856 -214413 107222 -23064 51706 -83005 107547 40802 55657 -230529 -3669 -200090 -121013 54045 -151143 51954 -152036 93152 -159979 95335 -148513 -71717 154103 7321 -54178 -47561 7988 35411 -33438 41846 3547 -170910 -129005 2429 -102749 20618 -6677 52863 157184 6450 19994 51451 6630 67329 -92831 4751 31497 179247 213705 -108644 66668 78030 233713 132980 180664 -69722 -88072 59872 -76388 -208718 -89913 -37491 -73958 -63307 32939 41058 -7136 -84281 8246 -32287 116001 -127732 102022 165546 -44843 28486 -27268 62044 -59371 -18888 53873 87918 -126522 -47399 -51750 24121 12023 -49643 -61066 -121346 -28915 -21921 
+-103465 -111624 7495 -75849 -39438 -30021 64276 70635 -138228 18789 -27472 -63351 55910 -120939 -228097 82809 126582 -18954 -14643 43077 -83720 134092 17213 -71405 -1272 59872 -121905 23053 -45721 177940 -156896 11765 -22940 -119606 63115 171541 -54816 7772 14122 -49691 964 -55731 42223 171777 24800 -4272 24128 47734 126024 -48822 20398 196320 157782 -34840 -243708 -20452 -218333 -8270 42885 -16952 58669 3183 -132284 138824 24921 32341 152128 -173581 -68172 -67305 1661 24678 239393 151718 60094 136018 41436 -9628 48601 -46658 -157161 22639 -20436 -5404 78108 -230307 -66827 -190943 -13744 39840 -38015 85398 -3360 -173681 -136361 185766 -18135 127442 -110454 -13446 -36493 -125980 54354 150119 -35564 108124 -78315 103238 -209671 193989 -133366 75171 -25737 131993 -8878 -88912 -28242 -6427 -71106 -58744 178272 -162606 -4786 116370 -117033 -69160 -111426 -90784 -94798 14696 -84991 62039 -88012 -15463 62224 -27855 112492 9400 5689 77738 35755 8017 106959 -182460 75307 2716 -209781 42102 101638 -57745 -14911 -57689 40559 -176945 103242 -16899 103860 -50479 80245 -14011 42617 -225460 64467 -132378 -101311 -40801 -54897 20616 -83292 124586 -122095 39683 -147088 -111974 175271 -29448 -54819 32297 34271 -14790 6301 50599 72348 -28444 -122596 884 -63388 88558 -9124 65368 170337 -388 77120 18613 63447 76739 -16324 -21046 60949 135241 235616 -149649 73823 -13445 173986 119216 211232 37893 -88449 5366 38788 -180239 -33905 -32893 -103163 -53921 16407 106252 23518 -37187 -143351 -44834 137967 -47615 118083 118504 -91900 -91739 -29543 64592 -31731 -16557 81279 65585 -130739 -60147 2508 59659 -21827 -38484 -108802 -110559 72909 -29747 
+-90128 -155581 -1784 -44668 -21233 -32156 143966 36298 56045 -154 19794 -45376 57320 -22467 -243079 100318 39554 -68605 6201 52978 -25890 131989 26953 -78211 1318 39511 -78847 5468 -10961 261116 -90768 44245 20188 -100961 67345 -7790 -57850 24849 42031 -39379 14690 -101006 108267 197710 29020 9846 43378 60238 100382 -34402 52004 133093 89745 -52902 -219400 -77904 -116554 -4979 39460 -43648 67144 23050 -188251 132114 15292 58915 144052 -11694 -82680 3033 -1772 -32370 141912 212015 63013 14849 36016 -65169 40295 25804 -182607 10865 -86221 13784 19364 -214815 -117031 -128494 6432 24230 -26226 81806 -854 -189362 -86920 199333 -47787 189825 -88891 -147166 22533 -95600 34409 101906 -64856 149188 -65856 117745 -35258 195082 -95180 78715 -189111 76695 -17412 -127396 -33058 16603 -13614 -24180 78590 -121153 -14783 60457 28428 -56721 -89837 -67220 -57309 112702 -61384 54001 -47386 -25078 48943 -33243 27606 38452 -22405 144048 33026 100323 112574 -125412 51492 71073 -69394 185908 -37780 -48494 77012 -74532 20202 -50439 103917 -10469 99389 -85358 48884 18783 9844 -235742 152352 -48857 -75374 -72616 30580 -31323 1273 139774 -72346 -12004 -78691 -61735 147430 -42072 -54914 75114 48492 -55226 14546 58009 154164 80540 -136433 31309 -7983 163500 -11899 67872 23765 3492 69142 13470 85339 80507 28774 -47359 49371 82700 247622 -140587 95334 -89307 37670 85243 215003 28208 -114182 -54093 149097 -62260 36256 -33641 -119654 -65730 26265 168030 40909 43018 -215199 -76988 123875 84120 92502 53486 -85839 -101763 -43897 74646 -71219 -24619 105694 100502 -2502 -112801 57800 107751 -19659 -60972 -98512 2509 109445 -57984 
+-73001 -117606 -53777 86236 -47604 -15231 16458 26454 80855 35064 33377 -42671 56172 87906 -300211 91098 3635 -103264 22499 16210 6646 110417 36432 -134804 5491 16803 20243 13832 38822 251007 3018 113709 -3675 -79896 59308 -111122 -73443 6413 84922 -10351 -106352 -131108 185240 157055 -27762 31890 -96227 64026 68501 -46611 117781 15280 -12820 -54680 -182962 -122542 -1232 -8850 41383 -40750 53008 24703 -97278 83906 20694 72022 30759 80640 -88196 175753 17124 -89892 25925 153773 69247 -201097 62593 -107094 12303 91595 -199213 -57972 -44816 21395 35525 -36912 -120692 -127860 29443 9356 36480 78409 -6737 -186851 21045 195988 -80042 140333 -66989 -234767 -8607 -59268 47465 14731 -75543 194238 -51849 26038 111538 137308 -46453 61830 -132770 16341 382 -125117 -36472 11437 115098 118258 -12276 -86410 3555 55260 158368 -29626 -67244 -58858 -42026 103922 24329 72550 -4645 -57529 53729 -43181 -69142 51559 -15191 130097 30994 184483 18726 -41732 24659 126421 98677 80551 -104764 -42779 33831 -109086 11046 123550 94937 -31289 97348 -64422 97195 79553 -30437 -218645 169851 28852 -88481 30990 95431 -1406 71999 107446 -51184 -95522 64064 47488 111234 -42982 -54504 91945 72274 -93882 19446 59351 194153 56570 -108096 40403 269 87918 20704 49782 -51855 1813 80614 60735 124012 83634 -5568 -98022 16064 31929 239540 -123700 87350 -130072 -47252 52516 210818 -76041 -125123 -66082 144042 48324 74974 -47614 -120338 -81104 10608 215881 75370 118868 -81622 -117070 113485 209373 49568 37356 -5903 46808 -41133 89072 -166998 2961 124036 75040 16723 -131665 62177 81228 -49515 -40383 -26643 79301 73695 -53265 
+-78410 -48929 -29618 199597 -126929 6199 -161235 3016 -52248 -13100 39881 -46436 51026 164389 -257655 43114 -15923 -162859 31536 8373 48580 90326 9124 -93525 -2372 -788 102712 -4500 117427 178838 54591 53916 -15783 -50787 64698 -147085 -85917 21533 60954 -35856 -197834 -135295 178429 108239 -104012 31692 -178090 65614 -9083 -11498 105753 -111360 -90818 -65331 -124551 -99239 84537 -35410 40891 -2351 29042 57779 47343 20308 36603 -8448 -17312 -31595 -101044 220055 27179 -131600 -106682 28837 82885 -185321 54742 -76010 -50440 150310 -220925 -52720 48668 13470 33308 71585 -70355 -113909 5732 51296 18307 78673 4752 -162177 74602 188872 -89395 18104 -28767 -257387 -23725 -50086 39736 -31552 -62826 206282 -36748 -101062 80828 53535 -23617 66479 53527 -37397 -20422 -105087 -45692 -8359 144014 215555 -49592 -46027 -18613 -20704 112843 -5563 -38824 -75475 -87472 60863 80674 56722 37485 -61848 44306 -41659 -44571 14539 1764 186296 31359 234966 -54201 26906 6587 174707 88544 -142768 -8150 -75214 32976 -128559 -14545 84018 92925 -62200 90988 -82087 36115 127222 -73280 -234615 98689 9867 -79554 152244 127697 2092 132837 62381 -414 -116355 137086 70313 69846 -31325 -61463 63513 69694 -152361 8867 33762 181731 -117915 -90060 4229 -5250 16624 5890 30739 5440 -15897 45373 75323 131194 80908 -45691 -107862 56886 -17485 228494 -80827 89392 -170206 1889 -762 165054 -165690 -127875 -42229 58198 108537 69202 -39646 -104938 -91466 39816 246549 8956 186628 84638 -144479 58570 207525 86720 34206 30276 204565 -58050 100397 -181064 5151 144270 67313 -7268 -113490 -1479 113772 -21924 -62239 -51671 25048 -25890 -81290 
+-93716 -9809 -26247 120707 -163301 -4213 -124857 -3732 -238823 11600 8171 -43988 45610 167256 -295571 9645 -39489 -194747 32295 29244 56533 54251 -24357 -101900 1713 -43572 84483 -13228 152361 66446 113382 2067 -62201 -22378 66845 25317 -96296 52465 20906 -8345 -157353 -123674 136109 35756 -88422 9555 -153336 46510 -107720 10910 116583 -4440 -143814 -84071 -59380 -49956 67596 -3616 40733 37580 19739 39851 144136 6593 56933 -24620 47161 -195820 -107452 71017 75167 -139783 -134076 -30928 78463 -17747 61151 -26896 -42218 185997 -225536 -94695 145601 51 67140 26613 38476 -112112 -27324 23261 -28190 72185 13018 -112491 59830 205330 -83397 -80653 -16524 -195022 -20171 -103146 59442 2824 -33605 227933 -20555 -164421 -95455 -23648 13603 42790 125380 -86560 -24726 -72783 -45348 -52596 10115 222132 -94193 -13658 12978 -38496 -25346 22091 -21434 -116241 -120876 -46947 124667 64565 75778 -87856 54363 -35791 75892 -15258 -10581 129253 33837 216553 -31926 29135 1846 205246 -85697 -193403 64299 -105998 -54353 -134549 -26863 -82582 91256 -92399 21909 -60432 74639 177181 -92599 -229351 13976 -90517 -33821 76547 143819 -10691 145359 42563 39263 -143612 121720 -24422 32561 -15815 -59141 14167 99281 -169141 -36652 65962 113121 -202835 -84658 -21281 -45449 -61591 -31603 8637 148863 2154 12622 56639 88316 110430 -123292 -116393 76921 -32944 227515 -29519 68595 -209194 134831 36607 176959 -128495 -114842 28275 -18091 189575 26990 -51623 -76768 -79965 31882 245775 35861 215494 121594 -159720 46735 91709 65688 87958 15727 239770 -54383 107083 -74063 -25912 178127 94211 -66630 -78947 -63431 78014 -36434 -44587 -22555 -65346 -74921 -61110 
+-88826 -74321 -7116 40010 -116559 7173 48286 -19265 -247139 -3475 -13043 -59214 45673 122534 -226070 -50090 36122 -218249 41532 47324 70953 30099 -34799 -74628 4265 -63882 -17045 -21419 164449 -45624 114906 -43853 -22708 6686 93031 162755 -102405 60007 -34301 -2382 -37581 -122880 61333 3375 -31322 -4278 -11718 42243 -170729 43318 125447 118062 -158166 -64030 17465 23429 -23113 -13749 43731 33963 13850 46772 103389 89753 71282 25290 159341 -186586 -128941 -68728 119474 -112441 -98945 29883 65087 117496 114286 61399 -70128 174378 -217317 -83153 174748 -26445 38015 -136470 95462 -115742 -32818 46305 -51972 73606 17151 -102896 -3475 191924 -91387 -77838 -35909 -59963 7990 -152306 55578 89444 8688 210782 -3488 -118314 -238945 -36823 19601 62265 -26606 -123240 -17369 -68093 -43362 -106671 -73324 74515 -84879 68965 12683 -87386 -102412 7389 1142 -46785 -136997 -108131 122063 60665 108137 -101914 69078 -5502 150317 -44592 -710 125256 36372 148052 -72030 -12797 12451 217339 -220664 -11449 173512 -114557 -101491 -139683 -33929 -203956 77957 -83132 -5275 -90947 -5413 124958 -84874 -197870 -7207 -171885 -20197 -9996 97628 -13496 118977 26215 68381 -136643 2838 -122190 36422 -20934 -57267 -84300 106000 -175694 -15648 43823 5784 -116151 -81249 22573 -92493 -30020 15512 -11975 198590 -20849 -61264 45778 62600 97034 -135049 -136931 109151 -46916 194556 4176 36458 -169717 235648 23559 110236 8396 -81846 108258 -101938 145723 -30928 -46005 -39091 -62358 15915 230293 18849 219089 16506 -156341 -59449 -7345 34954 125317 -57672 203859 -51859 107348 -1500 -2617 163684 98307 -121268 -52156 -8533 54972 -26896 -10315 -85531 -154026 -94543 -66131 
+-95161 -152851 -22138 -61948 -36406 27923 122559 -9009 -111966 20907 -3744 -61594 47688 16437 -126586 -105499 88527 -230637 80180 66331 88579 -11765 -49443 -98625 3382 -83648 -115755 -26819 159205 -93714 102163 -9672 -36990 23482 73097 149245 -96449 36801 -69428 -22223 31554 -59342 -32898 10132 6592 9171 46467 10057 -245506 65060 130360 197004 -128787 -25764 62075 34118 -145661 -28854 45444 -1920 18965 27893 -15532 139141 74703 60931 150494 -34037 -141257 6939 129838 -62480 45897 150303 57191 34645 124294 101023 -15375 153106 -210756 -53802 119819 -52330 101063 -249554 94891 -93463 -19145 43469 -37915 74158 12138 -26079 -91138 194645 -61193 24363 -70622 57226 1276 -151340 33092 138390 50783 188408 14364 12635 -204306 -5763 68907 58704 -194671 -125849 -2993 -93094 -42775 -149302 -15545 -29148 -66591 68285 21741 -112067 33358 -18467 8853 -57504 -63307 -137021 62421 49468 131584 -130939 45519 -37542 240684 -44283 -5618 87220 36991 50351 -26127 -113409 34315 210252 -139101 193290 168017 -122966 -174372 -146347 -35513 -186458 83858 -57168 -52134 -94469 6351 63405 -52943 -175460 62034 -211322 -31245 -69949 36421 -51422 47929 64738 49470 -91343 -110897 -103419 10612 14876 -62629 -151936 96361 -177375 19835 57759 -61096 41662 -66035 -15223 -104785 55247 15521 -23092 91981 -1854 -50049 66962 13891 94678 -97310 -130143 85244 -22371 146739 157 31372 -117215 203773 48759 79430 43403 -99421 135336 -206659 43561 -83776 -38394 2275 -53834 45014 180239 9825 181709 -151249 -125955 -96964 -93535 18848 144776 -98982 13147 -57305 98141 -19101 11357 172379 76217 -63329 -55519 53572 13084 10118 -17999 -104438 -10410 -13927 -84751 
+-106666 -125519 -17716 -34833 -13589 45728 14839 -5681 48476 2089 7730 -55445 53339 -105030 -65359 -144144 140229 -220243 50338 40830 92591 -41177 -38305 -67866 7963 -90367 -43043 194 123711 -65614 37120 25310 -17451 55395 82194 84309 -86056 14929 -104830 -56300 -38856 -41867 -85816 39199 25612 31455 -39685 11644 -244248 80247 43187 102170 -67232 -41040 52664 -3926 -199335 -35984 48696 -45946 38432 36268 -161182 146419 62925 53174 57812 89129 -126975 166168 110539 -5005 190020 206071 60080 -155043 110698 127796 10149 97692 -195892 -11602 9970 -51185 17843 -155290 14297 -60593 -9756 52765 -11990 72085 21366 21555 -129599 208636 -25793 145182 -83153 107942 35942 -121907 57159 102642 82533 148958 32728 111156 -25802 91736 57994 78178 -171447 -115190 -8951 -49148 -44207 -183738 104250 -35216 8473 114585 -7702 -106149 159256 -21238 14544 -60024 -49679 -50407 5849 51694 145009 -127699 33344 -39540 252586 -16899 -13881 37717 35200 -26763 -14844 -180483 60869 188103 48453 113932 69040 -131125 -207184 -182089 -31045 -23704 68561 9133 -89726 -73971 41424 -10025 506 -160526 149698 -148646 4704 -44169 -44014 -14132 -28697 96152 60180 -23056 -175993 -17843 3104 -25411 -60161 -213170 101218 -131615 30368 34412 -101706 91492 -61675 46218 -93823 150532 25412 -21931 -49974 -12300 -92456 76498 11761 107535 2259 -178948 106304 10378 97189 -8269 -1464 -62232 71479 70784 63470 -27027 -97150 132644 -123613 -47116 -124175 -41409 40093 -65645 27149 122839 36237 119909 -200576 -89064 -143646 -50390 14928 129031 -53745 -97080 -51801 86110 -109510 -6419 161159 96097 -5130 -82599 70018 -36153 29094 -41659 -92773 84539 114609 -69843 
+-74543 -35435 7982 90430 -64355 64175 -150935 20319 87713 8950 31365 -47869 56951 -171807 336 -191272 118135 -190296 35689 12044 82008 -87767 -14643 -103273 5773 -87990 31847 -30570 111008 4261 -28477 112744 -32486 73573 46906 -79402 -73239 30831 -106694 -39904 -154755 -57870 -79321 105977 -53560 32098 -177952 -17208 -222415 98617 30765 -42789 21490 -43605 37842 -83954 -174749 -14590 49813 -44809 53712 36563 -182176 71864 41946 1908 -26373 -2819 -125545 219670 111834 42456 245706 149256 82110 -172254 123679 109629 42575 46370 -149300 18416 -69985 -41680 33305 23336 -98988 -81805 9824 39223 36695 76927 23695 49336 -112542 203685 -25589 189255 -110493 97108 -9358 -64053 65495 24260 99292 130221 51539 106405 113741 175421 60846 67158 59520 -87322 -16786 -57623 -39453 -198411 134528 93043 90232 80818 -6019 -88431 125344 -23398 15755 -76417 -70157 10818 -31999 39452 147960 -155034 32422 -35354 161735 34100 -9854 17935 32349 -51989 37912 -169426 82004 116461 118799 -107518 -41406 -90300 -158494 -180903 -2977 112620 80090 -9409 -36402 -65428 80946 -10134 33231 -166040 172173 -81626 -41529 78368 -128662 -25159 -108451 125570 28324 29295 -161607 28475 12829 18348 -56529 -208002 82538 -16140 -10499 43939 -41794 -24364 -56370 36150 -68421 135673 27965 -10553 -44228 -13679 -31606 118314 45461 103211 37264 -177382 80316 69698 71751 26268 -20018 -13958 -19120 97188 -10503 -150520 -50854 82815 -17666 -128166 -113919 -25908 70038 -82952 11532 59179 41865 47553 -82394 -62454 -94604 64662 31786 94478 20312 -123576 -52397 72716 -217025 -8317 172671 82087 14199 -114082 -3024 -106586 26472 -42628 -88965 21614 102950 -96547 
+-83870 -24910 -39943 172970 -137516 68643 -127444 56705 -46937 -7126 28489 -36318 57684 -182604 72471 -222390 84539 -157138 34606 4189 52811 -118550 11707 -93313 8513 -78600 109111 5806 79850 116403 -117579 64085 -51069 77323 58035 -140313 -50653 782 -110993 -45764 -207041 -43567 -25935 173072 -113022 10218 -178205 -44516 -185970 105430 13147 -72519 126974 -56873 -38830 -126649 -56879 16930 57078 -4850 52478 51053 -84357 3046 24780 -28103 -8846 -176872 -125058 81293 51714 51310 183627 26951 81787 -25186 115407 62511 81495 -33786 -119841 43216 -67533 -24351 10214 99202 -139498 -52530 15923 17126 33989 75759 32314 74941 -26327 199876 24790 122074 -138620 -6298 -14560 -48617 64912 -33422 93495 76791 70204 8004 74341 208713 48722 92758 112467 -38396 -16086 -38569 -37001 -179526 17457 217809 169311 80140 7309 -75143 5988 -61577 14385 -89512 -137699 84121 -72919 49019 139277 -156475 69526 -57049 67719 62472 -23034 71645 30886 -10370 80778 -126780 89154 65736 -19062 -217252 -120169 -48163 -87082 -192617 14403 128845 73066 -16594 -12091 -54541 62270 76527 57939 -130778 110427 -38698 -17321 118962 -205539 -24583 -161355 124103 -6911 95945 -28517 -3283 -49027 -15026 -54906 -183676 66097 11293 -21545 86033 12173 -179235 -44612 7006 -12113 43197 41643 6609 85502 -14132 -34939 104057 94540 112801 11729 -192491 93064 126666 18474 5803 -51633 64377 -5428 181648 -24855 -167496 -17780 10508 108002 -188216 -70974 -35442 86819 -94988 13392 5707 51194 -31032 68228 -39637 -94195 152857 -16844 53875 54918 9802 -53977 62857 -157956 8897 141923 69985 -174 -127049 -50684 -142232 38640 -38394 -36491 -54395 39233 -70109 
+-81997 -61102 -32765 144378 -147916 44521 35722 63227 -247387 16689 -5731 -44480 55664 -129070 117035 -221289 51078 -118743 2036 19880 38119 -120540 42684 -102707 15841 -61110 82439 -55492 26469 221069 -193168 -9103 -31097 82203 75505 -32418 -48510 10565 -32837 26913 -107137 -101299 67305 188379 -112051 -4259 -72869 -21051 -96922 126777 -34402 7724 182722 -47008 -82950 -80681 51510 -39620 56593 21031 39548 31901 60084 39635 16283 20605 108607 -221337 -116033 -70121 48558 26929 56636 -33177 87413 102759 91926 -16719 110235 -117266 -82226 26425 6819 535 40108 -50063 -112811 -37560 17986 19470 -57843 78672 34185 91182 41316 181253 10150 -3630 -81603 -124574 17855 -72059 53904 5028 67157 20017 88786 -120156 -103959 154246 66863 85366 -33512 21266 -12390 -83760 -44429 -151716 -74977 232909 240870 45553 25339 -35442 -91322 -42359 -3912 -116952 -114972 124176 -94252 61710 119783 -165881 64779 -47699 -32192 58122 5038 79308 31805 75579 124824 -44648 81782 -3005 -198275 -66868 -15130 -46695 -9893 -183513 49367 -45405 89766 -54315 29629 -67556 81389 160748 48900 -104451 15472 -9420 -25772 4752 -240213 -1789 -168293 100532 -46370 100788 70510 -90352 -21918 -20950 -57517 -130840 56536 14812 870 46410 104260 -175770 -27037 -4563 12604 -25337 70883 32405 186688 -8461 14856 133475 138513 103980 -39297 -190877 105199 178162 -16762 -40311 -53948 139521 105399 155464 -74421 -72639 24541 -39405 123205 -193953 -2842 -51146 87638 -80729 42375 -36087 27621 -82371 140622 -39327 -61874 202003 1428 52323 -170 148908 -44530 61610 -44547 1351 128199 63537 -135290 -94162 -731 -215693 49877 -18601 -50664 -98803 -52687 -46795 
+-102708 -149858 -18297 24567 -134237 49663 140333 98768 -273206 4428 -10457 -48328 53515 -32266 101319 -239067 9908 -50796 21030 39051 -8082 -149951 55233 -130323 9881 -32997 -1347 -16625 -41219 256485 -227844 -59769 -52325 105630 74832 113874 -56957 -3797 -11158 2781 1306 -96721 151150 186331 -43867 8518 28449 -20961 -32551 149630 -44697 147580 208796 -36847 -166270 -49570 91053 -52819 64747 32502 31120 59390 144996 87677 19363 68799 142531 -73819 -124578 -37447 18713 -22770 -75630 25391 76195 69833 92684 -75852 111338 -154716 -44020 10807 119874 11830 38762 -219836 -44399 -40694 -24758 45538 -36325 80545 40798 62293 72021 204137 13130 -88516 -55804 -242752 -25101 -114939 59921 74217 27070 -4964 106888 -162862 -239611 77797 42717 94250 -202933 74819 -33888 -43732 -31841 -104335 -27770 73358 270260 26493 35113 -11190 -25072 -29804 -16029 -82794 -83090 80680 -27004 59390 91041 -181483 64860 -70941 -44626 14436 -12582 103006 34553 171253 162350 4724 61195 -54713 -195256 140129 155980 -52474 57302 -208201 59422 -205431 75701 -72627 72042 -82299 121747 180406 14478 -102300 -10947 -87742 -24526 -70331 -251002 16639 -128577 62678 -92420 60391 142192 -108836 -68481 -49089 -55193 -40762 28365 -4803 28095 69435 158592 -42517 -32943 -15261 2413 -51370 50286 54339 151880 -2003 58533 108032 110543 118694 -83748 -172083 81706 216260 -62878 -19656 -86335 160572 215327 133844 -106369 38777 25646 -57717 151611 -95704 49286 -75807 73262 -64047 38761 -52760 50237 -105296 22489 -63408 21076 111952 -36441 81210 -93030 261728 -40151 67090 -29462 13192 87794 49949 -152956 -61483 36977 -251190 47781 -33632 -102728 -64483 -126932 -57228 
+-85840 -138716 -52846 -57798 -17463 45033 28405 132074 -161425 -4635 3584 -59485 49442 76254 34008 -211640 -24204 -15210 76969 54660 -42031 -178415 35210 -73404 10832 7665 -94059 -30979 -65373 231636 -231888 -44868 8944 105038 92447 192691 -40724 -11903 37062 -29360 16105 -133994 178641 138318 23892 30988 -11760 -21662 39375 171140 -85214 158331 199236 -54107 -204383 18063 27124 -13213 68491 -824 17482 17884 99349 135601 34668 52602 93800 74749 -101690 145226 14171 -85438 -156531 155371 49567 -105767 40049 -92888 110197 -185200 -1611 -38879 167552 17511 71419 -235470 71049 -16810 -42457 22811 -61340 81633 51542 63860 23567 180679 16962 -65785 -24718 -270337 -15404 -152670 70670 133376 -16859 -58951 124706 -103999 -197367 -14219 -44014 65824 -153042 136555 -18360 -81909 -32701 -52560 107727 -37510 278310 -1017 -8886 55434 101160 -47335 -35601 -120967 -48232 -25402 65359 56756 55428 -184090 24613 -61389 -13919 -32727 4457 130903 36736 231785 182775 23073 35309 -102596 -20840 166481 184814 -54489 51074 -177482 82070 -199068 82548 -81110 97823 -56694 130668 142164 -36068 -67344 52543 -154675 -38632 -33800 -216769 15245 -68631 37323 -122840 32678 79814 -50431 -110288 -61263 -59205 36489 14134 -35551 15663 54543 177208 102833 -10717 -17672 -65236 -31143 47856 65318 -6588 -18272 71914 122055 78757 84816 -116838 -224550 30399 233623 -63341 -71638 -100746 140065 221214 130800 -100948 16064 74927 -43692 77698 11544 73334 -51992 45178 -55466 9409 -45594 21584 -80021 -149785 -95936 82974 4384 -45367 84872 -102564 208976 -48063 78693 -74449 29571 39185 87372 21832 -49163 64071 -277383 39986 -29178 -110915 55545 -62632 -48721 
+-104798 -60780 -43888 -51135 -14016 24050 -138737 132008 43598 15415 27304 -63193 45865 159451 15643 -168849 -20334 25697 22183 35026 -81985 -186665 -1705 -89096 12845 22002 -60016 -15828 -107838 138685 -184518 49419 -43465 103532 86599 142316 -65362 2126 73744 16230 -102275 -160289 159020 95061 7949 32504 -121704 17464 140027 134859 -26224 88799 147997 -39458 -236612 35008 -91162 -58648 79914 -32611 20478 43756 -30605 133553 57269 48258 22024 25162 -92965 227584 14535 -126016 -120703 211455 59220 -205672 17043 -83841 97560 -174691 30057 -94057 149612 12664 61010 -85777 76638 -63056 -40777 20893 3638 82100 67623 14916 -63809 190120 -12216 46486 -43919 -203091 -11767 -136398 77274 106759 -52638 -79685 141524 23494 -16277 -39778 -53310 72349 33699 174255 -18663 -86410 -30057 -5932 135074 -53784 218872 -50529 35388 82016 144923 -33721 -58059 -128367 -73943 -94336 136307 59280 14703 -172767 58484 -58755 103530 -55857 -28605 134101 36695 228422 199442 -36029 12312 -116666 116263 -30597 156810 -83316 25193 -189452 87874 -31098 92424 -45265 114743 -96042 77491 56892 -72818 -59037 141973 -215076 -52875 54916 -160975 2262 11078 36180 -178189 -31516 -43468 32438 -111837 -28286 -56568 85148 -8987 -93972 13628 41282 166629 52490 -3181 41433 -103651 88895 55510 69036 -76164 -13938 92264 120456 37283 104229 -121014 -226506 72207 228518 -83704 -68979 -106062 79034 105283 86351 -137106 -69777 96533 31413 -59080 98431 67027 -24419 7395 -63198 3002 -14407 5995 -27984 -211440 -131192 84074 -69870 -26872 121968 -37416 58877 -25533 92100 -180632 28044 28217 88370 51016 -69170 9753 -308479 5447 -51638 -102245 35768 40924 -30917 
+-92741 -5398 -30283 90640 -28311 -4482 -143150 141218 123651 9276 36763 -57979 46496 178136 -794 -129385 -6629 58440 38511 5690 -91662 -180783 -36966 -91930 18564 39607 28753 -39517 -122592 15418 -133556 97751 -17841 104328 78959 -24960 -69183 -12420 61690 -39143 -199381 -136609 103914 19943 -81362 10912 -184710 -9829 112685 124246 -33822 -68885 90498 -58126 -269931 -20197 -197711 -13540 84456 -44745 29570 66710 -166140 81465 70436 -13861 -30018 -150787 -88668 60933 16128 -139303 14022 146868 77406 -86049 46935 -3640 41552 -155717 64802 -88711 38822 -12497 38750 82067 92015 -43649 -11859 -3340 30288 81963 64599 -19878 -125299 192771 -53073 160067 -39069 -80152 -6762 -101409 84947 33231 -73050 -93290 157117 114307 117827 -2020 -101507 66661 124461 202500 -8274 -59759 -27673 16246 24501 65219 189871 -77377 34656 119167 23562 8077 -79895 -150701 -113259 -135823 140880 69565 -28359 -157658 45820 -53141 211861 -41570 -24145 149289 34495 170639 190273 -118272 1806 -115250 49580 -191926 11457 -111725 -29790 -203033 85756 115004 76076 -45071 67233 -80510 44512 -2490 -89695 -8999 172231 -189580 -100099 142774 -68424 25156 88396 66430 -199452 -95873 -138808 47126 -125939 -26873 -63123 92275 -31852 -142062 -35420 44500 62002 -117139 -516 28405 -116270 154209 68199 50244 29860 -5837 59233 89349 -628 91690 -31596 -166926 74036 202025 -95141 -113461 -75448 20051 -2833 64161 -116344 -177039 131685 83339 -162341 171499 23370 -47976 -33704 -81927 31917 40631 11106 43260 -92142 -156641 124161 -119477 -45940 130082 32716 -80521 -11158 102329 -168238 27630 -10775 117447 23887 -106991 -58915 -310512 -39511 -45567 -50587 -54010 105635 -11123 
+-96414 -61796 -45365 185859 -154369 -12743 15965 144506 -30663 9198 10397 -43543 52067 131450 -64589 -87420 95529 75199 67506 9016 -150937 -171356 -54833 -95791 16310 77204 88291 -8803 -138713 -68458 -49582 86184 -66220 84967 69027 -150830 -88664 -29230 37149 -40416 -186864 -113380 27041 -7412 -111102 -4208 -113382 51650 109705 101175 36503 -42564 -34560 -76080 -244828 -70393 -205145 -41321 89971 -26918 37285 57416 -182951 -2363 72975 -13048 69376 -219040 -72166 -61104 52705 -112331 134878 25933 98005 94442 71836 60093 -38044 -84411 73817 -93551 -44409 -29541 51081 48844 -20453 -51686 -1779 5271 9761 82886 65881 -113994 -131951 183296 -80708 185333 -51213 36066 -24330 -49638 61981 -26400 -70787 -88481 172210 99480 69960 89600 -136707 77236 -54967 201937 -2678 -54541 -30707 18453 -71353 212124 97053 -138688 -17469 162871 -71204 -3099 -107758 -97085 -167707 -96065 112145 61039 -70220 -142039 30604 -47711 241593 10084 -24244 146108 31824 72892 151923 -171250 6393 -86172 -133262 -104514 -70248 -155954 -117703 -167622 65973 104361 93160 -49147 44682 -82659 24008 -21587 -87092 -18416 120054 -88227 -78734 75964 -610 30238 140848 107917 -211728 -138096 -184849 -22762 -114627 28499 -60117 61395 -36299 -196839 -12053 47215 -21128 -202015 17700 -9820 -147260 109866 79033 32815 142636 -2868 21378 64172 12636 94075 41340 -173064 65075 157170 -59866 -138730 -73666 -45001 -24337 21123 -77086 -113221 128085 124442 -164074 158679 -41651 -10075 -72111 -90695 3883 103871 35717 119442 67175 -152685 135813 -24211 -72396 134558 29551 -118129 -11637 107284 -65516 32332 -34864 77165 -93642 -125807 -41718 -260355 -32793 -45333 -75148 -109711 96048 -25445 
+-69590 -135406 -52970 120877 -174444 -235 136492 152095 -183708 1278 -191 -40853 55454 21085 -153771 -39008 114977 60437 78244 33455 -183409 -165457 -54598 -100226 24021 97302 61827 -13267 -88411 -98667 13054 -16445 -67419 72749 81164 -77692 -82672 -37118 3382 -51795 -33798 -59937 -57282 6777 -89488 7859 2158 10594 45850 86572 44602 37049 -100117 -33187 -173138 -134237 -110486 -28230 98421 17300 47442 32462 -64645 25362 61983 36305 182765 -106608 -64726 -38938 131482 -63763 222553 -27544 74408 64548 67787 103879 -11843 -21300 75210 -71502 -78515 -40419 35948 -115271 -119882 -66924 11901 -8731 -19712 80307 71825 -129310 -53588 182668 -55080 101785 -89316 103608 -29618 -43910 100307 -1407 -51125 -86329 185810 -9698 -112577 174333 -116158 40254 -178714 189668 -26626 -5504 -23184 -4388 -32336 249937 9455 -158278 -12998 220444 -41230 12502 -132730 -130407 -110606 -37079 57512 56166 -108128 -139083 35896 -55177 201802 56113 12768 108511 30915 -10696 141929 -172591 25783 -39776 -221217 83980 -106300 -135743 -189756 -182854 55059 -57145 91293 -2045 2566 -102087 13723 39952 -53115 14238 21268 -18828 -79084 -67363 82298 34984 138320 124862 -223387 -139545 -125912 -105386 -141145 9818 -65408 -8853 -62162 -181367 9025 53000 -50179 -112287 -1728 -17461 -49759 -4543 82674 3179 195993 5707 -23636 75989 56890 80739 25374 -179215 56407 102796 -55883 -132268 -44985 -112212 53586 28498 -44464 -31220 155646 122916 -129735 82555 -96285 -41706 -101778 -83095 25202 163037 41996 190295 130855 -139371 126773 172198 -68049 109024 -39673 -4761 -2688 104521 -17345 41180 -53523 73242 -146597 -110415 42800 -249008 -75916 -26016 -57305 -47924 9533 -6180 
+-100182 -138379 26975 335 -87821 -4162 47131 109006 -236276 -46544 -28819 -43735 56940 -90482 -217775 16372 109992 44285 81212 54187 -192844 -138741 -42113 -79188 20104 95963 -53639 -10937 -71020 -45439 94984 -33631 -20997 47170 86308 65404 -90774 -51385 -47940 -55680 33414 -33745 -75152 52278 -44086 30586 40598 77740 -13391 64074 134033 181481 -144655 -55384 -101146 -101373 18109 -28474 102923 35283 63002 11230 78530 95368 44589 61437 113345 62124 -68062 144833 118336 3489 207318 28816 49361 -84244 91780 125434 -42721 62902 76442 -9889 -24629 -44613 12228 -245525 -127449 -73708 34915 20056 -57883 77279 91350 -148169 38054 183921 -95971 -23963 -88135 102612 -15865 -103777 84742 75228 -13803 -61160 197644 -120983 -243298 191048 -195157 41903 -156818 159398 -7324 -69259 -19205 -45138 87271 116544 -50587 -192814 10393 267083 81355 17392 -153159 -133165 -68163 55889 -21912 48852 -139617 -109127 37184 -85519 117739 57819 6803 73557 32460 -52045 9375 -126174 50356 19767 -93854 177215 9274 -104138 -198256 -160182 38099 -209669 97932 -15889 -65956 -56486 41359 101678 -6274 8934 -13876 19521 -109445 -55979 144946 100659 101224 130364 -208017 -107384 15919 -112395 -133920 -12956 -65721 -98755 -82472 -168464 32136 60213 -70303 51946 13891 16704 -11251 -57579 81035 -15006 69010 -11956 -50913 54235 77995 64512 -15930 -164521 35560 43502 -319 -141189 -19885 -174451 197649 20140 -4137 68725 129782 74108 -4235 10143 -114784 -65396 -118952 -63929 23669 213199 45496 222325 16436 -102171 116133 229117 -110422 79399 -103422 129920 -5386 94601 -35794 25532 -78249 67850 -115199 -75344 71708 -224070 -15160 -50411 -95330 40926 -92648 23181 
+-78612 -80567 -25020 -64262 -10883 -27833 -120667 91290 -105672 -3381 14186 -56354 57652 -168663 -243891 60252 96964 9172 28584 49098 -210019 -106276 5224 -73202 22894 98031 -78912 -12279 3503 59226 127413 -54350 -16278 38218 82162 231182 -89808 -8017 -71804 -47917 -20394 -29103 -60793 128828 24005 32869 -65658 68682 -101370 44088 154697 179623 -147267 -68249 -34873 -63228 92446 -32893 110777 19677 43146 39873 144186 158489 24400 54683 21081 54169 -74691 215540 116295 40507 91320 152055 63732 -240812 101584 110191 -66329 121050 43886 -13653 80349 -40259 61693 -180127 -91206 -107372 -34975 -159 -37142 74950 93778 -183211 76749 171042 -88798 -92996 -121264 20406 9901 -146928 75313 136088 30865 -25980 208225 -163584 -190359 167212 -188972 46639 44925 103995 -12276 -6953 -16414 -96738 147363 -9043 -102189 -239242 25919 265764 129150 13331 -173757 -118733 -57808 110562 -67222 56106 -162431 -91686 74106 -70370 1037 40557 680 50041 35155 -24614 -3391 -62319 74918 84334 82932 17067 158740 -80695 -147024 -134170 15021 -202859 105150 -35378 -67172 -44921 48760 199009 31193 46530 47189 -38561 -139033 26529 141960 62177 47607 101710 -182198 -67112 127252 -37593 -120060 -3234 -59167 -179113 -81733 -153164 11588 61263 1443 105382 10852 21024 -5481 -23318 68269 -25832 -57909 -13486 -91128 45926 120110 82909 -84846 -117223 54793 3841 28321 -83391 7438 -169428 233409 55708 36168 -23252 132409 15066 72042 -133419 -100782 -66577 -120619 -53626 14997 245423 20933 223905 -142748 -64891 66252 188529 -71234 57001 -68010 243472 -3889 83447 -108605 32122 -88257 63114 9329 -48052 16153 -148987 -31054 -73587 -131771 36513 -96664 35979 
+-104639 -20142 -47883 -32356 14126 -28240 -154926 49917 45027 -9923 3421 -62095 54114 -187124 -264982 82681 58047 -30968 36487 31170 -190308 -68262 43721 -88940 24707 84972 -92501 6211 44797 160937 124527 11839 -34591 23358 68978 152801 -89551 -93466 -136961 -7318 -169692 -54954 3614 171703 -5349 11609 -197215 38683 -183213 25925 147969 48560 -104135 -77957 32303 8267 53009 -41499 114232 -24716 29469 26977 90640 139351 15622 27669 -31089 -112983 -64747 109756 126541 50560 -46892 211018 55737 -92330 131165 39757 -47336 156129 22969 71062 158939 -19894 66063 -2307 -12428 -103057 -30698 2852 11383 72586 92189 -167908 60149 182731 -75014 -50914 -98168 -116858 9352 -147716 42335 116473 69560 15299 216643 -90759 -7356 93401 -162707 72069 140094 43237 -16804 -5762 -22602 -137646 22287 -42063 -71347 -208819 22544 296532 18157 -28078 -178971 -98859 -155417 78402 -109925 52497 -175249 -79141 62830 -51461 -39933 -7867 7731 33092 36980 53638 -39899 26381 88309 141046 99689 -192033 197972 -61785 -96210 -105483 -15781 -24668 112254 -74717 -44959 -62537 64940 187705 51140 51686 134940 -107410 -128449 76995 112308 37641 -41620 57079 -145622 -16531 102809 62693 -142644 3785 -61781 -216988 -82334 -104530 -6114 48644 52811 -21683 12992 31589 -7397 39372 83170 -24335 -22010 -4115 -72774 37681 115191 73138 -131836 -110641 62929 -29534 85976 -52018 33902 -189544 143255 24779 84199 -132296 87092 -28485 153789 -206709 -55976 -48994 -106236 -61318 18691 250499 19498 183994 -202783 -41319 -10818 85981 -107575 82509 -2578 207879 1072 69916 -190012 40584 -111108 54628 48162 -54270 -46116 -118799 12633 -58437 -69609 -36474 -23078 8411 
+-98383 -34298 -31318 89098 -75023 -36993 -2713 23661 118111 27574 37483 -61236 51273 -138904 -278117 87739 14770 -83061 10411 24957 -182215 -53335 53948 -85818 23006 77804 25095 6680 96513 240643 77334 78381 -16109 -8513 74061 41490 -67295 -74706 -125021 11333 -202316 -75121 86185 192572 -68726 -4116 -176037 57751 -208669 -2434 107732 -68629 -38399 -46695 55690 25500 -38134 -16148 123185 -44153 10102 31606 -53240 73543 19390 -9902 53224 -222293 -82043 -52910 75856 27961 -144107 146457 62921 81492 130405 -31294 -38705 162874 -5394 42962 167085 2176 46431 86216 95471 -105310 -25508 -13525 61411 72869 100984 -169813 -33101 183405 -21966 69257 -59378 -227521 -27074 -128226 55927 33197 93772 77812 223457 37435 119513 8687 -171640 73864 -54948 -17977 -17373 -6454 -18250 -184921 -74230 43671 -49493 -257257 39210 292474 -86559 -58773 -189819 -54276 -154007 23711 -69585 53721 -176675 -50681 69051 -63488 -26025 -31000 9663 42573 36301 147334 -57396 10490 86780 184354 -65871 -183410 121613 -30761 3099 -70340 -37460 85876 101255 -79659 -25496 -56212 65081 85366 48728 80206 173857 -183720 -107217 71366 56291 49120 -126844 39760 -123877 66451 29634 47056 -136834 -27537 -66323 -210424 -80484 -25205 -20883 71163 154703 -170902 12170 26894 -57200 101733 64370 -12457 104847 -6622 -60871 34495 73535 54574 -123605 -96074 27903 -35750 131743 -57143 57413 -209957 10374 98386 105455 -167532 80596 -60437 119871 -180042 6745 -65064 -78538 -79839 48085 224211 27350 113853 -88873 -29444 -17102 -72599 -125194 58814 70030 92236 -955 62403 -163735 20444 -115216 66064 442 -85069 -37856 -63039 36645 -27826 -60349 -146041 88963 40527 
+-84900 -101003 -30135 200622 -166912 -6404 121528 477 -52596 -32136 8121 -53950 46294 -39389 -256702 87580 -31354 -143483 -4163 29849 -162050 -16940 57338 -70046 23520 56681 73508 25182 122795 261457 17879 89060 -69080 -35204 64206 -106496 -64833 -60713 -106613 -9377 -102224 -110254 155247 167362 -109927 7196 -32480 56341 -251054 -24679 72449 -51217 52153 -55322 68878 4038 -171008 -24120 120717 -29216 14605 34322 -165961 14445 34547 6568 141935 -144830 -79537 -48533 21301 -28785 -146046 25443 74064 108717 126441 -80679 -14719 165665 -57907 5026 87752 13051 54407 -11807 88631 -133293 -11990 4373 22125 72636 102793 -136978 -106589 165548 -17993 172457 -34881 -276443 -24183 -78723 35626 -14439 99361 120685 228531 117895 61070 -31433 -159496 74530 -158680 -70638 -9260 -19088 -14337 -196127 -25334 186159 16919 -254464 9366 258405 -51169 -52405 -189413 -82868 -119902 -54213 2059 58683 -166824 -56437 25956 -45974 45964 -46396 6582 44440 33832 217043 -46950 -34196 71452 219453 -212810 12397 -23151 -53088 66170 -92233 -31727 125327 105817 -53684 20534 -70898 49816 9229 16305 86052 119809 -205575 -121663 -36518 -42625 92790 -162629 34673 -60822 120349 -85968 -51423 -121328 -52558 -59335 -180733 -65327 17744 5195 49378 191550 -173485 9872 -8382 -104715 149104 70887 12413 188600 -6491 -45621 25363 43506 58667 -84642 -42659 60537 -27637 173788 25070 80906 -92787 -38188 85114 159270 -75180 62179 -38215 19579 -79447 60141 -31844 -40766 -91144 4180 183131 6678 29572 71470 -44757 -77735 -61681 -72194 138809 2114 -74510 2228 61891 -53758 9258 -94378 52574 -123475 -118520 19390 8020 38784 -36129 -39246 -82285 108216 36781 
+-92615 -150487 -41272 138310 -174222 6777 44267 -1186 -216304 -4018 -19012 -43803 47515 71760 -206221 74587 -8543 -168498 33224 47668 -143412 25879 25623 -90056 25274 23917 48745 32438 144868 191720 -61229 57146 -51526 -58871 79650 -123134 -55386 -72624 -30179 -37272 18745 -142020 180202 120058 -105031 30051 41249 23375 -220636 -47162 94576 95646 165267 -48268 38691 -65943 -201779 -32172 124729 6141 23163 35636 -161094 6580 52966 33869 148710 29833 -97706 127797 36445 -84110 -33617 -32544 82429 -381 77954 -99485 77783 120219 -95353 -34612 -18616 16257 57392 -194292 32646 -110027 11362 16451 -40803 76194 127691 -109968 -135194 161559 1326 177717 -33205 -212131 -12300 -48733 47051 1342 81847 149708 231479 97601 -122879 -12449 -133993 95500 -146389 -104473 -3734 -38681 -16649 -188707 108649 231461 86952 -200019 22462 281461 71730 -60802 -188183 -79561 -85023 -144131 106586 59850 -146937 -26496 49274 -52449 180808 -19808 -27877 87768 31418 238540 -43638 -129403 46249 223855 -167864 188732 -73419 -64767 70511 -72748 -34449 -62726 116399 -81372 36811 -77650 100635 -19751 -26792 118743 35023 -128573 -117953 -84437 -121977 112824 -160518 65196 -18193 80970 -165670 -108349 -128391 -36347 -61836 -113290 -52968 28650 29889 60849 165803 -24465 -252 -20947 -102945 63452 72221 30784 159380 -6687 -6286 -20050 12919 52531 -1279 -18778 50938 6629 206382 41276 72250 -55079 41126 119100 191212 19580 -30324 30570 -119700 25525 88331 -30086 215 -83759 10379 125619 -140 -46252 135277 -83930 -123278 -52518 -90964 132411 -56417 -129634 8572 69845 -23381 22662 -58780 50071 -112614 -129111 80753 35186 55008 -56884 -65434 34375 47178 54459 
+-84300 -105769 -27972 3400 -85760 23913 -123603 -22366 -269142 -7876 -11862 -40780 48845 151403 -132290 23857 25713 -210269 32348 61983 -93133 55139 -31411 -120300 25889 -6716 -42051 27584 143729 90868 -137826 -30140 -20562 -82877 61564 -7335 -48978 -61119 4647 -55067 24834 -147782 159723 52582 -26744 33210 -10287 11925 -165274 -51322 25677 183146 190886 -42823 -17152 -125581 -158369 -25345 128374 35120 42956 508 -61753 78534 70318 66107 66876 71532 -109992 221941 -29407 -129894 90400 27896 50942 -209260 62510 -76547 98684 80559 -133535 -37539 -81025 9607 -3737 -245781 -56564 -147596 29731 -27498 -48718 77937 125324 -54462 -90684 170670 32666 80433 -6005 -97496 -16921 -64725 48107 73600 46969 186305 232403 -22156 -245157 61153 -109438 83227 26371 -123859 -1098 -11199 -21396 -158174 135597 127898 168336 -178589 16237 211347 174530 -29165 -174645 -82404 -56271 -134459 125334 63964 -117622 -15372 49240 -42365 225087 19043 -10114 141005 31031 194483 -3110 -186118 20522 203024 19654 95542 -32901 -119846 21613 -84052 -23318 -195857 124056 -32596 79466 -75049 63643 34531 -65365 131941 -9267 -24268 -133437 3151 -189822 75369 -123261 108690 13599 62234 -157145 -98721 -149821 -38212 -59786 -17489 -41277 -2762 11042 70949 159349 112776 6393 32275 -95705 -38685 68861 55676 -3298 -21923 63741 9709 23370 38967 45376 -25204 53086 48864 242585 14282 84814 56386 166764 135197 216808 28022 -22334 100927 -191899 97132 55505 -37366 38778 -66076 43544 65645 25488 -86907 31679 -113808 -86570 118150 -80951 143873 -105104 -32985 2942 81786 -80546 -9853 -67946 68714 -33046 -95349 25666 64696 71541 -12465 -100064 52619 -42124 54236 
+-81367 -828 -23727 -73882 -9447 22451 -162292 -3854 -143620 -22228 11572 -45342 50879 175556 -59204 -24372 74808 -231895 51893 50675 -57097 64216 -45279 -117079 26443 -20055 -95054 38646 147364 -31066 -181592 -19543 -5787 -109231 52479 143167 -45895 -101157 38626 -29105 -110568 -165075 73164 10778 31320 12282 -150619 12842 -72200 -57594 -37824 173385 210849 -69520 -102982 -106262 -52394 -33010 126514 22942 55550 26330 86032 144398 72971 22274 -27051 -74630 -118862 113095 32156 -143251 220519 154182 60641 -147228 58399 1096 110894 19548 -164888 -86464 -43525 -9395 1179 -114024 -139238 -145949 4303 -11279 -15474 78441 128671 -13703 -4157 165054 30690 -42354 -40844 11958 -31192 -113029 38069 131777 3813 213154 231347 -138128 -181598 147440 -43840 96815 104272 -130144 -17192 -77555 -23659 -110121 25576 -14312 233501 -123901 6670 158121 72101 -31083 -163310 -50493 -93282 -79579 143766 71239 -80942 -8849 56374 -22459 252935 51273 1722 151347 33024 100467 64896 -177948 4134 159958 125321 -137737 71255 -133742 -42096 -53645 -4009 -189587 110052 -26150 99270 -55209 88189 86976 -88805 131676 40765 27939 -136372 86472 -246101 142541 -54938 129781 62835 8465 -101206 3239 -125272 -17718 -65959 58545 -23548 -51653 -8337 34715 67463 48942 -7757 17120 -53715 -51171 103162 62073 -62099 -9472 85383 18569 76985 34526 2614 -9487 59784 113390 239276 -20257 89247 122174 236075 100142 216471 -83312 -75853 130079 -133926 164391 14520 -52438 68905 -52650 42543 7187 -5390 -102832 -142698 -147213 -131856 186707 -92301 85600 -71367 125272 -3941 94692 -181981 13024 -52252 55844 59796 -68015 -39042 69619 41408 -15574 -78269 -43529 -104697 91156 
+-104790 -22324 -27413 -73459 -10376 49063 -10335 8646 43094 -44228 30640 -56380 57368 125747 -16479 -63981 91002 -228558 41676 26282 -18125 103468 -49589 -60678 24266 -51252 -45474 43962 110718 -91330 -222554 -24406 -47456 -141019 76329 186534 -49285 -120880 57083 -16304 -201657 -116050 -3843 -9982 2719 -4042 -190924 -40857 44874 -50213 -31700 27173 194313 -49624 -168071 -57141 61094 -45340 128761 -13099 53475 30469 151343 133930 64181 2173 11345 -221498 -123563 -43495 29735 -116422 223873 214492 46475 32187 53883 71376 93228 -60196 -192241 -71227 38999 -28266 45465 64692 -125528 -178518 -16415 -19933 10722 81464 130787 43491 76314 151407 27571 -94046 -91096 111387 2768 -160833 18900 110891 -37578 212758 228156 -158234 668 196977 10910 98930 -36840 -104918 -22828 -12157 -28867 -58168 -69312 -70672 267244 -96015 24088 120508 -74129 573 -147352 -86731 -172474 34897 71498 66812 -39824 9002 77571 -25357 155109 61882 -4846 131340 35850 10984 123510 -115236 2450 104172 6292 -205213 172113 -135070 -125755 -27475 11739 -67834 105026 -1048 104723 -66242 86492 180646 -85641 133117 132500 -29807 -104504 91066 -259447 105410 34390 123426 65015 -74348 40695 51646 -128246 25563 -64803 79080 -14789 -110003 -7439 66095 -30153 -112204 -27623 10804 -1615 -4086 68200 63330 47465 -6071 81338 -25081 117510 31710 -68205 3880 46158 151071 260679 1965 70374 128129 182986 110223 180349 -182504 -68797 124913 -21125 169980 -54181 -68127 86269 -62323 21789 -35545 -5331 -84985 -211756 -157615 -36666 186896 -99837 105055 6882 232690 -12132 104433 -198739 -13001 -17787 86175 -21087 -47346 -52964 115347 33924 -47936 -44308 -118881 -63109 82329 
+-95316 -103146 -3007 100349 -73686 48469 136232 17015 127634 -8544 17550 -60633 57776 36371 65372 -114122 129754 -214055 51864 11720 2484 111698 -28528 -64341 26443 -73207 40280 6993 91477 -81128 -223021 96788 -60437 -162363 83447 74072 -70266 -106883 51207 -29801 -166383 -45277 -71550 40543 -78547 6569 -67233 -32141 29184 -41244 -68378 -59496 119531 -22486 -214716 13107 100727 -52721 129139 -52612 47579 56691 70644 69572 46328 13207 127582 -177899 -128025 -50540 60098 -57745 140088 155189 64681 133260 61909 108891 119592 -129469 -220922 -27853 137737 -44265 55741 79232 -73067 -166633 -36933 26975 24448 82354 141908 47644 69123 144837 -4923 -33662 -114860 114310 -27411 -138286 31422 36247 -64395 210293 223235 -72548 121377 191562 10698 66447 -250297 -64748 -4952 -43545 -33263 -10453 -42643 39465 264598 -60371 21324 54926 -91081 38871 -121353 -89761 -141121 112907 21990 56996 2983 -3733 47458 -29735 44479 20584 -25700 152006 37071 -43573 148093 -56374 16478 39650 -171514 -17525 186197 -119471 -175152 -18216 36510 132887 111399 -25815 62447 -48477 39312 158583 -61172 149257 172730 -109239 -81277 -7209 -224683 110961 101036 101495 60738 -127495 136160 15709 -90926 8446 -68092 88922 27737 -148927 15752 61839 -80577 -209477 -26833 -7284 33609 54530 72200 47558 181398 -20831 64905 8527 154614 20272 -140551 20640 29205 201825 245623 -59244 55614 174928 43763 65471 168580 -102572 -101099 62941 44101 114424 -108331 -35018 88212 -80027 50774 -53849 -9549 -26023 -87473 -147217 49093 94966 -53610 59662 42849 225124 -18430 107640 -61701 -6763 -643 17122 -73495 -64931 18147 82953 -8399 -40222 -42366 -83380 26936 69619 
+-88589 -165316 -9459 163493 -124062 65591 70173 46449 -27374 11708 -8128 -60772 55773 -77208 84625 -162105 94445 -185669 56411 28306 52108 146014 -17283 -114312 31847 -86580 121139 30116 19167 5519 -177345 104298 -29177 -180733 78480 -40859 -84960 -120156 22762 -12533 -48549 -55235 -91703 78430 -115358 29596 39304 -58673 104440 -25227 -27513 -49515 25020 -42604 -270018 47356 5406 -50682 126866 -37690 23782 68142 -64216 10440 27200 53523 157746 -4343 -137315 114355 110345 -4006 -1825 28931 87353 16834 78047 120685 74859 -153488 -215629 -24736 173659 -48723 90723 -87672 17252 -148582 -34343 34420 13039 83090 141492 84334 2103 123210 -5703 91105 -102871 23019 -28964 -82203 50137 -23447 -74860 190417 216621 51184 54113 117693 51277 82212 -158622 -9952 -7369 -49526 -27090 12702 95570 195197 216715 6329 -13490 61680 39375 42713 -98268 -82479 -92395 114222 -42332 62137 44612 14092 63057 -34881 -38782 -25365 -23210 121871 35771 -34923 202074 6154 39348 -24357 -210037 154107 66509 -77063 -192229 -13718 63757 109450 95763 -45085 28860 -90626 -9316 93137 -9080 154551 125117 -196100 -67402 -79680 -172852 97537 139731 55454 46707 -127832 94452 -56365 -60302 3944 -69810 44446 48826 -191557 23055 64928 -84124 -121803 -26785 11810 -34842 115301 56028 26664 152920 -16616 39545 16361 113347 14134 -161462 69816 71214 224080 233791 -41311 32781 125229 -36216 57924 145174 -5938 -119177 14651 144550 446 -125340 -73064 74213 -90964 51198 -44914 3705 50023 69832 -116006 102345 -31083 -85707 72813 -3608 90796 -20532 103257 6847 -29654 40466 51349 -144124 -96153 68810 72477 -42642 -34437 -47518 39360 99097 78305 
+-81698 -121091 -3869 132719 -160777 58130 -105089 71124 -190341 -30155 -749 -50242 52175 -165071 148300 -209341 69570 -139921 45717 46834 81142 135219 22891 -83859 28333 -82850 89519 18883 -3946 100704 -93493 35936 19133 -183081 85116 -106464 -92682 -121004 -31023 -29515 31509 -38555 -45571 136006 -100980 33524 36383 -34896 127765 -8116 -29138 115892 -57894 -55023 -232161 -8415 -111118 -21531 124271 -1772 13037 21200 -170941 7208 16257 70819 59712 80495 -129085 211083 145082 41062 -129208 -21966 60194 -157344 94744 91606 14426 -186807 -222247 -21517 121102 -32858 60806 -247904 89881 -131023 -4487 19193 -55798 82902 148511 89328 -72124 121761 -63860 181882 -112793 -110775 5720 -44401 57061 -8519 -62606 155820 207868 122981 -131513 30379 34494 62647 35967 43567 608 -35824 -32703 8853 142376 228888 191420 23157 20684 -14870 117251 -11679 -68099 -68106 -70794 36736 -86827 55081 81998 -11052 75934 -30047 -79064 -53963 3717 76665 33135 21929 217856 33871 66244 -75077 -47503 138431 -51158 -60746 -167441 23742 76332 -62223 103942 -67770 -18154 -81788 20078 25534 31172 160899 37939 -212589 -63063 -26088 -89506 96191 136321 37507 22866 -156285 4918 -118362 -47559 -719 -64649 -34917 59285 -163890 19166 50228 -27674 66042 -32253 27623 -85790 93005 45642 4652 24649 -10664 -17339 55992 68462 -4681 -79977 21509 57205 228347 178312 -109829 18288 44217 -3316 17184 105394 61332 -116534 -50538 171568 -135790 -96965 -61856 46310 -86377 53516 -15411 22211 129758 135691 -79401 98623 -95170 -57463 62316 -78907 -60071 -27235 92971 -24998 -20578 61028 36134 -67871 -125361 21965 28090 -44514 -14559 -64588 77138 102809 62213 
+-68405 -33552 -61405 19086 -92935 79255 -155072 101310 -270716 777 7479 -33135 47837 -186649 102603 -227362 26760 -82041 32243 56721 86385 164493 55712 -77857 32469 -73350 -57819 24866 -96935 213362 -24891 10073 -2802 -203232 73130 -19008 -96852 -119377 -58746 -31418 -29551 -40010 34273 180552 -20111 12981 -108732 -33365 63735 7806 599 217117 -119285 -54424 -203671 -85131 -198281 -47681 120929 30324 14165 7509 -166557 78359 19087 71398 -1736 -38106 -110484 139491 158363 48142 -160300 29811 72462 -158372 99057 35767 19208 -173015 -215626 17367 19752 -13551 31019 -214279 114473 -134724 12087 518 -48622 80762 152288 79207 -129322 130385 -84804 166931 -69668 -205242 -16136 -45263 54134 69095 -31762 109260 197287 85036 -246241 -34398 87410 58525 91367 106482 -17642 -73371 -43321 2114 37854 150447 99077 75999 8663 -39375 122718 -18136 -46252 -69502 -86143 -53328 -75374 53648 112929 -21303 58366 -34737 5926 -52113 -18162 13924 31163 120590 169608 -21721 85055 -97708 103524 -58029 -94181 -45719 -80276 39263 90629 -183667 98631 -62936 -65199 -77226 11026 -9962 57203 159795 -9668 -176355 -32631 103352 -6021 82505 106134 37794 2128 -112617 -105687 -51154 -44147 -26251 -61820 -105776 71039 -135453 -6998 67276 73096 86745 -54934 2962 -110982 43073 25355 -15881 -47555 -8942 -74305 64941 24584 -5123 -23598 73289 86090 215459 144819 -110076 -22137 6642 137706 -35444 37366 -18918 -135875 -66772 65034 -183964 -41998 -23043 9203 -65906 40000 38816 15111 190660 15032 -44727 130886 -106340 -61873 96089 -92850 -121578 -21202 78328 -141967 -31517 85094 58376 22799 -114724 -29609 -15952 -34178 -57904 -104375 -25202 22108 70949 
+-88220 -17214 -10727 -73554 -8773 71632 -10660 114400 -115102 31137 35060 -36948 47567 -150062 91768 -241893 -27090 -39386 31708 55237 95153 128992 56580 -101663 28901 -59471 -89048 2221 -105297 269927 49321 -40153 -54255 -209835 71655 127498 -100924 -128678 -127882 -50831 -152529 -86529 120465 197365 11195 -3939 -194231 1139 39101 38605 51876 139805 -181191 -49792 -166113 -115941 -207729 -29126 116051 30612 23761 25818 -33448 150435 33480 572 1380 -195045 -111726 -35103 100581 26954 -79991 152144 58715 8448 122543 -34073 -33018 -125899 -206608 14207 -60498 213 9019 -41877 -12626 -130421 11910 13387 -19814 80630 152648 41192 -105289 131976 -96424 58302 -53672 -262888 -630 -94224 64935 132193 9729 65318 185158 -39183 -175363 -38331 73445 45950 -26330 151483 -35233 -87920 -39190 -43620 -69316 10557 17972 98131 25997 -66192 -59730 -54832 -26550 -95465 -139342 -122133 -20334 50903 135082 -21859 65770 -10174 112138 -13227 7352 24448 31283 200773 146047 -104712 89487 -115983 83186 -184237 20910 -37848 4338 41573 78671 -172643 97003 -68810 -61113 -82505 66280 27893 44627 147356 30161 -37234 -11905 108028 77676 25009 25463 73950 -52132 -32794 -154712 29888 -35563 -25142 -64489 -180590 90401 -124881 -8039 88873 146201 -35479 -66302 11945 -120633 -40179 30490 -22000 -9640 -6729 -61417 77871 4150 -24558 36503 85526 78855 178063 92464 -123656 -46776 -87836 227708 41693 6206 -144279 -110635 -37899 -32634 -194040 26924 -53216 -31632 -55614 49319 98929 38565 220307 -132923 -28878 159692 79723 -45397 117279 -24007 -64199 -40395 68024 -166273 -3042 103766 46987 38137 -77868 -58616 -64433 -13559 -21916 -96911 -143368 -71774 55785 
+-80846 -93310 -15663 -19967 20108 66174 119712 142559 52874 -33381 12687 -46442 46922 -53740 11039 -225034 -25024 15172 -10528 20625 85566 111718 43765 -62760 32994 -45071 -58955 13594 -121015 225942 81819 -40433 -35078 -200327 73623 192366 -92340 -137616 -102945 -21559 -206128 -129417 177704 164053 10980 5925 -122888 23582 -42646 61750 115434 -13300 -151988 -60664 -92936 -122184 -104575 -23406 115112 -2468 46715 48251 102270 141637 53191 -23440 108991 -201597 -104283 -48498 84291 -25862 68052 210915 58041 144567 135784 -76297 -42480 -74274 -171669 23634 -73868 19214 34177 85773 -101720 -95154 -2841 -25133 20292 78130 160630 7184 -35789 112794 -78072 -58422 -15105 -236603 8004 -142474 60287 122695 52521 20086 171717 -145062 10574 35182 39775 51453 -210350 189051 6632 -65344 -38326 -84878 -15293 -72132 -32529 81958 2526 -78023 -87857 -54310 -8650 -126211 -154586 -130655 53343 42891 146631 -42209 22038 -49512 236247 31830 -1960 16409 33791 238174 129940 -155866 78378 -108456 -111875 -78790 100476 -52488 47587 7631 69096 -3082 97132 -64007 -33147 -82376 44066 100418 11287 131956 124342 15027 -39921 -7456 131224 43729 -66071 108291 -105865 19407 -144072 54854 -30951 -23295 -63040 -203851 101169 -29043 11202 50135 195984 -195270 -78179 30375 -90077 -58459 12794 -27369 121866 -4844 -92333 93209 46011 -9380 -6955 89769 78294 129495 63528 -156214 -76446 -147871 204588 41526 -20886 -165295 -102580 26398 -158953 -127356 73508 -15796 -70300 -58597 25366 161294 12799 212466 -200157 -39197 155029 129376 -40165 143398 63925 95901 -42113 61119 -123761 9053 143828 46874 -11208 -49062 13850 -104060 -55016 -10876 -34771 -59199 -111293 41239 
+-96134 -154773 -386 107434 -92240 49120 74738 141079 121402 -20677 2690 -58295 51292 68304 -93413 -184623 11501 38935 11416 8196 77719 67904 -729 -84615 35061 -13196 68304 4355 -136030 157292 122717 2647 -34010 -214719 90766 156095 -92112 -147260 -117678 -45338 -120481 -101533 187357 100283 -78308 29065 -10325 47557 -144907 95153 114317 -105550 -96270 -53694 -10479 -56541 8956 -39842 107589 -38155 54893 41629 149476 55611 69360 34245 171891 -34087 -94561 155319 37361 -86514 185448 143133 67422 65785 100251 -102248 -63152 192 -125720 -64695 5391 16295 31166 24602 -137389 -102560 -6688 7450 42269 75613 160504 -53906 37004 121068 -80500 -91313 -36480 -111395 -25596 -143215 69704 44206 85236 -16931 156852 -157263 121208 128289 -1233 74825 -163031 206331 -24935 -43152 -40465 -136362 99064 -7161 -108648 82185 -1999 -94820 19493 -51132 8337 -125376 -69297 -105434 75690 45961 146942 -56374 47292 -45033 263639 58729 -18881 81625 36374 202203 83187 -179040 56283 -78068 -227829 125149 190394 -107612 45900 -17911 52925 101072 91101 -18687 -15363 -63986 72879 158139 -25973 152042 177207 12122 -37570 -58534 149300 25565 -134194 132157 -154993 84455 -4964 -43405 13270 -49189 -65530 -200155 98984 14973 5734 57613 149857 -189590 -97600 -3281 -63403 25653 -4159 -16557 199215 -6278 -65307 105081 66863 -33409 -51337 76614 78966 69876 -13180 -92247 -70491 -184605 78035 64414 -76351 -69232 -63136 74373 -188894 6787 87872 -29448 -100889 -78027 7190 209027 33607 174994 -100711 -72010 63673 182161 -5045 119095 49426 221324 -40872 64224 -43569 13719 163705 36601 -88190 -54601 65131 -164514 5351 -38133 -42032 36989 -40842 64357 
+-102133 -141897 -2112 189888 -152660 39251 -102756 139982 -5009 -396 -14174 -68653 53478 157575 -137174 -161866 73659 66797 47166 57120 54276 53148 -33745 -143774 39002 1511 72632 27265 -90073 38792 113363 95369 5151 -203373 70300 -62967 -69997 -85021 -77699 -26076 8011 -162117 115918 41860 -120573 33812 39385 69964 -189730 128804 142782 -3584 17530 -48861 25501 21502 77815 -45705 101120 -52247 59759 31248 54494 10491 72805 72152 118159 88344 -82627 195650 13266 -128383 243034 31075 69843 -155298 125033 -48630 -36175 78722 -78851 -90948 121286 2382 71898 -170353 -110518 -66710 -30400 16944 -1003 72717 153583 -81900 79316 114574 -55565 -13988 -33775 3717 -6349 -126966 77276 -23783 100286 -59506 140885 -53517 46550 204550 -3194 87605 23905 199740 1736 -64635 -34824 -172259 134531 176948 -94970 102580 17696 -100370 136419 -53758 11083 -118865 -58997 -1897 121173 64064 136456 -69571 52880 -27434 193504 51989 -42077 104728 37004 126012 -1156 -118398 29843 -23238 -120357 125434 129666 -122569 14570 7058 28892 104300 81273 -11977 45363 -49332 98713 178956 -71356 122085 129364 -62896 -4377 -32761 119561 11411 -167644 125586 -191636 103231 91535 -108487 25147 -41204 -73765 -155587 94674 15632 35993 53213 108302 -7261 -94511 9511 -4189 100407 19349 10541 107011 -14132 -46413 82413 127258 -30321 -134587 92326 92927 21130 -24604 -109480 -98985 -187006 -22874 93359 -89728 51850 -50253 138269 -134298 92636 50618 -52981 -118748 -91455 51291 245328 15028 106463 75271 -101281 50516 119048 -60928 86725 -18373 237436 -51698 72561 -15450 25067 174721 36781 -89773 -86263 51097 -242830 7836 -53722 -31992 72982 59901 19119 
+-77754 -32366 15731 142826 -196244 -1491 -164917 137040 -179810 -6516 6648 -53280 57552 176619 -251076 -100845 103011 69634 59212 51132 11294 31267 -49687 -82223 38181 41421 69231 28420 -66123 -60364 55420 57801 -33506 -180304 71165 -130774 -52182 -158075 3712 -13841 25226 -108135 48492 9170 -80910 13711 -65042 39526 -221974 109277 96631 161906 89063 -63608 72618 39122 56358 -40878 94014 -13195 48264 30852 -90677 31512 64806 80320 -1239 2010 -79432 129578 21465 -141175 174407 -33597 85458 -185703 88570 -179 7227 132047 -46220 -112580 166061 -21300 83976 -255478 -12692 -95146 -32312 32762 -48622 74602 151930 -134120 40466 91089 -11330 112246 -59573 103625 -5172 -91004 64753 -10714 92308 -78478 124056 67623 -140595 200883 -41899 75740 62965 174988 -28021 -83047 -45656 -201905 58489 240611 -59133 31282 24892 -78012 127220 -24532 11411 -135602 -77696 79179 127726 57697 115576 -100364 20645 -63238 96342 -7249 -19660 129352 35236 25410 -51556 -74861 9198 38561 71601 -36132 -1163 -114381 -57102 -14706 7057 -39411 82648 -13136 55024 -100265 109872 125783 -93712 107948 37853 -170204 12245 54470 67888 -7086 -159218 85041 -203588 82424 159873 -99348 39671 -30306 -71513 -68667 91595 -986 -9436 71751 12709 100649 -121656 19585 17217 140432 11641 32971 -18256 -2591 5273 122160 133562 -36068 -162802 81468 87593 -13061 -58878 -67350 -93297 -175114 -19132 124205 -117812 40894 -12071 125437 -17156 132640 -10197 -74819 -120809 -84825 28039 250844 37067 32060 131739 -143177 743 -14167 -5088 81604 -106986 97684 -54411 85819 -109780 24582 150033 49349 -12641 -120502 -18322 -259436 57017 -38412 -70348 -26930 109370 33989 
+-79463 -4379 -3433 12248 -98518 13956 -42626 99343 -259649 -15701 17866 -47222 56972 129372 -247972 -55992 140774 56098 52075 46029 -15996 15887 -43343 -95505 39170 55017 -45418 19909 -14547 -92234 -1594 23803 -59065 -183659 56351 -83617 -53327 -116511 -17137 14956 -85037 -85917 -37968 9593 -19258 -3783 -179328 57492 -228872 136289 98207 202399 167321 -59656 26200 -5056 -69310 -31189 90229 19520 20153 30898 -172459 88754 46839 45860 -32950 -178339 -65536 -30233 14525 -112520 29000 29545 64062 -48306 78499 72889 21766 170255 -1295 -66075 147707 -41197 59218 -147271 31651 -42460 2452 13722 -58397 74960 159096 -168695 -56771 91446 24650 187522 -91332 118210 -11546 -40811 66662 65847 64746 -103376 106361 124309 -247852 144584 -90152 79649 -35752 130046 -1480 -130683 -47449 -196700 -74360 157778 2831 4909 14069 -55035 -19978 -18177 11261 -127071 -111220 129779 67808 70404 85440 -115000 60328 -43277 -5165 -37724 -18967 168522 32476 -37750 -43971 7805 1839 104064 111144 -194171 -101059 -150505 -125379 -7587 -15801 -201633 93627 -54868 110615 -77361 110597 52588 -91846 98397 -8337 -209012 -17359 155982 -9420 -20538 -113564 55159 -233180 46362 81639 -33539 54382 14114 -70893 -13461 86380 -53059 -20637 67676 -64395 78687 -117393 32793 -21391 69856 17163 53413 -51583 -8513 33700 132323 125483 -48040 -92303 94248 68569 -37327 -91664 -16938 -78843 -116460 94100 128702 -110989 -87307 16324 66861 83005 159429 -71045 -61869 -107228 -68045 13476 229355 178 -43297 19636 -158816 -105162 -84708 -2332 39872 -87126 -38301 -58626 97384 -181532 27048 160476 9238 10723 -124463 -59029 -282346 69749 -22682 -147218 -102361 70549 23608 
+-73036 -58231 -22742 -50791 -12101 -34296 112215 85220 -138321 -9469 26784 -38064 55568 41757 -273975 -8407 100217 35095 52928 26930 -43462 -60422 -13563 -103456 42868 85378 -97369 -5311 51447 -56932 -70777 -36586 -27469 -160812 63628 81281 -46310 -93845 50374 -782 -191203 -47292 -91137 52799 48383 5320 -184273 47383 -205156 153540 71924 113415 202685 -27026 5299 -70564 -172740 -43541 86193 30890 14436 66541 -148468 137744 28367 -9747 34456 -220079 -78693 -22568 44658 -58855 -90655 147736 53585 147840 42828 133552 85757 195854 18229 -77406 59707 -52039 37924 29671 75801 -34893 18066 -15839 -12335 73767 166513 -180435 -116047 106218 42540 153000 -123832 35312 6839 -63374 79987 135020 24926 -88053 88130 71665 -167613 45771 -81069 97758 -199912 72805 -11358 -113994 -42351 -161587 -50109 22228 100097 13092 17154 -15294 -93838 2310 -2229 -129643 -124267 94635 9552 61752 48447 -135143 40580 -77841 -40722 -50544 322 144884 30925 -45647 -63741 20482 9195 162299 -37456 -128388 -75720 -134249 -181748 -26429 -33422 -209034 82158 -49948 92954 -72578 63328 -34356 -56673 112867 31362 -147646 -10581 35681 -91647 -25153 -35704 36112 -220072 -30073 -53002 57956 86307 10830 -74838 54084 60848 -104366 -6388 75242 -67145 -117414 -123601 35928 -92909 -3417 -10101 63155 80643 11003 80686 131776 40417 -53369 -23614 99039 118340 -38767 -76012 -38164 -57702 -81322 222545 128054 -103869 -165431 72099 -2744 147657 86226 -119479 -56492 -79833 -51703 36211 190149 45811 -91256 -135388 -152682 -105704 -112079 -7406 65255 -4380 -99512 -57558 106164 -167954 14964 171623 18730 -31646 -102584 -8582 -290635 60788 -34046 -106574 -45561 -21076 14566 
+-75367 -141166 1187 -21519 -4241 -27114 96448 64771 43166 -32908 15577 -41507 51329 -71478 -285315 39355 62457 -7681 71618 6315 -91752 -89327 21947 -88705 47669 92713 -57168 -17594 80476 36578 -169276 -49792 -46874 -146645 61135 149114 -50290 -142179 71990 -18432 -170162 -37248 -84702 105649 4464 28530 -56162 35949 -159414 137986 26490 -46392 204811 -59197 -32196 -125893 -211255 -29974 71659 6314 16096 61495 -26201 125356 16652 5676 145837 -72700 -64919 112732 62617 -2718 -147938 211682 65568 67880 48173 112311 129412 175659 49958 -8169 -47306 -37749 33138 89112 52102 -47138 17091 8960 4888 75129 156099 -172103 -125926 85376 28373 35487 -75604 -95356 528 -88972 75025 123157 -18745 -75609 69389 -55694 18051 -22530 -141629 87549 -130580 20457 -9880 -51638 -38180 -119005 94313 -77287 157657 -69796 25828 26652 -6450 13861 -12167 -100003 -110203 -20611 -95209 64944 6904 -135754 63738 -67120 -30557 -39313 30295 152954 31723 4731 -56426 -4681 29364 198907 -204525 62495 34937 -76786 -221425 -36545 -34176 -51428 81256 -76243 77139 -78209 53481 4080 -14712 81475 123704 -82727 -42817 -40792 -179164 -49426 45020 39302 -199816 -90681 -128710 35570 134125 -32664 -70074 80913 53290 -156202 32622 56030 -48412 -205529 -130276 9517 -135967 -67222 -19671 65655 206992 -873 58191 111871 11299 -42752 29238 52045 117086 -15051 -71412 1407 -50032 13402 247429 116702 -93405 -119284 78071 -44375 147674 -14722 -121139 -37587 -42705 -57973 42664 128999 15744 -103871 -196995 -128203 -127423 29152 49092 114616 55969 -59902 -52219 107408 -92835 43085 146278 -2149 -91582 -72979 62334 -298035 84272 -28455 -38295 26629 -90168 -12002 
+-97409 -150939 -11517 57560 -89104 -31540 -87859 39259 118246 -32937 -7059 -48696 47969 -162296 -265364 76761 917 -59791 47271 617 -122822 -108626 15513 -92489 45853 92821 51075 -32985 142833 158581 -201847 45732 -10025 -124922 67472 160010 -66117 -112097 38184 -47502 -43547 -34061 -16393 157060 -86215 34100 13828 28022 -61586 133948 -19191 -95826 176394 -50026 -120705 -122742 -138914 -33178 67587 -25053 19614 39657 108041 59886 17316 38713 155395 69458 -68516 228863 101283 38277 -91024 146370 67403 -144116 63252 89150 116956 109448 66936 -2621 -77417 -29834 9849 -51576 -55521 -27709 19734 34581 35735 79819 158744 -172268 -66602 51964 7435 -72004 -62408 -199545 5506 -133813 53203 50876 -53590 -54371 50899 -153562 122122 -32687 -164787 53496 23014 -38739 -29792 -76356 -40800 -64967 143485 -13023 239834 -127356 25788 69622 129311 19494 -27385 -89372 -34060 -91605 -93256 59167 -35855 -152904 64841 -74501 71621 20324 16751 114482 34497 90017 3646 -97925 56248 220416 -179406 189730 181571 -54380 -164345 -71881 -45785 94239 74494 -83689 40139 -93360 84270 58010 30042 47117 176274 12737 -39736 -35677 -228729 12794 115010 80151 -187301 -153359 -152002 -36590 134911 -9915 -69820 83741 21437 -150118 27323 92930 27435 -111877 -146260 -29498 -130652 -24656 -18878 50121 166417 -5670 14216 127482 -12588 -59828 40316 56480 87396 31441 -62287 23485 -46408 70172 107807 122094 -62784 11889 95909 -40140 34716 -110271 -89364 -50662 -1727 -77541 21432 66516 12707 -71777 -117699 -96499 -94223 113500 18288 121855 12248 104720 -48826 100280 5307 57902 139600 33560 -156298 -45228 65377 -292041 51429 -49935 -24316 79586 -96607 -19997 
+-90791 -70366 -49904 174621 -218056 -43566 -172469 8237 -47407 -28350 3718 -59418 46067 -193958 -222450 94391 -40674 -101760 43772 54549 -156201 -125586 55292 -108078 41192 90605 91861 -10386 137658 235301 -222424 94568 -19147 -87594 77326 38968 -79635 -98259 -15438 -22230 27507 -66873 87702 189890 -109205 14414 -14373 17503 19308 122700 -27370 11050 87239 -13286 -193105 -59373 -26905 -27936 60004 -43867 45866 19609 135127 11875 30810 68360 45382 25159 -70075 147623 120108 50322 17007 26833 69015 -181659 56240 23462 111527 65255 70135 46984 -33250 -1702 30147 -225408 -112143 -35248 -18960 44821 9178 80423 156355 -124448 11695 68706 5879 -85018 -34179 -269592 -18474 -158095 80193 -18405 -73392 -20016 32233 -152928 38340 27465 -180567 63006 116579 -84461 -13194 -130956 -33379 -18542 39430 126848 288936 -146058 29459 117702 127956 -17885 -49587 -93351 -38396 -158830 -82428 58772 -77135 -165249 66232 -64661 185492 58895 -27631 55692 36716 181182 62528 -153867 78307 213397 2709 46706 174240 -30974 -100314 -43684 -27067 63357 82169 -99306 -12858 -104111 27929 151017 51976 42694 142629 20544 -42111 28727 -253901 -44953 154018 115681 -150112 -166846 -94690 -109408 166056 -39750 -63069 39683 4127 -185592 -36925 87162 117777 59598 -144981 8524 -87393 65202 -5308 30354 16661 -532 -11708 137855 8439 -44122 -68115 29722 51102 81438 -60446 16927 -4420 108340 -13421 87203 -26187 45290 131143 -28846 -63117 -192476 -28094 -33414 36990 -90521 46234 8180 32666 -20477 65624 -53643 -78447 188811 39525 148034 -66485 207078 -37555 88521 -47764 23375 93252 10458 -28243 -58322 -17911 -209840 -39216 -28823 -72198 8949 6559 -35323 
+-74328 -25118 -27226 151388 -148243 -19255 -53015 -14534 -188032 -7515 6593 -59904 48769 -153734 -177921 88010 -36652 -153785 -8516 55852 -179721 -159339 34821 -88067 46945 79696 57348 -20973 160062 259886 -210906 80015 -20842 -70149 90110 -73291 -89262 -84133 -11941 -37111 -34291 -100018 134110 182174 -71179 -3597 -150392 -36950 78997 109864 -60189 181760 -12561 -51323 -218968 -3482 66551 -39053 53123 -22785 54515 32041 43590 24133 52857 21967 -29568 -147286 -69886 -29561 143966 19476 169507 -28398 74854 -80697 65440 -42662 105362 -10363 61051 60174 68466 10259 41115 -227491 -114437 -39653 -37230 38021 -53295 82914 145681 -91481 70472 64410 -25598 7144 -29316 -218337 6236 -109445 52787 -17043 -71377 25212 13883 -46112 -149251 107560 -145087 57630 -58603 -118083 -8306 -99151 -32381 10406 -64012 242423 272019 -183029 -8244 165803 19835 -15814 -80313 -55429 -129107 -123425 -9774 53725 -114333 -160857 34005 -65894 242790 47395 -26924 53683 36836 227870 83257 -190948 89399 191523 115282 -159230 138437 -46019 -20424 -102754 -1966 -44803 84411 -41925 -40281 -72759 37029 182443 49927 32772 49272 -72903 -76442 91024 -240131 -22813 130653 126630 -95839 -141978 34029 -76021 166275 -1916 -66637 -58664 -9732 -169341 14151 77678 187457 91647 -139720 -13603 -45922 119912 -28644 4062 -66750 -2849 -25106 108891 101840 -68919 -109790 8708 37282 136221 -9634 -4479 24842 162073 -40872 37750 -6601 -9160 144015 41094 -167307 -190064 37770 -52745 67696 -85940 26095 -30899 36787 57104 130911 -31544 -28094 166436 45424 106546 -107090 208453 -39094 75622 -171019 29631 68869 42997 41603 -90704 -43010 -173308 -13140 -58035 -97139 -121611 90657 -44623 
+-83007 -44002 -14481 28653 -63644 -20738 96416 -10243 -239472 11398 22409 -55653 53075 -53333 -61543 77308 -21249 -183367 15479 62567 -191548 -160241 -2314 -70854 44933 75177 -57884 -20633 146298 193835 -154042 27101 -49144 -41356 84049 -125417 -92857 -84210 -94133 -36009 -135848 -143216 180550 133300 -709 4710 -198623 -28340 110012 79513 -66229 196458 -103425 -47746 -228164 17893 79279 -53296 47392 18651 50985 36378 -90417 76935 68088 -6267 38099 -225496 -87645 -57648 112162 -28007 237366 30423 59040 113984 80285 -88282 52916 -85129 66119 -29324 159974 22968 54552 -64375 -83793 -61810 -12468 51292 -54018 83201 144139 -14521 58475 65638 -84058 131423 -31611 -133532 13579 -58529 44993 48131 -47831 81200 -4098 72855 -249217 181606 -141533 71780 -207692 -123264 -561 -116643 -24517 18816 -31730 186798 238912 -183217 19033 212957 -83303 -25791 -105588 -64296 -172630 -34456 80517 48644 -144328 -175789 77346 -40155 223056 31478 5436 26824 34515 219168 139889 -126384 84605 147435 30185 -162079 -37536 -70452 66903 -94522 18945 -219565 85355 -8593 -71577 -71151 17024 123172 20160 19036 -11192 -137532 -150811 77891 -185427 -14683 87128 128061 -40618 -78737 127596 16083 164250 -43802 -71908 -110527 -37699 -116515 -22086 32647 192213 -35534 -142434 50873 542 109881 -19019 -18085 13122 5259 -92274 96982 122255 -51275 -154753 -18730 62657 188778 39936 -58994 49951 122070 60332 -5676 41768 -166246 154343 104007 -160696 -140278 80610 -52836 85842 -67358 37667 -55783 16814 138145 36593 -33142 21792 33569 75008 87965 -53430 130975 -27613 65475 -173893 37766 50030 -1202 18427 -127129 -11326 -154197 -23254 -17047 -98532 -84679 104763 -48291 
+-66950 -123203 -6037 -72376 -8845 -4803 94066 -21700 -131489 6881 48375 -42082 56668 56971 -12633 47214 66673 -218453 23427 17575 -205334 -166313 -28305 -80883 49819 40098 -82295 -21849 121923 90840 -72685 -36392 -19729 -17001 45934 18308 -96117 -114488 -124339 -40629 -212792 -144589 161910 88696 31640 27998 -81708 -41380 103787 47997 -35614 77317 -146245 -80508 -234300 -8761 -17255 -21112 45682 38310 47185 66211 -184803 151643 73312 -11832 149651 -114976 -96373 95168 75450 -90268 209731 153382 59098 102174 107681 -100121 40912 -138389 33036 -28132 164226 19344 66052 75083 4582 -36551 -24361 12926 -19983 83486 149158 6397 -14426 66580 -77427 189975 -47742 -4131 6945 -29469 71598 123965 -10081 112436 -21245 123100 -159550 193266 -149820 45952 -171874 -119580 -5097 -141058 -28467 9486 90187 45416 177099 -225212 16287 218987 -29228 -40123 -124693 -37162 -146038 60281 82270 49510 -165409 -161197 67002 -64681 152843 -4930 7341 47842 31860 144336 197182 -44925 66089 91122 -155005 42561 -55400 -104711 52687 -143227 35718 -194420 98782 -4122 -53806 -78467 51980 37684 -31282 -442 25896 -221508 -119841 -11894 -96538 -39126 5521 89973 -9939 -15660 140264 45014 191184 -33572 -66806 -191878 -59947 -43051 16378 69419 156063 -194450 -143278 44646 -9774 36010 -12519 -27327 180172 -5316 -95744 75891 108890 -59244 -86524 -568 38149 214127 81553 -78656 81397 66135 196440 4183 98678 -160429 137371 131755 -87629 -46352 85977 -63536 88173 -54510 29285 -46555 35094 194617 -132462 -51289 70105 -69150 100168 51888 18913 -41340 -27891 62056 -101476 9153 24080 17275 -51673 -120227 63539 -103601 -44955 -25167 -81179 -9050 44440 -47792 
+-95369 -177217 16608 -53845 12004 17013 -52413 -8489 18023 23444 19520 -36451 58624 138807 59559 -3917 112405 -226282 -16544 26601 -181470 -186284 -66393 -80140 49486 6325 -24606 -34194 78955 -22316 4303 -36461 -19335 8175 63560 142547 -95766 -84787 -118867 -4167 -117854 -159456 104141 26678 -2462 34356 7015 -49688 65735 41374 -20976 -65529 -168266 -54214 -201650 -58752 -130269 -57654 40973 16103 29050 37356 -137635 136974 65863 7447 157855 48227 -105257 218844 49162 -132009 94637 208177 38489 -67447 113544 -53941 -29230 -179120 2954 -80102 84871 -94 46856 46803 56413 -58170 27568 49244 21475 83372 143023 27595 -84760 28631 -90420 136448 -69784 90433 14764 -91002 41498 122555 33204 164830 -37167 54545 28177 151987 -120210 33203 36049 -89326 -3641 -108370 -29078 -32330 136214 -60709 103098 -246224 22186 252443 118980 -78813 -146344 -61575 -74874 111613 141448 65106 -176349 -157148 59437 -56711 38710 -37336 1219 26360 30762 56918 171699 18234 40614 23452 -221577 193266 -50493 -134913 27889 -167796 55870 -2686 92793 -3535 -23992 -83405 65907 -29355 -69753 -7696 116120 -195063 -122075 -99099 -22646 -3620 -77230 55764 25528 30826 30478 19674 174695 15633 -68104 -220282 -60422 -14263 21583 69645 76968 -180966 -148564 -3663 -49935 -45865 -1817 -23916 157520 -5012 -78999 59286 113775 -68787 -42134 -35684 55017 237885 122282 -110376 95379 -857 235586 31688 142990 -59414 110977 103402 21346 91422 45491 -57274 75096 -59013 17804 -18691 49815 220070 -192930 -94357 105843 -94359 95646 55613 44284 -114375 -28498 66070 -69433 17868 -23703 -12494 -131460 -86414 61469 -38091 -34593 -58784 -66565 68693 -49068 -64032 
+-99174 -91950 -20914 90852 -100516 10193 -162077 20721 83227 -21011 -9112 -41451 56079 178835 140361 -53194 125314 -213240 57941 32071 -172799 -168425 -60380 -31843 52914 -17742 54503 -26141 37219 -86971 59256 15587 -22956 40358 55687 189731 -84292 -64173 -106205 -3106 7753 -106570 15672 9919 -84673 15119 40272 -56249 10848 5372 32619 -78778 -121237 -40261 -139429 -123790 -201693 -25784 39356 -35445 18553 24650 -2980 60121 48408 67365 86163 51924 -110630 129178 8996 -139316 -64793 143835 56320 -161765 125858 14449 -32344 -188150 -41340 -105865 -9899 -21106 48497 -135986 67143 -43210 26034 15843 27301 80396 136887 75125 -131421 51283 -97898 13247 -104851 115818 1419 -125446 38305 54572 71391 195454 -52589 -65024 122864 64287 -92727 58530 125692 -42924 -26647 -106384 -20948 -86523 46214 -27036 38889 -216996 28853 270123 130937 -39408 -169008 -34200 -33422 115599 108436 54392 -175892 -159750 54268 -41528 -62361 -56637 -22102 106095 32318 -22717 205151 20159 16695 -34661 -79031 96503 70642 -130149 -23233 -147245 84404 77271 99380 -57243 9293 -57769 68535 24507 -96061 -53702 178700 -107031 -132689 34538 59491 -18746 -137761 33542 52356 63158 -89231 -72904 195218 24368 -72981 -178093 -71141 1256 -4430 85051 22801 -13262 -146248 -18266 -82942 -29920 -8019 -9516 64757 381 -46851 37948 37082 -62937 9826 -91275 45013 221786 164479 -100808 85986 -88007 146147 56074 157375 42855 93330 62889 136693 159065 -35125 -39509 47860 -76556 30076 34646 -5194 221917 -97841 -128592 128914 -28276 120320 90051 -3254 -60452 -19815 75868 -5799 -24248 -61003 -3093 -120848 -57078 1116 15840 -16720 -8265 -38372 -34222 -121019 -68144 
+-100144 -14663 -2656 151307 -157272 36955 -60790 34333 -10103 -19298 -25117 -54721 52856 135463 86686 -99564 113863 -192659 91307 50971 -152045 -164174 -27326 -115871 50974 -45831 95684 -32971 -30265 -82357 97207 64009 -7314 51669 84589 101488 -62591 -92278 -66717 -28606 17967 -98754 -53970 14969 -119278 -3410 -96203 -28223 -72257 -30980 79477 71838 -68397 -37378 -84782 -121273 -189762 -16123 41477 -51183 19080 43957 131063 24454 28580 52586 -33710 -112347 -125786 -21179 -15847 -105263 -149572 26837 69187 -90512 121323 86610 -64194 -169608 -79314 -76068 -80079 -36071 14583 -253873 26040 -58103 10930 19848 12926 79677 130229 85365 -108991 28727 -67960 -82438 -102983 54555 -7091 -148568 31753 -16385 95581 210796 -66603 -155393 30703 -9716 -72308 84141 -27693 15887 171 -100476 -17967 -134505 -69668 110353 -23576 -203508 12433 290335 52265 -38904 -184461 -98740 -67612 22711 45391 65463 -164350 -149408 65474 -44462 -25026 -25368 17652 123162 35142 -51765 190503 -31690 2483 -70418 92122 -98754 168202 -143935 -119554 -196954 79961 88670 95095 -46531 60047 -57927 101394 49880 -88198 -81222 143505 -34165 -120301 118062 121915 35622 -171535 45123 57679 97057 -182878 -135611 234992 15964 -69485 -151063 -71379 18678 5042 76886 -85327 104747 -140258 -17835 -124183 -11244 8524 12265 -65739 -16677 -49058 25412 19917 -48456 33789 -58191 52129 186461 215264 -145215 88540 -120402 14431 60837 195516 22693 40063 31437 163042 182584 -85136 -48298 10868 -92167 38500 98473 22979 173877 63108 -154077 139127 94658 151510 121913 -75247 66318 1177 88473 -126693 2649 -80587 -9108 -14215 -49783 -53852 21156 8181 -51379 -70121 -106483 -59682 -69185 
+-98019 -23611 -21181 113003 -172055 54265 103802 63511 -182632 -8756 13911 -59656 48583 48590 83675 -140808 66255 -158725 38441 55022 -111447 -124716 -12331 -89758 54615 -68128 11887 -25043 -71297 -27200 121153 71975 -14522 86578 83671 -75890 -55472 -78238 6744 -39057 -100047 -34363 -86747 63822 -68077 4132 -209191 -4613 -131302 -19185 73548 165666 48692 -70050 -3195 -70227 -85727 -8248 40039 -37615 32175 31385 129181 11166 16780 9522 23415 -223491 -121040 -39440 19205 -59350 -151378 -31237 77702 79223 122873 132873 -61092 -106769 -128443 -60336 -57591 -46237 67104 -167991 -70900 -72487 -12582 29970 -27467 78122 135328 78233 -9072 28977 -31730 -75325 -119932 -66394 -19019 -130847 55202 -22304 98087 216702 -78718 -139718 -156444 -41061 -48363 84460 -185913 75031 -2371 -100337 -16937 -174376 -56607 246376 -82930 -191502 17169 266981 -82144 -37999 -190218 -54451 -164688 -84019 -41450 65973 -142643 -123966 42175 -59103 24768 14836 -29919 170223 36941 -19964 124240 -75120 4294 -107959 94576 -170233 181808 -94770 -166452 -214068 79220 -38031 104422 -99949 91871 -76257 90867 110015 -56451 -77563 52655 25383 -109887 124599 138958 37039 -148199 81243 60215 66552 -153357 -61053 250623 -21786 -72088 -68113 -73517 -27789 -12947 55105 -97459 45305 -134736 3753 -119307 144749 30669 39229 -29207 -11532 34391 41061 22878 -59802 -9319 -86894 60630 150683 231737 -128262 71228 -184341 -35173 129753 203624 -88774 35011 -49273 119239 108312 -114523 -17043 -30013 -85440 22413 157073 15752 102820 125901 -162965 77434 176489 142194 114256 -80195 236459 -3761 100534 -167572 -876 -69214 39628 56674 -75150 -37116 90151 9404 -45744 -94782 -94980 36720 -52576 
+-85484 -127602 -39023 14990 -58323 75430 92222 91038 -270675 -41735 35942 -63260 47125 -63387 81446 -196542 4343 -120702 38037 42663 -73275 -106195 13446 -87638 59694 -73913 -84545 -37139 -95122 86314 98564 52568 -47793 95407 86521 -123302 -57948 -27316 36436 -45292 -198313 -47812 -75112 128993 11701 27410 -158710 -20273 -228625 -45969 132307 177935 124458 -61338 39090 29201 35687 -43833 38841 8421 41870 19130 33775 90028 16783 431 103898 -143499 -126471 81952 39894 -1297 -32233 28586 88302 79655 84182 123271 -15745 -58032 -157127 2163 32881 -43965 44853 6765 -131189 -69452 -44919 16973 -51702 72336 122466 41617 49067 22201 -12028 29096 -87566 -177116 6012 -77174 14351 55464 78827 199237 -89675 -26353 -248144 8185 -1784 86736 -135628 131420 -3794 -60631 -15949 -198624 98651 194478 -91933 -165315 -6828 285501 -52219 2981 -194863 -69657 -137934 -136695 -65237 64018 -111403 -109563 76985 -47192 126763 60318 -24283 165828 36416 68886 95002 -175383 21104 -117802 -96680 -35799 103332 -72324 -218618 -194494 69209 -165595 121853 -42780 94063 -82566 88780 207573 -16076 -103164 -10191 -37174 -151210 -10567 130594 26947 -105233 109821 46300 10228 -64574 22772 240661 -14523 -69375 461 -77457 -65087 20468 56991 -27903 -119212 -136651 59240 -70962 158286 31060 58064 85775 -18394 46924 -13895 44407 -40670 -90868 -94196 40786 89206 252634 -128637 71796 -189241 38317 158354 221975 -167985 14864 -55546 -3510 -11717 -107750 -38521 -68749 -66197 13148 210546 23328 18026 31154 -144322 105509 179678 119132 140398 -42118 260341 -9243 106989 -192294 -13796 -108710 1223 -3854 -110106 52854 111805 53328 -47345 -128210 27993 93327 -63916 
+-92043 -162346 -11101 -34766 -19996 58742 -55999 114078 -158253 22212 23400 -50756 46545 -156899 32404 -223840 -15642 -65405 24602 28873 -58924 -69160 55282 -91789 54443 -98751 -95832 -21526 -114406 189165 31750 -28378 -46730 96154 59490 -49974 -44003 -76958 50959 -47004 -176978 -40120 13431 175067 29046 34589 -19792 40637 -238360 -40961 146434 66830 210140 -53483 78937 36989 89914 -21033 39843 31734 52988 48867 -115800 129531 31687 77 178858 28287 -133579 183813 93526 44817 105919 149082 67486 -57436 80787 82380 17233 10641 -190502 11355 137017 -23140 85683 92994 -117285 -128085 -23202 15968 -19 73485 119423 21558 85145 34273 33205 148481 -51728 -256853 40187 -48059 22827 128015 45573 179868 -98738 90352 -151575 86591 52214 108113 21429 173878 -21171 -134384 -18887 -192165 146020 64941 -43587 -94000 -2679 237105 71078 32005 -191750 -81116 -102033 -115756 -81570 62588 -74229 -94065 94872 -36861 232319 50753 1803 127876 33897 160766 15505 -160816 45624 -105423 -223436 160430 -35466 -42351 -146820 -193196 52492 -183723 109955 -36312 102916 -84160 71721 129506 28590 -116073 19612 -117596 -98041 -84007 75269 31178 -14258 132192 33363 -26134 41580 43770 250919 -48852 -69337 83758 -65995 -121717 24296 43084 70815 -198995 -105982 9786 -10195 103052 21866 64574 182218 1341 98267 3941 98505 -58795 -166369 -109293 21132 36796 239765 -89824 29785 -209929 143409 174614 200393 -132540 -39874 -34363 -121077 -107174 -71543 -42034 -99768 -54839 24178 241851 14273 -46729 -132033 -108184 14493 101634 142477 102727 47161 160300 -387 105556 -54130 -4307 -84991 -17388 -120295 -129570 69825 101646 39467 -39989 -76723 50320 88836 -53154 
+-92323 -82859 -38252 -41833 -50241 52060 -163904 127784 54701 25470 -4388 -38568 53337 -180119 -85010 -225978 -45141 -16366 4853 20010 -19298 -46105 50336 -79413 55136 -81124 -41474 -13565 -121633 257272 -32711 -62768 -20891 104184 68095 118785 -55901 -48455 53421 4419 -45765 -94267 93049 179343 -23804 15829 45957 29726 -246780 -57652 92784 -106084 221868 -63170 68144 10536 35410 -19690 40984 23130 54964 49461 -178269 138069 51941 79186 105621 72668 -126038 130402 108067 50367 203034 207041 54500 -151282 48168 13168 25042 88468 -214114 24615 175263 -8520 58323 -35921 -41802 -105210 -347 16112 22054 73073 119319 -20679 14633 31939 19315 188748 -37364 -242101 -18571 -63189 53063 138386 1287 153460 -106234 120260 34282 160674 78742 80635 83716 201071 -18475 -114647 -19935 -171675 60673 -48322 -15000 -83172 -4959 214457 164844 4432 -179280 -112261 -55202 -72297 -64623 54442 -32659 -57603 47036 -39019 230422 16813 -28175 132447 31406 221330 -35266 -145396 70134 -60500 -144972 157391 -85398 -54642 -91566 -231259 36080 -47150 118465 -19401 74206 -45484 67121 11742 50188 -139176 111163 -196138 -133667 -19821 1265 27633 63277 128854 -24024 -85085 127858 -45586 221101 -34701 -72028 104443 -54444 -180304 -7104 89742 136319 -107617 -114615 -19308 -7641 -39293 25562 65021 149857 -6670 72683 -30330 137453 -37854 -113208 -133161 17622 -434 233502 -42100 9376 -123366 226123 144378 158992 9492 -49539 34780 -176413 -151692 3054 -74885 -118027 -58106 42283 248289 43224 -91767 -211928 -71624 -18819 -44707 130391 73949 17753 -28418 1761 98432 40386 -13938 -105933 -40838 -113994 -102688 14032 94702 82064 -32211 -55965 26883 -12254 -54021 
+-77794 -18478 -13018 79770 -142808 22551 -78130 140169 111504 6324 -11201 -35959 56730 -148553 -185321 -229805 12709 27007 -7346 46928 50017 -8977 39090 -103851 53927 -71140 84435 -26794 -118850 243326 -120716 -30252 -34440 104831 51778 208128 -59276 -47104 47136 5865 40210 -121131 166980 170449 -88212 -3205 -38833 79301 -206739 -48360 106936 -64643 206157 -57646 3690 -43758 -83367 -17869 45270 -15820 39606 20555 -119813 63553 68777 88961 13942 -68867 -113981 -9353 132397 18523 211710 147257 65034 -119701 63471 -66951 68854 143609 -237367 47473 123902 13712 85958 -206027 66334 -140089 -357 32863 24382 73480 111903 -53778 -52975 4203 23597 117832 -19846 -150477 -35710 -111270 48408 63825 -37627 122088 -111663 44787 123339 191649 84070 54615 -9078 208455 12181 -89909 -19308 -123678 -69543 -29405 68350 10568 -5183 165963 52204 -11358 -163978 -101949 -60565 22946 16470 56290 10273 -51968 52764 -18030 184860 -21606 -10922 71924 31047 225184 -52400 -78536 86199 -6323 39239 -45115 8907 -65765 -10022 -201878 17367 110862 119714 -19411 -5255 -65797 40169 -3960 46263 -152659 171130 -211761 -110672 75256 -73946 85649 125397 80693 -68494 -139220 76149 -95584 233324 -36479 -71555 79537 -29201 -175430 -10535 109020 193341 60492 -90479 -41688 8616 -53558 22915 45840 -15628 636 44205 10508 126197 -30722 -65973 -129711 75096 -29520 204422 -6540 -16998 -100187 178634 154403 138505 66540 -77876 94600 -142026 -192799 47144 -75757 -120805 -76036 6002 230938 4325 -106856 -111313 -46188 -92408 -107715 112721 44170 -27223 -124692 -541 85606 -65265 -30475 -73609 -36875 7004 -69313 -54450 52222 11311 -43075 -46116 -91271 -95342 -67676 
+-89730 -21573 -22308 199976 -157550 54435 74867 140502 -22675 29045 -25369 -46326 56404 -59445 -241512 -208870 38540 58424 20221 52215 66885 43654 -17605 -91388 56761 -54597 124559 -22058 -65600 159535 -192484 36926 -16975 100039 69377 132195 -74683 -19139 -3544 -15654 -27880 -141550 190510 118478 -108171 3547 -185546 47166 -135009 -45621 57799 77670 138607 -53641 -48768 -122250 -179714 -33550 50475 -51992 24128 17947 12875 3869 73879 51769 -13542 -224325 -104271 -62502 117324 -31982 120146 28085 72181 47271 82938 -96699 92381 160263 -228293 -32394 33944 12675 49417 -247937 67547 -184400 1965 27383 -19512 76081 103876 -114182 -120401 -4235 30318 -8200 -20881 -17202 -12676 -138562 51971 -14540 -67615 65897 -115053 -87613 21895 180317 60712 55060 -185935 187288 -8670 -76346 -28036 -70076 -51862 117950 173728 53342 14706 113847 -66889 -26393 -146298 -95862 -122012 110475 96985 46077 51249 -29037 29314 -61946 66191 -65086 -25194 48259 32881 171960 -89199 -2173 88321 56684 117314 -203297 138810 -88161 15301 -196144 -7679 138800 116278 -2951 -38027 -63010 67233 -13885 24871 -178809 150337 -156768 -81377 116728 -162049 72093 148835 48409 -112643 -126781 -5523 -103000 275883 -38994 -67907 21819 -8531 -172965 -4495 71998 216827 95019 -94594 5685 -20792 -58293 34061 21639 -66925 -11922 5752 22991 86978 -16534 25683 -125555 65819 -46564 173605 13544 -52323 -8477 47479 89762 84962 -21892 -114464 121702 -51321 -178296 87278 -48394 -108024 -91190 14422 191702 6025 -74978 63527 -33710 -129230 -44025 125963 57667 -93906 -79610 -8782 72146 -154186 -8695 -85736 -17735 31077 -51537 -4256 7670 20835 -21911 -93587 -90734 -98678 -38925 
+-100517 -108352 -10044 167738 -99656 18555 106332 143627 -226593 566 8142 -56852 56747 43560 -287577 -157277 89929 67092 37771 45938 79428 66768 -17119 -84275 60108 -38352 54332 -19681 -18056 47059 -220499 78367 -56617 89889 76029 -33605 -89342 -7356 -78949 -11741 -145599 -129821 133596 60467 -58964 26805 -189447 23783 -61058 -17615 27331 229299 59719 -61667 -124258 -118345 -205157 -69258 52921 -40655 23228 13633 117618 21296 65584 -53438 56017 -177172 -94782 95352 107955 -87919 -9298 -26951 88016 117347 75171 -93516 142056 185892 -216493 -30607 -68019 17009 28722 -82806 44061 -122849 13783 -6694 -42660 78548 99433 -134229 -103893 20345 11485 -89877 -62380 82850 8810 -141448 47172 -18558 -74932 17674 -116507 -165258 -165018 86973 47930 79616 -120798 154388 -3521 -35667 -22107 -28157 79150 235703 204752 58506 23660 73771 -78849 -40611 -122063 -143528 -163509 118630 132533 58993 88142 -24177 50963 -40329 -21650 -40001 -9038 48789 35713 83818 -51332 23508 75576 116153 -19475 -109158 201540 -108979 70722 -169387 -31694 -52305 122512 -46337 -79798 -60616 28218 27752 -26768 -195357 60146 -34204 -86141 14363 -229321 88644 123377 32505 -170632 -105032 -134225 -32285 231593 -9805 -68040 -66953 9993 -142551 28234 79841 156934 -43046 -63846 44531 -70842 69073 42279 -2194 41587 -10490 -43184 62404 40029 -26819 36103 -191600 46574 -26598 171883 39956 -62952 37634 -34032 65045 67646 -154409 -156744 132606 67165 -63469 67189 -29166 -81074 -84765 57168 131599 7500 -7614 138797 -45356 -111995 49609 125306 73262 -74511 65316 8270 63626 -191662 25777 -61418 -14105 22821 -57945 71740 -19125 -7869 -57826 -112827 -4439 -29799 -19534 
+-98851 -160244 12772 10200 -44268 37848 -43812 119661 -258936 -11271 52067 -66800 54560 142740 -261278 -119512 119407 61361 40113 35133 99094 97498 -60220 -98361 59997 -2161 -64510 6444 46754 -49204 -221181 85346 -45407 84757 87990 -109280 -99794 -12539 -128642 -52634 -196025 -120000 64903 10669 20311 34832 -59192 54473 34165 11553 -38130 143636 -30289 -63291 -184679 -72556 -144202 -41955 57682 -2036 16857 50356 134624 107805 49262 -17875 141255 -2349 -82856 230566 83840 -127402 -127727 31129 90194 -43665 100181 -37636 141098 151525 -194267 -81509 -71537 -7322 27392 72338 -13669 -151828 -23625 -9628 -59972 81130 83788 -180604 -48991 -1968 -27869 -62621 -85908 114859 9140 -111987 69947 59286 -61412 -24002 -116190 -130323 -248848 11903 16331 57820 39155 106361 -6951 -50861 -26731 7877 142490 219902 264792 77824 25818 14767 57550 -54053 -96838 -125465 -106054 74600 140656 54975 117414 -19670 51083 -47749 -57641 -12394 -21640 16731 37059 -8794 -39968 -8411 51313 162355 -181376 107839 177292 -143545 14131 -183540 -34661 -170847 106006 -55505 -45733 -44163 38104 151920 -67201 -200806 -3472 22433 -57909 -42130 -243681 116988 68032 46276 -186645 -42264 -177891 52165 245952 -9167 -70211 -127987 20742 -50157 33166 78235 47532 -192444 -67269 45970 -146307 137697 54268 -17192 149026 62 -85927 48789 14793 -17299 -16149 -210809 77162 8739 110726 5168 -93064 103407 563 52822 10740 -164842 -131737 58387 99659 46330 25464 -43971 -44500 -68626 -7916 70364 -1252 61007 32845 -74009 -107424 182824 150420 106129 -11715 207798 -636 61252 -104901 -5477 -42592 -29943 -76951 -88422 86697 -87970 -28423 -54713 -97663 64959 61565 -20250 
+-81227 -96273 -29274 -66923 2661 10707 -163300 94332 -142793 5268 14259 -57463 49762 175322 -302629 -79239 114461 46525 49273 5392 91101 100711 -56366 -80077 60128 23509 -138148 32457 87216 -85283 -200773 8870 -35432 59659 47442 -77931 -102842 12103 -125655 -39818 -133700 -93101 -11233 -1157 11646 16535 28893 39960 82611 38493 -36270 25802 -135860 -52759 -241956 8912 -15266 -26813 66952 29393 31558 72760 -293 146830 29411 50147 153384 80236 -74039 136693 52000 -142455 -167979 150548 69772 -182193 137651 23822 70681 104849 -181679 -94605 1922 -23162 51926 67457 -104135 -189559 -54254 16958 -18501 82245 80134 -199019 42382 -11733 -49539 51526 -107229 56428 -20203 -65452 80079 125209 -29923 -69039 -113615 -14199 -143302 -29866 58394 34648 89138 50038 -3699 -38117 -31443 18333 51805 96703 276944 81754 6961 -27796 156521 -66730 -74234 -101068 -49683 -40384 92262 62888 137714 -4575 78481 -51491 -38969 27578 -17857 35610 35918 -53600 10485 -84998 26024 212056 -198067 162944 12170 -135365 -42348 -171824 -40343 -175424 104997 -87507 -29215 -55901 82753 176022 -92635 -237698 11599 -25545 -54410 -60658 -231060 107867 -11942 85732 -209822 13272 -142572 34528 214665 -30430 -66337 -196308 43979 -13254 25414 78330 -51576 -157513 -44370 6817 -141446 144269 60472 -28510 213883 5175 -84635 43714 14814 -14903 -89342 -202692 103093 64011 53182 13464 -92111 169112 138239 -2911 -14466 -63998 -113166 2714 131604 147709 -39713 -52637 -3703 -55844 32916 12337 -2752 142690 -123587 -117775 -60095 198796 122663 125950 41218 270378 -16443 66392 -28474 6870 10328 -49821 -114270 -120994 10752 -120894 -40653 -35425 -65422 17487 106499 -29347 
+-105232 -19346 15771 -42052 -22352 -25060 -93418 63821 17811 4085 -1961 -49468 47133 145053 -224442 -32005 64311 10740 74822 29275 95280 113925 -19946 -81218 63785 61916 -21089 35932 145039 -58013 -128577 -55788 -15559 51008 72466 75013 -91879 -39647 -102320 -44978 -2300 -42850 -77283 19592 -14151 -2936 17985 -5666 120129 54894 -83444 -95222 -145354 -75852 -238801 28372 72315 -39026 66493 22283 40909 41977 -130400 129512 17248 49654 40738 -36948 -73562 -3012 4432 -109660 -42477 207383 44578 -156463 111168 89140 57235 49043 -137738 -105782 97794 -42115 68475 -113360 -141182 -159896 -26895 -557 1454 85047 77268 -197899 76195 -15413 -67345 163051 -116860 -47330 -4723 -42352 73118 133077 12262 -96158 -108903 100090 42684 -11717 -25959 42364 -35437 -10177 -16869 -49849 -30420 11091 -65370 -34857 248739 47285 27176 -47887 104224 -34281 -51742 -103575 -53012 -110947 2209 53983 147473 3169 40692 -41295 87482 66767 1763 97389 33138 -32865 86180 -158154 6527 217058 -22808 10214 -46514 -118858 -114565 -141211 -19397 -40160 112717 -63839 -19966 -95721 49801 148002 -83973 -216288 98054 -100527 -29394 59586 -206464 89749 -88035 115503 -210059 55656 -16338 -72333 195581 13048 -71783 -223072 54297 59959 -33862 88869 -69536 -19519 -45039 -15057 -82241 57571 66839 -24639 40450 -12935 -79637 95130 47620 -17389 -152039 -201955 63664 116923 15313 -22917 -85859 135093 238802 43013 -30461 35461 -104284 -56425 78042 190091 -102264 -47430 35470 -56866 32717 -34191 2631 194079 -201998 -146740 12756 116567 130604 132640 -7246 163276 -15073 78668 -44421 35553 26551 -39970 -50945 -115496 -53358 -179264 -43931 -36941 -39184 -62701 60643 7127 
+-98259 -3336 -4098 70801 -109366 -14325 54454 34612 116675 30341 -4095 -37495 47616 59380 -202908 26369 14065 -25753 43838 37110 77145 135264 -10988 -60207 64846 86907 70031 35233 152583 25989 -64289 -46261 -29820 31190 70987 206433 -89020 -14068 -94171 -61010 17810 -53736 -84929 83432 -94565 2992 -118308 -3362 112121 99920 -31886 -11659 -158437 -46736 -237762 -2618 65411 10287 71620 -7282 56235 20250 -189642 57670 17830 51272 -17339 -197917 -65220 -41865 -4850 -61116 75330 147441 36352 13863 119470 120607 42916 -35977 -107361 -66312 176653 -52398 91937 -248278 -80470 -141619 -4774 25538 16423 82797 59597 -161751 52856 -19250 -96594 183825 -119304 -175958 2386 -76176 71290 64294 54904 -90828 -103065 117389 121793 64857 -30808 53544 -203659 -63153 3856 -55949 -31475 -24396 -45470 -62982 187136 46004 10257 -82354 -55195 -26864 -29572 -103938 -118170 -161406 -49255 62142 145975 -2579 50599 -54794 181147 48078 -6196 122727 31140 44022 133413 -204926 1089 209859 118886 -204093 -42416 -105813 -177270 -155138 -13623 124033 106852 -28183 65066 -89205 93933 77596 -70220 -234643 168647 -208191 -12190 119413 -123258 108272 -147039 135898 -231076 95214 83317 -113465 196165 -41616 -64086 -188724 80777 10719 -7849 79750 -70100 100312 -39745 -10762 -9727 -60456 64741 -6679 -38284 -1576 -48446 64826 103045 -7869 -99130 -205102 66636 176538 -39757 -52137 -79855 106884 199057 62255 -85092 18813 -97816 -67873 -102953 145186 -127210 -36190 66769 -73541 28213 -54498 11807 231366 -99646 -158150 31981 -29840 138837 125193 -54705 330 -18202 91597 -108784 15725 62102 -40972 -16419 -91031 -46363 -217676 -48813 -47964 -74708 -117774 -35065 24911 
+-69566 -86322 -17975 153519 -185829 4818 127803 14177 3435 11007 17575 -42434 48939 -65218 -170231 58867 -39943 -73337 72816 47087 40323 157167 20706 -122866 65537 95339 106755 21843 157677 150070 16512 49010 -40371 9110 62355 165613 -72151 33250 -16127 -21307 -91990 -40008 -39994 151517 -110659 26236 -186545 -29122 62659 97550 -32754 108247 -107598 -35819 -200326 -67606 -26580 -21976 84178 -41908 58083 25189 -106408 10631 30380 5971 34636 -210600 -71616 77507 6710 3904 190033 28810 83747 105361 135448 123330 -42715 -93598 -72061 -19426 149460 -42831 58034 -190282 -30662 -155949 2259 3493 -12529 82144 63150 -112375 -27043 -38096 -97886 97313 -65136 -261916 -8143 -134025 95657 -10176 86870 -98494 -94825 30958 12487 155118 -113909 62019 -173333 -104482 -6421 -55951 -41850 -74926 86859 84563 99133 56252 18911 -87925 -72740 -13117 -10297 -96125 -154411 -95545 -113965 61767 133478 14239 62516 -41707 240213 -2865 -21205 158721 31294 133722 196045 -127144 12489 175959 57589 -168251 -25769 -52606 -205998 -137517 7424 108759 97021 -38633 77060 -79218 122820 33137 -11838 -247891 152433 -214136 -4331 66143 -35555 115973 -169403 119693 -205139 89468 133364 -86965 198076 -50801 -68161 -143696 98213 -10232 25329 83800 -614 38219 -24670 44157 14923 -43864 72579 16785 -15170 14480 25823 130451 141923 10684 -45078 -182991 68010 212621 -58172 -72617 -75767 76909 72613 85552 -94670 -107966 -89043 -20484 -157882 61934 -105914 -65685 85483 -90596 26769 -45998 14740 210145 62284 -152869 73498 -104812 125457 77144 -100764 -112444 -26471 102049 -193140 17640 95699 -51592 72870 -58417 52321 -265111 7490 -56366 -84956 -43426 -99015 15430 
+-92584 -139447 -2933 149483 -153210 -25380 -13538 -8532 -170349 8108 20353 -47793 50947 -144445 -82518 103223 -51034 -125251 46992 37938 3194 138236 40613 -96900 60081 101519 48847 59299 146799 225509 86973 86827 -82362 -7213 71042 38412 -60462 20985 14296 19190 -203198 -54842 37260 192682 -75072 34984 -153653 -40803 -6264 117339 5150 219588 -15496 -28088 -116608 -118307 -145480 -46210 90522 -47138 44948 15920 34297 25949 50322 -17372 132872 -35033 -76209 228483 38956 50406 231259 -33590 82273 28779 91979 72411 -13065 -151810 -32268 22306 70904 -22074 61785 -12772 69530 -130834 8285 -5518 -34330 83395 67360 -63443 -112362 -25150 -84394 -28137 -46141 -258572 9560 -158801 64840 -14039 99381 -81285 -84581 -100595 -172145 196890 -105391 80410 27515 -123559 1871 -33492 -40871 -130304 136353 240919 17630 28665 -4939 -102861 34921 -33169 3021 -99390 -153736 -5544 -62033 66093 111151 -6049 49901 -48404 228479 -42888 15707 158026 33658 215685 201490 -54668 34929 132015 -136879 55867 129459 -44040 -147662 -94307 36163 -59224 92493 -3503 96383 -95380 69369 -25634 30593 -247556 66969 -178203 -11216 -50325 43659 93516 -145699 84032 -169179 48864 90482 5854 230074 -28983 -78305 -41888 91484 -77762 24146 62299 91247 -133528 -17027 49927 -19516 -29508 60837 40199 119524 -2477 29233 86113 125649 13227 12629 -179721 85677 227045 -84253 -91702 -48336 -12421 -31806 122030 -119926 -178355 -33182 16238 -191673 -51895 -41603 -33450 88359 -89403 1050 -17586 30348 169759 147935 -123324 173730 -67424 82572 39095 -55665 -100586 -36375 107395 -162914 16195 107020 -61645 -67257 -44429 80780 -263940 13680 -48142 -97900 46215 -66858 4473 
+-97384 -128707 -19898 51548 -52344 -24509 -155567 -35862 -265977 33721 23560 -59194 55890 -180812 4657 94095 -6942 -165199 31337 24684 -32328 117564 48174 -78935 62275 96827 -81200 40126 127081 257601 120937 51810 -30764 -49479 85723 -79073 -52250 -560 31595 4863 -167619 -93357 136323 181885 -8329 17219 22040 -27649 -97318 128410 30327 121612 77103 -55116 -56858 -130043 -200843 -15994 98503 -15190 23347 49761 141068 82579 67126 31013 148281 80261 -68310 149662 47393 52238 170484 30844 78753 -188105 65084 8202 -53452 -174118 11236 73435 -28759 5344 7755 94142 92995 -102698 -5489 -6937 -36464 79622 48144 -26239 -137364 -24756 -27325 -93447 -21115 -167016 10981 -139786 75308 45487 91310 -59392 -73171 -170276 -249330 188085 -164817 85858 101401 -126229 -8940 -34321 -41302 -172637 68335 224274 -35329 -9376 8692 -100891 155200 -16219 14928 -74804 -97652 90009 -25813 61006 79367 -6839 39431 -52967 149446 -54286 -29816 128318 36262 235548 206621 -18345 60846 70259 -225663 179669 202359 -47024 -100008 -90003 61758 -184506 90322 -19296 84373 -89898 110388 34928 54120 -240512 -4311 -55096 -22624 -53868 111642 101344 -85750 53174 -130174 -3481 -57215 56164 164340 -48009 -64483 16999 92830 -114847 7137 96666 145422 -192144 572 29640 -68522 81695 70408 57679 170135 -9074 46884 108467 97686 13855 20181 -155436 96217 218521 -68421 -144590 -15214 -89368 -18263 114546 -96782 -116845 21740 100689 -134966 -195916 16050 -66465 76154 -68702 29588 32583 -24831 96511 48656 -89168 126185 29204 74570 55213 17843 34379 -37901 104731 -51565 34516 105415 -67675 -108613 -79432 31735 -270018 29365 -27957 -72205 39957 5687 37049 
+-99802 -46336 -6049 -73541 15888 6575 -102767 -27325 -156657 2419 342 -61132 59439 -160805 45460 83825 62112 -210398 12597 22091 -67152 95780 12766 -106559 70292 84544 -112110 26724 82179 214967 113604 15119 -8803 -72274 67659 -112986 -46214 -2509 76191 -29786 -51257 -145922 172118 157932 24971 -2684 19425 -49719 -146415 137813 109061 -44436 153379 -71303 4697 -61938 -179366 -23104 101341 29034 20288 53234 121205 140341 75354 60173 52445 -3203 -86195 -19936 98222 20347 18517 157138 70239 -157446 73231 -62755 -57761 -171977 29252 18143 -78534 17194 3019 10548 49084 -80737 -25745 -21139 -1381 76540 40427 17327 -91822 -22705 -14272 -47484 -26768 -43511 25247 -82035 79510 121233 63509 -11302 -59978 -122929 -135200 107668 -148802 72839 -26924 -108381 15233 -24917 -40746 -192758 -70325 125474 -85734 -70866 1430 -70295 119314 1721 19395 -104816 -38596 114460 48058 67631 41449 -35054 39165 -76166 5699 -28643 -27755 125392 36979 188283 223549 45155 81219 6666 -104514 30888 143331 -87410 -26844 -70939 77046 -205076 78014 -53449 79049 -44766 77627 133070 51295 -245230 10779 -13873 -26504 29159 145697 59539 2737 35396 -71459 -80301 -143014 -6997 149011 -45569 -70184 80526 92620 -177856 -22699 89687 231615 -97832 -14827 987 -80039 134409 75550 63729 118069 3669 87002 128519 34544 18835 3668 -156933 68428 207556 -81491 -165684 2070 -155361 95319 84719 -79174 -8011 31642 131676 6951 -202764 62416 -16472 49469 -55807 26474 95782 22055 15752 -133784 -58661 147892 134227 100214 69680 37650 192227 -40633 95176 -11220 32230 137285 -49879 -136041 -112246 -54507 -296564 13650 -31248 -69589 -69804 110983 68911 
+-92342 5282 -6850 -41430 5474 -22070 68228 1717 21488 733 3316 -51377 53670 -69143 94125 50645 121488 -222872 20853 23418 -104312 68165 -29614 -88104 68851 74293 -7517 26701 24303 114190 74905 -58828 -44646 -93321 73950 18122 -49325 24393 39420 -34122 32454 -152367 170053 104848 -19137 2484 -74613 -43496 -219869 134232 102628 -69727 224682 -43596 39096 5354 -70979 -55061 107363 33811 18138 49656 -3865 146653 67467 66684 -10713 -175463 -94179 -77433 122169 -30248 -89702 212650 66818 -21068 35001 -90734 2673 -149325 71560 -10616 -35219 17496 60472 -187984 -37068 -83209 -44011 -16409 42134 74036 35027 50056 16246 -40819 30820 74269 -46811 84071 -44113 -41515 56700 126495 22241 26991 -45597 2941 51358 16584 -158734 63408 -213912 -68459 -8205 -86664 -41007 -198555 -50459 -5622 -99464 -86942 7587 -37026 -21751 5124 16838 -41425 -73762 94618 103936 52383 -138 -46292 58082 -74361 -42887 15478 15493 82151 35318 102995 114058 -206 88891 -46656 84043 -149970 -5581 -109518 23283 -43141 93896 -16477 88332 -57656 53331 -52512 39881 158159 21499 -242788 97269 -2639 -19271 103403 139762 116560 80823 52772 -20048 -124359 -173947 -101611 133297 -11938 -69872 94761 80892 -172410 5368 74654 199272 61622 -9206 -9170 -138893 85459 78165 59538 -42768 4377 102299 119542 29017 49713 -51576 -102221 94888 164230 -67101 -117355 38296 -212163 217795 127460 -78926 51868 80114 129128 136877 -164501 78041 -40406 12785 -57318 36915 157417 4607 -43319 -211338 -33215 118970 190430 80275 127861 -5448 257023 -45819 82164 -80436 47934 166666 -62015 -24393 -128898 -44495 -272614 46541 -42697 -50565 -106987 104578 70390 
+-65376 -50726 -13161 65342 -110863 18898 128526 17191 98376 18233 -6231 -47283 51296 47357 144273 25328 131351 -226284 9360 65051 -141803 48162 -73642 -132236 70867 41147 62055 24604 3442 -2800 38029 -55235 -38793 -117679 68121 167507 -53435 57869 33444 -23515 -18171 -150964 122993 57160 -106671 25645 -175078 -10793 -244970 129077 123525 -3806 204863 -38426 58853 47261 51587 -47486 117320 4894 23401 49551 -135668 57855 49368 46128 -9143 -216745 -100075 69557 140109 -95525 -154677 144329 47234 136792 62597 -87009 25129 -97782 75167 -62793 65497 12141 31308 -244363 -122185 -77782 -21917 -19420 36687 74081 34406 85919 70496 -49547 28898 174747 -78800 118849 -1939 -46135 52068 66752 -21018 82286 -29999 101595 122141 -29500 -190689 80626 -195109 -16539 -1183 -17245 -46132 -173233 76860 -41950 -58117 -167650 20430 2712 -94453 -7782 3340 -30604 -135127 12884 132682 46462 -43346 -49868 9995 -76293 -37030 59051 -2088 46907 32561 13622 140708 -86785 81884 -103313 104345 -185642 -48791 -129750 70905 -26436 83903 93873 80421 -71572 -31365 -69643 52761 186835 -22988 -239071 167677 -78261 -41447 62292 95624 19550 136773 89207 5679 -144356 -106793 -121121 116335 11131 -69982 76122 69049 -176669 31260 101911 141634 92357 -3069 -24949 -102453 13877 67992 45381 -51630 -21768 69499 128099 14666 23533 -140348 -123519 81021 121773 -49791 -121435 62097 -210771 217930 116641 -6467 -26030 93441 66573 131687 -76120 66405 -27261 -27999 -74334 30594 207732 36829 -92711 -121952 -38469 58954 154264 46841 143751 -76632 155890 -49168 70590 -156239 25391 182226 -78817 45183 -105423 24688 -213282 32591 -38312 -43074 -71317 28909 60617 
+-83934 -148637 -43487 181932 -191670 37888 4218 30761 -106 -2321 20838 -38922 48229 140790 118899 -26151 136220 -220528 37235 73549 -172385 44799 -41265 -85378 66681 28849 105309 14047 -73242 -69224 -62233 24837 -77568 -141025 49015 193002 -63677 3044 -25719 -67824 -151146 -99049 46478 11128 -122495 35145 -164692 14266 -256376 126402 126890 155334 192722 -73687 45340 20312 87843 -14312 117444 -39660 48722 25295 -185889 12699 31612 689 75905 -74703 -113489 200696 114506 -129733 -105780 28996 70054 57758 53560 -20001 66693 -19474 70210 -66025 158852 -1183 63133 -122124 -125585 -30499 -21317 -16872 -21127 72705 26676 82067 74543 -55188 23299 175487 -108522 65114 -19259 -93109 47224 -4863 -55205 119274 -13006 114866 7064 -25759 -150848 67720 29140 43491 -11400 -18018 -44929 -123185 137280 60084 -13150 -173843 -23974 58024 20185 -34223 -7899 -44886 -132606 -76878 90412 52833 -84055 -77174 32490 -60384 40129 52889 -12076 19895 30846 -49114 4954 -153424 61845 -114178 -58195 12183 -55505 -129721 55236 -14115 80664 98370 72201 -67212 -61544 -72189 6206 103651 -68230 -220976 153549 -170332 -47613 -19037 30804 42545 146372 128811 51827 -117292 24883 -41982 24366 20615 -69644 -10924 59120 -145014 42346 66635 26376 -40222 14907 26156 -69958 -42919 68114 24621 57754 -11885 1077 106646 47449 47932 -128539 -69633 123665 58247 -18827 -90351 81374 -177563 101430 101490 47727 -142536 101348 -1988 99587 41414 -5 -10764 -67044 -92503 20273 239526 10977 -101838 53097 -65931 27718 -15522 55591 130179 -97911 24978 -49612 62785 -174497 24565 152886 -26289 9338 -75848 81117 -183135 46980 -1299 -98394 95152 -57900 67770 
+-81505 -156318 -46465 122851 -120902 39987 -154462 53077 -158775 18753 31234 -43181 48004 181856 49959 -94885 104893 -187085 44207 36848 -179020 -20554 -39570 -81805 68869 -10455 32370 38845 -94379 -91168 -117165 74303 -62007 -166513 70968 98155 -77067 36868 -74950 -31152 -200735 -69316 -30610 -4375 -41435 17942 -30439 40600 -175375 114449 130107 196536 130320 -46000 -14271 -51926 35827 -26995 119973 -46830 61926 6173 -93215 19600 16842 16971 162053 70928 -120623 143831 58715 -138438 31953 -28000 65679 -143247 72440 38069 92495 40338 58248 -80296 177340 -36056 38750 42119 -71227 -43655 31611 -35327 -57025 73717 11390 60522 -1516 -48559 7031 75692 -109477 -37043 1030 -142348 45797 -16611 -73314 164660 4140 18505 -180806 46321 -143032 64833 133047 105108 -31275 -20385 -40515 -80473 64493 193097 81576 -212403 37764 103470 138445 -28255 -23586 -33781 -97432 -153727 56471 54193 -119993 -99702 80724 -53885 143728 27259 -12743 44561 31677 -44938 -13620 -184013 35342 -112235 -217104 192987 65797 -125546 -22979 -12146 65729 -44483 72358 -59054 -54293 -103738 54411 15389 -88050 -217282 72394 -223614 -55234 -24585 -54414 9660 114825 127535 65088 -94361 115826 36266 55236 11380 -68702 -65825 45399 -96596 21338 92694 -52518 -188529 -2955 30941 -10548 -52446 47086 -665 164149 -13628 -53062 88503 114073 66216 -84313 -58264 76704 2568 34907 -70790 71362 -127689 -18095 57357 83944 -151737 109404 -54776 14742 123333 -60615 -41315 -98907 -87955 33382 248260 27693 -70159 138273 -104722 -13851 -66781 39001 125232 -43743 -107198 -50919 61820 -62312 25345 179591 -63402 -90296 -53651 26333 -136868 3698 -44175 -112102 37339 -112939 66854 
+-81708 -46635 -28684 15031 -15419 42704 -105149 83932 -276188 9315 10725 -52815 49604 148406 22829 -142372 5545 -142979 31766 16671 -207447 -65463 12732 -86692 69933 -45562 -64167 36926 -105379 -35789 -191227 111498 -11609 -188219 78405 -76529 -86510 25265 -91367 -31696 -122485 -67230 -81196 37803 4296 -2394 59863 34723 -97828 92342 129464 74373 22396 -52059 -66405 -125034 -98904 -36515 128211 -24477 58317 47397 44519 87511 17226 69955 105352 35993 -113450 -25214 30787 -110401 174051 35439 86989 -184258 92634 99743 105564 93576 40805 -113248 104695 -37612 53326 89975 26920 -51539 16377 -24003 -38979 74076 14288 35557 -96024 -64870 -13039 -45967 -93019 -158879 21858 -157693 45974 37362 -69818 197187 22465 -111202 -245313 133976 -90389 65115 -5740 154634 -31651 -45156 -37446 -33072 -57074 232733 177405 -217523 30291 156116 130827 -46401 -43023 -90360 -40083 -114982 -22173 54819 -148997 -122375 67527 -79112 205884 -11033 -31669 39150 34277 23011 -40262 -154868 13356 -87943 -165912 96300 195182 -122753 -113450 866 36382 -241053 64418 -38729 -47891 -88141 66346 -4572 -87034 -200255 -2191 -177047 -86190 499 -148263 32923 51349 120039 64294 -10668 98990 42402 36373 -7514 -67852 -158660 27168 -29662 -2493 61968 -88376 -161691 12080 -2760 9490 18485 71237 -15175 163420 -7110 -100027 56480 140609 57649 -1058 -70321 48100 -31220 71329 -33783 90884 -61444 -41792 68615 112123 -77486 116806 -49036 -91679 169567 -110946 -86501 -117702 -71027 30453 228952 38169 -10568 35237 -139515 -54199 -99066 39335 88198 37552 -124821 -47225 69208 -6668 39923 151915 -90023 -114936 -61584 -40211 -68052 6472 -26669 -63772 -47899 -54791 69184 
+-78328 -5998 -43524 -71567 41046 62770 60495 119826 -191292 468 -11437 -63883 50386 56580 -45912 -175515 -10123 -103503 62815 19331 -182816 -108810 32850 -109365 74688 -52215 -84981 18774 -119682 74825 -221386 19565 -46742 -208815 76034 -114133 -100613 38036 -124889 -8373 8713 -25744 -63605 113631 33469 1903 -10602 47472 -490 57878 58811 -70347 -81782 -38302 -158051 -131787 -189286 -47025 123962 16753 46391 50180 142799 142918 29445 51519 18088 -142719 -135812 -110135 26579 -60205 237595 153311 77549 -60661 121446 117475 119122 162589 11782 -64427 -13659 -45416 2592 -68556 82499 -24830 3221 6307 -18194 77531 6476 3619 -138993 -55969 -27289 -93454 -63902 -247462 -9668 -116782 39692 123040 -46709 213262 40818 -165192 -126584 182477 -68922 40890 -177568 189111 -26535 1312 -33915 2371 -52532 139453 236167 -243876 39551 175817 -6132 -67646 -73009 -79191 -53251 -39577 -67847 64924 -168014 -130794 24043 -72258 261141 -55293 -34264 74870 36606 116293 -68142 -81268 1383 -38417 15732 -80681 198799 -84402 -173371 2270 10274 -194712 69940 -32709 -11048 -77910 70917 23159 -59835 -202132 3927 -96158 -119986 125236 -199604 42606 -25726 78554 65104 33044 26522 -40559 20659 -10779 -68875 -198830 383 -9974 -762 93546 -29686 -8045 17612 -14832 164 127523 51804 -29084 11508 -17037 -105475 101202 111834 65777 28108 -47785 88211 -44419 118100 14936 88406 42272 55557 8454 119823 44548 139266 -33437 -159636 136345 -120217 -46461 -121298 -54355 48709 193071 65070 74907 -126527 -158162 -130710 -14106 8807 74141 39812 16154 -48711 82403 -32534 15584 114795 -58418 -63300 -87574 -65128 -7903 3879 -2008 -57549 -106170 58544 91287 
+-87313 -53911 -14470 -49811 -44656 62767 120553 124301 -26885 39326 -5340 -61465 55637 -44525 -141074 -209232 -29329 -45167 37872 41498 -204603 -112188 43737 -94779 68882 -70959 -4301 17312 -85833 189374 -208981 -53767 2906 -200733 105673 -14641 -91873 38576 -98258 -25154 20136 -53240 -8010 160209 -25772 25002 -160134 76428 44131 40328 -10649 -58634 -136014 -33113 -206037 -89522 -199829 -47958 127743 36973 23149 11254 107159 124922 48385 39561 -42662 -230229 -122885 63228 -14592 -2802 198962 211727 43277 97590 119073 129138 98396 188513 -22798 -13626 -70845 -38349 23200 -237503 83573 3821 -28967 -16379 37089 82703 6410 -31176 -101919 -50561 -31134 -29527 -9909 -259196 -7005 -76670 43735 135529 -7407 220745 59589 -109849 58431 196155 -72711 45373 -179679 204344 -17229 -10935 -34413 15269 66068 -16632 285016 -231968 -16934 219083 -109376 -38146 -97726 -80823 -119841 45019 -95525 58090 -177047 -154909 54944 -58399 183591 -47913 -1331 113410 36780 200558 -65547 -10260 6015 18539 122252 -191514 96069 -82762 -203332 29839 -10724 -46854 80050 312 19330 -81212 105083 84312 -14122 -177312 86402 -12321 -89404 111768 -249536 3927 -101550 35257 43867 95598 -94223 -111985 -20845 -38317 -62639 -206563 -13791 28701 26351 74738 37383 117408 7683 11217 -64531 139960 65548 -17369 -51976 -1695 -61787 72396 70374 57562 21548 -1191 83240 -29633 167274 17403 70669 87667 191371 4506 201664 22223 106701 33271 -163172 9900 -89858 -48619 -108946 -56469 32547 133658 43730 139698 -199292 -158721 -88115 118002 13617 63437 -44730 185499 -47336 95188 -143967 -6221 85909 -86571 -10857 -121042 16906 60487 -49792 -24 -45826 -31815 119271 81307 
+-76572 -130082 -52937 87251 -145254 66004 4181 162396 119858 12775 1340 -48590 57072 -144319 -200592 -228505 -15056 4053 51419 53270 -168907 -150286 33894 -39893 71947 -70171 61078 4913 -76471 255741 -178120 -52357 -41683 -204797 90042 113419 -90765 17455 -71361 -38053 -82161 -58525 82094 193575 -107648 35263 -177485 69064 70247 19204 -28650 43419 -172852 -43600 -228981 -8926 -109252 -14772 130312 14641 14178 15987 -15421 77386 66684 -14825 47236 -108378 -131929 221991 16837 43680 64166 147235 51923 108446 101754 60834 97387 171689 -53866 17575 -61854 -10037 38237 -213611 22455 -18394 -47806 6047 21099 81307 9687 -80728 -13995 -63272 -88448 95690 -10392 -178540 -19519 -40705 45218 80379 35353 196031 78029 15827 120125 125698 -14767 43283 29750 198279 -11434 -37753 -31739 6867 152601 -63236 267312 -215973 21197 242700 -14135 -30412 -120420 -75035 -163204 123023 -58261 68554 -174887 -156807 24042 -50444 67829 -11412 -15445 158772 34667 235244 -50465 30995 24945 72871 8527 -54594 -28025 -38295 -154385 24173 -21929 87292 88091 -25607 61758 -70350 87362 163251 22360 -168147 165824 7299 -134306 -18992 -249743 863 -158275 33158 15065 103210 -174253 -89078 -19373 -22162 -66732 -196384 -48943 16753 12771 82602 74747 39757 1053 -438 -127015 73672 79604 -6327 14614 -16243 -40446 62006 30634 90003 -57936 13741 39708 -5978 177661 39852 71972 135259 227808 172 202159 -89068 84114 90937 -90845 -32144 -33779 -22123 -82712 -74336 49982 72031 13700 201457 -119084 -131617 -62723 184673 -16554 88847 -101248 245758 -39889 104682 -152836 -19160 86802 -90978 33512 -121282 74768 66673 -63053 -28588 -89702 66726 71671 61147 
+-79599 -138356 -7795 145135 -175917 66157 -158789 132598 7349 18758 29039 -44929 56817 -187151 -277408 -226765 29052 33534 -13171 57379 -140767 -164075 13303 -127869 74169 -75285 97805 -9075 -5273 245622 -111767 4506 -57229 -215226 71525 209783 -72718 25467 -23188 -49814 -194265 -108171 140259 192625 -102440 18668 -107927 28753 105742 -4074 -34660 203028 -144202 -39960 -235160 44054 16027 -21510 133561 -19834 21069 60102 -148349 3493 74293 -15202 158482 51876 -119024 190641 43976 53424 -56089 29023 76066 -106315 84357 -5123 23653 138313 -105550 26434 22436 -1403 59046 -56666 -78547 -10126 -18524 -8501 -15965 82292 -1085 -116942 55425 -59240 -101416 183338 -20883 -59546 -15799 -70812 29200 5081 72535 181739 96579 113115 -4307 40098 60898 78472 116769 179666 -9051 7015 -27044 -22081 72499 19469 247121 -224247 19893 283414 112928 2088 -145105 -88962 -114535 75893 4467 65898 -161054 -164990 54810 -36658 -57244 29388 -1745 178766 31883 207894 6881 -1817 50461 135184 -168534 137135 -48933 -52887 -98284 19663 -35438 116822 79355 -47024 89221 -58028 90254 187266 56027 -158354 158514 -60405 -142723 -94822 -209258 -25521 -161878 57539 -41220 91028 -144356 -14376 -79463 -25797 -68431 -123423 -56009 -40027 7256 80947 178745 -131444 -8320 39839 -116714 -28560 47300 21151 142981 -1852 31486 18895 -4163 96746 -126032 24814 35554 41168 231744 -664 19310 146480 152144 27945 222460 -190350 85071 131340 49000 -149698 26717 -22624 -46434 -88741 8 11980 25476 223556 50906 -99428 -30523 170856 -15169 123704 -82918 200322 -44798 107297 -165805 -26874 53201 -79195 -28080 -91198 36856 120551 -50596 -34190 -87409 64160 -6475 48230 
+-62005 -87147 -18404 140607 -146552 52774 -127285 134733 -171144 40792 47271 -40007 56755 -168405 -267928 -216647 83432 46530 15036 22741 -99162 -160888 -16357 -83483 74743 -72138 31276 -9448 46938 171888 -12638 61174 -46085 -208299 71761 138676 -63302 -3317 40680 -26504 -169487 -125688 182334 146720 -55099 -2096 34566 41757 89548 -29004 -89771 148830 -72251 -45396 -201781 14328 85676 15897 128020 -48248 29992 22256 -182474 15946 68643 46117 160200 50952 -107797 -5382 81111 21475 -160500 -33020 89168 -209985 96947 -69135 9493 91957 -148566 31492 127937 19876 88052 90581 -138432 -55628 -1634 -30640 -43707 82219 -3076 -146704 77849 -61475 -103055 164164 -52046 63632 41015 -113405 48086 -33090 96968 145065 114494 101984 -187373 -27344 58826 85773 -51949 132322 3114 -38336 -17760 -63823 -67320 192411 195704 -144013 14641 285767 142472 3353 -161000 -100825 -43793 31255 62654 58020 -137275 -172375 42860 -30327 -63045 49147 -10165 141489 30780 131142 53737 -58295 74213 185365 -212862 177229 -50397 -104719 -6462 6413 -39532 -36121 91120 -87695 106499 -63435 74281 110180 48556 -151587 80990 -136009 -149809 -10083 -142209 -8021 -135462 92792 -85148 22256 -56574 68735 -46102 -22438 -62276 -47289 -77833 -85137 -17965 133840 225082 -198641 -12146 50646 -102192 -90344 29806 42211 199118 -3000 33093 37232 15895 84674 -157710 51790 37681 97897 261997 -17525 -1381 149140 16516 76148 218157 -140012 79028 110912 136652 -205926 86561 -17251 -5683 -87876 36802 -28891 -3394 215460 135808 -64320 -26004 76579 -38063 120339 -3340 43739 -39355 103340 -21692 -6590 9814 -73025 -103451 -59587 -28107 97369 -4465 -26774 -74566 -26436 -105540 33058 
+-76365 -25831 -22299 11790 -55720 41908 38401 125609 -285060 -15653 13495 -45335 50415 -69233 -325889 -186998 127099 71984 -5976 12298 -65051 -179967 -53527 -81740 76688 -61866 -50259 -66996 88254 72136 47391 96136 -11207 -192170 94040 -11432 -58703 -5103 39026 -66660 -66982 -158516 165454 81520 26651 1429 38427 15305 56951 -43189 -61575 53930 4757 -49371 -193017 -51869 61955 -15606 126138 -37673 51646 58472 -76856 94916 51355 91944 37665 -111561 -106300 -53619 127401 -33846 -126729 33184 84463 -78011 45381 -114833 -19650 902 -181148 -4485 172264 16160 39119 39444 -104485 -29080 24688 -1881 -52155 82537 -8549 -179684 25854 -68689 -64006 53264 -50748 112878 46001 -152105 43246 41371 97483 94256 131898 -3439 -243561 -25317 58581 88196 -191508 83246 -2590 -55826 -13277 -120083 -54617 250961 102320 -81033 29037 264038 13621 8992 -178973 -152744 -50571 -55724 122036 57258 -105424 -176557 69379 -41246 -10755 49560 -38245 134817 32286 36365 87534 -140658 88096 220254 -52640 -35661 117088 -123898 38222 -11029 -35552 -175360 81762 -89066 96874 -95054 59661 46700 22641 -114993 -3220 -212595 -110661 81123 -67456 -34421 -61786 121971 -141813 -40850 48444 15122 -80487 -3405 -60128 18511 -73916 -126503 -3800 94359 162909 -84591 -24566 32104 -72348 -30151 2073 58973 54471 -923 91740 -3381 73647 95813 -113352 84275 27744 145894 267970 -79710 -26463 86823 -42571 131934 186294 1143 47051 54169 159426 -150573 80081 -17014 33827 -72296 22955 -51295 27945 172792 57171 -41172 85108 -65078 -6002 128521 40828 -78089 -22210 91770 -26811 -14745 -5928 -113246 -101367 -52713 -51460 83170 25842 -41642 -81591 -141590 -110062 64689 
+-96604 -40572 8035 -103513 13636 17159 123379 92967 -163932 15858 -27054 -59338 45783 33871 -259211 -136271 122623 69797 2003 41217 -17781 -162965 -61239 -82074 79771 -32854 -94838 2886 126514 -35064 92190 21078 -33920 -192642 95608 -121538 -51518 -43151 79575 -25676 41292 -161701 96816 14155 33613 24387 -88651 11327 -31211 -67468 -31960 -63100 104894 -58899 -108618 -96246 -49057 -7571 121457 9974 58238 58429 66163 142830 30897 58342 -6870 -230568 -98996 45393 123202 -91047 -8021 148198 69561 82078 30044 -83656 -35696 -62451 -205341 -45411 143803 1076 40965 -150110 -71662 -69222 20667 14696 138 83237 -7753 -174025 -56859 -62084 -37753 -61530 -90466 89869 27830 -131369 46982 105166 78515 60875 148321 -119441 -117338 24288 32114 89155 -187750 20264 -9248 -55971 -22201 -169104 69286 159356 45206 -55826 20159 257814 -74776 -32312 -186361 -98733 -112067 -122967 123121 52796 -66930 -161514 57082 -22066 99879 4657 -5981 90301 35029 -31995 164915 -197731 87163 227440 104250 -199896 200954 -130629 69559 2048 -16752 -210404 111075 -71596 60327 -87113 40877 -23393 -23050 -97723 962 -187047 -152426 116938 19826 -21188 10517 138052 -172329 -104648 122284 -86755 -53064 24944 -69546 84195 -87467 -183680 28656 93903 76751 59972 -20104 -2237 -12851 90165 35639 62189 -39942 -7009 82275 16253 116438 85901 -45320 62255 64207 193180 234936 -72724 -33560 4215 36767 185132 163264 30578 15458 -8015 76542 -41925 42755 -63885 65746 -56729 35634 -51243 4493 101163 -116160 -32590 151983 -141827 -53008 143949 -7536 -83103 -17995 78578 -68285 -2815 -66426 -76060 -57058 -71475 1446 82291 45042 -27488 -88524 -48878 5129 16350 
+-74768 -104548 -32905 -61942 -25075 3207 19327 76665 26413 66952 -1161 -64007 46346 131913 -241168 -88840 99244 29220 47652 34212 1505 -156371 -67264 -85881 77145 -6948 99 -30503 131814 -98360 113602 11540 -56916 -179729 69813 -98820 -46359 -9944 13627 -15328 -22179 -130106 8099 -2655 -31877 35363 -199720 -46845 -108139 -50361 41171 -45033 186375 -47028 -27933 -123414 -164464 -47761 116629 35419 52243 25890 145014 125261 17629 -5646 34370 -147659 -81687 192901 128388 -134233 127730 210425 71057 92806 49055 -38159 -61306 -134413 -221027 -53151 37725 -11053 29336 -251989 29871 -68265 5617 20870 33199 78668 -9865 -143556 -124364 -56774 -40012 -90090 -126822 -34385 -34192 -98625 60521 131740 41856 15844 163977 -167856 65011 121756 63727 78631 27014 -40399 -14977 -53324 -19317 -188500 135875 33751 -36025 -5236 -3206 235283 -55548 -19407 -191398 -134907 -165972 -143841 82115 59031 -25253 -144462 43321 -30236 212435 -22016 6726 79197 37011 -55749 202283 -171787 70511 209980 78684 -120041 179730 -155278 24713 -25676 17471 -64513 102391 -31996 4654 -95674 31194 -159 -63101 -94721 82880 -128141 -110492 12378 99648 -8058 84899 113072 -197922 -138786 77566 -105698 -99915 17551 -64565 98990 -75789 -208553 -1272 99959 -4835 76636 -32533 1156 23821 141107 20946 60934 -50242 4085 16130 16967 130117 80404 30291 67253 90065 221430 213982 -95671 -69443 -85876 156521 148395 101036 -26352 -42217 -38230 -52262 14962 -8414 -76666 84861 -56170 54136 -19498 37346 18270 -214175 -56084 136057 -34755 -63927 110486 -80223 13821 -25478 68056 -191254 -33861 -55825 -69440 52008 -112024 62934 34082 44820 -29124 -61900 44565 83838 41504 
+-102514 -154797 -47223 87153 -136989 -9847 -149047 51880 151174 36193 32366 -59709 48908 172685 -148765 -37599 55688 -3563 29967 59912 30088 -146763 -25445 -80697 77846 22773 94653 -14362 162726 -81034 111813 -40725 -31770 -148028 86903 61672 -55946 8458 11601 4944 -151951 -84392 -60660 11157 -98938 19365 -132618 -20646 -202501 -51685 52298 102907 216043 -42303 17268 -68794 -202936 -15190 113108 28066 38653 26034 90634 59121 16406 -24471 141092 25596 -75823 168159 122645 -139044 221998 152444 55443 -60276 70916 52864 -26304 -172116 -238229 -99932 -60715 -37221 25086 -164697 78124 -101741 -18940 16595 26781 79675 -13936 -138750 -128006 -53060 460 -9614 -103685 -157198 -26897 -53910 59596 80623 113 -32003 177912 -97095 117466 183490 48900 77401 139701 -81944 -429 -58376 -19567 -194800 59856 -56484 -62581 38037 -13713 215325 103685 -36623 -191398 -137166 -105351 -46956 7408 47554 17597 -164290 74762 -41134 244059 -62040 -1078 6356 36489 -4473 175441 -80806 45964 174894 -112952 99643 72870 -118394 -47914 3974 43705 95079 113917 -21503 -29513 -69459 46131 77239 -88575 -72854 162696 -47593 -120407 -70395 134503 -46277 135672 66908 -216455 -131763 -13098 -85172 -103951 6398 -62154 69582 -70328 -115961 17456 75265 -97374 -39214 -45258 39672 -24701 128221 26729 43300 98617 -7170 14500 -681 112120 92553 40225 106455 71680 231161 190793 -108729 -88428 -148351 223534 115373 90627 -171334 -76669 -54951 -156692 106940 -73218 -62479 88785 -71927 52678 32797 17158 -55596 -123011 -84921 143237 78415 -77683 80557 -93135 171320 -8500 62217 -173192 -5189 -81953 -69983 -38446 -125892 29144 -15842 99464 -36510 -60611 59170 123988 43854 
+-85094 -100540 -26524 190432 -137748 -30550 -137572 32026 841 34761 29924 -49354 53845 154577 -74515 5232 -34285 -46380 31378 42657 82382 -123291 40 -72249 79940 47271 112634 -35807 159114 8855 61607 -24927 -58300 -130715 60063 185439 -61723 -1346 -64669 -11129 -189661 -58843 -95029 55192 -113983 -1758 -38402 -29518 -213910 -42731 109718 196475 197206 -9290 49397 2336 -171482 1403 105864 -13666 30450 44591 -53719 11560 26687 215 154401 77015 -78071 12917 50705 -107355 212386 25715 64863 -182473 69956 111373 -13285 -176053 -221660 -121246 -78969 -45788 24087 20028 35496 -112345 -40192 13425 -5036 75975 -3729 -107234 -68254 -67791 11540 116306 -93115 -248832 -11686 -47106 50295 1733 -40812 -56916 191037 26436 -12133 191612 33396 60424 -8339 -116558 -11811 -32166 -11213 -174963 -59301 25989 -102547 46062 3374 153164 160145 -61076 -184269 -116503 -80689 18699 -62917 56968 58205 -125460 38279 -18921 200123 -28571 -31336 47377 33971 89482 191892 -14578 21363 117676 -225014 153095 -39420 -84204 -129518 -68596 52600 105817 103703 3773 -36715 -85478 3707 148372 -89039 -54439 164266 11096 -108299 -67100 146698 2219 151090 44392 -223436 -141558 -146534 38672 -105609 -26182 -65075 -18922 -51145 -114804 -17039 93199 -87203 -188148 -57221 15391 -73714 39671 -6479 23015 187075 10312 -23102 28175 71338 97232 -45315 70914 81423 216613 147757 -130829 -78926 -169933 176196 90875 -1523 -166428 -96772 -29289 -207945 194948 -123678 -57372 76720 -89498 29040 95175 24105 -101314 46306 -119863 155693 172969 -40341 87705 -82746 273655 -9484 63960 -89226 26151 -81776 -107598 -97505 -104888 -30146 -58914 58288 -66060 -109143 -31577 44505 4701 
+-78715 -38296 17420 152042 -120917 -46488 33318 3275 -190611 55745 15612 -44381 55473 73070 1507 48888 -41021 -94316 69859 28877 86766 -100566 22466 -85576 79406 62562 6730 -17402 124234 123122 -7202 48424 -18841 -109140 61492 170362 -76497 -31217 -103965 -4514 -126304 -37389 -54187 119980 -35154 927 58932 -43490 -228585 -27659 125631 176193 157175 -59031 51574 34413 -41574 -32473 103057 -46549 7248 69785 -161209 21210 47969 78337 64080 -82292 -73425 -62450 45989 -55272 101136 -28750 76146 -104536 108169 152085 14293 -163659 -216738 -76171 -6120 -46361 56208 82430 -17291 -121439 -29113 8461 -47935 73671 -15017 -43924 31536 -50843 22253 188698 -62671 -264534 -8304 -92995 67278 -25907 -66706 -95105 202241 121513 -193473 157118 12612 57571 -162346 -125418 2024 -75830 -19436 -140783 -58373 179009 -83454 68638 39358 111238 55737 -22893 -167389 -119056 -30941 117937 -92476 50273 93714 -130391 39229 -40518 134754 7349 -13936 48973 31354 182987 127393 28045 4155 50694 -125827 22677 -67677 -57943 -187738 -60382 81603 -59008 111875 -6790 -54817 -61032 4527 178562 -67592 -38712 86711 -9395 -85834 49853 108480 -25822 110623 34565 -209917 -69907 -161899 75174 -87055 -13938 -70661 -92819 -42657 -40306 -22272 85828 -28959 -150557 -56370 47517 -108075 -69063 4116 -2654 120053 -372 -65324 9247 29857 100564 -109174 73887 61623 181271 120264 -135644 -102586 -203588 41433 96959 -22157 -43476 -134069 29312 -115639 164497 -119407 182 50873 -89964 8002 154192 5376 -96602 132728 -146519 117101 194339 -103627 65321 31326 195978 -6059 72232 -1116 525 -84149 -75683 -110628 -78973 -42915 -111139 27751 -51064 -108764 -154500 -58811 -30923 
+-92479 -18206 -4222 -6713 -36331 -9170 133824 -32760 -270404 27569 -10122 -37905 57572 -37825 37173 73043 -29362 -151518 55130 13476 95898 -64119 48638 -131168 78924 85476 -78541 -18449 83288 218766 -82184 103871 -33651 -77463 69571 16143 -84592 -54244 -112859 -41697 12519 -56888 6833 179248 22409 23727 -69095 -43640 -221573 -4021 129514 -3084 78316 -55131 26025 26315 63608 -32163 95644 -37132 14631 41297 -176871 83715 65041 54541 -17123 -213233 -67919 62553 202 1132 -49648 29438 72581 30323 114771 101058 81941 -126391 -190699 -19575 96969 -35333 85737 -22173 -97318 -120935 611 6444 -25921 74488 -962 -2734 69579 -61827 19562 149829 -5532 -181313 19894 -139742 65399 37631 -74231 -102838 211947 99572 -241874 55451 -23632 37662 -146485 -114022 -15343 -101639 -20586 -92531 73268 225211 -3845 111609 -2354 71408 -78007 -27042 -147557 -103509 -90030 110003 -82075 65630 121581 -110074 49578 -52398 12987 45461 -13737 112466 30955 232490 132315 12825 3010 -14328 55469 -157708 10489 -33762 -197061 -84225 79254 -173893 112277 -84526 -30505 -65741 53168 129473 -18012 5418 5632 -114316 -61285 140336 35072 23531 39583 59278 -190731 -23146 -117688 -16655 -129327 -24375 -57527 -152450 -35688 -13262 -5615 73564 40469 -11020 -72347 -24882 -90023 -63237 -2481 -19517 -5195 5929 -88949 43697 10027 96379 -108326 74190 65201 129282 53527 -93438 -72048 -194200 -32863 32447 -33384 57350 -133060 92846 -19282 92983 -78242 4264 14631 -75498 12746 205083 22860 -72491 41207 -162926 51660 109672 -76508 104167 44790 59439 -6198 86102 -50573 7482 -122317 -105267 -108620 -53036 2111 -138368 18168 -49547 -77746 -90857 -97266 -10998 
+-85990 -100486 5171 -63208 -2823 -36219 23855 -10711 -184746 21146 -14611 -46827 56862 -138447 84692 100942 26832 -178605 55593 21450 83077 -43277 33930 -71121 77504 93379 -74298 -14059 22251 262036 -161861 103191 -15049 -58910 87487 -72598 -92502 -51039 -84763 -59815 33114 -99978 112505 198043 18439 35448 -188572 -17648 -163447 30419 118370 -75136 -6831 -71382 -20476 -57890 93492 -21340 85892 -4086 32213 18668 -60591 140846 73318 28132 12812 -181718 -65504 186115 -29732 44486 -150988 153101 75661 112772 122348 50211 118197 -80464 -160210 32085 168576 -7186 36626 -206168 -132847 -114870 37599 29571 965 72702 -9141 33307 55890 -67356 24031 30842 -9322 -59971 -44265 -147754 58207 105336 -59774 -87908 219757 -17197 -108590 -5657 -65199 49373 1379 -84627 -9785 -53811 -19293 -44550 148130 166015 53921 116144 13364 1472 -41575 -53673 -131326 -113867 -150999 50461 -55586 66814 140306 -95640 43700 -63249 -55066 58054 -11047 128477 32902 221868 92898 -66361 17083 -60514 107913 -160887 145629 -45551 -160100 -111954 88876 -184014 115544 -80621 5743 -90812 48013 48713 20126 9250 -228 -188769 -47201 57862 -36534 -24999 -41783 92218 -154049 29126 -35273 -101443 -120758 -31863 -67074 -203260 -3878 10527 37869 95363 153569 95987 -79349 -5078 -104986 40058 -3517 -24634 -63520 -10306 -93728 24985 7090 100443 -87864 90625 77730 77028 9881 -82366 -73923 -152311 1566 472 -83511 23466 -115275 121131 85694 -29944 -12598 -30747 -26305 -57738 24078 239496 24739 -15324 -113387 -143847 5794 -18787 -78224 119841 -17413 -67985 3350 97057 -106691 16939 -91438 -92319 31998 -58485 58919 -209636 17746 -49350 -18001 40085 -60480 -30794 
+-71413 -151512 -14477 -62067 -32947 -32597 -134803 -14639 42257 40702 -4885 -56766 50892 -185611 94272 86264 70577 -213723 -10926 47868 86391 1996 8620 -123369 81478 104840 -8772 -26480 -2228 228950 -200310 -454 -61177 -35660 74851 -120987 -93981 -60491 -72283 -23457 -76422 -120386 175760 161694 -18948 20056 -191532 1847 -102523 32564 74291 -10368 -92374 -54485 -100187 -105292 18040 -51458 80531 35686 55035 26563 78564 123393 68802 6964 77504 804 -68656 183460 -30690 51847 -138046 214265 54690 -13485 126174 -17721 111560 -5327 -113252 45796 159374 -381 18467 -237427 -106145 -152942 37940 16922 29768 73476 -8612 74621 -18626 -54365 -26461 -74551 -27315 52301 -3545 -123622 63638 136889 -27823 -77308 225879 -131073 71026 -48247 -93716 62368 129988 -40753 -5014 -90867 -26660 2534 78755 26219 148261 58508 153 -39369 101864 -13088 -105673 -70337 -151973 -42784 20881 65092 147812 -60172 108897 -38663 -28772 46241 -17896 137156 35586 153394 -6259 -160003 39769 -102079 -32782 51578 202529 -69387 -122702 -97391 74112 -30567 115526 -86958 46286 -72377 65973 -25564 52553 43871 71781 -216730 -30734 -51018 -129658 37636 -126526 122525 -102457 93204 92537 -114217 -123146 -17065 -66003 -223140 7104 2934 17704 70221 177157 22862 -101677 -14403 -43714 81997 -24371 -17213 49521 11099 -77077 60518 81455 102077 -28565 63099 97153 25088 -19433 -44746 -37400 -40758 126820 46870 -138259 -117035 -115840 127135 175513 -131178 37926 -52743 -65514 -56471 4049 249637 -6160 69857 -193517 -109503 -66038 -135882 -94483 132208 -93195 -112180 -5606 106555 -134311 21102 -83520 -99268 8402 -83452 54676 -238909 -51870 -49179 -58964 58658 48222 -47868 
+-97209 -118129 3251 76621 -115459 -3349 -146469 -13966 125288 17320 29204 -59943 48883 -164884 82404 68368 122424 -224335 29643 66048 58676 55719 -40777 -90407 82812 98190 84008 -26309 -77995 122819 -246071 -56913 -21410 4829 79486 -467 -87065 -48376 -24110 -6613 -186364 -117051 189200 117765 -101251 -1388 -67196 4871 -41900 60895 38161 112817 -132109 -53384 -165889 -164998 -101311 -15355 76712 36213 54544 32853 141965 64380 53199 1924 174363 69403 -85833 41309 52424 17202 -42392 139423 56629 -187506 120644 -75732 119235 60623 -82549 40236 68321 20466 36465 -85881 -22809 -148711 -525 62581 27932 75988 26 68012 -106571 -62790 -71024 -83231 -83700 113698 -47754 -72246 87007 76953 14686 -45143 230155 -163423 114452 5834 -108372 38766 12134 18191 -27493 -100212 -28753 14759 -52562 -54722 220934 88061 22503 -65654 178584 -27666 -82144 -43310 -97400 -118200 112797 64711 144856 -43956 82229 -64452 56391 -9973 -7532 157764 37035 57609 -6811 -177001 65578 -111281 -205779 185599 130699 -95498 -21155 -128344 60998 101130 113233 -86242 78613 -64365 105010 -7032 52654 42840 157716 -148594 -4198 -23842 -202303 37297 -160475 139152 -73686 87403 118580 -12777 -142240 -37404 -60051 -175291 31920 -19806 7566 92625 186752 -126721 -109438 50424 -25352 122873 -32935 -3946 159681 670 -54581 90542 111385 106446 34115 53457 62929 -21442 -61862 -37130 -18285 -31188 232737 30960 -118296 -171147 -100343 70354 132828 -180703 70526 -49812 -97363 -71570 19669 236056 43894 150733 -128716 -72733 -128196 -77079 -117888 127324 -93121 -3272 1759 107059 -130408 35110 -65928 -98045 -77303 -115631 -20437 -263558 -48276 -21065 -55479 4920 115887 -15236 
+-102522 -37801 12948 193761 -198137 15176 14602 41344 47688 32476 29265 -57755 46774 -91149 81134 33845 123893 -230664 -15484 42318 32329 66619 -53646 -85095 79296 85723 89012 -1714 -108898 15555 -195793 -39293 -5920 26860 74104 150790 -78688 -62216 5028 -5689 -181190 -150317 138158 49746 -103714 473 31675 32049 73251 114371 27089 213193 -166444 -47313 -228613 -93153 -197816 -9997 63609 -7956 59985 49095 81756 -3661 32922 35290 102738 -42750 -95593 -73195 86322 -30969 82512 27218 52570 -140004 101796 -97059 130467 128748 -36149 34692 -30756 16766 27961 67905 55158 -187767 -31228 21477 -53066 78348 -1737 87596 -134433 -68273 -79535 11446 -93466 88077 1880 -51363 54233 7042 56308 -4747 231918 -86301 -21829 90799 -155274 64073 -180946 77418 -4918 -98565 -31105 18831 -62681 16102 271006 30901 13055 -90876 40172 -5430 -56289 -73023 -47014 -135128 160144 56500 130104 -20497 70122 -48901 168726 -43874 5189 131619 35983 -23680 -44036 -150038 84381 -102989 -184144 86153 29574 -134337 66508 -178694 41568 132256 112891 -25413 120393 -85024 115099 74655 24105 43413 165719 -50497 -28236 40076 -252754 39046 -169563 102689 -11189 45283 43645 42185 -144373 -6091 -57964 -101333 51479 -66428 -9448 60868 177977 -223762 -111731 20213 7680 77428 5918 21636 164909 -7893 2845 89696 115455 88314 46144 35456 107232 -27996 -90266 3798 12078 63489 195510 35042 -103271 -109186 -94164 7993 30036 -181550 70361 -45116 -117134 -87788 29553 194356 16304 210563 59697 -44515 -104153 50262 -87490 86852 -20388 154889 -3460 100733 -43463 39163 -56039 -67834 -100445 -126238 -44270 -277239 -29904 -57821 -117393 -99850 91701 -57875 
+-69573 -12572 -46627 139033 -124248 25040 129905 43515 -139512 40094 25561 -39635 48753 27742 1445 -4243 88141 -202165 70888 35246 -12844 93774 -61097 -98249 80733 58190 1626 -35577 -122656 -73437 -157275 7629 -6387 37715 69933 212651 -70762 -91676 50651 20050 -50955 -127442 66615 17337 -61531 23072 -25109 37851 92471 124483 -42702 136471 -123089 -45120 -270268 10722 -195676 17691 60482 -38023 38228 77386 -56141 25982 17710 77305 21783 -194385 -100278 53530 117179 -87946 200122 -30086 85789 9919 102034 -79228 84719 168376 -470 -40801 -82558 1034 17458 66200 114821 -141553 -44339 11953 -50693 81390 2983 65752 -89348 -73996 -65736 135288 -114190 -19726 -12404 -67496 67259 -29837 88348 29741 232222 42836 -201936 167753 -139426 68099 -192300 130825 -19069 -82200 -31262 -15767 58648 155984 265560 -4364 10171 -69833 -40884 35458 -29419 -49785 -46960 -99173 95813 65780 105703 -8283 63040 -77176 263316 -45607 -9423 127091 33215 -49366 -96818 -89265 89230 -76561 -5497 -127868 -62185 -150822 80456 -145247 10092 -39279 90313 -29708 98594 -84037 105958 134453 -20060 72079 93880 15855 -41776 113109 -247916 46672 -123662 76333 20810 16392 -76450 39318 -88104 7067 -66573 -37760 78403 -101484 4964 105381 32366 -83228 -122135 31927 -25565 -26829 682 41015 52313 -12674 64950 107255 110211 94973 -47548 5125 118027 -36168 -78608 3176 29371 89949 83405 60201 -101830 5237 -44170 -46225 -101661 -122381 38042 -44393 -121543 -88535 4640 141054 15577 226061 132845 -32353 -132883 153792 -121038 43371 28142 234015 -5853 88400 -20574 39068 -7543 -64885 -116525 -95902 -7853 -296267 -31191 -33432 -96002 -61895 2226 -65440 
+-71921 -72984 -1997 -6245 -17279 28930 51394 86460 -259235 29300 -1445 -41793 52685 120692 -31490 -58646 45610 -172457 39701 9329 -34974 114127 -13606 -88833 77784 33120 -74747 -13831 -137410 -89586 -84643 60520 -55146 64614 61664 86454 -58192 -57971 70408 -2725 24984 -94535 -16261 -1935 4789 35517 -131571 35895 121534 126649 -64273 -24954 -47795 -53975 -244678 36931 -104391 -12924 60490 -50366 27506 35549 -170807 109945 14913 53214 -12943 -201663 -106395 190031 92031 -130517 222216 31255 89481 119102 82408 -16120 35277 181364 29420 -103891 -42782 -21809 63479 -116010 42014 -159699 -10105 47498 -54427 81695 5060 43024 -8926 -43800 -83655 190225 -118936 -152109 -8125 -100300 67061 26912 100232 93169 230370 120071 -239340 204772 -162444 83414 26971 179584 -31689 -116162 -30693 -59914 143715 245571 237437 -53595 -4217 -91942 -78479 -12255 -13312 -73893 -130340 6440 103543 47248 72878 -9750 38347 -37714 230478 -25659 -14010 91182 31122 -21129 -59849 -3726 79024 -36051 118260 -189341 -29525 -131324 28367 -186654 -16422 -194798 104503 -27984 72383 -70036 34753 190166 -63899 98648 6267 6398 6561 95137 -224254 61854 -49557 40654 48680 -52268 -181316 -78608 -111247 12633 -62626 58283 75162 -145021 46895 125580 -32378 69256 -136483 16229 -55795 -80120 -20994 55558 -66928 -13459 59963 123809 52194 80768 -94956 32740 77652 -21851 -76720 -9290 55873 164961 -20074 136883 -50290 61305 -47220 -39400 -149357 3866 -28215 -22625 -110060 -76401 21122 74339 7529 211543 60851 -44912 -61189 211119 -94678 60077 25930 204955 -13766 75199 -132419 31332 -3196 -108350 1245 -59818 60947 -243744 2412 -66855 -56453 67386 -77904 -65050 
+-83938 -144183 -55455 -66191 3460 61804 -121817 99691 -208509 24647 -14764 -40631 57396 176791 -102570 -122327 -7444 -120602 38250 35202 -88307 117745 10971 -108083 79721 -3277 -93251 -12407 -116345 -46614 -8576 74833 -70415 91152 67237 -44965 -60377 -91997 76543 -39022 -11609 -66481 -80480 28169 10817 20723 -182521 51388 87827 141841 -82336 -72544 59845 -51787 -231337 1803 18207 -6995 51668 -11864 10288 28649 -165069 132830 26118 -14670 72036 -33812 -123156 198506 123991 -140764 138751 159486 85850 3698 61865 52075 -20712 172267 49965 -77422 57132 -36303 93143 -251330 -15235 -145050 -4055 33429 22864 84757 13030 -633 61750 -52312 -61639 132557 -81358 -240500 -39833 -159018 62788 106181 89968 136590 226278 83476 -100024 162339 -142795 84677 109729 201696 -18096 -116773 -34217 -116445 76926 179787 180732 -90922 -14020 -91757 39118 -13788 -230 -65915 -161390 60082 -44625 48805 34047 5115 39457 -67469 151573 12288 -17044 72300 31268 65520 -54262 43668 57075 28302 33787 -44759 50803 -102470 -48165 -221165 -28551 -181500 96060 -23316 27653 -61436 29459 143967 -86495 107744 -3000 -62866 -36492 -47081 -162789 90993 36269 35802 50700 -112294 -186319 -109168 -82499 -21842 -63695 89666 93073 -179039 24719 86702 -51688 100851 -134228 -6159 -127417 -47347 -12643 69924 -46613 -26328 76108 137424 31980 85122 -168096 -1481 60780 15535 -90264 14387 81965 151455 -30612 132356 -22582 -28174 -9170 -41854 -151411 96012 -95395 -28746 -84274 -57036 14512 13032 9084 153747 -119189 -68996 -43015 146085 -57511 73383 -17809 65395 -9098 65433 -175580 37309 47571 -115949 60967 -53884 62838 -259497 40129 -34399 -42865 91617 -112573 -74660 
+-87269 -145020 342 -22370 -43700 48157 -160938 122707 -1594 30992 24663 -52069 58131 160495 -237666 -166356 -10235 -78751 51698 47687 -111928 145717 25359 -76776 84192 -9221 -18601 5702 -72075 58920 56828 29617 -43134 99380 73401 -115425 -44360 -84340 43829 -46703 -139320 -59637 -81407 78150 -59929 -1013 -114111 53398 14100 153405 -31490 -15708 122090 -45871 -162485 -26111 93297 -13928 44563 23237 20012 48774 -47371 123805 46021 -21288 153467 77886 -124112 6494 91410 -113950 -2887 208880 66158 -137476 58879 126516 -35425 123202 84664 -98849 153006 -49104 88996 -188074 -128808 -130797 33157 50815 26999 82559 20837 -66558 71885 -50768 -45242 8653 -49121 -265884 -34815 -130472 50840 136650 61344 162434 220553 -28116 79124 81175 -144862 83981 12226 205929 -14380 -90812 -41816 -157553 -39255 45379 119950 -110563 7702 -62389 149455 -63292 14239 -102335 -91629 130169 -55660 60882 -7728 -3786 50008 -75804 31137 55869 -1793 15655 33545 158624 27517 14164 30477 97976 -156046 176193 168261 -53070 -109046 -192782 -38639 -62429 116056 -50032 -32266 -49678 13576 66898 -92821 137702 69650 -165913 -35045 -78621 -80213 73452 101606 61363 88942 -158445 -89438 -93216 -71550 -40164 -67281 89905 88079 -186466 -13519 108769 -87485 -55270 -148843 -7966 -137301 47084 -16260 61155 116540 -7636 41874 148079 7168 63725 -100237 -37021 73097 81566 -57538 2458 82168 97532 94058 181529 8722 -128409 55531 41419 -98808 144800 -121604 -12634 -48227 -55629 26672 -27964 23780 85733 -200976 -106500 4812 17421 -69547 102067 -102294 -55688 -20176 60493 -155103 28321 84455 -97866 21848 -67259 -1222 -203149 42036 -11298 -34234 -47407 -19775 -77772 
+-91203 -62445 -1836 73613 -144884 53327 2332 149467 94352 23031 36647 -63622 55881 86366 -240294 -205817 -25212 -23621 74139 67711 -146447 152031 53022 -86491 82638 -53749 71846 14479 -36737 175047 118655 -51691 -28086 101757 84734 -59912 -58593 -106266 -18627 -42620 -215645 -45086 -49947 150826 -113625 37 -2792 -4104 -42474 153324 -6703 124497 200482 -44230 -108473 -105171 54140 13478 44353 34371 30124 48001 87837 54930 63476 8996 134385 -7439 -121936 -71004 64167 -59552 -88329 153101 50659 -146661 80326 138454 -59020 50462 70047 -75002 171244 -50603 51990 3374 -121524 -95128 10900 31061 6644 80966 18613 -102348 20004 -36026 -8387 -84505 -42612 -195346 -26098 -92098 35448 89057 19412 192443 212970 -144126 113553 -7629 -144930 76166 -209237 189294 -7500 -97912 -37118 -199319 -55646 -53764 48789 -176206 11046 -55982 99916 -44420 13422 -76398 -53035 75272 -81359 47310 -50340 10529 60612 -61053 -43344 60945 -17814 48232 36195 223476 57866 -60678 10061 147774 -220622 102835 213062 -28166 -179152 -206984 -35398 86601 93160 -88764 -40818 -56059 19680 10588 -65500 156574 153973 -229768 -55276 3876 12131 86871 145753 94315 55930 -164209 17186 -24832 -93881 -33817 -56404 31244 101019 -125098 -21534 79683 -8879 -178597 -144027 -7747 -94964 118707 20727 40534 219034 -13725 17702 120730 41239 62681 -67350 -23658 67508 131326 -7022 -18728 83352 24204 208477 106517 72079 -156411 40311 86898 23161 167954 -117186 -37859 -7355 -69756 29590 -53508 -11502 10606 -129891 -145497 91328 -78888 -115512 140471 -94988 -136953 -21159 65132 -44096 20501 121396 -70806 -88028 -99626 -56812 -167886 69517 -56530 -91368 -156785 68314 -47243 
+-74921 -5546 -3768 171634 -171368 73132 131443 158436 35415 33537 19821 -63941 53618 -40546 -289061 -223720 27589 20230 52017 23183 -174764 116922 35307 -93663 81560 -77439 100395 -2781 29713 247989 137480 -53568 1166 104274 81508 106187 -66459 -97777 -67872 -24424 -138534 -58076 59415 183088 -93589 22401 -2858 11030 -80833 129883 27962 209089 233501 -40522 -31765 -144551 -55524 -4820 41523 14099 47104 34321 141956 18600 72736 67996 39012 -177971 -126529 33418 19934 5826 -137663 26316 49964 3329 28120 88292 -49560 -24300 76095 -21482 108513 -24649 20638 89182 -84769 -105485 -13817 -44 -34142 80014 22241 -117537 -82070 -35562 12193 -72850 -26081 -63260 -15362 -44797 31250 5680 -22790 211486 203460 -156624 -30506 -29044 -110197 57853 -214420 154590 -7608 -90423 -44745 -191482 56264 7103 -32071 -172665 -1135 17293 -50693 -52605 13081 -67980 -48941 11177 -74523 66045 -90680 13498 79568 -60662 -57020 24891 -1952 31484 37065 226610 167557 -129139 1456 190299 -81542 -62095 95806 -46557 -169423 -228842 -23263 109509 85362 -69159 -51045 -48754 44897 2246 -18385 140027 173844 -156088 -41027 102197 86321 89378 137159 129762 15587 -126405 111440 48767 -60979 -49495 -60524 -25525 81485 -76698 7492 130004 60898 -168868 -141940 60285 -59110 125405 17717 19503 111785 -11620 -31318 125760 80574 51114 27010 -91102 76745 183478 24293 -83990 105348 -28100 225467 99244 70108 -61038 90098 121123 122449 76757 -60834 -65366 32141 -87526 21869 -45805 -2806 -57397 41068 -161070 150291 -105517 -60157 146080 -14324 -6249 -37304 75035 -14180 43595 130962 -131465 -120163 -122019 -10335 -119169 60441 -24943 -99659 -120804 119545 -72894 
+-76486 -60474 -9771 131520 -107653 56564 64979 145707 -166007 33415 -6445 -49708 49507 -122521 -282156 -242013 64099 55734 32460 24233 -191416 132164 38328 -76472 84846 -90864 8840 42936 88402 246285 100556 -4054 -5963 111968 76673 166304 -74430 -117506 -88877 -3033 -11907 -91138 115933 189570 -46909 35531 -87721 -16127 -204939 110970 70339 101363 193120 -37080 22906 -65648 -171330 17868 40536 -34824 57447 51722 78957 28506 69830 77172 -35847 -211231 -125889 207900 9945 40061 -80378 -35596 79198 118750 99040 36500 -53015 -83087 51454 3890 13858 -4445 15593 -6562 -33755 -89391 -16325 3165 -57997 76398 33601 -173787 -134189 -40333 50229 34082 -34446 37592 -7717 -47502 31629 -25598 -56481 213340 192339 -75273 -206436 -11469 -60375 70532 24212 107974 -12874 -71521 -39366 -178460 138134 130898 -98117 -215535 9491 59201 -77052 -41633 8637 -100730 -110904 -82072 -7211 53545 -125663 3871 59560 -70867 -28057 -30356 -5006 55470 35332 178597 202787 -173835 9020 216422 95596 -192371 -16514 -58714 -161950 -237784 -9361 -50465 91631 -70277 -41472 -62626 60665 40377 21467 154832 99197 -84292 -70809 121153 129628 106325 96180 123138 3612 -53164 133547 -4092 -57635 -31967 -52571 -108634 91985 -33526 17230 134289 152831 7373 -134160 -15465 -4073 80842 16169 -5414 -50165 -14427 -81996 83956 109373 47529 27591 -77984 40527 217856 83784 -96911 81709 -93084 109171 75215 158745 60714 99529 94477 178943 -29349 1747 -40306 64648 -88793 41800 -21799 9221 -96809 136233 -153204 146456 -24556 -67074 107644 38678 112672 -30041 88826 -59438 13193 133581 -114507 7711 -110833 58073 -41412 45519 -37276 -116094 17213 52755 -52895 
+-66864 -156676 -18011 22137 -15754 54075 -116841 120113 -261351 34112 -9214 -43391 47618 -190992 -262521 -240501 128131 76970 23074 24769 -195735 102206 -40216 -90028 84724 -95861 -88921 53830 118492 186695 42479 87008 -17445 107196 61155 134689 -91704 -129956 -111811 18659 20600 -129723 175357 151252 14950 21413 -197693 -5257 -223914 97434 76868 -42136 136477 -57112 69252 -4351 -215341 -18443 39320 -43992 60731 13631 -67516 98882 52360 13569 25212 -71985 -121638 175465 -18126 50006 51174 28077 76623 41567 116471 -30458 -10053 -127122 24642 64751 -64665 5555 31599 -201837 86722 -96001 -29932 6329 -37054 75678 39817 -181097 -126971 -52899 16044 151828 -70434 106803 -39278 -92480 45776 15171 -72900 198290 179489 55512 -236301 76504 -22169 59350 89059 51906 -10654 -105161 -42073 -142765 78030 229845 -84455 -204878 1178 64780 14452 -42540 -4180 -98118 -144712 -134919 41796 53433 -153058 -6924 43816 -29404 108140 -49468 -13746 98323 32635 84894 215001 -168307 29584 218860 89423 -70823 -52128 -82180 -96467 -221384 2490 -194241 83628 -43192 -8271 -85296 82071 129923 48126 155666 10024 2619 -58010 -20978 148401 106057 25444 107711 -60733 4812 43407 -97553 -8432 -10531 -61905 -182801 66758 20165 6258 134766 185241 101339 -132016 -31930 18398 -43330 11961 -21085 -65089 -8771 -89362 115145 138662 58550 -3827 -87512 81179 237236 95363 -120977 54059 -204139 -13431 65486 159141 11299 137793 53204 98453 -144350 54889 -48394 84372 -75969 51659 28569 -9640 -100696 62139 -126714 152256 143352 -55709 67739 6582 234128 -36258 100163 -168014 4507 152134 -128050 44351 -84898 59871 -6537 38938 -57082 -60594 49898 -34368 -50566 
+-81065 -160746 57820 -63599 9657 51629 -162249 97715 -208146 38075 -3731 -42301 47452 -166514 -227142 -187705 122860 70414 8220 56775 -192058 45608 -50677 -91700 82044 -83019 -87840 17561 154031 86369 -24828 94229 -44999 87629 72373 11001 -90822 -149230 -108755 1222 -68979 -146850 182906 97817 11151 -618 -138185 -5815 -247964 67679 96313 -62476 71387 -42362 63817 35203 -150356 -13306 38412 -26485 34713 26591 -175190 140017 33478 -11440 136898 74882 -105551 20127 9941 21762 184658 151657 92922 -133981 128613 -69714 16007 -176593 -6293 9565 -60113 18912 26384 -244123 96160 -84596 -27709 443 5786 74409 46951 -200230 -42183 -36085 17319 187939 -103269 94667 -16521 -131118 51417 101604 -70073 174857 165590 131179 -88140 150020 -3395 55387 14139 -12684 1551 -129852 -38740 -90918 -44709 196753 -62038 -235295 5005 111627 130981 -5890 -25029 -93775 -103566 -113072 128515 57883 -170788 -25909 56804 -60250 197461 -50934 -26902 159182 30916 -6755 232318 -111069 55046 195499 -82596 121942 -26118 -114534 -16846 -211719 30174 -202189 73364 -54246 46481 -81388 93726 204530 55469 144376 -4834 1812 -86546 -62340 109892 94580 -61356 70322 -106012 56642 -105603 -110057 -28370 -13188 -58126 -223381 60995 18976 4176 85644 214987 11626 -135549 -17174 -43380 -75489 16795 -27136 52879 -11876 -83065 79298 95843 33213 -87880 -118014 56699 226141 171364 -150624 17458 -209549 -34563 42866 183455 -118978 130854 2123 -19670 -194129 82953 -45102 89139 -58569 2654 88237 12814 -65179 -118001 -91793 104796 199683 -31117 97470 -75632 219312 -51389 107182 -191474 -229 164006 -116086 987 -53493 6898 48096 6258 -54107 -49126 -7263 -97083 -31453 
+-93148 -52680 -46590 -47003 -56953 7270 -15389 66673 1107 52225 13079 -44050 49427 -88301 -169753 -163863 90162 43940 20759 57471 -186698 52464 -37550 -50010 83870 -54585 17326 43951 153206 -28975 -105105 77846 -28137 77413 75429 -144168 -93979 -123145 -69795 -10975 -190595 -150225 104438 56763 -40193 -438 -27621 -39114 -210194 60615 112750 40035 -39997 -58029 7790 8937 -24224 -49187 40043 22015 24944 18798 -155019 127613 17977 -10604 163220 31199 -97337 -54899 50018 -31796 234220 208313 61462 -193234 119767 -94261 82781 -175782 -53626 -27908 17744 20963 45219 -106435 27665 -36317 18139 14085 47811 73827 41885 -167813 42715 -28286 -13180 113375 -118341 -353 -3422 -161976 35932 136595 -45407 147397 150154 78108 85211 191239 54210 54534 -195347 -66980 -18295 -80800 -39130 -39198 -57554 47904 -1819 -219375 7090 156281 144419 -13367 -44219 -145189 -66766 -49489 140905 60204 -177128 -35880 72491 -33876 244726 -1688 2848 145287 31661 -53291 146888 -37857 78966 150619 -220875 180141 82445 -128926 52852 -194477 59864 -50474 87663 -26490 84897 -99648 88327 177234 25871 140496 59018 -43818 -94288 -48999 67081 99773 -138909 34922 -142161 66483 -182042 -47733 -19655 20351 -61866 -210531 29894 18983 9334 71656 131069 -137288 -139289 50863 -69530 -27798 45751 -20782 179601 356 -14867 91656 37442 29579 -140000 -137301 69128 207023 203538 -154142 8458 -214773 68316 10362 228063 -172278 126307 -61384 -157156 -171165 63349 -40111 77623 -55272 24204 148811 24307 -2182 -195377 -59350 85785 149540 -18901 63614 -113658 78307 -50104 106958 -109015 -15276 174369 -102251 -46938 -54886 -49996 83139 -14910 -38239 -62147 -126280 -83143 -56458 
+-97851 -3676 -19066 83413 -138565 -23178 121038 38736 112330 58400 33597 -59739 52583 17536 -87390 -123623 57958 18541 28422 67871 -151513 11352 -31053 -115558 83614 -41726 82377 43851 166712 -100032 -165487 -39950 16518 53805 96153 -99882 -86887 -109566 -6037 -14384 -181691 -127822 45635 11520 -129116 21755 76797 -52950 -163205 28104 157631 142585 -106649 -66226 -48242 -41583 72473 -26478 40820 36676 9035 46259 -23744 52847 17449 67704 71393 -141753 -94579 38070 71872 -91400 187580 146875 48133 -34887 137533 -66601 127593 -156032 -87873 -54682 112983 -13961 67516 66486 -73300 -57590 35873 -8028 11546 72053 48133 -131262 81671 -24687 -55365 -12532 -98594 -130017 9767 -118673 35694 83809 -4195 100576 133939 -43592 108840 180530 66570 54753 -172281 -99123 -11412 -122702 -35606 713 61478 -53908 51313 -169412 9376 211678 -22530 9534 -66340 -141456 -57552 61064 80005 64081 -173077 -81854 47473 -43981 218442 34715 13994 158025 34234 -35274 154222 20956 89461 95524 -146788 -5590 179659 -158213 59287 -174433 76907 115631 80085 -19328 110271 -96502 80964 107383 -20280 160276 148881 -145763 -116660 89569 -20641 58150 -172090 34839 -183742 82675 -168954 23964 7223 942 -65405 -170679 16001 -67050 -8370 94916 18159 -202036 -129401 45041 -117011 96357 38547 1447 147558 -15115 -4592 63077 -4461 35408 -125283 -138141 54768 149887 214259 -91502 -16995 -180083 183288 -724 203231 -94876 115828 -64726 -188709 -107612 10588 -24785 52342 -69716 29400 201056 24170 78179 -127324 -36720 15321 30696 -34218 67942 -62146 -76949 -51278 97791 12940 -18565 164933 -109310 -128051 -86800 -22051 100475 -44204 -50214 -68028 -116299 8873 -34981 
+-87377 -44382 -16853 168549 -167518 -19582 69841 13218 10693 26088 19072 -65310 54895 117707 -20910 -60402 -15853 -31891 61431 25827 -143000 -31304 -10520 -96888 79607 -22085 102173 13151 134521 -81921 -206111 -34327 12609 38288 61159 68555 -87550 -108824 16875 -45827 -66881 -99151 -56428 -4837 -99216 35534 -30149 -35593 -78609 3493 119207 199273 -145031 -53883 -108194 -119686 78148 -18004 46202 14661 14372 59316 110136 10962 25953 74261 -35913 -227212 -92264 190911 124229 -130710 30826 28007 66248 131733 106700 11 124480 -106620 -142499 -83892 169207 -26667 37754 76962 -140495 -28621 19777 6221 -19223 72913 62330 -99567 34550 -22467 -52729 -90846 -67457 -229864 -14160 -72880 43053 18328 37567 62398 116512 -148777 -40171 107081 68535 82776 18915 -123399 7521 -118129 -36324 18522 144772 -12552 133844 -169885 -5588 226340 -93202 21142 -93049 -125316 -99194 96172 44107 67174 -157605 -82098 61867 -30115 107384 59848 -8403 118838 36608 41582 83534 -2639 84382 27746 33435 -198827 197835 -113322 48989 -138706 82420 79790 78017 -34805 100662 -80899 99926 14816 -62517 130312 173909 -192050 -137561 114848 -109422 104651 -150945 63045 -212017 41803 -64944 45790 28311 -13167 -61308 -87953 2099 -79172 6970 97882 -52054 -86687 -137894 42211 -106229 110564 39429 20981 39165 1726 1176 47473 20429 18919 -89647 -159333 35455 99896 232184 -79151 -55218 -101234 222629 38504 167457 12365 117807 -16229 -166366 -26729 -51533 -55720 16315 -87982 24433 237068 38473 148542 44559 -29059 -33173 -76968 -25614 119254 32103 -113314 -55186 85827 -15805 -21867 157186 -116776 -83786 -111208 27333 71868 -17464 -57461 -119529 28397 110445 -26099 
+-96536 -135591 -38428 137052 -86456 -29830 -99744 -5572 -148582 36768 -1696 -57800 57310 169705 47688 -9989 -22148 -62773 71892 24853 -101141 -53153 28806 -121372 81764 20538 -381 13576 67286 836 -233457 -25594 -26123 25926 67793 175784 -76480 -136838 61501 -54491 32449 -69947 -74425 42212 -19116 22114 -145888 8161 26963 -18826 107131 79821 -153988 -52792 -174240 -129598 3698 -22522 49988 -18128 27043 59656 143406 15137 44555 27960 -26630 -108638 -84494 182596 165891 -139636 -79744 -29019 61669 84313 66936 76630 101486 -52940 -159858 -83135 142361 -36828 58788 -86954 -121098 -12621 1729 -12306 -47694 76408 59279 -37178 -49702 -14743 -100873 -59708 -35553 -269988 14117 -59306 57998 -35004 74969 11402 98513 -157318 -213290 17487 83108 78204 136420 -124674 -31768 -66017 -25524 10329 78028 115232 226484 -78694 35121 260892 -16781 -36451 -117424 -172231 -139518 120753 -34276 59312 -132346 -103270 37585 -33651 7909 36120 2911 105299 36891 136521 27583 -62845 66697 -24150 119780 -118875 52371 -78474 -44447 -158918 88025 -38520 81541 -48780 91474 -68597 80310 -46851 -84534 144445 99711 -198633 -128103 25692 -184590 88118 -115360 109336 -216240 -626 47185 -47703 53655 -14554 -60490 -9661 -26681 -150305 9827 93770 -87737 79483 -121029 -31063 -91093 112941 37851 45364 -52322 -20152 52811 28387 34025 10406 -5365 -189009 23808 48925 255006 -80858 -75977 -58420 146347 45594 157718 75121 59706 39368 -5883 78986 -96342 -25904 -24357 -90833 38931 250743 8705 208369 140248 -55775 -74495 -92208 19478 154358 39562 -41012 -49279 72635 -109142 -4917 111694 -118062 -30933 -127784 77880 97101 -36573 -38786 -102911 39410 117599 12724 
+-86213 -160146 482 25497 -16873 -22002 -160842 -12975 -260887 49752 -19198 -51683 57163 163226 75945 43950 -15027 -116102 74225 22814 -64609 -106354 59834 -73172 84776 39110 -83682 3989 23626 117910 -189526 36194 -79158 2694 78013 191015 -62462 -150319 75522 -28442 -9824 -28640 -68470 94247 51719 -194 -182550 -4272 49910 -38491 29075 -82650 -90722 -51674 -219010 -81420 -138669 -18400 54526 -47947 47755 25806 53657 101053 63719 -23456 126299 43439 -72726 34123 136030 -113380 -145968 23607 94834 -143106 64597 119947 102280 27879 -191645 -64916 39467 -47057 35552 -227669 -41023 -34603 -30733 19996 -54735 78640 77047 -8262 -117979 -7895 -94544 56386 -24223 -201192 5097 -60261 74833 19359 96446 -30562 80005 -52370 -232001 -30374 60872 88018 9444 -104421 -8545 -97270 -32746 -7352 -44708 218676 272182 -63191 26777 275384 122491 -30079 -137718 -126458 -147054 33151 -81034 48018 -99179 -123141 41366 -19749 -22286 1923 -22747 45505 34738 213294 -33587 -122794 40940 -74148 -5133 99367 -26348 -60741 -114468 -82630 81648 -194890 82894 -74139 53455 -75461 53243 39644 -91652 109351 16536 -112213 -141933 -57097 -236446 56761 -30504 128893 -223436 -79094 126128 -122929 80209 -18513 -59221 64741 -46738 -166433 -10301 132919 -39945 85620 -109706 -18245 -11927 26372 49815 64010 149 1308 72917 29800 78052 4715 21162 -185744 54947 4255 254375 -11768 -85351 24087 26706 89486 132406 -47667 43840 84988 79250 151027 -126093 -69247 -63803 -76280 51461 232594 26277 230933 60713 -95284 -57386 -35262 49535 136295 -26551 108222 -55411 63527 -200333 -13799 127517 -120296 39416 -95079 12721 51269 -18370 -52047 -55736 15254 26270 8082 
+-77273 -84298 -12784 -77917 22879 -25850 -18530 -21442 -212852 13833 -6462 -36633 51814 86654 95452 67730 23762 -162894 56593 51898 -20209 -119682 69133 -66388 80663 72182 -77836 33916 -16757 211954 -146867 117085 -49859 -37675 53213 53661 -56968 -114413 54828 -30184 -129084 -73983 -10436 148903 3483 -825 -80332 37322 122681 -42850 -57646 -60658 -8612 -50531 -253802 -19316 -217754 -32388 61047 -26175 61631 43420 -82558 143199 74553 -13112 171495 50598 -67611 -90084 102920 -60843 -116012 150473 87271 -201530 32061 121307 41251 82977 -220625 -41337 -53108 -45486 19793 -207894 42965 -27106 -32737 -8736 26714 79566 80548 28205 -132546 -15982 -119142 165985 -14613 -90738 -26979 -122647 74247 91560 97855 -74497 61377 76376 -79600 -32546 15287 95453 -215137 -68688 -5284 -98981 -25423 -61581 -69020 218876 297424 -20466 49227 271553 128885 -83885 -158532 -107148 -105517 -83038 -92702 57358 -59606 -140789 82979 -24407 -43406 -38245 -11240 71444 32032 239097 -63208 -195408 16572 -106015 -192714 171395 -77102 -53367 -174759 -96514 59256 -222778 80926 -74843 25376 -57979 38302 119360 -62842 135090 -10432 -20129 -151355 -48381 -246953 51773 44468 128965 -208446 -139293 103733 -100536 45754 -21151 -58272 93373 -57339 -189948 -21592 98381 2550 -59103 -91064 -5445 9876 -86783 61086 68088 140396 2231 73487 30170 133019 1768 -1823 -212130 40923 -27082 210154 -12730 -89547 117709 -39039 113392 92935 -150510 23309 134095 140759 209315 -92362 -65632 -96432 -56306 39665 195231 47469 209043 -106438 -135037 -134328 107000 25367 142813 -95848 253011 -45139 61948 -163052 -5157 71284 -120096 2849 -64939 -48933 11243 3858 -28029 -51646 -92302 -69002 7662 
+-70677 -2983 -61563 -53968 -73375 -12957 118161 -25011 7281 39903 23532 -42611 50392 -23285 125555 84680 85804 -199041 38250 65448 12649 -145775 3320 -128377 84681 86929 19435 29090 -20786 256704 -61675 70022 -42828 -66063 67356 -95853 -46400 -90637 917 -25487 -209483 -49433 82018 180610 -60350 21046 38096 15817 115987 -57775 -61171 53415 66998 -56763 -247359 21468 -195637 28330 64637 11276 51118 37116 -179421 116199 69329 24284 114901 -104187 -65989 12863 16772 4251 1129 212098 60288 -27257 54804 97881 18613 144876 -231780 -8709 -77655 -20088 28370 -30609 83833 -63369 -25335 -5959 26147 82770 71249 75083 -83300 -7991 -73485 182387 -22820 35010 -26042 -140642 70030 136245 76361 -88169 42804 129127 90381 57248 28758 73628 -180970 -14599 -13963 -27866 -26048 -106505 57296 67832 245337 18348 12546 284451 11940 -39593 -171587 -86482 -50441 -127370 -46392 57575 -17537 -162361 58864 -39945 72805 -52997 -11059 39227 30841 198406 -63781 -180871 3949 -116874 -206219 31313 11110 -30820 -174266 -70171 35313 -89472 88425 -32575 -40825 -82690 27509 162952 -26249 111796 57438 20706 -112624 71118 -229559 32210 113009 99521 -184286 -152183 -822 -21979 105383 -40382 -53635 83647 -69740 -154255 -11335 114765 111654 -200002 -95971 28973 5894 -50415 85400 59078 194744 2863 46523 -11145 132045 -14574 -61007 -198486 50197 -44018 190679 -1675 -97390 165030 32290 125821 46630 -143440 -28132 107998 149787 83820 -46709 -36269 -116515 -54755 73971 139788 43611 154202 -205136 -158538 -102862 232477 62008 89242 -111297 230978 -44620 67145 -62278 -33466 66333 -116486 -108360 -44309 -27253 -2766 10922 -59617 -43301 -99275 -89027 26773 
+-92493 -25131 -13632 77802 -162391 -18536 91193 2832 87309 -7047 25436 -47670 46470 -119794 48432 94349 109836 -217807 2528 39305 47821 -166165 -13739 -93704 80834 98183 102931 16391 -101634 225402 24166 -34326 -25851 -91535 63193 -127101 -53962 -124525 -42030 -2763 -142660 -96137 150218 194082 -95734 35548 14565 83246 65499 -35932 -25182 212087 153187 -69682 -204439 33746 -69639 -16777 76574 32942 37023 44973 -144068 56864 54320 32522 -18028 -229463 -75376 194973 72711 44560 157238 154673 63497 109037 49645 39483 -11825 158081 -222225 17718 -21853 -784 32849 96695 68315 -70152 -2140 7057 3455 83081 90898 76814 13224 -16099 -49071 92697 -53449 102380 -4030 -145755 77130 93075 39626 -100112 24081 62320 105425 144369 -4473 96398 3853 47235 -8675 -101887 -17670 -147748 143129 -35546 175758 64857 4285 232629 -121696 -73318 -184888 -101073 -76600 -144565 4473 62761 24920 -148005 70828 -30725 168499 -40248 8986 27768 32241 107083 -70987 -103070 4018 -105781 -31445 -156193 100914 -102846 -160129 -77989 19533 97161 90371 -19502 -47639 -76993 35331 155122 22520 101882 145906 -35132 -133409 114991 -171907 -5197 147460 53157 -139272 -130829 -146257 22773 123952 -34855 -57342 17934 -68768 -77141 5273 84055 170304 -167008 -100310 33114 -47903 5822 80371 41362 83539 -9196 316 10186 92191 -21264 -127315 -194599 44143 -33939 146923 16492 -90902 162533 154451 145215 20926 -28418 -43364 65314 36570 -6805 19150 -44706 -121717 -70564 34713 76395 1072 81023 -125970 -162267 -40726 168783 58789 104398 -48531 99114 -33251 78360 3503 -9879 5924 -112858 -129281 -65595 49369 -41050 31597 -40176 -103244 35827 -57677 40789 
+-72849 -107115 -54703 144176 -139561 -8872 -90574 33827 23406 37090 6159 -58640 49037 -190239 11965 63465 118490 -226553 -14159 33473 74448 -178677 -42762 -123676 82376 103804 84859 544 -101846 136307 71795 -54935 -37232 -114008 91239 -21811 -53105 -113065 -113649 -7101 -5635 -144215 186631 140026 -93332 22762 -100424 69789 16242 -26008 -43007 157953 200547 -27346 -142991 -46649 32848 -3548 84314 20629 19318 103798 -12947 28 34731 46779 -3130 -141370 -78260 162204 -15226 49790 230211 19785 52745 93098 59251 -38105 -36450 194141 -214462 54435 81435 19705 96054 14385 -14030 -75574 22810 -16829 -60921 82155 103197 94239 69176 2259 -30121 -31981 -72721 94147 -5302 -104915 74075 17501 -2385 -101632 6053 -60768 -51057 193261 -52007 58731 106213 103939 -18765 -71901 -20783 -182264 89034 -42699 124975 39637 11084 209395 -47921 -44585 -196563 -63883 -133721 -72685 67232 57906 64696 -169822 64696 -27763 228211 3972 -25520 45821 35009 16864 -54262 -20392 20839 -64627 112386 -153453 214631 -122473 -66450 -22213 -13374 113603 92412 -48605 -57590 -56199 51549 88110 52586 72768 169936 -121656 -130235 65901 -99234 19107 130253 34826 -108035 -80166 -169536 47900 162736 -8827 -50524 -37746 -87384 -43416 23158 113843 225730 2119 -67309 17452 -71771 153242 79393 11108 -27191 303 -9573 7664 48606 -14957 -145746 -153277 44030 -2275 116834 -8314 -83424 122753 222287 122472 -53471 66719 -89116 -8935 -52283 -143596 64883 -37503 -110650 -86194 29327 16660 28348 9174 34571 -130028 -1096 94076 77984 51045 40718 -48272 -40808 91515 -94882 -1819 -6602 -94720 -46321 -103441 68670 -126987 66807 -48248 -118079 73925 55553 54899 
+-107275 -156217 -2182 151841 -52184 25890 -162160 46162 -136406 23234 -25705 -64764 53725 -169511 -49041 46357 83527 -214209 -24653 13173 86110 -158605 -57304 -60863 80794 97470 -38561 11623 -118951 33908 123866 -40291 -36877 -137570 85835 123033 -68949 -112963 -113013 1078 35378 -162459 162837 87501 -13767 263 -203154 46315 -59813 -43846 -67563 20182 226735 -65220 -61032 -118246 94068 -9378 82958 -12360 15768 42447 124061 40232 18925 3499 74960 31420 -87427 17290 -7101 23189 213610 -34999 59203 -78650 71839 -91845 -49955 161603 -206577 2683 166562 28825 78666 -159374 -86053 -90943 17790 -1781 -68716 81799 102803 41834 69475 15890 20704 -94004 -133316 8494 3195 -58936 71553 -31843 -41641 -69029 -11523 -157021 -218989 198910 -83458 55456 -15906 148400 -12298 -64940 -19267 -197940 -35611 103747 48593 88605 -8940 179609 110061 -24450 -187783 -81237 -126964 33452 127109 57390 99245 -165027 71518 -26781 226477 61552 5314 76865 37026 -42738 694 37499 45534 -14434 61428 30755 157319 -136778 -16798 -46811 -26746 -31374 91509 -18145 -39406 -73151 46181 38505 53897 85013 110476 -192539 -117460 -14138 -9075 34314 83642 42730 -41875 -23150 -128039 -53203 155190 -23097 -50310 -132925 -79609 -32429 13009 121384 190383 101514 -55892 -8299 -116231 140255 72164 -4298 -48069 -11096 -59870 18974 5245 -19512 -99758 -211163 60661 44109 67904 -35771 -47151 59562 191036 123952 -79676 27871 -96897 -25791 -168486 -183698 84208 -14330 -85607 -90963 19711 -28711 27935 -57475 118208 -108794 15096 -34532 79129 43900 26536 -126156 -29145 102793 -163403 16682 -48313 -127306 61196 -123001 9819 -174258 70428 -36769 -94001 20639 108143 76421 
+-63123 -88528 -712 4380 10591 16418 -31282 88866 -263397 29112 -14698 -60814 55503 -103815 -135036 16122 58905 -186145 -18603 35226 87896 -170150 -51127 -85504 84272 86607 -98101 -7141 -128844 -54647 124303 64532 -25702 -158275 84152 175026 -85360 -78609 -144871 -37852 -80017 -125509 95457 25979 -137 -1207 -133396 29006 -134064 -14287 -6578 -78144 172718 -72582 -7296 -129624 39604 -7175 88120 -53220 20250 49360 136767 92667 15303 -20918 170503 62908 -88895 -88696 -5006 -36882 88061 32575 85402 -199986 94401 -103227 -39513 108075 -168516 -9515 165272 17117 30897 -257491 -147245 -99577 -8337 -16272 -25500 82613 108254 31056 -19746 20267 24819 -43655 -139303 -119284 -48415 -48961 84551 15403 -69339 -43544 -28235 -143426 -228404 125050 -103151 60215 -201523 187988 -3739 -35764 -18772 -182738 -71575 208176 -23708 93690 13416 109989 161445 -20582 -179110 -50668 -85055 105193 134361 61039 125628 -171734 51003 -44814 142782 60652 -8343 150842 36488 -40312 18247 12993 69473 42605 -129247 173759 46604 -152875 45023 -25252 -34201 -213310 102223 -42180 4036 -63987 77394 -4905 22378 40005 23979 -197666 -79916 -49474 75975 -27807 164 69650 -15327 35980 3246 -126381 194357 -20973 -51920 -198270 -66002 1708 -11519 78447 98160 37220 -48280 -40301 -130111 60403 61168 -25748 103659 -22455 -74819 20629 444 -33697 -13029 -180252 76740 114060 17900 -58255 -19405 -7223 59138 107464 -85118 -114856 -113209 -60022 -192353 -220194 61230 -39815 -50032 -78170 18094 -48058 30549 -98949 57042 -67416 101823 -115515 93446 91619 -22344 -37146 -20632 107363 -177732 5124 -64877 -122568 33107 -117727 -44539 -220809 37412 -43959 -64767 -82073 77025 65121 
+-87378 -12636 -22906 -64824 -2537 29093 112818 91160 -197770 63779 12034 -45400 57345 4109 -182521 -30702 -15285 -155671 37009 44774 84725 -166333 -25733 -77281 82976 56446 -97864 -23381 -102347 -96085 86909 81262 -29237 -183634 79175 94583 -92464 -90463 -104229 -49492 -170899 -126233 6981 877 -1495 20365 3138 26004 -174704 19921 2530 -56065 118982 -35391 24104 -84727 -70343 -17602 95706 -37481 31085 35114 40186 142150 24949 28569 131772 -75706 -111704 19152 41273 -95581 -63385 150068 90511 -97707 74518 -70494 -6183 42905 -130345 -28932 82071 -5992 4667 -150179 -129747 -95506 -38581 -24264 56570 79025 118619 -11788 -89901 18974 39823 78805 -109733 -228202 -17204 -70554 61211 86552 -74367 5976 -43912 -36283 -70863 40948 -149855 45006 -168961 202714 -4724 -60775 -15057 -155276 45902 206452 -66692 103705 4974 74672 32275 -10369 -172485 -43295 -33204 144446 68984 67643 142505 -170249 47392 -50642 46928 35430 -26375 183495 34089 16073 109289 -52788 86717 115414 -223820 81394 -70478 -126608 65753 -1217 -39158 -202750 95541 -30985 69937 -52826 89387 19532 -17768 32485 -8207 -138973 -49521 41712 120758 18396 -79393 110389 41896 69256 109420 -90428 210526 10167 -60285 -204339 -52393 -13462 -15717 85463 -8204 -135572 -43391 6392 -70252 -40601 77807 -29161 163635 2567 -70325 13922 38206 -30543 22913 -143900 69931 154492 -38096 -96377 -1097 -59026 -46757 50912 -110865 -163893 -127461 -32688 -101455 -146243 6779 -59145 -9505 -56523 62352 -47977 39026 -109965 -108067 -45032 85008 -65936 96079 124364 -92931 119641 -18362 105070 -72959 21376 -55571 -148475 -45326 -85032 -42108 -254020 34880 -52413 -54081 -127623 -7530 90609 
+-89560 -10610 -39118 -56276 -99042 57523 84122 142120 -20421 41911 16541 -34277 56707 115544 -276255 -78463 -34684 -105956 62595 58731 66442 -144992 16678 -89048 82847 33146 17517 -43386 -16595 -54605 17033 68798 8529 -191845 45393 -41273 -97865 -33334 -43279 -13433 -187525 -64450 -61513 13865 -52942 35484 36137 27676 -263676 49772 38061 105917 14695 -49741 55469 -25233 -187002 -44765 108515 -6898 52547 26235 -102899 119086 42671 55153 23942 -215790 -125499 156090 95662 -133901 -150177 213541 57192 104293 131478 3225 9869 -21326 -95512 -73363 -22844 -23207 40021 33357 -12079 -126950 -19441 4687 13384 76410 120766 -58163 -117560 32224 -3863 177111 -67805 -263594 -31146 -136570 70383 137047 -58674 35640 -58711 82837 93437 -32650 -164239 56515 27433 197661 -6825 -27536 -18988 -101729 138079 97632 -104281 77730 1209 54859 -94257 3922 -156632 -60480 -59868 79140 -41577 55060 148272 -154384 68146 -63912 -48264 -17651 -5493 155395 31471 111345 148887 -137349 88484 169021 -109961 -90506 -55218 -105147 38089 -1535 -27209 -42721 115773 -92768 102117 -49722 88734 86465 -65586 8586 51543 -43611 -48495 110809 134145 -9236 -143198 131731 59740 109519 128564 7913 196105 7584 -54928 -184616 -30603 -38594 21092 103369 -52174 -196537 -27297 50945 2391 -42298 95033 -17971 144842 -6661 -36254 25397 101099 -37761 3599 -118819 60169 201615 -66277 -115725 31307 -153299 -2569 4785 -139892 -116710 -111733 33124 10998 -2544 -62344 -44736 30591 -54090 44826 -23491 44727 -59606 -200624 -35521 159758 37622 116864 153639 -75458 235696 -16097 95392 -4470 35745 -100729 -109783 -131082 -50064 42461 -255138 -25607 -51235 -74909 -13765 -85576 69427 
+-84232 -89103 -28492 63623 -163622 46643 -82332 147062 133718 52187 12275 -37503 53521 178805 -278417 -135611 -22444 -54743 18776 39996 47223 -97847 45292 -102154 77010 6176 100499 -20222 8489 48478 -59627 2303 -34253 -202783 77348 -150384 -95867 -87422 9875 -3710 -65368 -58350 -88720 60405 -109289 23410 -99984 -12094 -220688 76219 73893 184470 -58276 -74831 46166 14513 -212866 -40686 109713 28651 60860 45667 -179935 52946 62783 38520 -18217 -186896 -121868 197254 129700 -141194 -132924 143083 65230 101787 98347 82238 36493 -105049 -56887 -115798 -70382 -44195 43094 75311 50910 -135218 -22176 4095 13474 74129 128910 -114848 -103550 55240 -19215 173476 -25862 -217578 -15797 -131009 63190 101152 -26030 91686 -71860 121112 101431 -12941 -159636 58291 96460 179696 6559 -39977 -15138 -47450 84242 -23619 -71556 23234 18362 -2980 -33730 8100 -128658 -69223 -115689 -25311 -63140 65625 142932 -161172 25874 -63335 -54731 -41888 -11194 125373 30948 190200 207696 -164569 74616 205543 66854 -205828 64984 -68963 -23232 21685 -12566 104669 107777 -40404 96721 -81332 89867 149936 -90788 -14658 141135 27491 -16179 78126 121352 -48602 -167645 124846 75895 63883 25030 47020 236043 -42622 -51152 -147271 -24574 -110260 12086 99022 -54537 -92027 -15717 21404 -25987 2288 71992 -31 13933 -19311 -37218 48258 131698 -46603 -35720 -146621 83510 226563 -64272 -119399 51889 -200238 119811 20344 -100506 19218 -123589 81014 118851 41094 -101360 -69539 62955 -68147 52088 26490 37503 4651 -129132 -45901 118397 146249 124246 129035 -21290 241792 -17975 82120 -10056 25619 -73649 -96160 -97976 -52460 65256 -276769 -8679 -28021 -107765 59847 -94766 93956 
+-106226 -143023 -17325 180565 -176588 63352 -166795 134200 27882 14582 -21177 -51465 50797 152486 -305953 -190817 23951 -15690 104535 262 25947 -72955 67478 -118654 82769 -31695 99959 -25926 58451 160701 -130193 -25917 -30091 -208710 67850 -94223 -81182 -47608 53301 10863 24309 -51034 -30233 121685 -97085 706 -171554 -17534 -220145 91365 101830 150281 -135216 -37405 1978 20179 -136652 6514 112033 27989 51506 50863 -125139 6465 74383 9576 45337 -9045 -127062 57322 121417 -110022 -44682 28671 44100 -59901 103189 110548 84620 -155367 -19707 -85199 -46906 -50679 61151 -59322 79599 -162593 1943 -16675 -61302 72795 129926 -160403 -7525 32945 -55220 70922 -18544 -119020 42924 -120002 27022 22433 18032 122361 -83520 53407 -59671 30850 -179846 51055 -10293 135823 692 -21730 -19503 -5997 -35864 -65830 -5113 12818 17815 -47614 82378 31666 -111064 -111098 -147158 -124753 -94226 56245 126674 -125615 31845 -53114 34012 -49809 723 115192 32821 232850 194338 -187137 51797 216338 109160 -27855 181705 -40961 -123414 29043 3984 113170 108105 -88236 111203 -54429 94979 164999 -88620 -42169 174576 20077 -2990 -39005 65788 -16208 -152660 94509 71265 23885 -75150 -20880 251072 -48808 -48091 -78148 964 -114812 1715 75063 -18318 70315 -17230 8513 6719 78355 89697 24293 -65567 -15904 44244 61959 132351 -53132 -110192 -113685 68664 226629 -86390 -104759 78619 -210093 227216 41039 -63226 63639 -98147 123045 126961 150547 -128772 -78118 83677 -86045 39211 85664 40340 85465 40813 -82028 129553 182184 125790 108502 47805 107141 1880 69184 -157250 4132 -98172 -152891 -36148 -86163 5157 -270250 -56871 -13858 -59008 50489 -11693 47280 
+-93472 -121326 14343 122837 -97634 63540 -62749 139283 -153681 43377 -11547 -57965 48026 89523 -232619 -213517 62697 37695 30247 14017 -2186 -43296 47086 -98133 76823 -34462 -12312 -56929 110972 245286 -195071 -34686 -43295 -215717 79220 83466 -73203 -97441 54620 1974 -8247 -21619 16994 188347 -15873 -1560 -176750 -19845 -128534 117429 124369 -5983 -163603 -33220 -45259 -39128 -26940 -23585 121501 -3534 35688 26638 1385 11249 71536 -37380 115046 81007 -132100 -66409 116697 -54308 118783 -26653 80574 -170370 89856 142364 145129 -162240 22263 -66252 44973 -44968 65079 -226956 54567 -157383 11914 -8519 -39539 72365 140115 -171428 55736 38973 -63124 -49537 -34410 13762 856 -84873 53747 -27155 58773 162929 -93828 -73241 -222402 121361 -176938 79771 -204448 82721 -24097 -16752 -26231 11640 -72075 58216 63858 -43456 10977 -87816 128729 -43326 -86338 -95265 -120852 -153776 -86134 37753 100791 -116118 77887 -69692 123031 -21952 6484 79167 35597 205703 225663 -119426 26458 209675 -57362 146613 177462 -28199 -171992 25900 26276 -29656 114164 -10294 73428 -96625 62701 108984 -66407 -65183 115937 -76463 6361 -76879 -8277 2161 -98209 58603 49673 -19275 -124563 -89566 267604 -62162 -46114 -1259 11597 -167670 -19872 90573 21176 91325 -13641 -9208 -61039 113724 49993 48720 23270 -17176 68918 45459 81686 -47649 -142026 -68165 90643 213979 -88641 -134965 89847 -173108 203668 56936 -62680 -48558 -86508 117252 87915 193794 -94610 -20571 89097 -90778 15421 146799 8655 161264 133781 -122250 82703 135021 118780 89135 20139 -43563 -1220 62267 -209595 46127 -86863 -103095 39387 -121097 -35633 -260939 -29789 -34068 -61173 -106635 87448 62542 
+-95756 -31357 2246 26580 18483 69542 101666 124585 -240132 37549 5349 -68566 47819 -12662 -226071 -234283 98273 48859 34043 25035 -47920 -45032 5194 -55271 84709 -61347 -59555 -26784 140523 258754 -225169 -2971 -26772 -212471 73876 198834 -67826 -13575 57420 -22280 -131350 -66618 99820 200096 30421 19673 -38698 -22056 -49110 148362 120298 -88323 -129460 -50409 -131844 -107905 81147 -8462 123344 -45182 21659 29702 128056 99111 55858 -5736 135050 -32769 -125666 2881 87891 5976 217263 37620 70993 -129542 101612 101503 124247 -183468 45982 -7139 134989 -20115 46822 -224422 -56902 -151443 3090 -1294 -22344 74284 137032 -173580 74891 45944 -79863 -93180 -50117 99860 1498 -49882 53460 11008 88608 197844 -101909 -159145 -224160 179437 -123490 88231 -197574 20397 -17221 -4829 -29529 10603 44624 191628 158128 -70050 21305 -97965 47065 -54992 -59099 -96449 -65630 -113203 -13150 58016 66472 -112292 53191 -80614 240999 31012 -5181 50128 37087 137133 181572 -23430 7108 184028 -218318 143397 148060 -61407 -200035 48358 57921 -138471 110914 -693 25229 -94123 68276 55946 -23841 -71729 24707 -182327 -21600 -618 -95707 -22126 -24987 37973 27348 -95709 -163145 -98284 263960 -31131 -49608 51982 35171 -195103 -20125 90138 149302 -57295 4475 11878 -100932 85062 67305 56353 169103 -13886 86560 100459 33383 -56927 -89616 -77962 84993 167642 -56777 -109139 86195 -125062 70561 57449 -29736 -163191 -48516 58341 7697 119867 -32957 -28474 78439 -80055 44730 201978 34726 216446 67596 -157396 13347 -37317 110042 41692 -57375 -118921 4598 61738 -122558 30607 -81046 -80923 -3504 -121156 -52916 -247671 -24979 -38193 -72477 -105172 124197 85384 
+-75631 -23325 -34592 -70271 -2449 59632 116457 107602 -212072 34574 22143 -55822 50302 -107666 -147166 -232958 137882 70616 12983 55681 -82967 20199 -21141 -107627 77544 -76772 -66589 -45112 172335 193166 -210040 67887 -60103 -203140 81440 159749 -56964 -31376 40823 -37404 -214553 -126396 171391 185628 4301 35399 32010 -18231 10529 127473 104598 2797 -74484 -30197 -208166 -141433 74393 -28437 127840 -46552 10837 6063 134378 132380 36235 43962 67276 -205919 -114433 165285 47187 43505 210293 156060 86802 30985 75791 28666 115950 -150487 54017 34482 176074 -3153 54091 -62794 -131305 -143358 -3798 -5653 9603 78185 138940 -164516 19140 40461 -95248 -25349 -98238 117255 -31105 -62053 50728 89942 100299 215442 -108736 -134138 -61182 198804 -93163 95413 -24357 -43746 -13060 -51781 -26201 -12438 142962 225095 228251 -121440 13200 -108217 -56761 -73161 -41538 -100871 -60258 -10126 57207 49423 27048 -76641 78131 -67565 236412 58133 -18730 42063 35983 38943 107271 10220 1468 141386 -174036 -52281 -51859 -93858 -157309 8221 75469 -183894 106426 2246 -40716 -80099 53588 -46821 17052 -100789 -10172 -224806 -8078 106542 -175979 -17222 69821 41660 -25840 -137353 -89161 -24207 274291 1400 -51137 109211 52164 -147445 3318 106776 178317 -207965 -4046 -2728 -117972 41875 85466 65863 190347 4896 87690 98876 22226 -65961 -43077 -62507 106649 122492 -44546 -85072 92718 -71437 -44153 125500 44507 -189094 -15931 11129 -127129 -7541 33042 -27126 53773 -59025 20557 237921 25341 230940 -115985 -161964 -50607 -86483 139628 55621 -137054 -85614 -187 69691 -71067 29058 -56583 -111814 -126814 -104254 24883 -194873 -14332 -25908 -62957 -20918 43189 59039 
+-89298 -98246 -28487 -22365 -94550 40602 -62795 87921 -14866 39397 25673 -43406 53596 -187654 -119669 -221366 117263 71743 -49307 62909 -140052 44341 -75617 -95326 78718 -83843 25986 -1816 147925 95856 -189181 107355 6493 -199697 56260 16631 -44629 -47592 -37422 -80533 -142429 -116150 178709 122985 -74396 24082 -26453 -3121 117246 140353 64974 139894 16388 -82006 -224171 -98709 -14865 -30046 124420 -5859 13981 8042 22367 126170 21071 56805 -11880 -194730 -119627 217914 40739 47841 133996 206721 62968 109895 54043 -62259 76176 -99289 75078 31386 117880 15226 4875 83127 -162033 -128772 -34133 -5301 15200 78049 141192 -159687 -68533 56912 -117449 100319 -121727 15397 26354 -112130 38937 133836 89092 214398 -113503 -23982 100697 142646 -83206 100835 105208 -88070 -45173 -18680 -33151 -44573 97698 131375 254143 -160366 10644 -115932 -76141 -57443 -16092 -105665 -103472 88012 114049 54423 -15439 -53786 24339 -52121 188138 59929 -30986 34102 33269 -31471 48477 27205 11476 78650 17519 -185225 -106029 -113135 -105225 3143 80554 -93934 113074 -4123 -53884 -90031 55114 -14682 46927 -119640 42606 -193747 34666 108487 -218286 -19520 114599 72078 -65175 -159616 13779 43024 244534 3046 -53989 77970 71859 -113162 -8108 138055 186723 -177732 9907 60513 -120273 -60293 76978 55722 92504 -4320 35693 118612 27886 -54010 51652 -46175 54890 67947 -13531 -24379 74322 2397 -26349 139899 65298 -30085 3995 -36768 -140519 -105389 86594 -46069 18349 -54479 45426 248325 31169 203369 -210710 -146507 -77280 -125079 125414 89866 -46900 100570 554 82306 -37377 20078 -67347 -94747 -108946 -63267 58390 -129666 8730 -55410 -72652 69804 -56140 55105 
+-97406 -140780 -46671 46193 -169326 20579 -163408 45364 123028 17351 12348 -39515 56415 -171205 -14369 -184152 42656 45333 35553 32107 -159472 67317 -39315 -105984 75303 -92876 131241 -13243 141753 -23918 -114587 47249 -37216 -185821 64760 -113800 -46408 -50422 -55368 -33469 -4778 -165023 129177 61914 -109863 1185 -154504 -47916 99787 146194 15941 230209 100070 -28864 -240806 -19268 -141184 5890 130738 19313 34623 52530 -123012 34369 16530 40057 -1679 -43119 -107050 67038 6858 18769 -9511 149205 54519 -10990 42731 -87513 68798 -30552 72099 -1022 9066 20007 28948 47828 -87911 -177020 -29376 19999 -21059 79596 156256 -112227 -137548 72143 -75382 184841 -125928 -106576 24486 -153136 54781 99986 58685 202816 -115950 93727 97857 54633 -39581 68189 10453 -113174 -9516 -36309 -35824 -100135 -32033 -24859 260516 -219923 -2583 -64262 55712 -62899 -907 -103956 -138224 137350 125588 54726 -57909 -40963 58297 -66044 112903 21316 -509 52279 31181 -46711 13853 -51776 34968 16667 117062 -75320 -52943 -126333 -35769 -61 82690 89668 102709 -39364 -71310 -78907 17583 68469 52723 -148394 138439 -66769 -18547 -11496 -258087 7313 149490 110624 -130096 -136031 99577 47844 247862 -688 -51008 39028 93244 -73092 28238 111948 173428 18972 13030 2741 -84764 -66086 68116 38234 -47509 -9790 -8948 106521 54005 -52713 26557 11270 76008 18465 38365 -28112 62709 92072 97691 139779 118984 80371 48020 -58990 -152757 -189427 75849 -65105 -22448 -68097 58045 235797 39938 154570 -139117 -121632 -142846 7347 170463 130382 17319 259145 -3861 95035 -82003 20207 3996 -105596 -55740 -39289 43277 -74135 38121 -34748 -119866 33091 -104419 42187 
+-74081 -131206 -26356 168186 -135916 15218 -80578 40532 46362 41283 -9734 -38264 56742 -105765 52173 -142641 -29920 3474 14554 16795 -175151 104882 -56203 -105832 78117 -68495 97138 -31335 80819 -88856 -45284 -25589 -41870 -165773 74561 -136663 -56984 -1740 -92424 -38623 8589 -143606 58184 26809 -88063 -1903 -198997 4695 91411 130168 -21098 92482 170686 -48542 -229428 30747 -201904 5107 130190 46172 47602 34122 -186241 19588 24355 29776 136943 82753 -96760 -63489 7957 -34009 -134251 22740 49664 -179641 70101 -89180 6791 50487 67377 -8296 -66479 7815 5419 -139173 -6721 -154718 -14803 17209 -31487 82469 156777 -65713 -116607 79211 -64367 161257 -85276 -206399 1828 -139516 35154 18225 18795 171649 -116526 124179 -68894 -31471 -17099 95341 -169788 -127491 -13952 -21980 -35116 -151515 -70983 -54807 277355 -245985 -15456 -34107 167472 -39846 7111 -138474 -108162 98542 110602 64566 -97371 -33793 69509 -72853 -3046 -33156 1981 121854 31243 -3694 -54757 -136230 61115 -51434 17797 105537 68973 -129268 36384 -6548 79627 101048 109259 -61392 -43923 -71757 47349 162784 26679 -164566 173611 15625 -35204 -50887 -239290 6068 127772 125494 -163017 -65408 131398 -28886 231813 -8398 -45001 -64412 89638 6937 -26354 92509 82576 89402 25979 4168 -28373 9727 49769 15025 -23722 -8365 -56469 116490 113478 -58740 82 -5214 107303 -23083 50220 8974 36385 128207 211524 168136 157233 25398 83394 -19423 -67278 -193713 42550 -56065 -62340 -85701 29253 196197 26061 70678 30433 -87697 -142088 166287 132866 120360 52105 236463 -6562 105260 -160205 33303 9653 -108405 7236 -68999 -36463 -5918 49232 -39802 -81545 -72331 -73399 39751 
+-94411 -46901 -12672 125476 -67994 1121 88447 13942 -129752 58241 -14474 -56776 54781 -6162 123210 -94182 -18482 -35824 40680 13171 -182285 143718 -4314 -87374 77076 -69684 -35753 1157 54412 -84212 26315 -37463 -23474 -127509 83707 38871 -80846 8635 -139610 -59478 -74217 -105025 -40444 5384 -42325 18991 -104867 29923 69332 121212 -50000 -24343 231501 -60057 -191415 9092 -168853 -36682 127288 3361 68216 33451 -118397 31350 42040 1291 167339 -641 -88965 -4940 8446 -95007 -147666 -26296 60144 -158570 69018 -53479 -11524 108024 33551 -56040 -70098 -10957 54763 -262235 67552 -116926 9672 -21816 -65229 82753 157495 -16497 -50509 70722 -7636 48443 -76537 -252076 12411 -100787 26405 -34791 -25245 134784 -115408 32615 -227093 -37710 2517 66248 -147207 -112824 -20238 -34422 -40045 -180300 44608 31935 193923 -208310 -5729 -8450 83341 -35810 17169 -123381 -66961 14796 30790 64944 -130991 1211 49394 -58387 -74059 -34578 15247 111036 33558 87072 -62567 -188111 81247 -89604 -169498 150577 174704 -121485 71185 -11443 57985 -20211 98728 -60927 834 -54083 35194 180962 -12848 -172510 123760 13672 -67053 -60019 -187407 31518 74180 119418 -192593 7290 72599 -125721 236481 4150 -46391 -155953 90903 13332 -6365 118396 -53945 34920 4360 -34368 7861 119045 37586 -9232 106008 5747 -59294 121005 127705 -69849 -132386 29443 87945 -39429 125270 6229 8844 159883 229352 132372 200214 -109660 77747 34256 26505 -176314 -17047 -35208 -95165 -93021 -2347 144965 7249 3121 123858 -46912 -84208 197920 137792 119387 -27703 101319 -5732 107333 -152706 -6524 46600 -98055 3030 -96917 -34272 11325 48882 -11839 -60266 -125753 33938 18937 
+-95560 -27901 -7256 11683 -10861 -16356 111964 -10244 -249503 33936 12821 -62061 50768 103252 91708 -43640 -17884 -88283 50992 36943 -194450 145733 39894 -84015 73802 -18291 -82611 2626 -9775 -19178 89309 -33644 -3587 -126315 45636 144553 -78327 -11750 -101120 14718 -189887 -69731 -66667 32093 45434 35298 -1659 6009 -13269 99562 -40594 -111010 196584 -69417 -119446 -25381 -68540 18870 124162 -28945 53113 -5175 22033 104564 61625 35163 95349 -171223 -79503 178215 30964 -132812 -61840 28452 95066 5391 99445 23604 -39844 160847 16172 -88011 6736 -35991 64127 -177483 86800 -117580 24356 16684 -19396 83066 152632 19587 29855 91042 2888 -64684 -36636 -232745 -25022 -64102 45037 2688 -59097 99952 -112231 -86685 -219276 16625 39637 59215 24420 -90214 -2281 -36083 -42357 -196107 132274 174902 116241 -231175 23898 21392 -43163 -3636 17246 -140298 -54204 -91602 -3069 67840 -156739 -10323 46753 -45588 -25801 -37399 -20819 168589 36149 181741 -38659 -167485 89672 -120066 -209569 -30364 177437 -69625 38166 -23238 46500 -167382 94699 -106328 32702 -64300 58089 148528 -51290 -196243 31389 -46386 -65780 60999 -111903 38844 -8917 96410 -215888 44986 -113727 -94999 257268 -30934 -52108 -178534 101928 13294 9174 116134 -78795 -147266 11114 3579 -22988 145772 52746 -23034 185745 1203 -130522 121719 146475 -53823 -133457 55108 62998 -26610 173573 30882 -25506 151020 110400 40641 195123 -177569 132462 93695 139312 -33691 -76488 -38165 -116055 -77599 25322 77314 15909 -65569 78195 -31090 -72608 145564 131088 137524 -92075 -25859 -13201 103133 -79781 -400 81597 -96676 -56150 -122048 -2789 60806 62882 -28053 -52968 19573 96488 26258 
+-89894 -66260 -12419 -66639 -40274 -21407 -43620 -9206 -228160 27703 40601 -63254 47231 176256 113282 15822 13687 -132267 45474 62324 -190390 120788 50434 -112484 82990 -4128 -80354 -16568 -43040 103445 128045 31364 -28369 -94293 74119 168834 -89772 -20903 -53892 -773 -180274 -41810 -79005 82507 3570 24696 31481 47908 -78055 84764 -82239 32327 157121 -39351 -52235 -102084 51207 -68689 122996 -48825 32036 23515 139274 133947 73945 61549 6490 -219894 -69792 203342 97266 -140034 75008 149422 83142 119726 132072 100624 -25960 180956 -41998 -71148 119347 -51428 77355 14545 7449 -112970 4641 25354 32087 81618 156137 56635 81591 78910 2054 -88785 -10791 -121224 -30987 -55132 44817 81515 -74640 48831 -106742 -162886 -51185 103699 94116 47752 149795 -39550 -21558 -43836 -37058 -187376 92626 226047 42091 -253118 28833 92514 -78189 -12303 9365 -103559 -79977 -114399 -75063 59782 -172775 13186 92566 -42477 92354 9819 -24697 176572 37043 234241 -42782 -89345 81884 -117392 -54602 -213320 71030 -44643 -35030 -49443 24470 -185737 95549 -35154 76679 -111629 90308 13981 -89121 -199959 -8972 -143461 -88483 117440 -27749 90587 -91220 56442 -222999 105998 -170836 9266 214065 -37854 -49556 -225900 86145 35315 15152 80486 -86624 -194186 7277 64446 -35956 87138 36779 -25757 127842 402 -65624 96623 80066 -44269 -111985 48699 62571 -7076 191681 6679 -46596 113906 -4048 57264 212760 -95116 130514 135173 145120 85783 -122096 -45004 -121971 -60953 16168 20456 2754 -91482 -91009 -39666 9956 13025 108859 81147 -85100 -134099 -26720 91333 -4849 -2841 91961 -67677 -127366 -119600 47127 78277 19118 -31140 -55526 106622 85978 -11155 
+-82520 -139597 -20770 -49873 -66972 -24523 -162123 -10638 -36287 36155 20068 -51686 47130 157155 68170 51658 51170 -186003 88843 48756 -179556 148704 38044 -72128 74663 27352 11983 3428 -95323 207344 121217 129850 -26121 -78896 37900 67466 -91521 -30690 -1134 14030 -72661 -61791 -38008 131063 -84888 1672 -108277 46882 -148058 71119 -20982 133632 70275 -51982 -7000 -153632 90653 -7105 120989 -23638 21352 2661 126097 134570 71479 45689 -18176 -81314 -69247 53781 87456 -106391 189700 208716 61556 22308 149102 129795 -49586 181082 -65352 -92837 174456 -47744 -3603 104288 -87522 -83644 -7361 20174 56559 81452 147253 82794 44302 113874 36104 -5166 1235 -4158 -22900 -78125 60115 140236 -68185 4422 -99570 -129972 103618 182081 77588 40617 -609 16474 -26131 -20668 -45961 -155030 -37478 145888 -27777 -170182 43190 129830 54931 -5730 -1710 -106641 -138436 -120417 -82547 62600 -177262 19815 28877 -68944 174027 48190 -34365 139696 35483 230414 -23423 -42342 62490 -95740 108497 -164731 -65476 -28109 -107134 -86040 -8740 -47655 87290 -91631 116183 -75935 117930 -10796 -90301 -206478 38440 -235076 -89605 39071 55266 51107 -149770 38419 -218791 82047 -173048 40539 196178 -37915 -47253 -177916 72477 -69437 13010 108099 -9038 -90622 -8175 59818 -93326 1719 15941 -16973 -4561 -17208 -22148 77752 21491 -46591 -34815 75426 80515 39211 223761 -6065 -79426 5260 -35352 51481 200285 22120 120123 125984 96364 136348 -117447 -36087 -111807 -55719 -11592 -24581 8286 -98226 -202883 -65329 65779 -37214 130414 49433 -23513 -82953 -26182 78371 -49972 -8278 126755 -103596 -68759 -77136 39982 91311 25821 -39277 -59779 31050 19366 -15074 
+-76287 -146026 -11225 58487 -165744 -18356 -83995 15228 128473 20935 469 -40714 48454 101941 29074 61928 126672 -217371 4619 21998 -145697 123854 24324 -76409 74279 52900 85441 -1393 -130284 252765 71322 51766 -26450 -41402 76222 -75200 -98759 2007 23354 -16771 23944 -55082 49994 181145 -137269 -2245 -195384 47721 -198378 43716 25553 197042 -19885 -61099 50531 -72105 26350 -38878 111208 17095 13629 35453 9220 57348 57591 10936 82251 68869 -63540 -54224 145237 -55898 230198 149822 56616 -150184 130425 143812 -1680 146118 -107936 -39976 147203 -32414 -17580 -30515 -112125 -100058 -35343 41619 847 81073 160322 80043 -41189 88387 25766 120765 -51154 90933 -29924 -139079 76158 110618 -42266 -47600 -90725 -10965 90360 199996 70103 44230 -158721 72468 -15088 -30246 -42652 -104298 -70060 709 -84329 -154052 15598 181593 148050 5790 -20518 -84755 -160507 -49200 -68630 60999 -171126 -3703 69354 -45920 217541 67931 1396 130088 32651 157244 16791 40537 36541 -56936 74157 38525 -85644 -48914 -179250 -127834 -31234 124711 83367 -32102 88009 -72442 85637 4478 -71547 -223041 128146 -196192 -103303 -57570 112690 84752 -166944 42823 -202919 59690 -33431 9111 182329 -21178 -45413 -130629 69891 -108702 11732 98671 65550 81294 -6215 13723 -128279 -37632 11427 -905 -76731 -4310 -8307 82576 6626 -43810 23299 99279 63799 81922 247341 -41124 -87141 -28867 62546 8970 195565 34080 146025 75117 -19876 131879 -79528 -8345 -87325 -69490 21379 -50815 12475 -57377 -136134 -101666 107126 -106406 99471 75349 19357 78612 -31571 66846 -151619 -18585 148522 -56981 18641 -55217 -19156 115384 -11650 -32044 -92991 -44406 -87247 -21722 
+-67322 -87831 14256 179690 -172310 125 99943 22890 40728 45782 -6325 -33294 53322 -129 -49032 104879 117896 -226195 66543 18450 -118885 86494 -28049 -96020 72227 67176 59702 8358 -130246 234474 310 -3597 -55984 -15695 93284 -153237 -80640 4983 64884 -34298 1444 -87377 138338 195005 -73699 18285 -137802 31494 -258813 6347 69650 95747 -110575 -50131 57359 -46860 -99625 -41235 111138 37728 20696 48094 -122339 9336 37551 -8840 162468 35539 -67145 -6407 126687 4547 178503 24401 54263 -205024 83606 91678 11411 96289 -157761 -12837 41365 -10720 40405 -202344 -109553 -80322 -28826 29439 -39307 74771 156184 65496 -109492 101447 -17357 189154 -75853 113076 15782 -156389 53157 37662 -3251 -65855 -79865 100263 -78717 154335 34604 59497 -166493 130262 -19060 -69494 -50202 -59946 31226 -62078 -98779 -92433 34745 214831 88312 -28371 -35357 -92446 -120856 36332 15307 44624 -154016 5632 69620 -34997 228573 35674 5303 80588 30940 64928 128138 20214 12861 2041 -101538 167740 -24713 -64910 -180181 -135388 -34126 104489 76336 -7329 63113 -72870 88981 49965 -30040 -222618 175896 -97631 -120085 -26103 136307 62023 -141657 80597 -176120 2134 95568 -75075 190650 -40760 -47827 -47986 45474 -138388 3130 84208 166862 89206 -10489 6372 -109164 -27839 -1132 22907 60038 5912 54023 65986 22996 -51046 30812 75593 44128 136411 234175 -102088 -101484 -97914 195163 -4976 170882 -17315 118640 -13709 -116922 149371 -17322 -27301 -51799 -82916 27426 -49879 20489 5212 37534 -134659 155743 -31230 108155 76798 42968 226127 -37977 61926 -176312 -9997 157796 -98221 30672 -49902 -45183 65948 -37583 -43871 -83204 -150170 -113005 -16274 
+-88116 1244 -57764 150874 -45207 -3124 117281 49957 -121136 28948 1952 -41984 55326 -112042 -152537 88711 107484 -224717 -1890 23489 -71938 91612 -51256 -62709 77376 85587 -21041 8178 -91190 151610 -73310 -63687 -11632 12423 69504 -3999 -82422 17019 51107 -22941 -117686 -123017 166770 155707 -11511 35183 -18494 28795 -224138 -23655 83624 -69220 -153460 -55024 25709 28245 -195922 -60820 105709 11247 32041 31452 -195537 29470 21300 -7928 122902 -142536 -72273 168218 108368 39945 15788 -29268 75311 -26273 82777 19350 66208 16815 -181156 35724 -46330 7853 36212 -230840 -68644 -58887 -21654 26366 -25399 74650 160299 65372 -142625 117891 -20998 146190 -77602 36812 8896 -132757 88305 -27695 40097 -87299 -67815 119196 -231567 62602 37250 69490 11924 167782 -27674 -40046 -39706 -11687 136565 32945 -59271 -68382 21446 253382 -11793 -37443 -64330 -73948 -72222 111926 75661 49079 -127198 -22929 32753 -17750 113581 2688 3895 16837 31626 -18010 130786 -43813 1824 66833 -221860 57982 94426 -132519 -167857 -163538 -41588 -17058 86546 -58689 33462 -72911 32358 136506 16480 -230794 130045 -23862 -115108 68075 127385 70817 -90439 112246 -133503 -62930 136699 -91178 164493 -16280 -44242 7244 28830 -182786 -6394 125395 183745 -63508 -31908 -12760 -61564 47873 -13794 50917 166251 -13032 58735 24963 57906 -23255 -15655 87415 19447 181310 245688 -125871 -108473 -151127 228046 53312 125549 -159158 92205 -54424 -170128 60194 45365 -51796 -10863 -90145 49976 -25024 11194 90976 141686 -167187 143190 87157 130773 106381 -24826 230390 -38914 62464 -126522 -14392 170424 -64340 -66864 -78490 5620 63073 -58451 -44709 -64766 -90958 -47285 -46787 
+-101442 -30799 -11479 11982 10756 4688 -35091 77489 -238831 41194 34010 -58745 56326 -175512 -223609 61943 70533 -215109 -11433 50200 -40041 55801 -55542 -101854 74082 100029 -96786 10075 -99552 30453 -157643 -36434 -14376 30155 73004 140308 -72405 22830 48606 -1594 -208763 -143520 166471 99880 31113 25346 44819 266 -195496 -42517 79776 -75531 -161807 -47269 -11418 16673 -202938 -13768 100501 -28596 51481 27105 -109506 90344 14957 61315 13091 -224541 -83425 241269 53901 46441 -98974 31172 77664 104543 78662 -45218 85193 -58997 -210258 38047 -85349 20652 89460 -98753 33448 -41150 -515 30177 4267 72753 158283 13754 -94434 110974 -59580 25806 -113976 -79343 -7897 -77182 74000 330 77102 -107298 -54228 18226 -214833 -4677 658 96253 137798 201680 -22146 -50109 -40629 11073 88704 156181 -28674 -21680 15260 264374 -85264 -67659 -86789 -67414 -66577 97861 129719 54208 -92795 -16792 49732 -35698 39980 -35022 2099 25946 34230 -53400 185676 -130125 7416 127394 -129352 -175339 166200 -118685 -100000 -171119 -27281 -174129 90966 -60399 -6376 -53786 62059 170055 48785 -235421 39951 12324 -131527 143319 81919 85238 -4005 129202 -79527 -121409 102912 -80601 144033 17567 -47359 68752 6217 -169263 31255 92927 171276 -193280 -25394 -7949 -34499 124954 19843 60504 177157 -5164 72728 45568 130267 -30152 -84542 103132 18676 214238 232471 -130687 -82738 -196037 137090 45563 86240 -154473 69515 -49364 -126291 -89997 82087 -50886 28720 -77625 33882 20764 8758 157006 82195 -158281 127746 182288 82064 128994 -77901 170597 -53669 72543 -40110 -24907 150558 -94396 -113847 -115561 50949 10766 -28300 -27194 -30588 49593 78215 -12868 
+-102250 -118186 -23571 -62012 4955 32641 -160608 97000 -209477 35514 37955 -60705 55212 -175095 -262176 33902 26354 -174989 -5077 47171 4591 22187 -34517 -77137 72539 90501 -54925 21609 -28308 -62281 -204892 34591 -27747 54859 65817 205571 -57221 18383 25630 -11804 -138819 -136024 112446 31398 4579 2193 -60075 -839 -124304 -51024 165776 68861 -135142 -23179 -53649 -25232 -119469 -34262 91842 -47692 49229 41182 46388 159402 23404 53739 -27841 -120735 -85814 68883 32383 21257 -141386 150843 68395 90839 63807 -82715 124792 -121368 -219386 12865 -25808 21778 60330 71006 91159 -53640 20652 25262 33754 72293 145210 -17327 1283 127154 -97938 -76960 -98760 -206576 -9463 -38687 82774 75360 97940 -90247 -39100 -105073 -42035 -36696 -22912 74934 33703 202912 -22292 -80828 -40416 17610 -31070 244345 63037 -9842 9942 299474 -8412 -55158 -108837 -80341 -123768 54670 124105 48354 -52549 -52631 42331 -37669 -27197 -45009 -14097 39686 36521 -22913 205014 -169452 25250 174065 54651 -193239 140689 -137447 -13633 -210722 -13523 -209481 79685 -72035 -56126 -96230 44953 171898 48981 -245842 -11209 -21787 -112770 65889 17690 97407 80372 124971 -42522 -129489 -28992 17603 144267 16241 -38554 92928 -15375 -141191 -9737 102127 145460 -171337 -26797 30683 17164 116912 270 62944 11255 -12108 69609 17566 134950 -33787 -140856 84984 21019 238843 204621 -157218 -66741 -180831 14456 95024 19513 -44734 60488 -16132 9650 -163408 72751 -70602 61887 -62174 31137 86448 -5534 210461 -85908 -133075 127057 192887 66211 113220 -68448 -9828 -42819 86300 -45331 -19129 188242 -53718 -84133 -124725 52794 -39907 -37775 -34864 -42575 49906 108895 -61447 
+-82025 -154778 -48085 -72151 -76008 18127 -96254 124380 -60653 17637 23115 -62962 55580 -110975 -269634 -15239 -23978 -127261 17404 49150 40020 -13122 -9355 -76324 71490 85189 40674 34910 10926 -94871 -223404 119659 -31533 67105 77326 111971 -49941 10249 -49140 9039 -15605 -107243 32955 14966 -75620 -2537 -173936 218 -45942 -49582 138589 199161 -65837 -39080 -141390 -94529 -2088 -26306 87437 -35463 52362 52717 147598 110088 41819 31574 41262 49977 -99720 -61425 -23123 -35021 -100335 211136 95022 -129100 67701 -92533 119579 -153084 -240404 -47952 79838 -1536 46038 58891 66294 -24074 12652 35724 42882 74226 156117 -76978 68078 134953 -94518 -81318 -90797 -265133 -20075 -51036 81094 144031 96777 -74890 -22747 -168146 108107 -2738 -34813 93784 -179596 190801 -8416 -65633 -36868 -8544 -66662 168267 114160 50759 26859 266899 140699 -57200 -135162 -37448 -170405 -36112 99166 57755 -9834 -40744 16716 -46599 -83116 -18998 -19643 48239 36882 63838 231351 -153279 50062 213805 110371 -17493 44724 -127689 44469 -186668 4402 -58551 86655 -71397 -53894 -46540 40212 97672 25090 -256384 33164 -153473 -114975 -47107 -75139 92978 134884 89934 1462 -131325 -157813 55809 123088 -23899 -48901 59506 -42985 -115107 -9653 99855 -6231 19603 -61963 -216 -5060 22829 -19943 57880 -72926 -17743 17097 30416 114408 -37232 -127619 111668 40839 224359 151383 -134994 -31069 -155794 -45055 109220 -3515 49288 2607 40380 104315 -159452 23942 -56768 83177 -55432 27436 148368 15584 224584 -196821 -94633 107712 51763 85581 96650 162 -121761 -44766 97856 -100066 -7170 161648 -86534 -19689 -105289 -12813 -90122 -30738 -53310 -95798 -47735 60223 -78473 
+-76793 -87140 3958 54438 -176605 58759 71085 143726 93328 33580 -14574 -45820 49407 -7171 -312336 -78187 -20529 -87583 54850 45634 62403 -56104 14208 -69236 70503 71329 96810 31051 76505 -63838 -201891 51281 -58473 94642 57279 -58784 -54075 34679 -62468 28344 27911 -101336 -42532 2418 -119961 17575 -157638 -18135 41485 -60072 139317 175896 33692 -40834 -189156 -114606 80406 -30040 82276 4734 43613 55442 114811 54494 61640 -13421 143434 59935 -116800 -557 -18021 -89507 19169 146394 48161 -207936 74277 -48373 107626 -185402 -217520 -66218 160294 -12569 24383 -95602 -1232 -54472 -9253 28434 -13034 76659 146827 -130004 70096 147076 -96163 16312 -53724 -233820 -18838 -102130 91533 117857 75562 -40787 -5910 -112636 85062 82042 -95403 77546 -158512 163575 -5593 -66125 -34615 -40499 27214 -1107 202238 68536 -1178 262055 123874 -46917 -161015 -66351 -124846 -137700 24232 68193 32010 -63423 51733 -25137 19834 16771 -21328 93903 34822 157638 180761 -125480 74109 225834 -31243 173429 -71610 -88971 46307 -214376 30522 116426 86366 -52097 -61862 -52817 48450 18128 -13307 -249229 123535 -192174 -126288 -47199 -152972 81250 145851 52101 46132 -113421 -196457 278 66187 -14095 -44054 -10230 -48117 -41852 2300 70646 -67580 108500 -63469 15858 -62673 -52128 -3732 34617 -1286 -17996 -61968 3887 71726 -15098 -56367 77015 17787 193210 103489 -82561 -22347 -127332 21127 120351 -62403 226 -37469 102849 147133 -169180 -25856 -59605 89332 -67600 40986 198631 22912 208316 -131194 -59465 10411 -29981 39183 102424 52841 -87511 -46288 106966 -187529 14144 123544 -77911 81236 -69174 -49828 -144852 -9598 -42867 -103229 -108231 -29926 -85715 
+-68697 -18400 24183 182632 -142961 47241 115577 139566 65252 3013 -35642 -42185 46313 91326 -294622 -124705 3575 -35135 73339 39033 72646 -76812 52338 -116034 69612 47376 82633 26282 130377 35651 -160967 22654 -67951 101549 49307 -134864 -51948 27942 -99793 -56995 -75743 -60112 -85884 53254 -65786 35061 -67606 -46393 97565 -49991 75253 59765 115944 -35134 -222457 -101426 59850 -45169 71385 30116 19955 36061 -15178 11331 72052 -4674 150444 -108176 -127143 184291 -7566 -136650 157440 25652 54265 -64748 76402 37284 84829 -167428 -202858 -77914 170168 -38049 34833 -240912 -81034 -12077 -44295 42234 -28966 77149 145606 -158439 6216 146438 -56926 138976 -28944 -140885 18987 -159768 75462 31241 37055 -2407 11903 8606 -86809 172159 -93867 68257 781 106866 3583 -96197 -32705 -91632 120285 -51244 241827 53636 23837 249580 -9017 -1225 -174468 -75467 -70000 -113739 -67286 66902 71394 -117564 59040 -61681 129990 41958 -3056 103414 32071 223702 145222 -39966 87958 201685 -196842 111666 -59990 -40396 37490 -223010 54926 148526 92168 -22142 -17602 -80515 38595 -17269 -58038 -241227 177402 -197867 -112456 30880 -219828 95257 121711 30026 64867 -54887 -131084 -96184 128460 -19550 -38118 -78393 -61427 -4731 -4645 91956 -100151 19531 -76571 -27780 -123910 -50074 -3362 14967 132490 -14463 -59371 56083 6514 -6482 35159 59236 42113 143954 65248 -72516 21521 -44060 161960 134484 -97294 -128858 -59922 123052 110584 -71411 -86867 -20675 79326 -83319 44830 238091 18080 145655 34420 -40519 -27267 -123563 31293 84486 43528 45877 -50781 106790 -166866 37349 122414 -76426 23388 -44814 -9419 -201459 28706 -54715 -88893 -33656 -90054 -70398 
+-78185 -31308 -29252 153556 -59621 61658 -18943 142662 -121245 48854 14996 -37551 47764 172908 -217617 -174507 53842 5243 71175 25514 87764 -95925 49145 -121423 72392 29915 -32447 24552 154181 137166 -85210 -56043 -36424 95900 44211 -44672 -65255 -744 -126609 -54672 -190193 -19175 -70963 100225 -14308 25955 36255 -46695 132798 -34645 -844 -85862 196881 -52109 -254202 -31688 -27641 -35189 68387 24392 17154 32121 -147502 33953 71845 11362 66978 -229589 -118026 208743 63500 -135590 231239 -32787 67009 89709 126772 101203 25335 -143437 -183806 -118302 90241 -50126 25262 -204996 -144332 -23535 -29237 14516 -46218 79257 136948 -176186 -93940 118963 -62524 189829 -2897 -11567 7134 -152879 63367 -31186 -5291 54681 30293 109884 -236227 200688 -133640 60413 134121 50724 -30552 -107248 -27287 -146776 94869 10749 255465 89690 -3123 215655 -82256 817 -182351 -87730 -56604 -81297 -85806 64061 104464 -103677 73559 -37588 199671 51258 9918 157515 30873 234218 56944 12210 86915 174236 -184437 -97337 33821 -12517 -38422 -191657 82225 -28729 91482 -38811 24494 -73440 66076 39358 -86585 -239977 135569 -121910 -91812 121807 -249686 64840 53927 45203 61349 15275 5606 -90821 88177 -53006 -46771 -156061 -71806 31239 34761 136473 -63401 -160119 -85303 -43 -107392 -29929 -19411 -11651 180780 -10760 -81829 6739 28023 9994 30028 39475 69731 83404 41521 -38079 35776 20904 242901 118334 -99692 -178912 -86900 101527 12963 38967 -113779 -37158 55147 -91745 16485 250635 12442 68089 133599 -33212 -93006 -63106 26286 82888 -55347 221139 -46998 100742 -63941 10017 83251 -47217 -65577 -63002 76538 -219382 34469 -11932 -42777 46974 -97533 -63938 
+-98151 -115252 -21916 58553 22619 59162 -148360 127312 -297615 50638 29004 -50088 50924 171055 -157686 -213767 97286 44349 85631 55236 102433 -132537 34508 -52827 68222 -6168 -115771 40715 147510 232892 -8887 -51098 -10673 100949 69403 65766 -67162 19596 -120811 -17984 -192119 -49504 1055 160098 23469 2747 -8648 -45999 116319 -3426 23182 -22816 237534 -59329 -235061 16184 -154128 -63200 58524 -16372 17070 29764 -191731 109982 57989 77932 -40290 -152885 -133660 76388 68742 -108971 215625 35976 87742 110008 114289 124860 21185 -89376 -152216 -77895 -4672 -47512 41704 -24100 -120492 -52769 -14797 8239 1073 81226 129153 -166638 -128770 139045 -16006 128722 -60420 82201 -24909 -94938 77753 -633 -44652 92168 48702 103427 -208426 184061 -156194 43516 -10321 -14321 1864 -121443 -24926 -175661 -28300 153472 279275 57779 16815 218883 -22929 -13942 -192471 -86257 -84723 20081 -98210 53351 129207 -134755 65321 -40121 251677 23491 -7960 172240 32203 181229 46821 10339 70379 124205 -11151 -189901 143779 -26910 -109725 -195103 86490 -162716 93444 -22425 91374 -91206 71171 120167 -94054 -235221 43441 -35539 -97567 51678 -246066 89813 -35266 82384 58519 65851 98552 -37828 62983 -35561 -43355 -209910 -86535 -20632 35816 99271 15637 -203894 -84371 37531 -89739 87578 -12329 -25970 103768 -6896 -101732 1331 19540 -11995 -740 25146 98826 32943 -19235 -49230 54164 124859 182144 80583 -111891 -97493 -119017 68024 -54384 119053 -108517 -38575 20018 -80003 4568 236645 24419 -16863 77038 -57320 -84738 51407 21004 116110 -93309 263401 -49981 88672 -24995 32659 59869 -52996 -142314 -101084 58276 -277737 55606 -24519 -38918 94177 12583 -55378 
+-94913 -164628 -10742 -51537 -43698 46653 -100476 109549 -228253 15113 39214 -61455 55041 115890 -103243 -226035 126465 67614 49040 41736 74948 -174921 376 -62175 63027 -30890 -96819 47360 189169 252957 56602 42946 -36955 100408 88968 177328 -86355 27623 -58492 -40788 -77634 -79947 83051 185221 -3378 -2838 -135575 -40380 78592 -9574 -671 91069 207976 -46007 -192688 15279 -205118 -31620 54817 -40766 41257 5648 -91256 141203 38235 52864 7575 26279 -135450 -77736 137968 -51075 86639 155743 97834 -99820 97582 127000 -39575 2432 -103769 -26602 -77684 -36641 61188 104017 -42308 -47609 7301 15244 14994 83108 122747 -170369 -110564 145431 19697 3866 -81447 111780 -49295 -56155 70936 74611 -70089 129253 67557 7517 -33075 101146 -197861 34073 -217179 -57997 -18888 -94932 -17703 -195823 -66315 258334 201695 58177 2974 154975 127088 -13327 -194050 -60425 -148517 95410 -53952 51235 144198 -144893 83099 -59802 156940 -8869 -6339 143249 34893 88722 -35436 -28936 45676 62875 123633 -46981 215549 -52223 -163390 -198051 83254 -199869 96819 -5087 106782 -105590 103247 171591 -70838 -231081 -10234 25552 -76526 -14350 -190263 32715 -108459 123774 23090 84146 136915 38939 5153 -28896 -46325 -203126 -64123 -40234 -10521 101859 90968 -77398 -97961 24779 -89244 168386 -9668 -26414 -44826 -20530 -60553 -5318 88828 19775 -101518 7799 62244 -485 -50414 -4875 82294 145825 46369 44225 -130858 30410 -110251 475 -153734 181967 -68743 -59433 -20478 -61657 38746 199355 35813 -65877 -96763 -94313 -129373 195492 9196 102526 -59316 153671 -45968 76506 -54522 22996 23003 -53313 -59945 -119943 -8527 -315375 45074 -25951 -76300 -42635 98339 -66884 
+-87683 -106792 -66071 -58936 -105963 50672 62753 84412 -9195 -23724 1467 -66332 57083 3826 -3387 -219979 108868 67757 -1448 48271 65859 -160142 -48385 -103413 68779 -65235 39883 24238 131189 211678 103464 67441 -58479 104968 75941 116453 -90087 17123 9288 -18853 21656 -89139 166751 184055 -89753 16860 -187798 25453 -47015 32909 12745 192635 120032 -45353 -98322 -18286 -176337 -60687 48536 -42255 41244 30939 48277 125281 22506 -4856 113845 75774 -124272 -32804 103288 3008 -69468 207772 79243 -177657 136984 72461 -62679 74484 -57178 19005 -55526 -5495 83131 8316 41284 -71338 26963 20562 7708 81767 108961 -168124 -35036 144014 41807 -86150 -87991 54457 2051 -56049 46348 136205 -74750 168071 86205 -114016 112790 19713 -167345 62830 -160078 -100789 -16205 -100286 -20776 -189310 20905 177808 119287 53259 25567 75950 142191 -21319 -187612 -72101 -134467 113858 23533 56388 148068 -164972 62289 -56647 67375 -52100 -33613 92739 36910 -2672 -69594 -126898 21947 522 34246 131515 151328 -102139 -193961 -203454 84773 -75273 113361 -84404 98776 -56551 102205 170311 -25322 -199593 28957 -33172 -48505 -67442 -138739 108695 -160498 130951 8824 96254 70911 29705 28698 -2833 -32370 -191044 -69320 -98370 -9042 102706 210070 55770 -112401 23910 -27982 85067 -6795 -17762 -47262 -17713 -27430 -8659 121589 23668 -149155 -19805 68213 -32290 -69319 -12100 80038 129645 -21181 42900 -104025 42759 -155273 -57785 -184220 128242 8505 -56652 -60597 -55111 36484 145543 25180 -101809 -205443 -127741 -93600 192223 -3442 139807 7435 -1480 -33656 65357 -154619 32589 -11982 -51991 31540 -118286 -61991 -321573 46805 -49090 -103969 -108007 95809 -75641 
+-88862 -16103 -38868 77783 -188023 38451 116334 52059 87972 18316 -2667 -54974 57068 -105179 55842 -229142 67469 54121 27046 2782 41817 -166385 -47165 -92550 66489 -68070 105752 45667 73386 102478 103333 111954 -46641 80105 92393 24002 -101884 26199 39863 -32358 -35 -130897 178153 145351 -118153 34897 -97480 13840 -81620 58489 -53911 143234 53235 -61328 -32824 -113724 -41959 -45597 43553 3525 58104 56547 138419 32907 14771 -9333 163608 -67400 -128634 163599 141544 43815 -138644 147236 64576 -117876 89907 2550 -43923 138747 -35809 12735 35731 12159 81171 -173557 96084 -78436 17913 7934 -28465 84114 116340 -104949 46371 166706 -4209 -69989 -107083 -67143 9944 -60000 44476 114296 -56687 196814 104444 -170862 80192 -43286 -132364 50194 2786 -123143 -9227 -107738 -11002 -164331 145296 33608 36333 18024 20963 52731 22356 -34779 -177253 -110704 -89789 42553 104526 52916 140982 -170829 37989 -84805 -25154 -41652 -17131 84418 36558 -51789 -85510 -183225 5135 -56700 -149249 145963 -25526 -128947 -158991 -185859 64127 71806 102246 -58378 101449 -44069 67641 99625 15463 -195507 115325 -95939 -48193 -1430 -54929 52498 -172476 118784 -41253 36347 -53070 -41267 -30214 -22883 -40039 -123508 -70863 -169969 5209 88076 189932 70544 -116304 -2707 13893 -20412 -9243 6167 91562 -1986 21369 55247 123051 16741 -105459 -29335 61272 -40547 -81629 -8238 103706 116472 5892 -4951 -59677 -45206 -128684 -43421 -87171 29019 51788 -44638 -93993 -64532 18270 80582 10551 -89776 -138894 -154036 -30478 117818 -36059 141949 45243 -105188 -33162 61203 -171104 61079 -14492 -48590 55028 -90334 -39089 -268800 15529 -60800 -111388 -73804 23451 -59115 
+-76018 -14825 -2834 162415 -125470 34028 -11933 29875 50089 24006 -5347 -41638 55337 -172317 64870 -188922 32545 21610 6992 4935 -3864 -187039 -45706 -106953 64243 -78734 67652 37683 56999 -3358 101539 21833 -51398 78536 45098 -131847 -89033 16033 67741 823 -132678 -167133 142347 76617 -70938 26581 33672 54077 -170157 63841 -26780 8479 -63265 -55520 4215 -139800 59000 -30845 44144 35083 54890 24778 102279 13926 20939 4393 96632 -217620 -116891 203331 88847 53327 -120288 34248 52924 108545 85219 -59519 -41158 160112 9073 46811 144094 18469 65381 -252577 19164 -104441 2066 15545 -37755 81590 105544 -62181 83041 169779 10954 38799 -102921 -175087 -2080 -124333 38909 37640 -22809 205902 122234 -106846 -95469 -13441 -132322 59307 95080 -127018 -18534 -166469 -18867 -115479 98985 -81558 -38540 -29569 -8070 11529 -96994 -53285 -154101 -107608 -48049 -34459 144059 57401 122764 -166470 47512 -72958 -66267 -17159 -5268 30704 34084 -35759 -83883 -166448 2384 -104576 -219069 -50775 -79899 -110517 -106143 -154856 38707 110069 111237 -93132 81634 -79515 60264 28895 50409 -173266 176019 -203793 -42326 98673 35966 26262 -133542 78118 -83137 -24891 -149673 -113102 -32972 -2152 -39621 -50084 -60158 -215670 16645 100651 175100 -65774 -132700 3996 -571 -90390 -6577 27806 199518 -7624 67146 78806 118608 30336 -80853 -49797 113336 -22363 -98038 4558 106705 43074 111353 -513 -61448 -153101 -127557 -15253 59892 -59342 77716 -32728 -115629 -84471 57593 20567 47610 -42560 22594 -163444 -5521 -13577 -19434 126495 5470 -77844 -31480 65492 -138198 42379 -48695 -40697 -75652 -56191 66862 -228577 5082 -27133 -100976 65974 -59763 -59713 
+-54563 -103214 13198 163914 -47978 2160 -162457 4021 -104870 20679 28651 -36930 49953 -170566 119929 -167968 -35938 -8661 8013 55150 -33759 -181741 -33602 -66020 63062 -85120 -72336 -189 -8244 -84987 40833 -35731 -7883 48223 61563 -103581 -93635 -4292 77748 -16260 -199538 -136589 94678 25007 -13507 3301 37217 30304 -231094 83593 -19036 -98918 -136724 -60662 45781 -104721 82016 -3040 41309 34315 37932 14004 -17700 32135 40483 50035 13793 -185811 -104361 115924 64554 16829 -24271 -25079 64645 117358 68287 -103522 -3490 181750 38843 7778 178826 19392 55545 -125198 -39730 -124705 -35697 7486 -56120 77688 106723 -11165 37758 176613 19395 155041 -102571 -264217 -53492 -167948 46441 -26007 20888 212425 139215 23625 -239797 59064 -97734 78942 19841 -108180 -7051 -98460 -18117 -58408 -23903 -9640 -88395 -62954 -4509 -46753 -47427 -49914 -137582 -113008 -106444 -95586 136206 51808 95227 -173113 93290 -85348 -14903 39230 9697 25615 31572 31830 2521 -101853 15816 -117094 -84485 -219897 -39953 -150543 -22710 -185766 23621 -35132 100189 -61887 5693 -68173 41517 -44357 53839 -150273 139112 -191418 -36768 108739 92567 -11376 -64415 48228 -145064 -97317 -163629 -92987 -36395 -882 -37972 44114 -36873 -159230 31554 98127 91894 -188657 -136397 5685 -64440 -43429 1264 48181 156379 2177 74728 76622 74719 52455 -18348 -43901 91959 14121 -89791 -41554 71254 -14375 231859 25444 22470 -160908 -109398 71177 155336 -134502 62268 -55422 -121778 -91727 50893 -22361 55308 16547 132405 -142028 61017 -63976 -49567 65497 -71770 38767 -25793 75132 -19743 14595 -53933 -40324 -119405 -54858 79241 -233752 -27132 -42591 -81819 64598 -105081 -14772 
+-65088 -133686 -19116 27686 -3534 11103 -118105 -8948 -263195 -2344 30980 -38776 47033 -111455 75570 -99330 -37249 -57085 48442 60484 -73437 -157783 20631 -100371 61001 -79597 -85315 24066 -48919 -97930 -31424 -43089 16608 20830 70799 20447 -67733 -7857 43723 -18490 -135575 -95478 3591 526 16536 -3071 -90937 66446 -226628 116677 23638 -3010 -138785 -31742 57505 -48193 19569 -30113 36176 -3778 21916 52517 -162497 93352 58190 59719 5957 -20527 -91962 -26232 21908 -36558 147129 33636 74414 -27484 59084 -87164 43145 173672 62317 -14233 126137 4647 38755 46503 -109099 -106009 -36070 22409 -3625 78920 99819 23287 -54509 183894 -39251 187091 -43092 -250620 -27959 -146993 43296 254 60096 201423 155310 110614 -200977 146087 -73008 69897 -198871 -66846 -15074 -105261 -16695 -21024 -60455 137588 -100448 -90738 3861 -72114 98180 -53662 -113771 -140426 -131294 -138604 67549 54893 59988 -166630 46446 -75641 98240 48919 16733 30830 30943 131770 36752 -40584 39873 -109390 89210 -107314 84359 -108508 32679 -120676 -6849 -216598 109474 -42432 -26898 -73237 31768 23855 32963 -160494 51834 -168513 -13298 18587 141756 33579 14835 31171 -181752 -147124 -87839 11430 -78652 -26074 -28353 102171 -18469 -147653 13430 95381 12829 -150600 -138356 45710 -103636 60849 19309 66143 8461 3577 35808 103011 16521 51893 46573 -75364 105697 58967 -70715 -61943 58904 -101507 206714 37344 38225 -30433 -92525 91122 92980 -178506 11295 -14024 -112410 -78703 45048 -51377 25190 96157 71516 -100226 121220 -79701 -42629 56471 -102373 224126 -15606 88395 -6670 20006 -112406 -30114 -115796 -74476 5641 -169758 -53002 -39049 -23070 -57101 -62137 -35202 
+-73038 -132779 -954 -52999 -26639 -21386 57448 -31450 -213857 32364 23091 -54334 46496 -22592 59165 -56307 6370 -102047 12689 43232 -101949 -141519 42544 -91131 61832 -51558 -62997 -365 -77249 -22756 -109930 5473 -31085 6812 69020 190126 -62931 13545 -11237 -47992 -17086 -91977 -48921 11811 -19046 16173 -176352 52066 -217782 131449 85525 124423 -147746 -54228 6087 18289 -111710 -6262 38922 -40765 9953 39610 -186717 141956 71375 -4685 93191 75318 -82422 -37018 17626 -96347 222469 153647 76935 -221705 75661 -35994 87812 123078 74902 -87338 26350 -25116 20620 79688 -142767 -139065 -29073 10941 15435 74657 89913 58322 -111550 175074 -64700 109215 -5823 -149355 -15218 -88827 39932 65198 89601 176626 170014 103216 -23853 199679 -6138 69000 -185378 -11588 -12132 -78621 -14347 17958 12693 239368 -74507 -151949 -31823 -96073 138558 -51539 -91507 -127670 -151516 -123232 12138 58814 19684 -169139 59266 -65807 201139 42810 10090 50286 32706 211624 74954 33449 65478 -87616 96795 106177 194755 -88734 76078 -101437 -15755 -208487 112603 45 -60668 -78476 30523 131969 -12153 -133627 -9603 -36842 5488 -69029 137204 27646 86364 41385 -202938 -144098 24588 57835 -63308 1129 -35906 98330 6693 -119150 -14009 106717 -92250 28602 -142224 33125 -121754 134046 31826 60579 -51625 6839 51890 109349 -447 72190 20818 -99299 88671 114652 -30924 -92952 27716 -134147 90156 75896 94502 37747 -51334 117684 94753 -189625 -53042 -39928 -88499 -62276 13985 -52531 38786 164684 -90597 -80043 142000 3719 -26943 35759 -37205 261752 -4470 100845 -81971 21415 -89822 -40746 1565 -110784 -44773 -129686 -13723 -32603 -71882 -103459 49388 -26931 
+-113059 -36950 -36042 -65985 -131849 -7326 123610 -6603 -41287 30274 -15289 -61152 48785 95860 29817 1970 57188 -149430 65727 25103 -143779 -102622 44041 -107282 57189 -55528 32871 4631 -121505 91098 -180131 67110 -68065 -15464 74664 174194 -55256 -5494 -36848 -1808 15420 -57551 -78770 49827 -107351 34683 -149132 28237 -157535 140324 84491 240802 -102458 -43744 -16439 40587 -202538 -37858 39704 -47544 19256 78133 -80528 119380 73187 -9172 160900 -37365 -78504 157026 -2108 -136164 218122 213396 77766 -125930 35102 49114 90176 67646 72354 -98259 -66178 -36126 41102 -69606 -79451 -153317 -991 -1392 27601 72863 86612 86963 -132950 197473 -55657 -16708 -14369 -10745 -25224 -49009 39209 135632 100062 136424 183726 -4326 113721 180982 -16066 98707 8083 48317 -25669 -88523 -17438 19992 141428 177609 -14337 -185960 7425 -90596 64141 -37648 -63041 -145598 -82743 5044 -90188 65922 -22894 -147301 66439 -40700 231307 10793 -10118 64120 35447 233762 133739 15270 84142 -47394 -80413 170085 199836 -74531 54657 -127665 -30531 -75636 111721 -10209 -52760 -97952 27930 176629 -59763 -122497 25179 2431 -8961 -17620 91864 -12171 133610 76124 -227016 -124953 112370 -6198 -93592 -45810 -38395 64131 29551 -34941 -10404 112714 -129043 112305 -142567 30307 -104695 147676 41309 56383 -30 -10526 50565 112490 38461 61899 -36008 -104786 78111 174414 28273 -114092 13049 -166618 -23965 72762 152527 5352 -27383 90392 4596 -88290 -108884 -12271 -53411 -53315 46292 -26996 55701 213239 -207087 -37024 134938 147889 -82626 95959 41577 161564 -12629 106986 -138959 28629 -117084 -56954 38318 -120860 -28556 -78467 -15733 -16928 -106434 -93338 99745 1729 
+-92215 -14466 -15865 83065 -182182 -28956 16399 -5297 90437 2957 -6521 -61834 53171 165957 -82055 50396 99417 -192823 63170 14903 -156838 -84475 50289 -64530 58310 -13125 120231 -17351 -141449 194273 -226434 76719 -45631 -47484 92108 47012 -54761 -7227 -103205 -32291 -58692 -38652 -47879 127403 -132487 27149 -25996 45858 -103815 149669 148004 142400 -9047 -52682 -83451 -14565 -198161 -25196 40744 -6331 37311 57403 68472 53120 58823 8379 146495 -191193 -64658 204293 -5011 -141364 111723 150919 66859 74740 77098 110918 130155 -6947 72791 -93285 -71524 -44744 79023 -225462 10515 -177658 18267 -4742 -5034 74092 77576 86888 -61064 180505 -83516 -91774 -27238 76583 23135 -66851 45847 128619 87388 89124 196026 -127541 73517 120755 6502 86033 121990 104246 1150 -143783 -21124 -1648 104186 63733 65516 -228681 8050 -105318 -85502 6916 -40159 -108523 -41944 76535 -67852 64715 -64897 -141349 65241 -76495 212110 -22924 -49330 135895 37084 199515 189660 -29656 89248 20356 -219475 25905 62755 -22085 -28651 -50252 -34226 117546 129806 -25771 -19483 -87076 51448 167787 -86686 -92409 112801 886 -12713 82554 32637 13516 137183 118219 -219279 -79191 118262 -95659 -120908 -27349 -31695 -6770 41546 -17214 -1233 138483 -36211 28562 -143692 10093 -38608 65371 25444 36446 186263 984 -33215 81144 94407 70919 -114353 -135135 57345 217693 58010 -112286 -19359 -215338 -29596 127065 193082 -117206 -4243 58357 -139940 34249 -126090 -49385 -12895 -63056 46122 20457 45100 221119 -144338 -31676 108707 203453 -83545 123146 52987 35664 -7248 105828 -145547 22102 -104393 -31036 11663 -105344 54641 -6712 -37559 -26730 -104208 30012 75130 6765 
+-76663 -77294 -16050 173662 -124694 -8856 -143909 19851 60109 23183 13375 -56877 56936 171582 -129342 71843 123915 -220196 40236 4219 -187769 -51344 301 -87505 60642 32143 78017 -12413 -102679 254695 -221795 55073 -3206 -68250 83578 -59877 -52906 6029 -141512 -22632 -183792 -32188 30277 171913 -67163 3861 51117 27204 -33500 154447 147421 -19677 59342 -45712 -165830 -103574 -97599 -1954 44934 21614 46046 25911 149537 2184 38702 52256 16812 -205383 -67000 92394 37187 -104196 -18523 23598 50595 118221 95574 122598 112249 -90270 42682 -81648 -1712 -41649 20358 -232321 89839 -175045 15260 -14705 -45094 75470 70152 55043 16951 182297 -107231 -56238 -47169 124511 3936 -93684 20054 39114 56268 45013 206538 -164500 -105662 26181 63616 79205 18585 158671 -16988 -129705 -22075 -43378 -25846 -57557 135180 -247737 23942 -94289 -56372 4909 -19745 -131616 -74140 143024 -48939 66613 -103541 -126293 58194 -48161 117020 -53484 -15579 168297 36152 110193 181279 -104740 79323 85149 -155970 -149466 -33688 -46950 -117485 -79717 -24425 105686 118762 -68613 4996 -82061 25488 114720 -91797 -74726 174710 -59056 1347 114361 -53660 -17774 108646 136715 -209351 -12118 17119 -117316 -121971 -34876 -41820 -88380 66833 35003 12747 137202 63468 -130099 -143744 -12133 10076 -34867 61566 6932 173429 5237 -74618 150239 120578 90318 -114329 -131465 101492 229677 121873 -148867 -60462 -195624 99401 120230 173679 -182808 42404 8683 -165493 129932 -104320 -56310 27077 -80716 10573 81468 46717 194525 22293 -49765 92124 162955 -97181 143693 -30737 -93476 3919 97936 -68674 7917 -76232 -34467 -143988 -70783 64446 39899 -14217 -29281 -67860 85331 -19814 34954 
+-84165 -155922 -34811 152509 -23506 -34122 -130742 56940 -102937 32442 22579 -40053 58378 112289 -206482 83733 120242 -220763 38919 44637 -203912 580 -14925 -62968 58093 51348 -22135 -45673 -75714 245655 -206691 -34620 -20085 -90567 64514 -109874 -61862 -22078 -127817 -6249 -191965 -89632 104092 197214 -15552 -3298 -34922 12400 66288 112730 117052 -93496 163540 -57293 -210572 -135746 22490 -15687 45102 36649 62129 35740 88082 45739 22997 61945 -46316 -39130 -62488 -58637 67812 -52165 -124974 -32702 51451 -44710 74948 110972 116474 -134835 11062 -49060 106653 -26391 58533 -54927 97188 -146183 -4769 7560 -51772 74076 59762 41459 73160 177511 -92599 61414 -99325 63337 -20763 -129218 55158 -31672 15171 -6405 215518 -89812 -241873 -31753 56551 73297 -186579 191145 -13921 -103987 -25038 -90221 -70112 -31567 218712 -240135 -909 -56855 57293 -16316 -3052 -99811 -107594 103377 17909 64850 -136028 -111054 100024 -16291 -7603 -25339 -1240 147903 33343 19493 194794 -160712 57640 141718 29406 -182735 -73680 -70534 -150774 -61351 -17841 -32330 104202 -47657 36675 -76178 76003 42741 -72271 -56031 147601 -169996 -19407 14182 -137771 -31538 35006 114497 -183250 42980 -99231 -57357 -129176 28056 -33923 -163357 69130 7500 28429 122595 151987 -208360 -134232 -8625 12278 -91775 51798 -6027 74215 -13604 -76627 117902 144978 86947 -77026 -166453 80455 237536 176432 -140350 -75269 -130146 213099 143166 190819 -107730 59224 -31534 -131869 178842 -45721 -80614 60494 -91979 35352 144189 2914 135865 155244 -76630 34904 26975 -101652 133162 -93238 -90792 8632 85254 -42247 -9587 -86988 -57379 -109094 -45245 3814 70228 28652 -44159 -61837 -39066 -93032 4776 
+-62945 -135702 -41807 40948 26939 -17888 29609 78386 -261310 1763 21448 -35665 54624 19642 -255562 88639 71575 -220026 -528 51340 -195485 32806 -59293 -58332 53641 71809 -94041 -40197 -26463 168066 -135247 -59032 -42297 -116253 71449 -39271 -62841 -41671 -84959 -35571 -96255 -133761 165082 174376 30929 15429 -154824 -10390 134372 118162 91840 13319 209615 -63328 -244706 -110753 77766 -15490 51073 10756 50106 30796 -36887 103636 13566 27443 45600 76952 -66136 -29931 114070 2572 -154703 31138 65119 -172842 111914 63662 77090 -178434 -23010 1122 172241 -4223 14307 80505 8880 -141985 -33710 -25104 2332 76737 62569 -11449 61091 183745 -59571 168611 -128066 -55918 -21907 -151142 38757 -12171 -27007 -39462 222498 39470 -193820 -34444 53937 40489 -213259 206914 -29982 -95746 -35174 -140402 7795 116215 240631 -221703 31858 -38361 157068 12259 8526 -111328 -122177 11457 72840 45193 -160393 -87734 48797 -63127 -57093 6847 -6546 159662 31144 -46465 207089 -192097 31394 183722 119956 60147 -5040 -99688 -181334 -30105 6147 -170895 106905 -88218 75782 -57529 80868 -3700 -24621 -23756 55915 -207531 -41940 -49226 -202223 -69943 -49740 83568 -150612 97178 -183040 25886 -132447 21931 -34217 -200836 97246 -17327 -8568 97344 173154 -89754 -142464 38174 -15382 -26730 51687 -26377 -69126 -10853 -53183 142753 115893 89293 -15632 -130177 52400 203553 205827 -103740 -85558 -41038 217300 125902 186409 22386 111714 -67021 -28337 161564 19648 -7310 82553 -81649 52481 200624 18540 61324 75242 -107824 -11327 -86589 -110933 141055 -61248 33160 4525 72716 -56805 -15404 -47589 -55867 -12541 -53681 -55059 79572 63456 -58623 -53831 -102563 -100385 41192 
+-73327 -54799 -30415 -69092 -44361 -22198 131330 95794 -219570 39397 6077 -44592 52484 -98669 -251888 76382 -4625 -193820 -11312 58697 -197263 51545 -67409 -116580 54348 87480 -45507 -50190 19420 58993 -68307 -9440 -37763 -151497 83711 133178 -86315 -46074 -48183 -15144 20877 -114817 174228 108022 -16171 34475 -204349 -36029 140417 100518 62993 141495 225381 -38414 -243843 -37051 63272 -19575 57320 -32791 31698 56816 -149731 139882 21004 155 163175 1340 -81392 123688 139374 45864 -56072 155641 92486 -150869 117352 -23041 27308 -181440 -52461 16719 152320 12532 4523 36147 -90423 -138940 -33788 -7889 39466 79288 41403 -54528 -16732 191228 -35420 180743 -117171 -174808 3959 -123966 64982 60859 -59640 -74844 228050 119621 -13814 44114 41880 72008 25810 199234 -24777 -118261 -30145 -174862 126687 233592 260833 -204084 12564 10586 69833 -26232 17244 -103752 -131564 -92199 128357 57808 -174234 -57523 84249 -42566 -59449 49655 10794 117435 31212 -45168 174854 -119454 10287 214872 -5335 173205 122532 -131889 -164517 -41886 28962 -194050 115502 -97128 113215 -58311 107934 9778 16450 -1264 -4644 -165916 -73982 -58171 -240384 -40264 -125195 51632 -111739 104663 -143789 42313 -126190 11646 -31465 -212352 107143 -55210 -9384 84193 202321 99208 -126670 13929 -86950 65640 71373 -28958 -16980 -8734 -63102 121188 54929 97104 4923 -178831 95302 156202 231840 -104366 -104808 51543 103405 119808 202688 50201 116504 -21427 118418 58072 72899 -19218 89255 -62606 65315 236415 19879 -4352 -85733 -146310 -23726 -117948 -70899 89254 -14756 178873 -4442 63863 -163756 -30146 -10254 -23736 73844 -94204 -49362 93051 51940 -64719 -92346 -74920 -11095 58796 
+-93501 -16441 13616 -27605 -114098 6494 14622 114957 -41031 -9109 -17848 -56576 48974 -170718 -282602 44030 -32075 -159093 11163 20318 -173269 76031 -41459 -73625 51785 101485 26343 -32756 74309 -44306 17722 47846 -48871 -161558 94147 180439 -103346 -22766 5792 -52357 -2758 -136627 137864 65993 -108419 27680 -74465 -27534 80679 68883 29902 195335 163810 -58297 -212957 -1486 -60439 -50709 59105 -48081 20111 46206 -164305 110861 37982 -24414 137654 -178201 -76760 216039 118872 47049 90338 211981 79512 35168 149895 -66557 -14432 -161803 -91949 22817 63986 23911 8694 -147367 -143464 -140864 1024 20599 41763 83130 45295 -68672 -104322 163453 10204 88192 -89501 -249173 1352 -63571 95572 128555 -74706 -91339 231160 89896 117443 128905 49036 55254 100593 173181 -5132 -96884 -35904 -195970 99378 208021 260797 -153104 44685 74975 -89728 -45314 14970 -103620 -54579 -175258 138264 46583 -177320 -43657 38946 -35184 40106 67829 -12290 81567 33458 15676 108731 -22733 1405 218004 -186071 55869 169664 -134719 -96175 2718 49605 -66900 93774 -38785 96076 -70340 86473 65004 41483 -13173 17106 -67385 -68502 35409 -246505 -5483 -157374 33252 -78222 69439 -22125 -24885 -147674 11644 -28666 -164158 103397 -121503 24373 104042 152304 85462 -124061 15642 -128161 156619 58487 -15266 109949 -10792 -23068 114524 13385 98748 20856 -161662 96243 118662 260129 -45943 -96942 95378 -15196 41638 160374 -61758 149109 49666 174318 -27168 81946 -13850 80148 -54007 20292 250601 14278 -71399 -204928 -158714 -92229 -42731 -97764 39435 46095 273724 -1382 60853 -175453 -12006 6075 -51447 22166 -119036 50399 96320 66142 -45964 -94370 -24389 89297 53758 
+-81682 -36969 14451 72540 -163055 23010 -141241 136313 102831 45252 4342 -59917 47711 -176992 -252200 7703 -45741 -112523 -1972 12910 -129462 105451 -1783 -54513 54203 95026 85974 -18909 119223 -94199 76215 94862 -25934 -187102 75948 95562 -94030 -40267 28059 -72748 -118396 -147738 53663 12742 -116218 4455 34014 -18815 43076 48037 13297 78734 93354 -66848 -172206 25157 -173641 -27300 62535 -28665 8985 37805 -63908 50772 58140 25168 55172 -221344 -86398 89889 93543 16735 200463 150252 54732 117579 119758 -106968 -66864 -109972 -129694 29551 -42758 22270 -660 -253590 -119280 -107551 9487 -5756 -1437 82990 43537 -127157 -130821 202400 -3496 -36064 -52965 -243777 24728 -43804 66806 129242 -68232 -95578 232326 -24135 67317 192030 14974 56254 7525 136395 -10567 -112488 -40082 -189054 -19821 84640 200457 -119090 -3458 98922 -61098 -40414 11524 -68681 -56204 -135800 118009 56519 -168815 -26916 53895 -43616 148260 38281 -22325 31742 36009 106426 46067 28186 9383 196351 -203409 -154101 170565 -115436 -17012 14518 67599 89609 85232 -10947 66967 -79542 86790 156430 57879 21458 105708 -22931 -93356 113387 -204952 -5329 -168722 49462 -33207 24991 77099 -119972 -136402 -19761 -32839 -89686 102504 -175428 11417 101669 107729 -82935 -119712 -27285 -130195 72469 58867 8096 209558 -10619 27116 141439 12170 92343 -68324 -172639 91934 52897 240043 -19904 -88266 154273 -52166 31965 141800 -167573 118832 100767 143855 -144331 45922 -25212 56481 -61561 60024 239248 21620 -98529 -149147 -153992 -134674 139988 -108577 60486 18496 167764 1376 67525 -132784 -7333 29319 12813 -66762 -128161 77784 57322 64985 -30087 -84102 73880 111495 64811 
+-91511 -148233 -17274 169586 -129173 38091 -148706 141926 76174 -4901 25427 -58131 46729 -122973 -231852 -51971 15970 -59730 6657 30859 -100552 110465 16572 -111107 48321 104444 55453 -30766 145464 -71623 123797 80416 -13863 -200214 69567 -59172 -98678 -105622 74776 -40314 -207494 -111674 -18375 2627 -71181 -3522 33241 -32211 -38262 12708 -38584 -59177 11847 -45821 -110387 -24456 -216557 -41933 76994 22420 17644 61247 85375 8989 71939 66052 21 -77499 -92135 -78106 48619 -34600 228483 28954 38540 -10879 122058 -77232 -56328 -40778 -179226 -22140 -83362 2496 69520 -152543 -66650 -104250 28017 15377 -49965 83797 30925 -170756 -101523 206740 36166 -93902 -37845 -159588 -23476 -75618 75018 51499 -41381 -95376 231604 -142586 -115243 198293 -7955 68979 -189667 81673 -4061 -81079 -48679 -165107 -67264 -41912 126121 -85634 9783 132200 43311 -53126 1918 -54688 -100641 -43233 44513 57934 -149772 -4983 66564 -61822 252280 -10402 14563 10661 37113 194613 -6907 29025 28641 158494 -36520 -153257 76895 -95907 9276 24634 81346 128861 79205 -417 32090 -87853 68030 180297 30031 37811 168071 25303 -106860 40260 -146386 -33169 -118799 96391 16894 -39542 106276 -111323 -104279 -29158 -25596 -14739 86457 -176070 5351 105497 946 -201100 -134074 1876 -98372 22375 88115 30440 135542 -24919 44318 85132 35484 87355 -134049 -177836 50591 10909 228737 -11267 -72551 148522 64969 31128 80220 -153139 145502 121130 49686 -215538 -623 -64472 21709 -80694 36634 201922 32648 -92474 15721 -116726 -118648 194205 -114650 77559 -64118 36400 -8222 78624 -22779 1209 86905 48 -124214 -94206 18483 37692 26919 -19253 -30226 -1974 57306 73801 
+-108856 -154888 19152 146204 -20562 47492 28433 135708 -104661 -15056 15483 -48347 51167 -36979 -169105 -107453 43067 -16399 68524 76217 -62620 132489 54896 -121234 51225 82961 -31502 -37394 163259 18547 106044 -1125 -17990 -197127 56224 -113783 -93184 -62717 58425 7187 -139191 -54082 -82112 31299 -983 14751 -113560 -18223 -121800 -2270 -45901 -116195 -55788 -52229 -37068 -90804 -153096 -17724 75248 36953 44586 20391 155194 21051 73194 56453 10283 73882 -109582 -52184 4167 -94488 160636 -33075 50189 -153847 32670 -38577 -29602 25596 -197321 -93511 -34502 -27666 48306 24288 52629 -87722 20550 1482 -44187 82513 32866 -190175 -1154 201764 3241 -39963 -29854 -43678 8850 -114260 75840 -18275 -1140 -70133 229008 -160046 -244366 135612 -57727 58654 -191821 19438 -26932 -83244 -44893 -115739 10959 -45747 46384 -52142 9389 184604 140594 -61290 -15166 -60267 -139821 43178 -32133 61622 -121431 4312 58905 -38121 224478 -59012 150 43929 35613 236227 -40427 -20979 55339 104769 104942 -16980 -100486 -63783 72378 26335 82634 -22731 93368 -12842 -31718 -87699 46045 112428 -6818 78928 150387 -57793 -114214 -39384 -65511 22473 -49399 119259 36941 -98797 67695 -42652 -138318 -29814 -32040 33750 77104 -162966 -4627 101145 -72915 -140465 -113879 13704 -24795 -41907 63642 50427 -27808 -25149 78726 79296 108400 101188 -155561 -198724 48598 -32565 203059 9125 -53811 134882 195379 -16480 30623 -14754 95360 106239 -89442 -169301 -60628 -65716 -18906 -89973 20441 149087 25787 -55547 124170 -78765 -79011 162177 -84517 89460 -93161 -103329 -11073 91946 -7333 -1606 92240 -33059 -82214 -56789 -61286 867 -12375 -47798 -15421 -155890 -44029 75847 
+-55796 -71471 -48943 -5012 131 47287 130377 152496 -251141 -12733 18597 -40931 53897 80687 -88621 -137388 69682 27405 42566 60535 -33595 137228 42542 -138181 48228 51671 -101037 -17196 167742 135491 100732 -69653 -58332 -203509 71196 -52652 -79995 -98677 18554 4231 -11595 -66611 -80285 83224 17207 34219 -178265 8944 -191530 -33583 -36870 34094 -128854 -52133 13046 -132651 -25912 -22625 83432 19341 48361 40040 75821 94068 60901 16172 119822 30133 -116681 110289 -7918 -130690 18921 31575 69957 -185551 59242 57672 -38410 92870 -230206 -70341 58019 -40548 77546 91986 85179 -69215 -16775 -19418 18544 85896 21003 -174098 55925 189637 22009 83696 -38460 62498 -17206 -149898 67530 -11274 41964 -31334 224279 -80479 -188664 61727 -99970 80618 -20913 -35721 -38080 -51899 -44584 -67673 135854 76648 -31095 -22523 39152 223467 117556 -53174 -32983 -60675 -122419 95445 -93503 67861 -85901 5150 21808 -26365 178258 -50448 -8252 39008 32762 211206 -83953 -107394 78128 43801 62047 169536 -72004 -45961 34705 3058 84758 -201336 87236 -28254 -40942 -77568 18847 36607 -52662 73365 60463 -170981 -145023 -53190 25070 5408 26107 135286 81473 -135152 -5993 46099 -104610 -19123 -38278 77227 62350 -129253 -12496 103878 -90223 22305 -102159 59096 21645 -15615 91856 68489 -47380 1567 86748 63054 135411 111874 -118398 -174545 59773 -44092 190446 25625 -29869 111497 237370 39458 9028 54583 83413 64556 -198971 -115743 -100418 -56567 -58900 -83065 66234 87461 13615 26075 86381 -48162 -55999 77718 -100933 152321 -68531 -124918 -15448 102722 -104186 -10631 108877 29069 -7728 -44523 -67161 -43376 -29211 -28021 -45205 -97805 -92669 61068 
+-79754 3191 -18107 -51528 -42163 64719 33679 103227 -217956 6108 14060 -42091 56714 165671 -45230 -200119 117059 46660 40411 48036 -1696 151308 18482 -87198 50036 33602 -57029 -36535 124893 225064 14997 -3019 -49212 -213989 49683 84803 -59450 -86163 -4650 -66290 24302 -13432 -34798 137538 -29277 28274 -134581 10745 -196836 -45153 -81742 193814 -150349 -56360 47726 -106768 64215 -49028 96763 -28448 62524 39830 -57267 140411 40345 -25799 156253 -140801 -128763 216656 11206 -141057 -110018 148803 94088 -23074 45361 103424 34603 145383 -225223 -104783 152179 -47364 42475 -36747 75456 -65177 -34439 1582 55944 80749 17356 -181013 82919 183475 -20736 179117 -58331 118646 -20588 -134472 94591 57630 78726 -1671 217539 54125 -6407 -16381 -107233 82825 115200 -82567 -6205 -65405 -41977 -13326 111752 237573 -80570 22981 5280 229818 -46548 -37614 -60089 -42011 -81229 99681 -85621 63369 -45464 8498 76469 -37288 48866 -29949 -5707 65572 30994 139217 -41096 -156415 89677 -28766 -123064 112615 10422 -40325 -16316 37429 63923 -207798 76950 -91826 -69849 -55706 10782 -35153 -81101 73968 -8742 -233623 -136006 43199 97936 44458 94454 117121 68076 -157388 -129982 29655 -117309 -14861 -33262 99203 37186 -51575 -924 97015 -45390 108666 -87412 28146 -11098 29930 82623 67558 45105 -13112 39841 75917 114962 104161 -43490 -157583 41682 -24896 160990 -13985 6868 18585 149912 69639 -18573 4333 82470 -10317 -163286 -18705 -131565 -27479 -92476 -65107 9616 24942 54488 109206 -85987 -29486 23333 -73343 -90741 137227 810 -9936 -27151 107427 -195872 10126 158227 1969 16835 -69898 33168 -98810 -42661 -48581 -110764 9505 -62120 69636 
+-82145 -47644 -23575 -58317 -131202 74209 -133876 83370 -38632 17912 -6239 -46916 55822 178634 59974 -220809 117778 75140 47760 18113 31759 105937 -3096 -61054 50941 7698 39191 -33074 94585 261106 -54700 25120 -29306 -211942 60195 161425 -54220 -91586 -56617 21488 -49233 -69704 58919 181514 -90652 5025 10937 21207 -243451 -48881 -35483 171885 -157290 -42176 68403 -57392 82699 -38502 100126 -43094 50821 47927 -170156 118117 22556 14157 97452 -232549 -124337 98675 29687 -100895 -151816 208880 73530 119266 46381 129860 54220 171576 -236240 -48363 169676 -35522 61863 -215671 -14560 -102066 -24750 -10787 17542 78445 10885 -147883 5446 189017 -36985 171011 -81701 69998 -6150 -102875 72090 131395 97786 48296 209205 122568 119614 -30846 -151410 115234 21895 -118752 -28268 -70046 -39357 9370 -8983 216429 -117699 62462 23114 295715 -83504 7206 -82190 -69865 -68216 54970 -53482 66335 -2636 -4375 72429 -43311 -34160 23446 -6528 123511 31534 43535 -39304 -172334 84292 -72212 -222125 -92531 170058 -36598 -92824 19656 41612 -57576 72772 -78078 -47621 -57407 20903 -23167 -91801 98615 11592 -198265 -138168 97746 136092 20582 144100 78375 66269 -129850 -180966 -25563 -84185 -30267 -28587 42219 19470 3245 9288 96519 56493 12457 -80759 15339 -48770 117789 80684 56244 193217 -5835 7251 14826 76053 95508 49844 -169173 46887 -3906 86655 -9667 44055 -46690 25920 94152 -59407 -141270 58941 -55631 -121622 87046 -75419 -42837 -114707 -54479 29853 -23152 -6846 167880 -195390 -34683 123217 -104081 -73470 146627 73372 168283 -25306 105505 -177468 -6960 188566 -6415 4144 -105967 62610 -166263 -46399 -66817 -110810 71753 26877 79484 
+-96321 -127849 -19884 75223 -181583 67739 -158100 39944 100582 -23016 14097 -55547 56825 123872 111914 -210649 88656 79000 21118 18074 71530 108002 -42163 -78246 44469 -20480 110021 13839 70110 218794 -109292 103198 -24568 -205526 69456 135672 -47797 -67824 -123915 -17989 -173442 -102470 141441 199422 -117370 -3685 41110 42841 -221171 -57999 56083 70347 -50490 -31641 30901 27150 -8420 -43357 112254 -35379 32583 43831 -154867 53779 14785 42156 -2921 -121682 -123726 -46992 36623 -51687 -101667 146482 50357 50556 35959 116408 86577 187472 -217423 -28411 96920 -23597 6252 -239497 -90761 -53712 -5366 -25943 -27847 76325 6064 -108453 -78176 210155 -61844 66243 -113546 -38169 -481 -45612 71295 125076 95943 95973 198632 80592 57800 18793 -170554 85398 -192017 -123840 -17734 -68936 -40622 18357 -76099 96791 -72272 94967 31740 280380 12466 -6807 -105186 -98714 -71173 -67558 -6610 64928 39158 -2264 72714 -48186 -79978 56336 -25346 139962 34122 -32566 -463 -136351 65731 -105721 -111366 -190005 178158 -88449 -165039 11700 16813 108317 76069 -105542 -32878 -53575 82115 64751 -66359 120425 100961 -113515 -119283 92780 143605 49375 146226 50642 35827 -45220 -106466 -113594 -106935 -14961 -35344 -25363 -9669 35619 18769 123229 141939 -155842 -62362 -28648 -64001 101844 90154 34237 165620 -9677 -40421 3052 45910 94328 9444 -140652 43980 53412 50331 -16398 53813 -172183 -43899 111434 -86362 -188740 4602 -58923 31207 188378 -27485 -43566 -121796 -61789 46021 -51233 14104 216055 -148187 -62638 109271 -37060 -91047 87824 -21415 252807 -28779 94988 -26642 15273 153914 -4212 -102550 -128189 39041 -214711 -52001 -17708 -99943 6916 100922 70938 
+-101593 -141389 -41251 173861 -121850 46568 1058 36852 78811 -2297 19712 -61402 52542 27197 104202 -224365 -5231 45962 43005 39806 82184 82301 -55560 -115204 43699 -43789 55499 2515 -3565 121881 -180151 83867 -11060 -195034 79210 35946 -46841 -113300 -82917 -40620 -195045 -129778 187410 156809 -51335 14026 -54417 61525 -152510 -44334 64918 -70965 -2198 -63539 -29861 21068 -122357 -18220 115783 5107 12885 14192 -32077 9864 22166 69844 -26047 45350 -130272 -32684 104119 10097 53881 36304 56862 -163400 80856 56240 116829 164753 -199524 -10464 -8185 -2972 9940 -79812 -137459 -48063 8907 -30638 -59243 74048 5404 -41100 -138971 207950 -87633 -53149 -140286 -165269 -49416 -57567 59840 58325 73583 137290 186886 -38768 -124744 100066 -164021 70936 -174632 -119962 -24234 -51260 -34896 8754 14273 -56930 -22586 94471 -7668 271863 134817 2816 -131101 -116717 -130725 -137548 61099 61909 77424 12179 3283 -49701 -1262 50185 9447 149081 36531 -46396 63973 -43696 41051 -110458 72015 -81360 130559 -116345 -194726 -12935 -3647 114143 58585 -57347 13279 -43377 69330 137424 -35473 135660 170792 -18000 -111408 8123 114821 72569 98219 38455 -17124 8209 11562 -65933 -71718 8154 -28163 -118983 -22980 13187 -13620 101245 204634 -194876 -56958 -2180 -115960 58983 86059 6764 46330 -9847 -51999 9927 -3241 105674 -27153 -126508 44858 93260 28333 -78288 72283 -167770 35066 133850 -100451 -104227 -1766 -14140 83131 174134 33467 -33551 -113080 -80291 1304 -49619 -3761 223757 16658 -98206 131355 63191 -56407 77920 -91714 169123 -36533 81682 -27140 20360 148058 -19623 -138245 -105496 -48086 -238712 -27749 -12520 -85827 -111850 111464 66529 
+-69742 -78829 -2214 151827 -23193 61791 122315 -1169 -99956 -30733 46268 -56871 47664 -87482 93303 -203751 -28372 15397 1274 54986 98760 48592 -66610 -88683 40092 -59050 -24818 -8619 -48537 4115 -235346 8651 -51540 -167645 59833 -106730 -60663 -122250 -91813 -82450 -90448 -136464 166875 97684 4713 33953 -180160 56544 -79426 -36691 104690 -72812 95855 -48499 -94024 -5976 -206969 -23177 120529 33957 -822 53652 99209 30691 39321 25506 51454 54094 -113649 126604 121675 52104 183114 -29144 68243 -176992 63416 -11354 129178 116570 -165910 44611 -79769 9670 64478 81679 -105185 -25677 19707 15201 -42939 72380 5452 16356 -109207 178319 -95816 -92426 -101693 -239028 -47216 -77303 56821 -20258 35766 180489 173822 -147765 -246931 185265 -162530 56086 32413 -83990 -20013 -62152 -37007 -31253 119947 -32922 41797 124549 29319 253164 131739 -452 -159346 -90865 -131188 -150187 109415 52995 109076 -17966 67662 -64994 102887 14018 6793 134997 36857 -6078 142377 15689 17267 -107333 109022 146106 25145 -138801 -186114 -7484 -9343 -17923 67838 3944 53359 -74196 69198 172909 13702 134551 154442 37120 -115628 -97207 45211 67178 16308 53326 -57347 62982 92872 -1077 -94705 -7875 -30479 -183505 -43466 -38437 -8062 120513 202932 -68274 -44492 12461 -126614 -22036 89430 -13790 -77288 -1550 -108724 51429 2401 86508 -101449 -127057 36323 147339 -20465 -112616 85766 -197261 161246 127585 -126150 28776 -81839 54720 158254 86312 72628 -5426 -89539 -89987 19387 -27164 -10244 196897 137543 -140362 156097 199207 -43352 56092 -105810 59963 -52534 69831 -88512 28875 154200 23906 -60268 -74176 -50953 -281953 -24855 -47084 -63571 -123798 20450 60117 
+-80312 -9646 -25933 21094 -5859 40276 43986 -9376 -250747 24535 9811 -46590 46249 -172156 50593 -175140 -19851 -29591 -5988 60095 98998 13260 -24636 -106036 42011 -88733 -84637 3466 -104440 -77609 -224091 -43401 -63656 -166102 44431 -92237 -81059 -117275 -74092 -40097 25764 -171351 100574 34842 41767 28820 -178311 29071 -5531 -14822 185951 82006 165919 -67666 -163675 -76212 -177007 -5392 120761 20512 7619 60935 149165 99371 58151 -19320 184830 -104805 -115046 230442 138145 55670 234441 33246 62681 -29733 93814 -85627 118779 45145 -119496 23847 -56337 18268 67326 58861 -6932 -42248 12889 -4516 33536 75188 -9201 45840 -44334 196358 -77165 -21096 -82062 -263241 -15936 -145772 50884 -24560 -7693 201227 158982 -155514 -180837 199956 -138247 79334 104322 -47936 -25063 -13582 -30898 -71362 123132 86908 115033 54706 13207 238448 -4209 -234 -165328 -158080 -102841 -62560 116730 59897 132630 -42559 85901 -41354 189576 -19912 16641 108176 34895 82153 175565 24475 3723 -73827 -59401 165179 -71455 -116679 -96747 1913 -34747 -191998 79420 -8895 93312 -57306 128268 149705 46201 143291 72858 -16491 -79134 -6997 -35939 110935 -60721 98386 -89508 83482 146804 60495 -48215 -11215 -35333 -206112 -52484 -71539 33607 134759 138907 98338 -41774 31051 -65137 -56254 61135 -27730 -8316 -4155 -78307 -4649 55698 84903 -148099 -102903 57014 199911 -49111 -152155 85468 -217412 238816 121188 -119599 46761 -73358 96920 117248 -35206 81522 -45867 -55236 -84870 29476 18432 11397 139869 83741 -152821 134389 199931 -70567 99744 -27227 -91003 -52674 62399 -170299 34747 152333 3814 -8827 -48625 23058 -290261 29790 -25388 -52319 764 -76448 42237 
+-75315 -28737 -10066 -77691 -56160 13105 -125678 -24441 -220921 -20032 -16438 -39701 49530 -185576 6217 -133783 -36868 -79968 -36509 14048 80971 -18229 8781 -96444 38569 -86838 -70295 7844 -105827 -89351 -185289 -61142 -66801 -134422 77400 45196 -85236 -107997 -10065 -16046 4638 -127401 32280 -2273 -38340 5626 -38665 -2402 66055 -10730 120819 195325 216877 -38029 -211602 -115801 -81984 -46470 125288 -10304 39261 30961 70893 140341 71218 -914 117758 -226391 -103936 139482 114597 13469 185084 158388 83274 105539 80695 -110404 78844 -43506 -80231 15239 30540 14775 64311 -108352 61984 -58908 -16639 7263 46302 73745 -3025 59999 55796 198743 -58896 104847 -39827 -175415 8176 -148928 50106 53785 -47305 205650 143081 -56688 4364 162719 -135378 62233 4879 9958 -25912 -72601 -26359 -125546 -4857 193332 212530 75381 1241 187644 -102405 -43756 -181625 -114619 -39790 23251 52627 47540 145689 -33663 84020 -69321 252009 -45410 14901 86468 32131 169375 190102 10543 4270 -20067 -213376 -36774 -65393 -113218 -37695 -30910 -34377 -215910 87145 -19095 106400 -77553 127730 103962 53828 153593 -6879 -125803 -100712 88469 -118022 78692 -133489 120847 -152676 86813 48294 -4799 -10837 -48239 -29361 -224184 -65508 -101031 19641 124044 61687 98806 -19629 24131 -67590 -40695 68405 -23535 137347 -993 -65800 28822 92454 78087 -131641 -86243 33843 220696 -99664 -136567 73864 -129261 179972 107908 -106943 -72303 -110288 116837 -15718 -95419 34570 -54990 -14930 -66279 28396 80760 41444 58653 -76057 -152698 72951 96342 -26783 99503 40480 -108881 -59479 61702 -152321 29325 141149 11305 -5990 -57139 74322 -306238 42248 -75023 -74772 92875 -93557 43486 
+-86420 -110974 -20465 -22840 -148738 -3100 -151082 -6984 -73253 -25336 -7026 -41296 52876 -135684 -72068 -71997 38381 -128927 8877 17038 51806 -57223 49371 -144861 35008 -76434 61977 11908 -139908 -41858 -111894 13423 -2119 -117004 53214 154609 -93562 -152923 46708 -6455 -126853 -81345 -47426 -4460 -82649 -3864 25470 37727 94111 19753 100398 169853 205980 -36865 -228702 -90149 36582 -35173 128902 -46293 48424 49602 -87169 119495 73644 37844 18176 -143082 -91435 -29344 92757 -40051 77047 207567 86773 45331 119557 -67540 62748 -108391 -53450 -21100 130232 -11120 69194 -240517 88625 -51767 -34347 -722 10087 75815 -7102 87367 75178 178684 -10754 185975 -10817 -59029 -15197 -104218 33732 131686 -70282 200909 126487 63485 121894 72897 -107668 40470 -191269 71466 -12633 -4712 -29987 -168881 -73976 230545 236027 32495 15282 178127 -5918 -55759 -193019 -111340 -64578 78737 -857 51501 147357 -75372 38702 -66080 194047 -33515 -2175 42335 30830 228003 218806 -88262 20256 42666 -174371 -180424 90218 -66124 37594 -62537 -44038 -99110 79526 -55980 84130 -83181 75235 -1626 33684 131992 6357 -211401 -85381 123255 -195529 102498 -165340 142907 -180264 42279 -47191 -86163 -16346 -46051 -32611 -167326 -82759 -172673 12426 82952 -40821 -77539 -17056 -21328 2756 53263 36193 -16710 190353 -5620 -11907 26116 138472 85932 -67434 -40795 50471 232035 -107083 -183445 69402 -63931 50246 63066 -73799 -159558 -110302 139823 -130047 -189989 -20911 -50321 25238 -54978 50758 139531 36647 -15550 -200123 -133290 49706 -43552 -25707 143832 60541 -19116 -52144 70176 -87596 65254 120243 9215 -78983 -91200 41565 -291209 89810 -34326 -131732 6803 -44768 28408 
+-78831 -161558 -28737 53063 -162150 -9509 3706 5973 94960 -10818 -2551 -51185 56220 -35201 -118308 -29181 105886 -159071 38468 33143 23753 -87481 62718 -80327 38325 -62247 109055 30139 -95866 74711 -38516 36676 -25454 -85796 81137 168793 -101241 -76832 59886 -21025 -206404 -71232 -86248 44138 -108826 13327 -11864 52040 106588 28931 90769 21329 151344 -42688 -245164 -24510 84738 -24243 128098 -42571 55619 21898 -180341 42434 61736 63261 -20710 22989 -91500 -67078 37309 -98447 -76364 146949 67071 -90478 116646 -13243 27081 -151956 -9093 -23708 171396 -26258 34871 -174328 30499 -61172 -38644 21980 -19188 77569 -5062 59929 49671 202349 6185 158355 -6409 66443 12672 -70929 35832 125197 -73069 205684 108774 123488 53071 -7586 -70133 56659 -150723 125478 -8381 -17627 -26783 -195673 11505 107358 284192 -60046 -1660 114645 134063 -42520 -194984 -150748 -124139 117551 -52328 53991 138317 -84224 62068 -54850 117158 -7362 -29221 37491 32008 227162 195165 -184034 45092 103769 7622 -139452 175347 -35085 71005 -84054 -18387 105959 91123 -69123 34150 -59505 47666 -33675 247 169829 91148 -212339 -41872 25681 -244726 71278 -156746 105930 -202648 -3409 -150738 -141926 8553 -57604 -25829 -82358 -73011 -186152 -12400 111702 -102545 -194757 -9416 -7434 -1573 149346 58553 7975 105073 -8202 39556 18699 128815 64616 11810 -35585 51173 214747 -99912 -145657 61565 3042 -43300 18184 -13040 -133780 -117592 57571 -152341 -190298 -80745 -28571 59022 -62552 33347 196566 -12654 -73921 -153082 -102723 -9166 -136325 -3949 124853 -37273 160374 -48749 81616 -18671 34150 85462 41958 -151614 -122865 -34665 -246492 28515 -37891 -89597 -114388 70710 3912 
+-93176 -108744 -41386 194886 -120160 -27122 112959 9479 68673 14999 37335 -62608 58632 82523 -197792 22125 112117 -205144 55241 32349 -20892 -102760 37477 -83910 31795 -43264 51151 11101 -91259 177191 26018 82813 -54420 -56944 52919 79378 -97648 -109699 60169 -2844 -146009 -32640 -58881 104180 -47879 33677 -140627 -11053 82212 77277 34226 -55880 99538 -69376 -209406 6600 33908 -9104 131772 -5701 48906 33946 -141683 8892 42311 81966 44355 73101 -74315 61507 20804 -138532 -158644 31094 68480 -224023 71278 67044 -34630 -178058 25883 -80231 136640 -47689 30875 -18360 -73635 -54319 -11201 41692 -53296 81304 -17377 68132 -42769 198511 16918 43386 -35517 117403 -9723 -30496 28513 57741 -56142 171630 90558 69214 -132889 -43109 -82416 39117 22806 171781 -6278 37791 -24742 -190570 121280 -15244 264737 -63455 15054 61444 109990 -50728 -186707 -127216 -141389 79288 -106080 57026 118633 -99178 58665 -88119 8708 40168 3328 13971 34737 159993 171119 -198142 69560 164656 119631 71456 195146 -59108 19268 -91508 12706 132874 87991 -93385 -5583 -67653 55538 70918 -52242 131000 166713 -151694 -55256 -60267 -240591 91246 -107813 67601 -242683 -73027 -173862 -69686 38309 -35579 -25367 -15254 -74842 -198263 7764 109956 -74630 -134853 -6045 15941 -14336 105585 40973 32936 -62728 541 52285 15800 97984 66597 41537 -8309 68480 182499 -79096 -105229 29778 48727 -590 -3569 1080 -5482 -103967 -12056 -167229 -117460 -121991 -18993 81567 -79815 6147 235572 -7995 -96026 -3044 -65912 -63280 -88668 -1828 108623 -81950 279225 -40218 95144 -37122 21829 59069 40702 -125506 -130203 -44309 -191075 44250 -49322 -65108 -92796 111692 25268 
+-94380 -44817 -30491 145348 -2856 -30740 66177 34780 -124160 28064 7923 -61593 57471 158657 -244928 57991 116650 -224006 59915 41809 -58229 -139786 -6378 -88830 37371 -21519 -43895 23370 -30451 247186 101172 66123 -57060 -37563 81269 -54562 -88489 -97491 29391 -33252 -18573 -52916 -983 159651 -2242 29338 -198072 -34543 38464 103805 2323 -52488 -16118 -46602 -151933 25478 -64118 -44187 132104 23463 31340 -1010 -26934 17719 22488 5578 138151 -68213 -81926 220382 4664 -138035 -124041 -32301 48564 -90478 70729 101488 -22636 -178806 61903 -111262 39042 -46618 15900 94304 -123157 -60088 3898 24419 -32574 81915 -10540 24109 -117740 180455 42047 -67755 -50765 82402 -21608 -70958 17095 -9772 -20528 127189 71910 -54513 -248209 728 -9727 61869 150376 202663 -1874 -60934 -17786 -170672 112358 -62926 200244 -119163 38619 45392 -17778 -57488 -180387 -87645 -98520 -15624 -89648 72781 89419 -123092 47377 -77753 -72837 73520 -28923 43029 36901 67812 104940 -153485 86967 200084 20328 162591 121176 -51263 -30838 -90249 19075 -22704 95956 -119523 -47024 -50270 44531 140546 -87874 145586 154338 -56080 -26193 -38687 -215380 110176 -34109 41361 -233732 -133688 -77017 47554 43890 -24688 -21878 63698 -73395 -139715 2740 104434 83169 18005 14803 19218 -82366 62306 53182 47191 -64384 -2983 98095 105160 47819 58398 4519 7858 73964 134882 -41051 -96680 3905 87585 123568 3964 82715 61845 -118985 -44998 -43995 7890 -126729 -33529 89300 -91851 23354 248867 42398 -95593 129694 -41864 -118246 33228 20825 78736 -104719 229574 -50277 104902 -99006 28420 38810 34588 14019 -86321 25659 -167513 39924 -48141 -9507 -48531 71132 -12826 
+-92096 -19847 -38519 11811 -38649 -31167 -98968 66218 -268959 -12364 11686 -51352 52475 178082 -236066 81661 74074 -227904 59657 49544 -90141 -150517 -20760 -83379 34583 7667 -91114 23974 17602 245091 110776 -11265 -58294 3389 80886 -160584 -74461 -102891 -11684 -32161 22646 -31085 101230 184187 21048 6254 -85705 -10137 -84784 109318 -27244 102508 -109761 -44405 -104155 -11622 -180585 -11861 125663 31348 22798 32444 118558 107855 15316 -6679 139113 -215714 -66156 130595 -27029 -107889 3832 28917 53271 111675 79357 140870 -47322 -150710 65916 -62926 -60548 -39518 59777 298 -154618 -73755 16670 20622 18086 83902 -5706 -14010 -125662 177965 43886 -87545 -113508 -24192 -22669 -120715 51264 -18675 21852 88614 53505 -152095 -173827 85528 30182 85425 -5201 207034 -2644 -13039 -16778 -123906 -6784 58078 122936 -137387 18682 -44024 -63602 -36697 -159803 -96114 -58855 -99265 -34777 67039 53261 -141569 62449 -69480 -41435 32643 10517 88988 36621 -17339 34033 -43616 87901 209017 -163072 30159 -16261 -85888 -101299 -141637 50514 -173390 92180 -79547 -56249 -78881 8621 182979 -94657 150107 71950 -3468 -5076 96867 -158103 111720 53575 31950 -212981 -158245 33440 31377 86092 -5638 -24467 98551 -60405 -98028 63398 116752 53147 108306 9330 43563 -144429 -37106 32752 60665 79470 14334 92340 84192 17348 41537 -79405 42945 41697 76078 -17180 -26124 -12996 160401 229884 29479 122463 -20884 -119181 -58058 64378 128317 -71940 -38438 80875 -84233 54806 237292 9338 -51210 91954 -31667 -98199 156736 38323 56337 -9828 61330 -47924 107003 -229085 36345 8500 25959 57306 -60043 65601 -98337 -18765 -49885 -69510 72563 -42767 -4344 
+-89046 -82057 -9215 -39790 -39951 -16283 -156536 93729 -229838 -23393 -23804 -42415 48659 132209 -287263 85868 40530 -207360 46728 40141 -109735 -171608 -59584 -82538 36364 37826 -2178 44650 40407 182402 113920 -47860 -23181 20039 41085 -12659 -67895 -129982 -43932 -36483 -46836 -104090 160000 183822 -31160 -3985 40460 -21708 -133609 120653 -67151 199615 -148645 -27528 -13107 -84815 -215860 -16907 125552 -2134 15173 45848 145103 144410 19244 26189 53323 -187133 -67269 -27529 19458 -47855 156342 152256 85526 93157 62570 100486 -17824 -115327 72631 -42251 -76918 -19718 74772 -193476 -47688 -109068 742 29688 37352 84186 -10449 -46237 -80331 170199 9801 -300 -110145 -145458 15303 -152010 44092 43433 62891 36461 34982 -145947 13015 168899 16814 84001 -198664 197793 -20048 -18417 -11331 -67467 -81657 198187 56095 -197157 -7015 -52425 -20515 -8549 -140939 -96101 -65072 -151421 48656 61766 12437 -154169 50746 -49409 45922 5521 -4827 133486 34137 -53150 -18601 16388 74943 212399 -216456 -151234 -101507 -108602 -171816 -155209 64458 -226674 97070 -15690 -41964 -92796 27864 145103 -74333 154107 1600 -19721 -16502 108848 -83075 107431 110811 55701 -196719 -149338 127873 -56361 82691 -174 -28670 98312 -62213 -356 3012 125559 170117 8999 16001 20287 -143469 -70237 40149 63306 203336 -4631 48160 80913 26811 60344 -143421 47090 73326 21014 18959 -15083 -41852 140737 196100 25475 172966 -120623 -75796 -4059 131346 134434 -9335 -50178 57692 -67201 46160 204487 7884 34384 -73592 -54378 -87788 203038 11312 39764 50518 -96559 -40260 103206 -135188 26972 -17299 70608 -29497 -49507 50718 -47294 -458 -31282 -94236 27354 -100513 -23713 
+-86744 -151497 -65055 -67788 -139476 -23224 -25564 103633 -73273 -44351 403 -41218 48046 37692 -283760 90867 -32095 -180803 12678 10721 -153266 -181199 -75530 -81655 33444 60562 71786 60871 94281 65781 56016 -20826 5398 40056 78497 100488 -64227 -125915 -82658 -28254 -189970 -141806 198867 149471 -110022 12623 16893 -8423 -209971 126419 -56325 162447 -166180 -51366 26133 -121353 -142384 -33370 122962 -39503 18427 -1732 49152 117566 36574 59795 -27063 -9800 -69325 -41454 72485 3762 249370 210837 74089 -31969 43482 43717 2382 -29427 70529 13025 -11707 10539 91572 -247532 24078 -97038 -17162 4168 10520 82796 -1566 -97435 23945 187768 -14228 124891 -103856 -243755 -19705 -148717 59806 115769 90648 2009 16175 -46294 122704 200401 82328 83249 -168633 162033 -10505 -42732 -17387 -25509 3343 225025 -16026 -183879 24329 -67897 108036 -3051 -123893 -46745 -123973 -115164 120853 66455 -30168 -171806 48935 -46037 176463 -47776 -23484 156815 31619 -24257 -45760 31734 52598 193412 -64180 -185576 -21061 -128360 -216909 -185173 78548 -76152 102894 -5207 -20349 -65540 44287 61093 -39605 133024 2399 -120770 -3635 37407 -1162 95152 149594 102455 -144651 -95742 103699 -93100 98273 -3622 -25422 33351 -34734 10380 6347 65553 208635 -154166 8297 4874 -84797 3034 28517 51304 155158 -8251 -13944 101419 66608 28816 -125903 41272 123991 -7322 63660 7236 -72164 147695 86756 68150 187562 -180016 -44698 44075 143757 163675 56346 -60907 23392 -52476 11521 151087 20345 109491 -185924 -88882 -57245 145161 37320 53081 24272 -120828 -35505 92188 -49816 6850 -37971 71263 -85878 -70935 -25857 -5904 -13673 -33979 -108787 -110202 -89834 -37334 
+-81545 -119420 1352 96358 -143524 -2301 120472 135424 82960 -18279 31546 -44895 48501 -83871 -208532 55015 -39916 -142030 -13160 26513 -188987 -172074 -26925 -101983 33081 85746 97799 26880 153060 -28638 4588 73451 -24380 66709 73756 185899 -53114 -117666 -131033 -10191 -186800 -124644 156094 80942 -102829 33335 -93837 -23522 -245435 130332 -70818 -20494 -126785 -61455 69453 -111794 -15379 -9005 124236 -43999 42024 9721 -93892 53860 56406 68148 31329 82207 -79443 119143 86211 42494 206846 145352 88925 -178482 47321 -31280 7806 51738 50920 35361 96172 20927 73842 -108154 85281 -104045 -30089 30344 -43220 81069 -2650 -146489 69311 164442 -66358 189482 -83149 -254862 8224 -91356 65131 129256 98749 -38246 -1559 73045 46842 163945 57035 99308 -17696 109847 -15383 -55909 -18457 13079 122035 131335 -74521 -250740 12603 -96434 152238 -14846 -90890 -69934 -157156 -29100 133703 63538 -71938 -159025 29782 -61941 233025 -44661 -10072 141246 30927 59953 -57991 -5108 25998 149040 96127 32708 110447 -154336 -173684 -138161 81208 91596 102642 -10830 25272 -105322 41914 10593 7102 96555 87564 -215867 -13241 -73542 74874 88540 131255 126007 -95323 -14043 18536 -70152 161020 -15602 -20851 -43237 -13719 9012 -11739 132110 176467 -195308 5057 -22013 -8481 81785 18975 30940 -1094 -602 -49683 115340 107070 36688 -63927 69732 75942 -43736 110959 16694 -89840 64950 -15624 119099 167837 -94023 -18681 89076 47621 113958 71014 -46854 -16685 -60877 359 88334 23042 173463 -141839 -130103 -16243 36205 90572 141072 -73953 -13226 -37394 79284 4709 5955 -57857 60605 -160503 -102312 -31076 39337 -74075 -29485 -76967 -138932 -2021 -37639 
+-88645 -44949 5278 164810 -89097 6886 66893 150459 63245 -6216 25420 -53128 52008 -163153 -180837 21636 -5154 -107635 9942 54888 -196357 -167034 -2054 -126204 24543 97085 52544 20061 198743 -90064 -71672 47658 -64647 83102 83849 92688 -53846 -107755 -105681 -11440 -91279 -146029 91937 32729 -52315 29866 -177845 -2210 -226298 144295 -17816 -55251 -64869 -45261 62631 -43870 75801 -42573 122182 -15014 52419 51686 -171293 13562 71449 32174 120226 -33974 -77095 215449 80093 49143 91107 29649 71462 -104739 68508 -66779 46961 111975 23421 11124 177495 22608 22614 50244 72150 -101506 -35636 42230 -50034 78811 -9007 -168689 62144 167824 -91147 142521 -29529 -185705 -15464 -66319 58013 63927 86554 -77259 -18790 123550 -140284 90633 80281 66750 107471 53167 -14356 -8209 -23402 16925 127001 -15403 -106405 -239651 562 -69659 7692 15288 -71988 -104893 -148609 50813 103308 56624 -109872 -175411 66111 -37771 219525 -22728 -3620 117916 32626 150949 -53631 -99117 6069 93914 76520 204174 193779 -94784 -93272 -192948 76699 138982 117385 -28337 54828 -84930 63834 -21662 46310 114726 162168 -237203 -255 -46591 124461 91930 84530 134925 -50633 28849 -81054 -996 128086 -48811 -25680 -131454 -1557 33591 -2700 91378 84381 -61767 18754 14832 -9243 126985 12276 11761 -65969 -19876 -104264 111831 150969 26504 -12067 70313 92460 -33562 146926 -13301 -100816 -9309 -20770 110417 216370 43110 19843 118845 -69167 6801 63827 -59271 -57260 -78144 43446 29988 5226 215199 7932 -154034 22429 -115821 89639 146943 -94609 144725 -23119 67965 -66958 4869 -96811 35558 -20742 -130691 8378 76573 -24422 -24590 -45623 -54678 98525 -49012 
+-84279 -12718 -32121 156267 -36202 22281 -93795 139485 -82181 -14972 15990 -61682 54981 -185671 -100642 -35141 48658 -36088 6545 53254 -204552 -154267 21879 -116680 25044 95433 -56691 17699 162764 -71489 -147115 56235 -61204 90402 91676 -35558 -56473 -107897 -61247 -4772 14016 -112971 596 4419 18330 6879 -124810 9641 -188683 137014 10604 -26426 38162 -45110 13968 35116 70708 -743 109541 21100 52818 41420 -138733 13026 73806 -22802 171392 -201360 -95236 126966 127665 19012 -38564 -32705 63758 80761 74110 -106784 100671 171276 -10413 -13012 158655 8656 31200 78329 16706 -148017 289 35069 -19388 75078 179 -182516 -3033 164033 -61255 20814 -13043 -82090 7348 -59123 65203 -1130 55387 -92156 -35148 56008 -248270 1098 37365 61902 -22322 -7485 -24524 -21599 -20215 7079 -7535 -69543 -76669 -245362 22314 -101814 -75880 -21037 -49830 -61598 -68377 90428 60113 55958 -141106 -174603 35832 -45716 152530 20141 1161 130473 35403 216505 -33464 -175049 2230 25928 -102573 77194 157501 -113767 -23730 -195312 64765 -10949 111822 -60533 67892 -87342 87044 16680 53552 90038 163397 -159246 -19624 41461 146177 55307 1122 107175 -9805 95759 -178102 59753 126859 -49902 -27103 -191152 32107 -37410 11629 159292 -874 90251 15042 29973 6029 65162 -4949 -13841 36602 -15243 -98001 115140 117220 9623 33426 77906 114650 -20142 186455 -34966 -97158 -82460 104641 107145 233209 55639 64452 125657 -171847 -122692 24450 -16466 -91344 -92689 23772 -20704 24142 221522 113918 -163188 93523 -97494 60363 139311 -70414 255088 -21366 61803 -168468 -13735 -113796 38143 55083 -107392 73522 71572 -15565 -50231 -47934 63047 111663 -64444 
+-103086 -73502 -42477 37852 951 42834 -169120 125248 -226028 -789 -19374 -61852 56707 -139478 -54946 -69776 106269 -5829 56383 44521 -191787 -145672 43773 -101864 27173 97542 -81635 8649 166695 2861 -197780 -13019 -30964 93696 74069 -134851 -63788 -104305 -54155 -9297 8706 -59403 -67774 30172 24502 -4085 -21962 34732 -169315 119946 20665 124490 120341 -41300 -39439 36085 -28369 -10033 104850 34944 51266 47092 -4238 110329 62208 2616 81131 -199488 -101592 -32273 103463 -39409 -131931 34500 68263 105377 106878 -61337 111572 173877 -43954 -7210 66216 -16041 9533 -87531 -78577 -146606 28453 54887 17029 74004 -917 -171846 -93237 155905 -85134 -79092 -27460 53039 -32863 -79855 70815 -17409 12594 -89771 -50519 -68389 -166217 -42534 53793 68257 -206458 -58547 -26215 -58760 -20419 -30751 -70964 21375 -25449 -179151 -17622 -60346 -57735 -39805 -20902 -72099 -59512 111013 -33037 58314 -163573 -162025 78344 -29314 37791 44129 6705 130240 37074 229151 -14287 -176352 12152 -33236 -227575 -112986 57610 -45893 48110 -203272 47092 -185258 109154 -63349 120012 -67555 103392 93906 33299 64306 84794 -60829 -43361 100639 122854 81879 -81161 59251 18061 113703 -134960 20450 181509 -46294 -19917 -202277 55215 -117958 10026 98589 -56683 84112 1030 27045 -27934 -20374 17153 -23060 146102 -5628 -81117 134555 69729 9199 8706 113011 80955 21079 222632 -50896 -97342 -160424 201873 169600 153280 -58202 86467 62708 -188478 -212295 -36951 -33919 -113752 -85258 9914 -50590 46341 197260 88698 -146487 110044 -30821 88427 111649 16851 194053 -15515 63861 -154856 -11269 -110731 50241 -11609 -73279 29951 80704 7322 -26593 -65090 14333 39551 -53979 
+-68776 -140818 -2742 -80900 -47975 43254 -31876 102797 -233994 12019 -28359 -53105 58504 -39279 -8172 -132043 137303 31148 18898 7554 -186708 -120739 51153 -45858 23316 84675 -20568 14198 102092 117997 -224111 -61083 -5343 100190 71877 -119477 -72159 -88220 4699 -32503 -121570 -51124 -76215 68115 -41632 11917 31096 48698 -80580 98153 121111 210000 182132 -32633 -114680 -14458 -142450 -8209 103527 5237 40840 31630 124904 146301 44427 55618 -16168 -39431 -118528 -52628 65236 -92075 -149148 148745 91852 -16392 100378 1347 106573 178925 -89037 -68906 -28502 -33541 21733 -239776 -135261 -176605 25454 27609 31174 74237 4080 -150734 -123406 161835 -103639 -79046 -32327 130164 -16326 -141047 77235 45033 -28698 -90561 -64386 -159803 21170 -9319 6004 67846 -208435 -102335 -6224 -34429 -18114 -80708 15123 181754 30870 -186096 -10580 -41481 69929 -53990 -4959 -58029 -101886 21007 -58893 53137 -175369 -152737 73836 -23060 -71612 59667 6025 63732 36172 180551 22174 -136075 34013 -83623 -141497 -185942 -44525 -44279 84453 -204917 22130 -181312 112011 -98104 103729 -63880 78716 185390 -9563 44985 1919 4640 -76744 53517 54568 59018 -135349 38314 45242 87969 -23683 -77674 177851 -27718 -23413 -213831 57408 -135384 -8579 104045 -94569 -80640 -11694 -12917 -98912 -74070 -11696 -21042 199507 -12367 -61364 96834 27341 7444 -57496 65482 85562 73803 236948 -86450 -59204 -174433 223259 80971 184955 -175585 116424 -3000 -100481 -188800 -98612 -18540 -121746 -68368 49373 -54144 32916 133900 -68366 -111382 138801 133104 109076 74793 49454 115885 -10820 72262 -104164 -20764 -106859 96398 -57573 -51320 -28894 96307 29498 -55470 -110247 -104313 -77733 -74512 
+-77245 -139256 -17161 -48874 -149032 60095 109933 84015 -78202 -30282 2991 -42335 53988 77006 108325 -173128 110592 52714 70629 30051 -155286 -66433 26619 -117591 25580 61546 75475 13454 54284 221587 -221125 11473 -2591 101634 58087 54562 -82737 -93780 54800 -33614 -194596 -38176 -32783 120176 -124801 33045 -45602 63675 -2776 77730 124282 128813 220682 -56895 -170050 -87422 -215512 -17539 94114 -36892 12181 15783 128748 112081 25360 92882 -7848 73056 -128147 104728 68055 -133051 -38588 208779 76068 -171314 76666 69583 114798 134340 -135229 -87393 -80236 -46291 64647 -206626 -147431 -156585 19533 22323 3193 73517 2425 -116628 -108199 151194 -48573 21320 -75550 94955 -4790 -160734 69398 117398 -61439 -73056 -77139 -136206 122466 65148 -4338 37240 6369 -123856 -14591 -46911 -23374 -128914 126083 235218 126586 -120270 -10380 -13341 164473 -84748 6740 -83259 -143412 -65150 -88641 47951 -176412 -146939 82530 -12730 -57000 23235 -4707 11110 33482 91492 83929 -56612 60520 -107619 52524 -37198 -81914 -65149 53317 -198765 1681 -53525 114778 -87691 93781 -68820 82639 159958 -55561 31768 -1578 14197 -102843 -44631 -22474 5393 -166948 39007 68349 41048 58668 -123362 183032 -26327 -22375 -156975 75210 -194277 1977 79603 -55121 -200603 -8118 6324 -145477 -55247 -3498 -16291 76427 -11579 22156 114006 15757 -8159 -156973 51313 89061 127697 245813 -103135 -51445 -231835 114160 62763 142453 -144424 118377 -48832 -31574 -132173 -127997 -52702 -113583 -53279 21037 -29243 12986 56639 -194329 -80133 115013 194497 92571 53652 2410 -81741 631 84620 -23027 -38090 -84846 33396 -123365 -59000 -57938 82310 50183 -26328 -130963 -77626 -106004 -69891 
+-73784 -50041 -74217 62688 -164357 66408 92906 59352 106269 -20580 38815 -38721 50520 145593 74994 -202751 92811 63120 42226 36905 -127094 -40783 -34537 -111104 25116 39070 95176 18282 -1149 263063 -152872 37189 -23468 103235 79438 170702 -90801 -105647 72662 -45004 -158306 -73584 27928 177977 -95746 30321 -169574 77465 89211 61382 157539 -15210 201395 -39762 -216791 -121789 -178096 -14629 87088 -43300 12184 30173 30286 39679 15704 28068 106200 11598 -129305 199743 47660 -138175 95536 151242 88835 -126812 104851 140489 81259 94356 -154531 -77707 -37823 -50230 88275 -43741 -35350 -129178 -3673 23072 -14808 73234 18669 -60551 -25534 147768 -24899 142813 -105970 -12443 21554 -131216 87583 135655 -74691 -29713 -88231 -27791 38223 153248 -65333 56662 111807 -128314 -8663 -54589 -34414 -167577 121074 154083 202140 -95049 40914 50240 62313 -61452 14231 -75065 -147185 -117936 -61192 56404 -166647 -138990 37907 -43093 2683 -34927 -11981 44477 31246 37 130834 13673 81037 -114313 114987 160613 3881 -69934 -10010 -190271 -15202 62605 106826 -64469 24963 -65993 78098 96235 -86233 31118 78973 -59442 -73170 -57791 -101239 3490 -150854 64304 54982 -26683 114391 -81297 224533 -14249 -23245 -63757 75816 -212521 -5138 105286 61018 -145421 -6720 28513 -135977 60320 -20551 11789 -36756 -9323 67103 114799 13874 -9641 -130403 90018 62106 179174 234769 -135261 -25881 -208851 -3305 39081 57151 -40278 117095 -50815 76983 -21518 -111765 -53633 -90960 -59405 63502 16778 27998 -17001 -152554 -49866 143910 169654 110999 59661 -75942 -124174 -6322 97183 -34001 -4919 -75613 77568 -73753 -89397 -11501 24253 64121 -15052 -65772 -29214 -53265 -72060 
+-79680 -17080 -24333 180053 -91547 57753 -84496 23380 83355 -11037 28269 -47973 47130 167828 131799 -227080 13250 67367 66147 63050 -90381 -3922 -41589 -77718 20433 20399 970 -18978 -55160 229158 -104811 113616 -63137 94756 78234 164809 -110607 -122473 68197 -3166 -31051 -70536 128320 197924 -43719 7536 -185646 46802 100316 27230 103824 -93209 125279 -66661 -235752 -129071 -53675 -23957 78521 -27324 21289 35953 -113576 2170 18549 8829 176610 -177927 -134233 133617 9378 -99464 200897 29459 57712 58203 77767 130592 59236 19929 -187441 -98350 57705 -32031 58449 78024 75639 -149888 -50684 22655 -45925 77338 25859 -39967 56748 149635 -25646 189557 -108330 -147469 7769 -72156 79984 72740 -65839 22312 -97308 89746 -150610 199417 -55631 41355 26145 -105590 -22706 -56357 -35844 -192776 -6916 12593 246535 -50522 27314 87285 -51127 -40879 13094 -83178 -103488 -117000 -15508 63461 -145437 -121327 85630 -39242 93823 -48833 -30878 28897 31148 -51430 173566 31440 89947 -99228 -22608 124998 122293 -94374 -85751 -182185 -36486 111814 104000 -22845 -11573 -85915 18802 20015 -96127 -8864 159444 -148747 -104910 44658 -176373 -8190 -94934 102829 54640 -89184 93795 8737 242606 842 -28141 10665 96186 -160794 14683 94035 124214 31708 -26168 26817 -63744 87360 -22486 29836 -34099 -1936 77228 101244 87548 -4500 -82144 45044 38451 208377 223202 -116719 9562 -131522 -39056 37436 56601 58744 130229 2937 143590 77123 -53409 -39677 -57018 -77503 50206 75044 55997 -79070 11202 -40251 79241 36014 104490 60771 -90997 -34907 -184 105784 -109761 12739 -67255 38835 -2868 -125181 69893 -26665 53735 -41336 -58706 73410 58632 -63864 
+-94269 -70340 -19749 160960 2066 47769 -163315 -14808 -98599 7440 20346 -53106 47436 129758 52100 -229666 -41016 28152 41463 44931 -46875 12523 -63003 -100280 26393 -12121 -65113 -22057 -95809 122961 -28519 81704 -24841 68277 89798 17446 -92935 -84894 67801 -39837 34396 -91605 181688 162068 -8501 -4182 -59247 49457 103286 1411 125203 24354 38702 -47028 -214286 -56217 45124 5314 72402 15997 37240 73300 -194682 32509 35549 -436 108892 -217882 -129342 -29124 13644 -50533 221979 -25044 52018 117924 82320 91001 27198 -62696 -221345 -42745 145865 -8970 49527 24078 83138 -116993 -42834 33184 -11839 80628 23807 14398 78297 134971 18739 124537 -123247 -242564 13942 -62403 75673 -1639 -40011 58326 -105213 123999 -248363 186646 -139207 66759 -177717 -68848 -16300 -67085 -36859 -197778 -73104 -69838 274176 -8661 51480 86371 -59144 -19112 8536 -107605 -35498 -37542 100242 61601 -116000 -97758 30990 -55258 204256 -44509 -4091 57987 33413 -36568 223902 -1479 81786 -55349 -195944 -69356 194034 -127034 -181834 -191016 -30510 -5713 106733 -31862 -52454 -88039 55847 -38137 -79254 -1755 165595 -211786 -82988 115850 -241519 -278 -18074 124759 17348 -124228 -41535 23493 219130 -6453 -18724 81256 88759 -110869 4664 115502 230718 104854 -28770 11862 -32886 130388 -22574 52434 117166 1178 58976 92958 111730 -21082 -3709 70459 56318 233984 178221 -125866 45284 -31527 65147 7676 -5871 -58 119082 49422 111871 141909 2005 -85794 -16793 -91453 58980 134260 33557 -105363 133363 -47768 30863 -85018 132540 127322 -27302 101619 2802 106675 -172174 -13332 -9437 56574 50328 -121751 51156 -81454 44063 -43893 -52787 59474 117640 -79819 
+-94456 -158487 8051 39158 -11804 51674 -50083 -6767 -262307 9252 -19505 -64338 49329 33506 21996 -217169 -27027 -1565 -12818 28758 -18682 73686 -49621 -106300 15345 -39580 -76214 -12666 -116109 9813 56636 16926 -506 62230 75948 -101393 -73617 -76330 12437 -29327 -52690 -129615 182180 117676 8595 11235 24267 56142 80179 -23164 72316 164612 -44273 -92055 -209167 14496 79895 -38048 66969 30970 52414 57038 -134409 116591 55445 568 31215 -82629 -138575 -72820 21533 7132 151898 35550 50890 -23072 44291 26449 -16996 -110691 -226692 27615 172088 4509 55962 -166995 70545 -110331 7260 3114 29997 79972 20151 57268 29687 138331 31751 -605 -85753 -258569 9976 -62774 73510 -26041 265 99315 -110900 42878 -158904 114244 -151251 96515 -189100 -9898 -453 -116579 -39857 -172795 -1438 10038 260448 18694 2064 177098 62219 -26920 2822 -105535 -60846 40785 134055 61715 -79055 -95342 54527 -48242 260173 -13177 14004 103806 36016 33437 198729 -87354 61801 -8512 -192861 -199434 165603 -140022 -185967 -162883 -29137 -188031 92281 -29152 -60143 -89321 43763 45240 -33788 -16050 85923 -169923 -113110 76248 -248164 -32657 59513 129245 -25230 -144717 -116336 -26621 221173 8684 -14795 100909 98681 -29281 -8974 93775 223976 8857 -48105 26858 -4188 58641 -17839 63014 195798 -5614 88078 87271 148067 -28932 -545 79365 18191 221776 157771 -96773 57807 17766 183775 -18463 -54928 -155594 116487 101541 17125 147228 55238 -66214 23533 -86224 39646 196605 28348 -86767 87215 -63966 -38409 -110801 108367 129466 54817 218848 -2060 100788 -133716 29583 -14657 48048 -51964 -93660 -9264 -141714 -1349 -30584 -84835 -72957 90892 -62807 
+-82568 -139362 -10622 -46099 -59631 20911 98060 -1185 -254555 153 -36761 -57384 51415 -85132 -9753 -182084 -19598 -55190 8521 17907 21740 84455 -31408 -109127 22074 -61790 -23257 -35512 -119217 -65850 108500 -39907 3033 34891 78717 -130891 -72084 -77557 -58760 2307 -181219 -173356 129344 57650 -41441 32651 -6980 -271 10225 -46467 36314 209131 -118553 -48401 -144967 42254 23532 -9096 58563 20464 50346 78326 1394 142782 70586 83205 -39717 69326 -118450 96370 63828 41100 2663 150401 64622 -198535 61473 -48127 -8162 -161768 -224204 47309 108874 22913 3823 -254550 -26934 -121511 13199 43277 62941 82524 38674 100557 -77364 113599 10101 -87658 -50633 -201438 -2401 -101818 61806 45300 44502 141647 -114843 -83116 27701 22927 -147107 63692 -20857 37331 -11555 -62752 -39907 -130598 128432 172045 218316 65614 20963 201236 140465 -10524 -11681 -99083 -122701 96986 116135 63202 -38098 -51022 89553 -50048 212899 34578 -5295 108593 37036 127712 181774 -169834 35550 53860 -12074 -110756 -468 -121775 -194071 -140319 -25523 -209333 71208 -81748 -65641 -57524 31996 121174 10628 -54334 4969 -86359 -123682 -5345 -237172 1367 115066 99767 -68805 -134104 -189792 -98133 247752 -41171 -21847 75589 92944 2241 11160 82884 175620 -156937 -53759 10406 10259 -14145 -9710 64821 108580 -4761 29576 28002 135040 -23817 20282 19117 60047 197567 107863 -98435 81658 123293 220083 31695 -67668 -185559 86022 134779 -114021 118547 78922 -9651 57886 -65351 53034 235172 65961 -36742 -67374 -112729 -104366 -45269 152432 124651 39962 232816 -88 88847 -13500 -817 35837 72920 -112728 -55877 -63552 -179617 12599 -52188 -93071 -149115 -273 -48446 
+-86670 -67084 -20888 -55479 -159721 12981 93691 -13206 -79215 13776 30966 -47218 55753 -157865 -124927 -151362 27648 -96582 32521 24094 58047 117971 27883 -89495 15132 -76021 84514 -15600 -135044 -94497 120344 -40976 -5335 20404 74911 4513 -65551 -64539 -76703 -17771 -199304 -163299 50726 8603 -104712 30786 -121429 -27215 -63618 -49309 12528 93469 -167487 -51083 -59394 2253 -93895 1184 53440 -20054 50247 26026 142783 118589 74064 50580 47463 27340 -118920 200063 66591 46827 -108180 210414 71215 -181963 58255 -85348 -60926 -189060 -224849 48832 11589 9904 64767 -155951 -84731 -93621 28321 10914 702 84863 41462 80990 -136395 105742 -4294 -67707 -40730 -85794 -509 -165255 42808 111466 80117 183843 -116445 -160028 122589 -36528 -169678 59155 108916 97133 -4285 -111259 -38161 -84048 121764 224831 154512 101410 3881 228428 96834 -4683 -30845 -106256 -129786 98671 111467 58152 4926 -39543 34780 -65865 89546 59638 -7262 176889 35572 207791 168889 -196383 12683 117114 119929 122763 -65787 -97325 -98153 -153956 -117 -63244 100817 -74932 -4726 -82937 52515 186768 46305 -81743 -939 -7217 -147052 -46453 -178098 -26787 147626 62109 -113248 -77186 -122294 -101093 242184 -21238 -16602 23318 73748 17221 -14606 99827 54645 -185526 -62974 1652 -63751 -59186 -9231 50251 -6666 -5560 -1866 44039 72512 -35602 -68237 13529 35218 151003 81207 -25952 85309 136621 156083 51707 -121689 -72475 94152 108884 -194751 -5411 61083 -12318 80777 -53505 28176 249096 2719 35178 -206396 -138810 -86526 72670 144192 115642 -9883 121914 -4117 75941 -12402 23883 42407 74311 -103811 -53083 -20113 -214406 -60500 -28870 -96664 -55608 -79675 -30518 
+-80749 -12969 -7991 75423 -168667 25109 -70350 5197 98510 -5108 26799 -41902 58636 -175799 -188882 -88834 97373 -142245 -883 39465 70498 134831 45182 -80745 20691 -83708 127988 -6854 -98498 -46906 105299 42065 -38132 -3557 56542 168667 -47827 -33828 -129971 -15588 -90910 -113779 -28489 -485 -100536 8182 -181868 -34910 -139551 -29742 -19541 -49462 -154940 -58624 11767 -60611 -185185 -4690 45269 -45973 28825 27092 135956 58974 63336 -7137 140524 -142698 -101894 120471 111599 15450 -133437 150589 89099 -2974 53906 -99291 -24440 -187704 -198142 12082 -66239 7022 54389 42645 -147365 -71375 359 8521 -44374 82428 43106 81127 -120142 112508 -30195 43668 -27892 30099 -47349 -144943 39103 137497 98082 215511 -116406 -134130 29884 -16312 -172165 87463 30542 150689 -13524 -93114 -43102 -26371 12 187365 76903 56967 17307 249539 -38749 23412 -53458 -106540 -125027 33560 20931 51677 46471 -26592 84269 -71001 3340 42678 -45985 170564 32771 236001 69988 -143916 1776 169226 36628 163857 -51040 -87081 -35780 -145778 20461 73675 86413 -80161 29838 -57651 65014 183630 52702 -87151 76364 13602 -112854 -11790 -95454 28177 125613 39194 -162945 -23059 -32806 -54072 261796 -44455 -22506 -45981 53209 -11929 1758 118076 -11345 -68309 -78078 3024 -113660 -16025 -5912 29009 -51843 -649 -54853 17124 33116 -42565 -98471 15702 90975 97103 18094 -38579 101211 185222 25206 103492 -117243 38432 22650 55120 -146359 -126861 10748 -12166 89337 -58549 62462 241567 20821 109019 -162611 -155175 -127879 168426 128521 74472 -105882 -57473 -8725 64551 -71118 11310 95364 50441 -32238 -72097 52115 -246616 -57082 -30799 -64706 53702 -102156 -34054 
+-80394 -33399 -4957 165728 -70125 -6908 -168548 5294 64660 6134 24596 -36957 56839 -152994 -281504 -40688 129642 -184305 -1621 65879 101992 135355 54683 -76639 14258 -77796 49282 -56679 -49154 51067 40385 82730 -63283 -25909 58480 184569 -40621 -39572 -122581 -40634 18392 -93933 -84228 34257 -44891 -4215 -119117 -31916 -190785 -64287 -36384 -108890 -102096 -49610 47373 -128042 -216461 -39054 48842 -32488 9602 58926 31074 6193 44262 -26921 149653 -231647 -96503 -16253 136012 -37268 -78063 29554 74863 109096 39564 -62044 12375 -146819 -173843 -38862 -70959 -18825 81709 90641 -112101 -64737 -23521 34639 -46416 83963 61584 61010 -64371 105922 -17750 158411 -44811 109144 -10388 -102955 61062 69807 94966 225008 -114368 -17027 -158636 36995 -151529 90042 -162756 185889 -27343 -106197 -44499 2192 -72295 23493 -42492 78647 7203 277886 -94740 -1036 -79021 -122759 -68326 -48009 -47372 50482 83769 -8347 7583 -73039 -77936 10109 -31972 140483 30961 204499 40690 -44812 6073 204408 -150560 -37279 47694 -56527 3266 -83171 49670 93523 78684 -94019 67448 -43619 98580 136418 35174 -108554 159055 -25345 -154197 92745 -17664 -15589 70339 35399 -182700 56660 89206 23768 204669 -25901 -18790 -147070 26115 -38053 18164 140615 -63558 84447 -85679 33786 -111101 94193 -20636 6861 46691 -15386 -83382 10457 10982 -39499 -152333 -72824 54563 38252 -13167 -14059 87942 131569 -42167 110629 -84710 53779 33179 -4845 -82988 -206914 -53591 -19867 81415 -79014 28500 203726 39788 177492 3252 -155587 -81916 177742 129653 61731 -92477 -124212 -11426 60310 -167987 33986 115543 49704 80196 -98923 70760 -298551 -52321 -41906 -43037 71519 -11034 3451 
+-92757 -126669 -30312 152540 -11030 214 -64445 10234 -70364 -29534 1850 -50646 53367 -50239 -294289 2210 115165 -207907 67094 35246 89806 119966 24400 -87208 14615 -69747 -74945 -11537 7975 163598 -21344 102838 -9857 -60784 94169 44940 -47176 -32620 -90347 -57454 -5888 -50285 -69514 84653 1787 10539 20465 -31642 -207408 -37448 -38616 30845 -19722 -40597 70876 -120243 -122680 -16902 35940 7082 8976 40144 -124548 25022 25232 -8197 69332 -113314 -83604 -45933 111728 -97330 56430 -31653 66969 72705 42544 18242 32208 -77534 -144699 -80457 21979 -32827 78726 -61447 -15581 -49070 -34931 -1104 -32997 80585 53726 6065 41335 114421 -100769 186012 -60877 107815 -4443 -47225 52440 -1489 71961 214068 -109961 96708 -249222 128291 -103300 86991 -202973 201899 -34362 -99952 -43104 17564 5001 -75277 -70155 69488 23639 277622 46615 -54638 -98826 -131301 -51932 -116992 -98434 48312 114045 -6746 75561 -58150 -14270 -35213 5766 85087 31486 119958 -41897 14357 25201 217653 -217399 -194010 176094 -52166 67326 -79493 68197 -51756 72907 -62722 110601 -79008 69826 36719 -694 -143298 165883 -118769 -130308 91632 70977 -14895 -13158 59573 -218623 63017 129471 36690 259570 -28215 -20190 -206717 21398 -88729 -3273 122002 -18286 79357 -94171 7497 -130845 124138 12023 -12783 154751 -4591 -74527 3131 30101 -36841 -102112 -38188 59580 4254 -47898 8401 88067 57715 20906 108991 -120249 -63851 -25619 -35996 29667 -193053 -103529 -42326 59476 -90706 19451 151579 23923 220747 121590 -127616 -37958 83177 116870 66501 -9197 -39784 -19311 65270 -198194 29225 131757 61947 -3219 -126338 5260 -295702 -34950 -48137 -52945 -86415 93245 -37843 
+-98730 -154915 -17166 61313 2191 -30440 97495 55022 -253138 -15600 -178 -58801 48439 58427 -286524 52156 76123 -228605 66574 22382 81501 106660 -3067 -115105 12164 -64658 -74550 -17214 33473 240581 -97271 32085 -26720 -89729 74130 -81054 -53429 -24713 -44206 -34308 -120335 -14664 -23653 131247 16670 32294 47177 -33223 -185639 -37482 -37465 173280 92193 -55344 30564 -64759 3546 -23929 40726 26876 23331 56209 -189370 105836 16092 44210 -2554 51662 -69192 119759 101778 -130836 200911 29329 56484 -138711 104759 75792 53314 -12940 -96172 -111881 130291 -45960 54473 -225291 79571 -58751 -20184 30876 8371 77619 65227 -32051 71074 92117 -117838 104629 -94145 3930 532 -39696 16663 -25379 33937 190469 -103871 118114 -151304 193992 -114225 60563 -16560 208559 -13931 -64646 -45660 9022 123800 468 -99758 61504 24052 271229 148461 -88597 -125418 -116462 -119608 -145258 -70678 58420 135759 15780 69674 -73857 61052 -45006 -30427 58418 34094 23209 -59007 12079 49661 213742 -99862 -139009 185987 -47020 38578 -83130 74319 -149729 72687 -17573 107491 -80180 141032 -1730 -43396 -162390 95448 -202867 -84607 -10101 123048 -17852 -85980 105444 -229114 92808 54639 -36214 255347 7449 -21534 -218361 -3451 -120442 -57794 113331 -23944 -79723 -112161 -123 -50936 115746 39020 -23340 172115 -2740 -40017 11597 78784 -43182 -28090 -56866 32020 -32488 -83063 6769 59640 -4756 158367 149499 -70343 -170690 -44880 -44113 140388 -123757 -124227 -73259 25488 -84960 14978 88451 12105 217175 97616 -90237 23461 -65541 135311 95204 46877 117160 -23146 75946 -60278 46937 171830 68987 -69968 -120252 -42942 -290797 -3008 -37005 -102110 -118611 116269 -32521 
+-93430 -89535 -40107 -51918 -120207 -34270 118945 95047 -239494 3511 19527 -60425 45430 153837 -260553 85000 46323 -215470 85918 30027 78379 123787 -39632 -79723 11968 -19739 -4527 -25197 104622 249679 -182977 -77329 -10416 -97070 66488 -124394 -74400 -6226 12214 -9774 -208148 -52818 64541 180100 -52883 31263 -85120 -41100 -173134 -5119 -67525 175343 151839 -61567 -7141 -539 86827 -40158 39319 20335 29657 55263 -112073 140971 18556 93046 27215 59249 -75288 224438 50468 -138285 229135 154844 61235 -184921 120712 134716 85164 67554 -55268 -105398 176320 -50341 9541 -222998 79255 -1479 5384 -2837 17060 75059 73661 -79439 49800 73938 -81920 -21042 -123360 -110388 -10354 -86813 44741 36751 -9434 177353 -95932 27024 35966 188106 -81579 55075 123901 177859 -7976 -117354 -37696 -21872 121740 144515 -64488 54291 -10142 251833 117415 -50492 -149526 -115166 -129804 -103782 -44536 52901 147001 5131 63515 -77086 181093 -50207 20557 47438 36530 -40443 -103211 -10902 74626 190033 91212 90138 127440 -67947 -45181 -50772 85947 -214881 92093 16006 81998 -110013 55385 25584 -77636 -169515 8197 -205728 -98565 -52661 149596 1736 -148250 128680 -223046 69461 -88086 -120249 260360 -11716 -16989 -201344 -31111 -161449 -20580 87108 65329 -208783 -99238 -1226 -26868 16313 26073 -25396 32990 11864 -27430 19095 114132 -67412 32112 -88659 34895 -43365 -102467 -13333 20812 -81935 248506 134307 -34284 -121125 -89322 -9400 147184 -34273 -99216 -60778 -15181 -69057 36775 27245 19431 191124 -74248 -54586 56391 -120539 124354 101199 18236 218947 -22911 88316 -30073 53136 164771 83644 -153752 -80816 -25742 -279055 3882 -43458 -119810 -51396 56983 8033 
+-94917 -19810 -8845 -59243 -162870 1912 -62302 92865 -87559 -5658 42232 -58483 48215 176726 -225017 81030 -16896 -206848 52611 34525 46563 87520 -42874 -82180 10347 -18324 57823 -18265 122487 192872 -229419 -41110 -46896 -124021 74193 -20296 -85059 -9196 32756 -41187 -151395 -61595 138831 174230 -101247 8874 -172563 -11764 -135293 21131 34786 71810 216128 -69562 -63402 11850 62661 -29415 39914 -17009 50211 41478 21137 116662 34220 5765 112083 -112070 -64277 170817 19871 -104879 167366 205208 80052 -32673 152327 136314 114264 109608 -10887 -67492 138886 -27557 2774 -66609 55035 -38932 25239 -34824 12448 75643 79920 -116718 -34246 101586 -87939 -92535 -94884 -237785 -51911 -131045 41273 113560 -47300 132779 -86220 -93642 122847 124963 -52130 47989 20880 132633 -25693 -127409 -34637 -65677 -5557 233899 -51718 -9827 5746 183951 -48226 -23494 -167399 -122042 -127360 9720 42911 59337 146659 5259 63153 -60313 245749 10234 3186 17956 36940 -41216 -56443 -69101 87441 126435 97449 175718 -27096 -112425 -97030 -34786 77677 -80189 63600 -17349 37948 -57880 69972 94164 -96264 -189864 -3433 -133353 -86078 -33611 117807 -16024 -165899 125786 -199453 9334 -171378 -97182 187290 14384 -15988 -138450 -34394 -185637 22548 106167 167188 -134533 -125858 -16098 17766 -4803 42365 -12140 -76212 -4558 11395 -943 129857 -66486 35384 -107485 27661 -32838 -90607 -17455 6762 -124263 175097 119888 -17597 -25075 -117918 29322 48688 75476 -38021 -27718 -55173 -52820 32188 -17729 6031 117206 -192576 -29876 114889 -34362 120041 118812 -60644 232155 -35352 100537 -61800 46636 195344 66158 -83444 -51603 45756 -217323 26025 -45117 -102876 60032 -38259 2197 
+-94233 -28121 -18928 63625 -151708 -5523 -171852 144059 49727 -5029 31482 -42882 49734 136865 -171312 86398 -35064 -174906 34444 35483 9792 84979 -42454 -106453 12425 35356 108794 -4300 157647 78487 -231351 -11174 -37552 -148066 58186 103193 -92318 -24439 66539 21493 -31733 -121892 186754 157409 -112248 -4272 -150648 -9080 -22013 44571 74526 -67077 208188 -59835 -147113 -1110 -31593 -9571 40485 -45037 58363 18485 139861 57034 54175 -7540 172988 -226542 -61458 4768 382 -49887 34931 150350 81146 115214 111464 89518 129096 156079 17194 -32577 40142 -7816 47290 83436 -28160 -25759 41183 -26623 -35251 74758 85328 -161700 -108923 79363 -80598 -52856 -105651 -263017 12013 -141825 24601 135875 -69588 80340 -75040 -166182 20278 39282 -20978 59911 -159189 81155 -23895 -112703 -38716 -115398 -81008 180374 21310 -59950 10682 178924 -89328 -41474 -180736 -86027 -75539 109716 76503 52369 135808 -13393 76261 -45795 229454 47228 -35242 41541 34963 6780 -37181 -163226 86041 71357 -73813 43401 -50291 -143689 -178147 11597 70009 93610 86257 -63055 -7933 -105558 51469 170265 -77913 -204856 61139 -10305 -49342 73549 74923 26517 -149108 98301 -175993 -47220 -163736 -10094 185759 10432 -18821 -47112 -53382 -180970 5846 120066 180266 25523 -129160 29384 -15082 -40894 22542 14025 12468 -2655 63194 16268 110793 -53537 -33219 -114275 30162 6766 -99597 -52707 -16920 -187692 60000 65905 55646 56862 -135316 103466 -20206 139183 23456 -19879 -90018 -59536 16570 -46495 10982 43057 -158800 -40114 137455 12642 108359 122888 -103407 113989 -41707 107528 -143150 42773 169385 68955 -8398 -54865 70409 -195969 51618 -56139 -76999 87975 -108539 32953 
+-68013 -113681 -14207 185505 -76935 -22298 -92367 139451 97563 4698 12558 -39065 55221 40553 -89103 72955 -19438 -125961 30960 46196 -20230 37547 -32824 -65461 13554 48704 36584 -5912 140557 -31962 -194663 83131 -20576 -172916 86761 186778 -105589 6935 57988 -5983 38432 -139609 175357 107738 -45636 9845 -20151 23403 40723 53940 133178 -71760 188800 -54602 -171351 -73441 -160227 -29135 38555 -37020 46685 52487 134171 -4381 69632 4433 103083 -151334 -75379 -61991 -1662 6573 -98745 23959 65020 54311 107681 22416 98040 170111 44922 -4011 -48974 10220 66655 53690 -114869 -10448 21879 -7898 -46088 75036 88134 -183167 -135591 74038 -21015 66099 -67199 -205697 -13573 -121437 35025 84442 -72389 23008 -61992 -121350 -168524 -23546 -4704 56627 -187429 21951 -28269 -95716 -27940 -170635 -11106 51206 118482 -78301 21156 128703 -322 -50899 -189940 -83962 -47159 105455 125103 62564 114107 -13441 28715 -59573 122686 56140 3712 63970 32185 101530 7620 -175837 71644 8749 -214482 -130543 -19270 -130307 -198847 -11427 54911 120865 79813 -62694 -40461 -84479 31318 172307 -35310 -239240 154007 13190 -42947 131732 -2621 23008 -85782 60481 -127354 -126122 -85397 56167 218217 5004 -21984 23819 -59572 -132396 5030 111947 177274 107472 -135339 48706 -63505 20810 51506 36550 119583 -12508 81449 31948 68426 -53539 -121908 -115038 78728 47113 -54030 -108679 -43844 -196306 -25885 34349 74281 -13987 -117333 123095 -153706 159036 73253 -42238 -113123 -77844 26468 -53258 33599 -28414 -5802 -57464 163528 160546 74932 105507 -73038 -53838 -51328 105514 -164319 11873 178200 95352 51337 -82677 11699 -124509 66838 -38650 -57099 -76635 -70673 41316 
+-79958 -150363 -19125 143898 3799 980 99384 132911 -65776 16492 -11706 -40654 58284 -63384 -17800 35704 12522 -77535 20357 31611 -63362 10230 9035 -117626 12496 71226 -67138 -42311 147542 -91610 -144933 112400 -15433 -189952 82504 121574 -92388 21238 46213 -16250 -52228 -161830 108932 46985 16242 31861 34338 15986 68451 72679 141419 101313 105573 -48857 -235295 -122205 -215541 -34395 41964 -4952 34339 43079 7148 41434 74555 23030 -8711 26376 -81213 67072 34038 43198 -156970 -24770 47343 -126665 95417 -37362 63254 180745 69463 27611 -76204 21492 89819 -119020 -131329 -38083 -18372 11366 -42754 73471 94804 -190379 -94651 71054 -14843 171084 -36751 -96550 -13324 -63683 52569 -5949 -55053 -15551 -47602 -4147 -247334 -37321 78949 64605 -19198 -35825 6178 -89403 -30988 -193699 114716 -64432 208523 -137953 10382 81755 133805 -21225 -192565 -51294 -81126 72203 111892 50539 83833 -31734 73678 -67192 23960 38346 -13474 100724 30875 186113 54868 -150880 46708 -54700 -161604 -186363 98577 -147329 -161582 31044 29141 -37989 86756 -53404 -47730 -74384 7417 117100 10572 -202004 169821 2064 -5527 45062 -89210 34861 -3983 36712 -86715 -145691 45869 33460 170943 -16835 -15637 58306 -76971 -54701 -11812 71714 117665 14965 -148316 36392 -99133 104079 61465 53718 175033 -17723 99316 40348 28049 -73586 -130448 -134689 88644 107127 -14691 -113610 -74336 -173669 -9545 15447 118322 -143382 -143671 114756 -154722 118700 75517 -28520 -121941 -89213 39944 -28527 402 -85880 124251 -95287 117535 167785 97963 66384 8727 -103865 -50425 98718 -124472 25276 145923 119499 -45486 -116340 -54435 -92904 59413 -39780 -60361 -97627 37067 40997 
+-92443 -116761 7422 35645 1365 34713 118964 135601 -243453 -470 -3208 -51030 55817 -158635 51803 -7669 86724 -23774 27283 12285 -85229 -30475 30649 -102689 4263 91055 -88757 -13972 73818 -80112 -60005 45236 -11798 -198777 63570 -18059 -94303 38039 -13978 -25697 -171644 -128299 23535 -1716 375 31687 -15344 47137 120060 107295 102644 201703 -891 -49086 -259266 -121215 -164636 -31940 47317 23990 17678 11816 -135347 110010 64099 79451 -17845 68753 -90795 229060 98576 49946 -114243 31250 39889 -181194 72914 -97877 19821 127129 79248 44426 -14627 15706 60479 -246322 -71286 -34796 -31546 -9239 33005 76530 98214 -171085 5332 47556 27534 178734 -11511 24727 33626 -42819 44136 -33481 -18529 -55535 -32111 105007 -141835 31822 67753 56267 98709 -81094 -606 -106838 -23360 -193660 132351 -6299 260039 -183878 23955 33040 142424 -9979 -191293 -63942 -148274 -20018 70260 66881 46546 -32752 64318 -40227 -67258 -3485 -19283 147923 31950 231171 133182 -87536 21446 -92244 37514 15521 209589 -96565 -108228 21403 6906 -166591 83680 -66123 -59394 -49900 27833 28305 44638 -239011 100248 -77712 -22511 -40790 -173005 61037 72824 45353 -32826 -126252 135748 -73439 138137 -31431 -19548 111106 -84939 -13102 29785 112598 35334 -158536 -140416 17001 -147437 142059 37916 67225 116133 -12435 42162 58627 13188 -58620 -108058 -167110 70431 157669 24967 -120922 -81081 -149243 126434 -482 172669 -187761 -114126 46386 -126438 22544 55633 -42288 -114704 -87042 17361 13872 -16844 -102663 87547 -135769 112425 119549 87305 48725 57154 -54292 -45226 86452 -10147 15215 140747 88450 -148427 -114657 -31797 -29221 50971 -37159 -119550 -24213 98776 41472 
+-99529 -15708 -37863 -57708 -88276 63858 -46435 110515 -264717 -5323 27677 -62024 54732 -191511 96463 -48925 131203 22360 31259 15120 -130646 -51219 47856 -79037 8704 98010 5498 9030 70274 -7324 18930 -27440 -33768 -204552 85896 -132619 -79078 12670 -66197 -61052 -202417 -92374 -34616 8767 -52596 9503 -154792 68343 87821 130775 102567 161780 -59465 -49742 -220604 -81345 -45876 -27638 51505 30790 9374 32749 -175659 134721 45811 53961 81402 -73130 -101034 163020 97795 12757 25020 157485 70258 -27103 71852 -93174 -23844 69127 79960 16841 86125 5312 32895 -168494 38252 -71336 -9515 -10809 30004 78117 110349 -131428 82080 72018 18193 83256 -19889 103367 4366 -56289 70025 34727 24792 -78280 -15868 117249 43466 112237 68562 81891 53431 -114215 -6528 -120766 -22020 -169338 4069 117791 271289 -195414 -10359 10542 -4947 2640 -178101 -34440 -127407 -93624 8358 61390 4867 -49979 51999 -51258 -68015 -43764 12664 159484 34729 217364 130031 -22268 4698 -112747 121584 181230 209759 -64892 -17043 -2475 -19670 -200157 92431 -54562 -11867 -80736 33421 -19224 53822 -259272 10711 -206520 -2943 -60750 -220447 54716 126578 61455 -4922 -108484 104166 -130727 112220 -39683 -24034 63877 -70339 20018 41373 104392 -59625 -189472 -140290 -14002 -86224 68668 56523 62993 -27363 1065 -1744 67510 36452 -46913 -70219 -176024 83416 196264 63782 -125179 -108537 -72320 213428 23278 181007 -75053 -101662 -11982 -37646 -81391 -12214 -41244 -92581 -68178 25112 72286 22418 -81274 -73429 -164025 76011 -7028 62614 45215 15371 107960 -58205 72466 -30087 -2388 102633 78639 -68260 -110246 42374 18713 22130 -26633 -101979 42048 96421 105211 
+-100877 -27247 -29177 -49317 -166053 47274 -163581 93373 -94074 22755 42190 -57163 49380 -154573 90285 -116084 133317 52753 50939 57047 -168057 -88017 29934 -113834 2266 101548 77986 10206 35386 101915 70058 -63736 -44491 -209493 73544 -70435 -58742 16095 -104348 -20111 -103318 -75374 -86785 44978 -112330 -4262 -180393 34009 22001 132440 138371 26614 -141473 -16228 -176282 9664 56231 -3191 53767 -6336 16871 22769 -98434 105859 27351 11287 171469 -211828 -101912 -24432 108398 -38481 155975 212799 75012 91316 16144 -34962 -28252 12787 56545 -33005 168708 -29546 8078 6809 93213 -72698 -5993 -23426 -10087 81579 126984 -108971 82650 48326 41134 -39994 -51048 102267 -22854 -96941 58454 101222 64482 -103462 1559 16386 123068 184343 101622 85734 -165494 -124825 -10850 -109379 -25419 -133214 -80851 215398 269804 -229991 30270 -58641 -100113 -1340 -162518 -15475 -101364 -140673 -78699 59143 -38307 -73859 45778 -48515 16692 -70086 850 168320 36795 140985 179484 35954 2404 -111180 2893 117885 86818 -30604 40378 -420 -32719 -84622 103650 -20327 7675 -57387 69801 9465 36880 -245905 -5342 -200387 -5403 61970 -262522 53725 139870 105559 36179 -51654 40229 -83891 123056 -43328 -22297 6415 -66851 11415 44691 110707 -79044 -61724 -138936 3326 -69812 -38933 65990 54846 -49261 -7191 -20567 97457 70106 -55934 45056 -179380 74306 233603 128546 -124173 -102877 32436 202417 24733 175047 55646 -63214 -44425 80630 -158752 -70601 -61199 -58891 -56459 27489 135262 23237 -31508 -192190 -162720 248 -101488 42987 60504 -90376 226767 -58829 63893 -71776 10384 51112 97917 -5077 -58603 80268 34562 -32629 -29533 -107291 42926 14634 65562 
+-81332 -95595 -10268 75357 -162370 72613 -81791 74906 78446 20693 9324 -54921 47418 -61019 118005 -160030 77771 64730 62272 58011 -189742 -126635 -440 -110985 4398 89629 92276 -12143 -44950 213434 106801 -27697 -32240 -217047 49697 99737 -50544 27194 -133143 23254 14656 -27545 -65088 103794 -88315 9176 -87622 37414 -7221 125297 53662 -91068 -181545 -46872 -121254 34651 81196 65 58688 -38244 31554 27994 39576 49939 17278 -9585 121167 -174081 -116054 -88763 156499 -97418 213708 148081 80621 98166 68537 24485 -61720 -73252 45157 -96971 156616 -43328 39177 88358 73062 -104169 34104 -2470 -63052 84349 126774 -74476 6792 51120 26030 -94024 -89679 16481 -19755 -153580 75957 131526 91796 -93698 19492 -112258 11549 194363 57908 71125 -187083 -122920 -24683 -100231 -22232 -84737 -13643 201516 212261 -212971 33748 -65983 -11046 -23763 -141819 -43045 -53516 -119036 -111934 48053 -79282 -75548 53042 -49396 142320 -26808 -24765 128637 36606 46640 203577 -193 15432 -85007 -188224 -111519 -75386 -21731 59984 22914 -41128 82691 109811 5099 68472 -97624 77768 66576 -6202 -259168 61902 -165088 20144 108483 -233927 96100 117315 133707 56009 39158 -100506 8595 129508 -1481 -15354 -72093 -63154 21208 8024 103355 -83825 89950 -152720 14051 -7755 -89273 76205 29344 55573 -13405 -71637 94403 119919 -33627 40752 -194506 79033 229101 149152 -113242 -92288 88755 89443 57532 199321 38466 -50268 -64483 109977 -246780 -108914 -76873 -18555 -58916 13984 191901 3277 39589 -161673 -138653 -37906 -94150 36817 88593 -105346 277015 -45952 61412 -168516 -12409 54412 102499 2957 -48874 28099 59418 -29914 -47762 -50864 -56709 -76741 59332 
+-99218 -156585 -3352 187102 -70368 78067 79492 30665 81301 18104 -18056 -44222 48800 60838 72584 -208756 58288 64181 16634 50466 -188616 -148268 -8728 -123369 -1448 80819 19900 3172 -86268 255556 117468 65068 -16744 -203279 92948 161840 -47388 23927 -72683 -16990 4690 -40667 -4181 167432 -11693 31457 47246 1841 -90136 140684 14437 -45999 -142213 -59628 -40300 -12908 13181 25045 66094 -42378 52409 70557 145831 10072 17951 24340 36130 -9036 -116895 61222 125752 -133175 209970 30429 59997 -71409 79656 79715 -56987 -133224 9012 -106433 82085 -52606 39376 -18002 631 -101605 34542 -2898 -42783 83446 125442 1567 -88619 46213 -25162 -35933 -123000 -107957 -29232 -148608 65265 88749 99291 -88804 38065 -166511 -173914 148446 4515 85007 -952 -92406 -25309 -99722 -15197 -35824 101206 51271 142063 -221041 -2598 -90067 133051 -45147 -125297 -63837 -57642 -15670 -78780 51791 -115976 -113495 79748 -48456 225216 21321 -22251 142290 34295 -27166 194111 -53955 39731 -46950 -202421 -219224 -85891 -62283 24571 17588 -37225 107722 100691 -9790 93395 -87062 93217 141359 -44053 -227848 150369 -75874 -20073 76197 -182185 96479 61514 127408 60224 65250 -207485 58651 58383 16744 -21720 -146446 -45500 -63815 6457 122690 453 72405 -135630 41846 -12983 -43159 94821 3186 172112 -13447 -97219 103780 132652 -43618 -19747 -174989 100076 213612 189496 -99227 -69909 129537 -18082 107067 199195 -64267 -3461 823 139447 -190962 -134487 -31731 21682 -74640 15172 233952 9685 119061 -9245 -111454 -89785 5103 20263 136579 -36609 143946 -52533 67491 -187324 -9676 40800 50708 -1330 -65062 -54868 102375 -50942 -25908 -37058 -130886 -95037 75155 
+-72634 -120819 -11004 171069 4771 58882 111434 7224 -73213 -2871 6511 -41591 49522 146937 39489 -223972 2102 55528 50159 21207 -191883 -176554 -36607 -97319 4129 57342 -60596 46046 -108881 242459 75044 86812 1035 -209075 94935 160379 -51171 23603 -80688 -11945 -113958 -51584 73062 200018 15666 32108 18245 -19480 -170209 150298 -1565 123818 -73117 -34830 4972 -84605 -105329 -8521 73442 -13120 60795 27810 103721 40665 34815 63253 -37231 79622 -128245 199353 76298 -135326 81263 -35522 47219 -166726 112754 126150 -29568 -154977 -28559 -83539 -26749 -49985 60788 -200961 -92957 -121463 16646 21338 -1027 82021 130429 54590 -130384 31433 -61027 88187 -124640 -213561 -3546 -89125 70767 3462 85342 -64474 56703 -109490 -245537 57098 5574 94785 140647 -48588 -17160 -109018 -14323 5655 125062 -47338 46855 -193010 -11173 -92776 157162 -26157 -101790 -99769 -122111 75125 -5342 54714 -145793 -120475 8306 -18499 246347 51277 -33239 79088 31625 -51812 150108 -158812 64452 14279 -42682 -59392 -19242 -96503 -25940 9657 -23391 -41754 107478 -45130 103581 -105663 107198 204577 -86052 -251897 171348 11210 -5820 -48311 -125174 70149 -30193 92935 61361 113870 -165515 -18049 43372 -21754 -21165 -210736 -31764 -124296 -31373 133629 100642 -91917 -133412 35584 -16708 54621 82338 -16266 142354 -11842 -77344 108465 115396 -51814 -83270 -169301 140469 162791 219509 -71275 -56606 123245 -25035 131955 166141 -171495 19472 51824 14836 -66283 -67208 -22349 56270 -91290 -2128 253810 16994 180308 115094 -69612 -82918 127755 11769 148973 36420 -9921 -54740 78586 -64398 -3252 -32641 94907 -126706 -102170 -44477 92612 -32168 -1069 -74468 -105917 -35848 89961 
+-78283 -36297 -14737 66747 -7931 53868 -35661 -4488 -244657 30083 3111 -45222 53793 179164 -60883 -234637 -45726 25723 63251 10193 -205881 -158021 -39909 -82144 3824 34125 -79018 52682 -124888 146038 44424 67156 -30713 -182430 66524 40491 -55044 -3434 5189 -22770 -195575 -70475 150198 183532 3189 10203 -113604 -7199 -211764 128175 -35347 178469 7861 -64171 47431 -126771 -194643 -38911 80519 23528 60127 39889 -19138 123832 54213 74507 40425 -26202 -124719 195202 77717 -106083 -63866 28959 61774 -108696 76594 114464 -3169 -179709 -71704 -59032 -80442 -27465 69799 -250772 -137909 -109572 -17831 6581 27626 82766 141270 52988 -95960 44152 -83993 180709 -98178 -268582 -11313 -57966 78770 -22911 53092 -28230 75474 14315 -133352 -21345 -80727 55805 -10345 12944 -13114 -63670 -18942 18963 3661 -25316 -10283 -158032 11296 -86777 11587 -76045 -74948 -90955 -151867 105460 70866 55239 -166536 -148122 31756 -19956 184269 41485 13252 69674 30904 -9709 75532 -188420 83438 75395 111246 156652 131127 -98394 -99419 -15914 -3867 -175985 107870 -45149 84843 -56300 131645 144811 -91346 -243349 108995 3535 -31852 -45586 -29322 110364 -108069 49532 29366 85278 -44904 -113271 42544 4934 -19337 -204821 -6206 -154905 -11828 91015 158704 -208688 -142196 -7078 -87488 140966 71833 -24221 13952 -12571 -39803 125377 62983 -45338 -134905 -224064 67363 120997 241355 -51112 -21628 165832 93303 111826 141684 -141623 36655 87188 -71044 76639 -29056 -26073 80008 -87471 10044 240066 11264 220032 99488 -44638 -82460 184314 -13373 123764 24074 -133994 -42959 91166 -6792 -11677 -59817 69887 -128881 -133395 19422 77158 -9516 -30585 -75487 64011 70774 99885 
+-98727 -17205 -16673 -47093 -74833 42467 -168670 -21298 -253589 -10275 6516 -56059 56896 141033 -85975 -234954 -14514 -19664 33880 24410 -174739 -167170 -35073 -127712 328 -8636 -24866 34625 -120018 34206 -46756 14021 -65038 -168077 77341 -133156 -65961 34071 46664 -41432 -172270 -133045 187041 138225 -65455 -4257 -206326 -27803 -256404 98106 -13388 105265 96736 -59653 69480 -133139 -199539 11357 83034 38247 36458 48224 -152594 125071 70163 8495 158952 -204335 -126810 -37966 34698 -49333 -151797 153246 64465 70577 130005 75471 28269 -164295 -107638 -36239 -38817 -3758 80043 -103329 -137423 -144782 -33687 21778 14000 82873 148603 81578 -30240 18093 -109872 168693 -67781 -227982 20547 -54441 78169 17350 11058 11224 94022 108090 51946 -32252 -71456 45054 -200845 73511 -10181 -59807 -20463 11761 -78789 117551 -60588 -129893 19682 -79476 -101031 -50667 -53152 -120757 -98692 87498 131135 63161 -176566 -174288 61055 -50993 81416 23325 23555 15603 32589 79750 58231 -149690 88702 133331 70972 169786 159217 -151458 -185340 -20307 19822 -191233 111365 -83697 62639 -108586 120964 75974 -77976 -229548 16804 -94768 -68465 2978 47538 138996 -156163 35733 -1913 51731 78391 -106498 -12038 -15072 -12196 -184850 6554 -158556 25087 95832 210611 -134892 -135341 -12001 -113240 106148 80148 -21013 -49468 5559 -33882 107898 17238 -21855 -133740 -190431 48808 70448 246281 -3368 -2269 95164 216262 132831 109133 -6227 83090 121914 -192816 144076 31448 -9665 89567 -72404 60960 206254 20323 218305 -49457 -36054 -51232 142135 -3401 85522 -24000 -79216 -34840 103165 -109097 690 -70659 77716 -106760 -110240 60657 59859 13039 -44004 -106191 75219 109985 63328 
+-99893 -82935 -56867 -61242 -183506 28033 -103816 885 -88168 16775 32721 -61891 57440 53611 -184786 -205031 26300 -63087 -22075 45301 -143990 -198019 -18112 -85718 1412 -44486 72961 17357 -93262 -66228 -135435 -48577 -21502 -148142 89129 -127925 -80761 36250 81668 -41850 -21499 -155248 152766 74604 -125731 8504 -133279 -19042 -212037 76705 -61664 -24901 180528 -64517 34739 -56769 -87604 9106 89904 6374 18211 11295 -179828 103157 74082 -31898 129798 -206917 -123002 -43873 -10919 12053 -135660 213472 84676 112513 123539 10817 65627 -125849 -143710 -10361 51194 19102 33647 65225 -33374 -133564 -35764 -370 6308 78402 138692 96706 61266 29801 -71246 61182 -35525 -115448 -16750 -79199 58743 100781 -31432 56157 112212 111613 121432 13007 -84346 46524 -191899 123854 -10101 -64420 -21392 -17273 -18430 221520 -114972 -121768 -3387 -53433 -32762 -35949 -30133 -123489 -72119 14954 114882 61279 -175549 -152232 69287 -42079 -15660 -25014 14505 18419 35327 171559 -13328 -80939 78566 180480 -126693 -54557 142070 -144963 -208819 8621 48993 -64729 115102 -83421 18992 -62403 90628 -1485 -36032 -206605 -10148 -166545 -55488 104023 113076 83655 -161586 39731 -28345 -6514 134689 -33652 -764 -44343 -20276 -118084 29840 -169615 -8053 123686 208661 44356 -127915 -1269 -131114 31741 74234 -11068 -8477 9878 15746 117628 7914 -23649 -75743 -190131 75530 18357 239786 2913 49029 43479 234218 112356 55393 58199 110115 107130 -169057 172383 82181 -31309 82243 -54789 10843 157738 26904 188869 -192809 -40822 -31189 51685 -17332 79806 -94232 57578 -38337 108333 -152309 3963 -93830 99872 26486 -79565 21209 8993 17151 -36342 -50993 -50934 68850 78510 
+-85810 -165730 -57571 57967 -135774 47275 75284 15438 86983 -18207 477 -58781 57159 -47754 -227173 -165310 78360 -130592 -3566 56327 -108878 -156774 15993 -114390 -384 -48284 99861 54103 -44101 -100609 -184324 -9930 -48714 -120426 85903 39578 -91873 19568 74303 -33978 25749 -136732 81616 37077 -116761 31019 -2716 -42312 -162129 63935 -24095 -96688 231474 -68426 -17755 -2154 28917 -7109 98764 -27308 9568 44976 -95136 54816 63650 -18614 57265 -40483 -119754 46193 1245 45220 -23055 151832 78511 -54853 106953 -50185 89780 -49845 -176915 44852 145072 20123 20697 61237 33803 -130362 10048 21620 -39639 77109 149444 66866 94281 26587 -75848 -56537 -24289 18868 -41558 -138161 59664 137923 -61799 108854 129592 -3186 1534 92454 -144375 54968 12401 172832 -2548 -72429 -23172 -63192 102632 216694 -74975 -79530 -1412 -31977 111879 -58353 -8623 -118403 -78239 -78527 97728 49863 -163301 -166355 45614 -12271 -61899 -59130 843 79686 37068 225657 -46857 -9772 56818 212024 -221974 -209055 20742 -104712 -159233 -37136 66377 86419 106219 -99874 -38548 -84991 54171 7461 10548 -220148 52398 -227660 -107465 78486 137920 67723 -136192 70281 -93342 -82005 57222 48667 2839 -5718 -16496 -39569 59582 -165437 -3070 96991 129055 114883 -111785 19688 -99980 -44583 50992 11823 159644 -5011 69123 120352 42409 -20601 -8883 -180115 62580 -29075 238754 -3620 43993 -12377 118992 93833 17652 -994 107324 42633 -119719 141980 80002 -32252 60425 -58121 70626 93042 18250 118151 -157859 -81329 27963 -80288 -36809 39997 -80929 208013 -19427 105328 -190093 -5189 -83159 88257 26379 -50312 -36986 -45908 36504 -34500 -32605 -144613 -30412 50650 
+-110349 -140667 -8155 168570 -62481 7157 137698 2950 70291 36757 -17302 -48164 51640 -155317 -288658 -105160 125296 -166768 -8990 54844 -69007 -135084 50016 -119046 -1100 -74738 6031 40871 2312 -67890 -207293 64194 -6351 -101397 77786 164548 -89216 17532 45163 -22054 -48778 -141486 -2437 12122 -27086 32491 53150 -23879 -128873 31427 841 10694 209979 -8699 -90694 26046 85202 14503 106418 -48551 24445 63551 61075 12325 46512 50295 -11803 83988 -112409 190687 43484 45460 118562 27557 74066 -182567 127995 -97483 134480 10960 -209034 28069 179159 19767 27150 -110684 77860 -152642 19613 16493 -54427 76285 155169 35004 46729 -2524 -52225 -91764 -40033 96608 -35254 -161305 55807 85938 -74557 144871 146165 -121650 -181868 167712 -159939 46393 88652 199365 -19517 -50895 -24897 -110350 127387 93747 -50806 -4689 1943 6708 133839 -14979 3213 -144381 -96495 -129303 43557 57374 -140929 -178705 36951 -42249 -4098 -42758 -16091 90186 36187 226827 -62728 40998 31070 220093 -117294 -129457 -100231 -83047 -76484 -66405 77889 98023 104996 -72444 -56305 -83312 44117 51104 41647 -201993 143655 -184102 -106990 -6222 134715 102021 -65693 114057 -128369 -109539 -45072 35755 -49398 -39218 -19499 28244 60448 -77817 -2556 110926 21263 1409 -89181 19218 -54325 -65036 68218 40291 193591 -7376 68894 116918 95598 -18672 55744 -161701 32814 -41240 217733 13185 65325 -104788 -10236 70667 -30391 -153682 133727 -16031 27959 83026 40884 -43931 27076 -76374 35623 32171 26363 44919 -6636 -122341 75039 -82317 -48093 60759 -28671 244692 -16710 94671 -96903 -18371 -79449 104867 -70352 -54151 -36748 -101064 57869 -20567 -48566 -98627 -88309 35145 
+-73994 -60184 -73809 157286 29334 -871 -24461 26073 -14015 16476 1448 -42147 48383 -188932 -256988 -81192 142384 -205880 -21258 20105 -58532 -130117 58192 -77346 -7201 -83343 -82419 20321 66435 38274 -211732 76923 -51011 -78455 56451 182574 -90296 -13129 -12588 -25792 -162065 -106082 -70314 14634 37349 10862 -62906 -31590 -32944 4428 57115 174120 156530 -60400 -152794 -905 60504 -10180 112365 -24558 49351 25933 142020 36583 27527 83179 16267 3763 -104576 171608 61918 15738 227108 -26691 53398 -145793 60947 -83467 114495 86153 -227861 -8014 115403 -2569 33335 -248608 86549 -141279 22181 1117 -3009 73928 167257 17124 -61809 11611 -31441 -16574 -55714 116470 -8260 -123362 57883 9438 -66711 182453 161885 -166816 -246410 207211 -169400 50742 5003 203934 -12756 -49043 -27870 -160034 14248 -41796 44304 14999 29126 57199 40384 47 14927 -142701 -142187 -135291 -27993 64600 -109774 -176541 51310 -46689 57190 -5911 -28792 138526 33576 164015 -101364 11192 9947 208923 74333 108040 -89332 -29301 -32005 -101461 84425 -3207 101968 -6472 -72815 -88182 13836 146251 49420 -188047 174544 -96874 -125783 -74492 91109 62063 18058 132940 -172096 -175500 -157852 -49256 -54047 -24616 -9769 84063 79443 -38566 3603 84441 -60607 -170885 -93547 14771 -24917 36784 82696 58854 96500 -5473 72453 125341 121522 3973 -7082 -179017 66136 -31219 158012 -4148 87441 -151254 -33825 10429 -63775 -177006 127527 -54472 122931 -32369 -13748 -45406 -13039 -90556 57881 -17414 33967 -25801 117164 -147071 118685 -17711 -53453 95163 45780 137148 -2124 82401 -44129 16950 -112401 91307 -136937 -77944 17258 -142403 41303 -51097 -88053 31628 -101789 39836 
+-81239 -9104 -20241 31401 -5656 -21200 -142630 65252 -255971 13030 24234 -38503 47468 -163702 -278616 -21630 84308 -208310 72278 3776 -2373 -88032 -1350 -112239 -1962 -80343 -125993 28587 86552 165696 -194787 52977 -53266 -45040 63170 57354 -93593 -4126 -66938 12736 -208441 -35385 -82176 68038 -9591 -4215 -176418 -18479 67387 -6757 50797 198159 76686 -41142 -204922 -71442 -50037 -23596 113673 10508 54789 27681 95823 100004 15787 53440 134485 -172377 -86170 15017 86008 -41629 232673 35219 55182 7586 93859 -39813 98953 127771 -230769 -27980 11674 -26118 64524 -203932 -8166 -181994 10891 4587 25315 72079 148782 -60856 -124528 -630 -41220 109348 -65579 43344 16885 -77524 64195 -22111 -37644 210036 176216 -95383 -123669 166885 -171943 62198 -177756 191840 -16653 -32663 -28947 -190665 -63403 -39389 110479 47909 11205 80392 -69307 -10514 13145 -135435 -114897 -54646 -75976 56755 -72245 -156096 80962 -53287 193456 36675 -7525 155216 31221 69839 -56842 -75124 1218 170883 101808 198701 47105 -45306 26661 -87333 89601 -176025 108235 24458 -34575 -80772 77150 196119 42310 -173655 111474 -4967 -126081 -3723 13392 86525 92100 124193 -201980 -129133 -176506 -106986 -55005 -8269 -13332 91167 97972 7851 2469 80885 -91453 -192076 -89962 -7651 14040 110844 86322 66569 -34840 1622 28172 94841 124381 -6393 -69840 -112566 71776 -13880 118596 -41202 93706 -194917 56644 33657 -88014 -81177 120942 -48033 168608 -166363 -85823 -30055 -53544 -87322 -17310 -48562 33232 -81579 107376 -162809 154092 116208 -53794 123578 25850 14932 -14879 68878 -9329 4271 -103258 67456 -83145 -113913 59737 -196769 49663 -48556 -117314 47328 8358 57904 
+-74770 -54937 -38403 -50664 -92801 -10659 -115005 85647 -253040 4045 39743 -45422 47593 -73012 -247573 41316 35865 -225714 28969 31955 32150 -42686 518 -101760 -3634 -75203 -19286 12765 146184 248974 -112385 40348 -37690 -29474 79890 -63313 -83569 18727 -123763 3704 -113125 -31586 -47395 126034 -72206 7865 -180224 15127 120038 -25808 112173 86822 -31147 -29057 -248394 -116776 -170558 -9056 125088 37143 63491 -7694 -32500 150625 18494 11070 153758 -229251 -70286 -91753 124554 -98163 118428 157797 74850 105275 79343 30003 65574 166335 -233295 -56299 -63273 -42517 55931 -15967 -120495 -124698 -36646 7468 13858 74734 153817 -81974 -116609 -4211 22429 187123 -122161 -85291 -10835 -35807 36111 19859 4227 218985 189352 29728 58185 88299 -159132 74317 -188698 163565 -7067 -56852 -33169 -192661 -28983 93631 176088 64993 -2470 142103 -42472 -4561 12910 -127529 -69026 29258 -86370 54022 -30278 -147817 58540 -62117 235079 58678 9731 157824 31135 -11486 -37825 -150765 9699 113822 -43604 -7803 181209 -40741 75052 -131340 66836 -225391 112484 -44446 13829 -87636 12804 148693 -2916 -171168 26466 17042 -121211 90350 -62986 60811 142167 88601 -203278 -83761 -124842 -94925 -67058 1422 -20784 69422 97179 16528 18138 87538 -48285 -71707 -69081 -15088 -21885 130400 66908 64603 -19797 22 11222 50394 93945 4102 -136409 -74859 36957 33566 75330 -124430 81106 -201915 178582 35803 -114780 32950 136720 -13702 129765 -196633 -118649 -43856 -88467 -70914 45987 -53988 9152 -91192 -56699 -147840 160883 213861 -28065 132816 -58129 -123234 -2115 62072 -97986 14714 -69094 90748 15147 -128038 29253 -242446 36000 -43783 -79183 9545 84459 14754 
+-92379 -152784 -13379 -56058 -168146 -7027 52425 105507 -105763 -7724 34445 -59455 50324 40224 -151571 66404 -4678 -217225 53468 60075 67450 -20561 -43377 -65506 -5580 -61795 77178 23049 156371 260155 -50560 -60855 -39986 -6819 84595 -108447 -70950 -29539 -131045 -11612 21778 -57654 43374 180550 -124120 30566 -34989 32265 132228 -55772 124189 -73924 -108457 -33162 -264491 -116284 -214422 -18494 122404 20354 47394 48241 -154883 117529 32357 -10662 90103 -76623 -71215 46448 138304 -135832 -10000 212196 66805 -27500 42256 109176 30500 179413 -213391 -92580 -64050 -44449 100776 102428 -141750 -137936 -29896 22709 -28627 72833 163494 -141457 -56731 -3021 28676 155109 -107986 -212273 -15401 -62044 68493 108283 46587 204638 200690 117695 119699 -3285 -155766 98481 16404 105892 -16920 -43933 -34551 -174144 110254 225920 233628 138399 -13853 186165 53968 -11111 6002 -130360 -47817 105996 -77914 56876 12292 -138421 60544 -51210 237819 44045 10839 150438 33222 -53829 52851 -200155 28387 54496 -207805 -188895 223706 -69505 20125 -94467 52953 -84010 110986 -44467 31045 -73080 37631 68726 -45259 -151244 -8652 -26805 -125551 109614 -151128 41127 139925 50298 -226881 -31560 17228 -19435 -63463 22984 -20576 -16269 93333 14788 7932 153856 62483 82917 -57985 50737 -58555 86125 41650 44776 99918 -14334 -38633 86674 37452 26582 -101262 -106438 69830 83970 28919 -97259 83948 -160803 223638 50261 -107070 44766 68374 41695 14410 -159790 -105033 -42400 -112414 -56657 28589 -32441 1801 -80505 -195460 -122716 147247 211167 -93642 141870 -104967 -41880 2948 62059 -181992 17140 -57387 77797 32546 -102553 -33287 -280425 -8458 -56409 -69212 -118117 109635 16550 
+-98183 -132949 17171 75649 -141480 -33540 128952 137447 104586 30737 -14559 -60763 54812 135981 -78657 83011 -33683 -194026 59930 33397 83918 14361 -51016 -105539 -6432 -32781 103887 23073 187249 204547 36307 -40820 -18891 35418 80919 -44779 -56361 -18224 -130285 -37535 11484 -73415 126958 194550 -99865 32862 38337 4876 91192 -30924 122908 -79172 -153474 -53202 -222989 -75694 -158647 18123 128130 -23100 27600 50992 -166562 41078 52441 19223 -10658 70457 -66745 195083 109117 -138776 -118445 149645 70935 -181494 37746 137931 -20106 173689 -177095 -85928 19449 -41653 64892 3637 -108643 -141354 -48511 21715 -49686 76855 155463 -150194 16737 -26648 31290 38881 -123985 -256654 -25483 -95687 33484 137930 80844 185829 210610 97895 -6717 -27250 -109816 75755 141346 52158 -4312 -2510 -34060 -139223 134336 237497 279810 103207 7636 249185 156201 -73879 -10876 -126588 -90542 112798 16864 53894 53176 -126893 73203 -61373 118275 4618 -16325 93479 35890 -28281 104026 -128259 54467 -5551 -170296 -176555 122721 -124383 -37903 -115803 22190 79805 100364 -54898 87304 -90984 110324 -30223 -78501 -122458 49273 -146295 -126857 13661 -215014 43115 105533 32616 -213909 52318 84902 49522 -111944 16167 -16824 -82056 86921 -27787 -9557 128972 130737 67176 -59397 14656 -109298 12305 63475 22343 162583 -3996 -45247 48865 14425 32447 -111523 -49278 17070 144693 -26575 -115099 58117 -124495 162303 86431 -120834 -87023 76432 105452 -89556 -101240 -68739 -19954 -121877 -55769 1881 11623 67864 -34180 -167806 -82991 103761 72389 -70101 132445 -73948 33485 -2355 69664 -154034 31137 -46670 90368 -7824 -59487 -57623 -271845 -28604 -46779 -53466 -93950 43803 -8467 
+-98129 -68443 -23364 178405 -70385 -6069 1778 139159 74683 -1526 -14541 -56003 57028 177983 -42752 97902 -12272 -152733 33905 39786 90119 41037 -60592 -69700 -5568 3537 31962 1627 136315 97848 88475 -2941 -26013 43918 66548 121295 -54528 -11950 -66375 -15180 -120601 -133530 169838 172696 -23492 11603 -21915 50176 24246 -53713 83219 41861 -141030 -34722 -162555 27217 -30301 25395 128829 -47777 22337 44586 -61350 -3119 68617 68550 -17173 34564 -65238 213942 85544 -100567 -146730 27255 74123 -158592 20899 128176 -42302 123471 -165781 -41470 121561 -24203 23469 -172902 -9361 -114202 -6090 8129 -40131 79742 158515 -171380 69491 -31314 -7837 -70397 -76420 -230786 -27718 -147615 41871 100546 98744 170792 218881 -12938 -188635 -14499 -70766 80714 2461 -3035 -711 -12385 -36065 -96156 16952 106397 266206 83613 -4950 253008 70988 -55275 -25585 -100556 -136702 70543 105084 54468 89525 -107873 48517 -52975 1652 -44716 -29305 88604 36985 53481 170698 -83614 77595 -66967 7491 57586 -32999 -151646 -115121 -189020 10553 99944 100160 -51991 111863 -52893 87159 -19074 -94798 -131597 141822 -202020 -117876 -73250 -248177 38882 40123 35220 -196496 77381 117971 18691 -111546 -8467 -22354 -167815 83254 -94697 -591 107602 213630 -92513 -43642 22368 -135550 -75897 30137 1617 102491 -9341 -53230 56553 27674 34225 -29655 472 29864 194652 -56303 -132386 39798 -53130 15818 55684 -83857 -178754 53363 128861 -144869 32760 -4710 -43482 -114929 -74608 69601 70225 41705 41350 -27223 -53065 31634 -32654 -77555 63195 26529 203162 5007 81625 -31212 20641 -4192 78900 -120556 -51725 2067 -309665 -62263 -62897 -47418 15529 -54002 609 
+-93899 1388 -52438 152758 25181 7777 -146207 137269 -41712 -1471 6770 -46424 56928 146174 14478 78004 30831 -105686 53635 17597 90089 73199 -14231 -88539 -6585 17669 -88588 1908 118612 -17953 136301 81645 10729 70959 65035 191972 -57004 -38070 -32078 -64744 -195497 -127465 181945 127583 41038 -4115 -143583 54480 -7477 -43060 90851 161079 -108208 -55652 -97233 44990 59143 -13674 132482 -36820 6197 54364 77661 32559 73575 78540 81554 -146225 -73143 29118 56698 -49775 -68722 -29062 74134 57379 92989 54437 -47314 46793 -113937 -35602 177835 3307 36533 -233905 74105 -109983 19178 45048 -548 81114 154186 -187180 51928 -48792 -12539 -86147 -59709 -135326 4345 -150429 41190 6484 93646 114334 225147 -136415 -244842 71899 -59065 92803 -162868 -61842 -7703 -74722 -43192 -48282 -79735 -32581 227825 61361 55036 285887 -50879 -54729 -49183 -92978 -141647 -32818 134708 54600 118180 -92662 65258 -66287 -40285 -53206 16206 27264 35645 149334 190144 13805 88673 -96392 119809 209175 -86463 -132920 -175538 -191708 -18990 -12199 95607 -55968 116812 -58142 101418 32881 -81540 -72935 173430 -177912 -112293 -16699 -240220 8060 -44372 74984 -160390 95519 32874 -83625 -127762 -8213 -17255 -212465 74832 -122422 20949 91632 208184 -212204 -21030 7775 -126165 -49552 35351 -17324 -12815 -12014 -41757 19174 58312 43895 35025 -2535 30554 212575 -72510 -113003 12224 34399 -30875 161642 -44712 -114283 -10019 107380 -144040 93572 51706 -71915 -93362 -88665 28711 132138 19562 120537 122534 -23789 -17345 -134609 -101474 44525 30543 263837 3590 95253 9188 38776 7243 46673 -104479 -59566 79468 -271943 -46007 -49489 -87345 55242 -102247 -36504 
+-75992 -62406 -11519 69977 -19007 -4123 -110718 134203 -208195 -25129 28814 -41677 54311 63043 117624 48133 71628 -56774 3916 5336 77334 91331 3691 -88587 -5759 46338 -106820 32287 66819 -88950 117284 93435 -52217 82219 73616 124875 -51731 -52163 27120 -24238 -155063 -136225 125064 62490 16184 7197 -210618 63357 -115503 -51253 26266 202856 -52548 -56615 -34566 15965 78435 -35495 132917 9335 18703 40947 157817 99123 66408 5335 157437 -233110 -78149 -91380 6586 7594 76237 32131 47285 126952 114649 15834 -27090 -19097 -56948 -392 136236 17918 49355 -132699 83693 -104835 18587 25028 33948 84410 155676 -157354 -23526 -35214 -48575 4005 -24475 -9243 -12281 -116008 37855 -30021 70022 72898 229358 -164936 -115230 154552 -2304 65062 -186074 -107549 -10425 -3631 -38731 -2484 -15428 -56752 143462 8239 5526 310256 -81151 -17148 -72167 -86516 -94903 -133222 131321 58774 138477 -62531 49823 -63996 -30786 -33911 4887 19257 32949 222521 200303 24234 83847 -114078 24872 82130 -55204 -119890 -180498 -194076 -36461 -163837 93405 -54244 59623 -55575 80534 122233 -40915 -61674 122939 -126230 -125436 89876 -209469 25877 -118956 109350 -129391 62140 -70173 -103282 -122843 -28338 -13881 -229203 46583 -177975 15221 80574 165519 -113164 -21322 -11429 -41266 56529 3980 -25648 -76322 -15752 -25883 26234 92305 48426 14085 26420 55535 231815 -84487 -99670 -23950 104136 30586 159489 -538 -17634 -23746 52460 -54406 179380 83916 -69316 -60444 -88166 7605 189889 12400 190722 102649 -37733 -37022 -64612 -114290 66729 6209 156621 -3009 105250 -43022 40628 48826 46152 -56269 -94602 46073 -243797 -62140 -20938 -122166 5811 -54837 -25933 
+-74628 -120328 -14483 -46260 -94578 23730 49856 122899 -262770 53364 24372 -41097 51146 -51725 80048 11187 118211 -2911 6808 38975 62889 113320 48221 -93833 -8381 66357 -18162 -4450 17245 -84316 68839 36516 -54387 91955 80493 -69426 -70853 -25034 61719 -11317 -19655 -119310 37106 12016 -66082 30110 -99039 80446 -190420 -30656 352 51522 36399 -35720 16812 -63873 6876 -11799 129366 33471 29991 44786 86991 136017 49052 -15452 96363 -124883 -86034 53772 6673 47916 203331 153420 68083 -17147 131198 -67685 -26787 -96510 -30491 27421 48746 16272 40715 46953 31529 -52888 1007 12415 52660 84278 149819 -120183 -103212 -38374 -89259 128976 13614 104299 4159 -45737 24005 9911 31480 26210 231754 -85856 66277 197857 32142 61285 -21271 -125243 -4424 -20616 -44370 15035 110597 77922 54666 -20691 2689 276025 48571 -26446 -93763 -52855 -43191 -137603 81980 72760 147844 -57330 37689 -65852 24290 8341 -7547 4875 30999 235782 221919 9123 66560 -110590 -167816 -151516 77692 -89700 -170391 -186979 -33232 -198738 98459 -20644 39652 -60059 65003 202960 1530 -57946 28597 -28548 -103873 126159 -138109 -25837 -164695 139190 -67932 45058 -173562 -59891 -119020 -26643 -19431 -173874 34499 -200176 -18520 75279 83228 45959 -5682 9057 -3760 135047 16836 -25477 19667 -12359 -35135 -16264 128743 45709 -85261 52296 86622 228280 -112072 -78122 -54343 143019 154482 137932 23730 45947 -71558 -3689 75566 140301 68081 -48020 -20558 -72142 31220 230228 46014 218937 -73526 -68238 -84644 88221 -110360 62029 -82390 13596 184 106828 -173447 19614 79557 75176 45871 -135526 -31219 -202273 -27535 -66234 -109692 -143348 38020 -50864 
+-96221 -136982 -15392 -58703 -176109 46849 129783 96048 -96281 -24154 12824 -53552 48423 -148119 117224 -27941 126781 22632 5861 58119 40014 131046 44117 -112105 -10473 82719 75047 -35725 -28243 -21240 6635 -44272 -25846 100485 80873 -133815 -78447 -17318 69763 -20409 30750 -81188 -28805 5293 -121229 33158 29689 80073 -239545 -12893 -42494 -59879 121947 -75137 42679 -94004 -118627 -7281 128430 27163 49582 25626 -53886 114219 28298 19542 -3338 49043 -89165 222299 12907 44125 237339 214286 83663 -175385 134904 -104133 569 -149349 18719 16094 -50202 7055 55842 73485 -60982 -65203 -24799 33844 -26649 83531 152371 -98106 -123570 -30876 -110983 189820 -28614 114171 3203 -45769 51130 102772 -12075 -14887 232078 42305 116955 170400 60642 77982 112026 -126218 -36378 -11046 -39292 11164 131634 205806 -11755 -47420 21389 265480 159378 1820 -117034 -43474 -77787 -110545 23971 65132 145827 -42110 55230 -66819 157012 56034 1066 67105 31506 183816 159540 -52429 41421 -75095 -214415 -162316 184681 -63403 -109717 -200766 -35618 -68401 79538 -5450 -29129 -59312 69324 145434 43459 -20959 -7237 33593 -104296 52598 -46209 15755 -168043 119464 -27062 -32656 -178511 20941 -132191 -27151 -17609 -121308 12213 -172595 -39669 134255 -40222 109435 1291 41326 16837 88010 39099 -11989 163593 -14685 -1904 -4748 142344 62163 -132446 33677 82014 191200 -94601 -42044 -66229 172928 229991 110428 89192 38 -101980 -50791 167400 84754 26801 -15573 20171 -57325 38124 250261 43813 218625 -194446 -103684 -124384 162289 -108503 117599 -108954 -113672 -15596 103002 -150846 36968 114372 88218 47667 -112976 -49922 -169448 -11194 -39370 -45114 -131191 104507 -65264 
+-93995 -100770 -23049 54759 -140500 47153 12053 54131 91936 28407 -32518 -55552 47795 -182588 124812 -84172 78683 51455 21330 55056 3420 145313 43225 -56777 -10385 101801 66878 -26585 -97874 86327 -90354 -57971 -18774 105692 89949 -110265 -96253 -31253 51311 -10796 -39058 -74304 -95281 35254 -68285 12261 38450 3091 -244126 41733 -49469 -75974 196086 -62393 59219 -127087 -218874 -20513 123724 -5245 60663 52394 -159667 47323 17314 73804 -24495 58461 -88289 175769 36055 12376 161844 144322 70322 -177916 110103 -95422 48477 -186416 38132 3377 -86459 -16658 47541 -63177 -124903 -57085 -29120 29515 -38324 79156 152709 -45113 -96753 -47236 -93603 138840 -54201 53154 -54687 -91686 62476 141559 -48745 -49831 230591 122724 -14648 94793 42251 46203 -19173 -109653 -12448 -39596 -39890 -19108 25156 241064 -82874 -123997 25383 242862 101187 -17487 -144842 -36538 -133671 10913 -33603 80893 132946 -8448 78077 -83696 222579 60709 -16548 103159 33934 96232 112998 -155821 17765 -31192 -65468 3509 164490 -42272 -34224 -189532 -17631 111156 80572 221 -53869 -82128 61195 87763 54117 -16680 42747 -16959 -78569 -32451 34242 -22921 -123650 90188 19178 -107899 -56272 45506 -152995 -17132 -22006 -26856 -17964 -131328 -18176 89452 -85218 4693 10451 33517 -23733 58912 15254 13254 176579 -14020 81771 7648 93115 59197 -152644 43500 67818 144791 -66722 -39879 -87925 114526 177668 96372 108300 -130077 -112260 -57937 122928 -11775 -41252 -21555 55184 -53600 26107 242522 37000 184675 -165944 -145676 -83104 211822 -90968 124560 -41746 -75372 -15891 92074 -111593 16976 166391 64689 -80420 -81501 4638 -108809 41050 -32765 -46293 841 72286 -73460 
+-89217 -26878 -8227 166909 -77964 58349 -141554 51937 120828 677 -16580 -59192 52323 -163685 25019 -152541 52218 72525 34710 58366 -31883 164058 -8769 -83331 -12662 100277 14051 -26619 -108075 196894 -140192 19114 -19109 101222 57454 70340 -104268 -65749 9235 -16773 -164535 -39638 -80157 88047 -20891 -4018 -117561 2362 -235287 55686 -55614 84487 221924 -56822 33735 -69701 -189850 15371 123343 -39464 41601 49215 -173931 -8136 15925 71654 49758 -105174 -110015 15674 60205 -39556 24829 28286 84074 -22386 65656 -32203 74665 -181767 63197 -37297 -22717 -26431 57093 -216581 -128389 -34599 -17650 26693 -67475 77460 143072 18902 -1798 -39668 -127652 16330 -124830 -74515 20745 -128074 45698 106535 -71269 -69540 227244 86203 -194905 15295 68267 51183 -162302 -68164 -22721 -40073 -40170 -54551 -71426 132174 -95156 -129266 15875 167244 -63833 3743 -158651 -84311 -132108 91006 -121518 64336 108955 -18305 73562 -50383 232148 36898 -406 134172 36485 3582 74600 -162106 2604 27893 95725 174010 77131 -55757 41307 -234966 -12697 152881 81577 -13203 -79852 -68254 -1123 28480 39696 18627 133445 -116496 -99435 -55204 103020 -26449 -54364 53734 37942 -163244 45312 -53417 -116661 -30016 -21308 36430 -26124 -40054 15462 68378 -70325 -157948 -3666 46112 -102489 -42558 -6627 34258 64271 -26241 55890 27982 60489 87683 -112529 79489 64165 90823 -18102 -24168 -78270 81995 50235 39697 149761 -175363 -122974 -13511 65246 -127687 -100785 663 79179 -71291 4534 210600 45103 113962 -2124 -157080 -66402 141911 -119891 98381 35163 35617 -23523 78845 -7771 21776 162841 78471 -121350 -52197 56365 -51464 26896 -31884 -27973 51880 -323 -56547 
+-94266 -31293 -21221 165155 5571 70679 -136374 11380 -65476 41502 30769 -56648 53822 -77103 -24377 -182528 -17517 70776 75976 34080 -70537 138939 -48033 -119860 -13269 95605 -69104 -25101 -134008 258490 -195602 56564 -53889 96843 73315 168129 -88574 -99830 -6339 -39403 -189285 -21360 -31507 147787 21476 6543 -206033 -9060 -144826 67332 -49208 196052 188584 -54285 -48238 5197 -96241 -7618 116168 -42805 25682 63283 -54597 41012 29767 28431 141271 -221098 -113860 -45972 97437 -98346 -92031 -32365 54949 154575 42604 31539 123106 -141665 68741 -66741 83950 -52032 36590 -224017 -89048 -45113 5430 31536 -3546 77545 136795 52819 70503 -52418 -52103 -81311 -116736 -197936 -5517 -148451 57618 15132 -73281 -95194 221530 -29984 -241636 -36684 32811 66129 -222231 -15253 -7764 -28139 -38617 -110809 -24102 -29838 -70761 -146641 6758 159310 -75004 -12859 -175628 -49570 -102709 123378 -89792 56450 77665 -8030 79648 -81564 175452 -20523 -10798 170828 36955 -49428 8036 -158051 4597 95620 82157 128895 -27270 -45550 45808 -192241 20739 19524 80446 -65176 -31433 -72499 37382 -19703 2763 30171 174092 -195681 -78988 69937 144957 -28954 23989 35729 61415 -139192 131554 -99450 -127622 -23530 -15302 94561 -47910 -5858 20136 103588 -18927 -190672 9249 -18309 -135801 -54997 13872 55053 -42902 -14148 27086 24231 25551 79590 -410 68029 68407 34566 7355 32259 -86644 22885 -39890 23347 158108 -78844 -144534 45606 -63940 -200645 -120826 -44745 89375 -91233 40204 159063 32181 31309 116174 -153134 -31591 8537 -107374 95310 49360 168312 -24185 67576 -43709 17089 158003 56714 -99265 -57166 42781 -30942 22413 -42786 -94220 -5337 -91585 -70383 
+-87015 -106083 13729 52389 -22892 43400 29052 -6350 -251646 30880 31114 -45315 56982 38588 -116299 -225957 -23893 48155 51407 27203 -109297 131695 -56793 -64159 -8695 91855 -111781 -34477 -131273 237051 -232974 97438 -37251 100856 57045 173562 -97391 -58776 -89174 -33113 -107614 -66967 60165 172005 1801 29554 -136366 -38214 -98808 97200 -19116 166958 118096 -40912 -95757 24511 24193 -2569 109365 -4503 14035 21473 83058 116784 51255 -18672 166818 -149046 -123802 63225 100043 -136602 -161036 31496 65357 52651 88556 91779 127066 -120399 61943 -82504 157984 -52769 8643 -51569 13138 -31416 17010 42805 28554 77317 133877 88036 72986 -51505 -34494 -76937 -97130 -252638 -34133 -119460 59909 -30034 -53206 -104745 213980 -136904 -105846 -19249 69086 65458 -31357 38707 -14435 -67480 -39194 -156058 104458 -64068 -41444 -157098 28456 87024 11163 -23506 -187856 -40602 -53291 93999 -21154 56031 39475 16732 40907 -62112 69343 -48520 -7914 131225 35043 -37682 853 -86758 20468 153654 -90313 -97240 -90732 -83379 26394 -192492 39127 -167205 81564 -80399 -18632 -86419 47365 23961 -44067 52818 125069 -213275 -29805 116765 141676 -68550 100948 47508 80826 -139943 97610 -97924 -91983 -14006 -18978 80803 -63538 -29635 -8554 113271 41938 -40727 12534 -8860 -95537 -2367 -4885 66403 -12406 -14826 27098 57716 33542 77708 51164 100554 52521 -5010 55652 20206 -70292 -79566 9230 18249 199050 43154 -133594 111381 -149913 -210741 -111554 -60846 82797 -88613 56864 97783 12923 -41040 104889 -133770 35842 -76701 -114455 77259 -21630 247594 -21205 60782 -139906 31140 152429 88371 -29521 -75310 -32159 8972 46446 -28542 -107409 -107658 -91410 -99623 
+-82570 -151286 -2818 -52183 -120080 37027 133753 -27402 -258362 -2145 13250 -35359 58732 140776 -192678 -235941 -27428 4766 90902 38287 -141003 84571 -73807 -118555 -16027 54526 -10084 -31794 -93250 157387 -217329 62702 -19511 68454 75949 36589 -87383 -101614 -110128 -55483 7114 -62983 138779 189422 -86247 33513 -12614 -6923 17867 111719 44977 31430 33534 -42624 -162201 10530 99168 -50594 104015 35739 11234 11614 159448 161912 68132 12525 32099 30001 -127503 203963 131475 -139565 -85698 147267 55269 -129246 31080 141536 110305 -30967 68065 -94946 163351 -39192 39364 77543 86490 -68791 35011 7547 39551 73967 123661 85533 18281 -67123 8621 26304 -113579 -248187 12724 -93010 64139 8796 -17701 -74408 204933 -160634 72457 54289 48259 70893 104382 103474 -31403 -67023 -31192 -189361 137816 41566 30681 -253075 10557 33661 154504 -29576 -190428 -98277 -82459 3106 51632 50784 -2487 16304 72284 -37956 -29830 -53097 -5187 121186 32351 32200 -69243 -26664 44242 199961 -219269 -184470 -5933 -123546 -3817 -167351 75576 -207267 84504 -79535 19845 -48332 44069 106013 -83702 61702 33750 -147220 -21725 81296 96867 -38202 136783 77925 54546 -71154 3564 -17354 -106713 18367 -20458 40988 -72265 13847 -20558 92490 172398 87283 12166 8951 -74898 95802 -6654 64205 107634 -7754 -33392 51484 60852 95526 33521 65757 86476 -36658 107717 7616 -77913 -154462 135966 15431 185893 36575 -128067 118143 -171836 -119150 -53226 -80412 61840 -72779 48539 32620 13127 -86471 -55399 -94046 106953 -92882 -84728 65573 -77062 202996 -35032 64552 -170632 -4380 164099 64177 36664 -106227 -35528 62506 49924 -42590 -107826 -105302 -15913 -68187 
+-81915 -104230 -8594 -39428 -146920 32908 23172 -13357 -120795 30737 -2303 -40286 55793 176556 -245104 -230717 31346 -40006 41138 70242 -170096 66501 -33473 -80921 -12154 35706 82362 -36905 -40727 45156 -165255 -1562 -456 50121 74669 -104365 -78437 -103632 -112461 -30271 13285 -114402 182526 166990 -115539 12990 49484 -18232 33276 134821 49100 -90739 -58007 -56692 -218917 -73773 32635 -6139 102135 29723 25675 48212 80154 112578 74043 24663 -7969 78996 -127936 206709 130087 -102596 30695 213525 83880 -173526 32646 112602 78055 33836 54484 -66842 80093 -13552 73125 33435 55291 -34350 17914 34683 -37187 73521 132052 86352 -78281 -63767 34115 146368 -46243 -144408 -5198 -54460 67201 89741 27395 -65966 193865 -65059 115995 143270 16994 76258 23052 153232 -13827 -35460 -27334 -202726 19371 185317 136547 -212037 15088 14859 155105 -29306 -187839 -69936 -116079 -93316 106210 59102 -45325 -4084 52569 -37185 -54647 -9895 281 86711 30894 131395 -39308 29255 69262 215828 -140687 -65223 150451 -149275 -125621 -159382 78300 -76455 74286 -69151 82364 -65642 56066 163310 -97234 63253 -9768 -46882 -17118 -36640 40539 -25964 142557 114966 36123 -12837 -102790 54427 -90254 -467 -18294 -23681 -78191 -8508 25585 100368 187449 70791 576 54588 -46462 118957 45834 47955 181380 -14304 -72049 65311 114327 86884 -36085 89300 94546 -34723 174164 -29650 -59317 -203597 236970 -8331 198561 -84032 -85343 95694 -97571 31192 6394 -42413 28961 -57608 55164 -15395 48784 -98795 -189332 -56144 124234 2454 -80659 60790 -108441 5185 -43038 71981 -137709 -1271 182637 58678 -21972 -122304 7488 85222 20775 -28275 -32917 26818 76136 -86660 
+-83524 -16079 -7173 62766 -135272 22735 -133742 -12593 73869 8819 -8395 -57384 51628 151292 -288901 -220908 66344 -94552 11542 49922 -180384 23288 -20057 -77765 -13630 18058 83682 -27487 -592 -60482 -96778 -63188 -52577 27177 80327 -137503 -55926 -103654 -98443 -11987 -109272 -136197 157838 98718 -86749 -3914 -68537 -45668 131438 128001 149965 -59907 -132382 -48119 -244705 -126765 -69853 -36979 95731 5640 45132 83927 -59116 44649 66648 67780 17191 -62946 -122795 3855 88781 -44236 177306 153267 88039 -38989 79789 71913 59123 105303 11408 -45293 -17255 5278 72134 -152871 4131 -67990 -34531 20981 -41964 74662 120703 29524 -126042 -71581 35527 189114 -26898 -17249 -5916 -42719 80597 137352 66822 -22762 181646 56722 -24862 195005 5057 90296 -183761 192034 3054 -24454 -23712 -187849 -73466 241634 185205 -203176 33269 -15894 -29552 -32946 -180836 -105558 -140448 -146542 124720 32930 -86132 1543 46753 -47756 1408 29905 -9099 50214 31908 207009 -36265 9343 85781 217694 50532 142555 175824 -111274 -160985 -150167 81343 63376 89305 -22146 104606 -66680 107864 193955 -84631 95131 38653 12528 636 -47760 -52372 -19686 93765 137081 4311 69169 -211695 37018 -106068 -28167 -17988 -104695 -80211 -87166 13702 92867 195108 -112244 16129 16892 -7551 84551 -17269 25798 119551 3553 -61086 54787 137251 87265 -166878 77215 97582 -31960 174478 -50836 -20918 -187007 200469 24831 181571 -187819 -82818 48910 -8202 122679 72504 -50269 -11225 -54853 71242 -47471 15052 -73758 -170366 -38579 141333 145449 -48981 94475 -23336 -87859 -39718 85633 -44301 -6720 159944 52287 -128168 -106010 59085 114388 -20963 -46039 -42109 92952 113586 -80334 
+-90229 -14690 14272 161389 -18377 29435 -134150 -6596 121356 38389 -4486 -61330 48884 71988 -308239 -171417 121170 -135509 6850 37137 -200832 1774 21702 -95738 -10288 -14805 192 -27705 36976 -97485 116 -30563 -26778 7247 79573 24616 -53260 -66924 -69107 -9761 -205166 -124021 90047 34050 -5197 5921 -175161 -23889 103725 129120 142031 92976 -153527 -47063 -260197 -121787 -178835 16375 82235 -41349 49198 27996 -180750 21472 49032 53395 124880 -220921 -114473 -65474 47043 9778 251763 25558 74131 128817 110406 -13912 39183 170079 -22833 47211 -83339 20949 67555 -260972 -97904 -46155 -56663 21715 -28157 73654 115026 30570 -113367 -47933 30416 120675 -13447 73481 -21087 -96414 64396 96646 91905 21421 167569 123894 -202729 191267 -83215 76362 -210184 209333 -8707 -96695 -18198 -150147 -26284 146229 240848 -202922 11578 -56598 -87080 -44332 -172084 -111459 -102122 -114848 124897 60387 -121606 -14786 26171 -40100 102687 71313 -29095 15941 34632 236600 -25230 -58817 89141 194871 115391 171694 146396 -119175 -190085 -132466 87091 111603 89663 -14920 112472 -65353 76189 93651 -39570 114033 127390 -8305 5548 4798 -139244 -21740 23843 117902 -52267 83756 -116935 -64608 -66928 -58189 -18504 -175535 -76302 -147516 15457 112770 140710 -206969 -7301 42408 -2590 31117 -2965 2362 -28091 -954 -119957 125606 149652 103265 -133482 114578 73048 13437 223614 -96118 9411 -133535 78401 84097 146206 -139398 -31485 -25353 112018 183849 82578 -59955 -51816 -72337 38692 -49493 26950 -27136 -20928 -31850 158082 188900 -57729 104215 30740 -102015 -54678 98231 -19720 -11389 110175 85292 -94094 -64802 65270 78615 -16205 -44787 -74523 12278 49037 -53873 
+-111501 -92198 -26607 149451 16099 8770 24262 21679 -49381 14846 3851 -60506 47156 -47980 -295902 -152915 135674 -185699 -3999 8814 -204188 -41098 27067 -126849 -11337 -45676 -109282 -16245 101495 -67624 51570 52870 -73228 -13683 61055 139243 -52572 -122932 -36577 -24308 -165802 -138082 30385 -1286 10387 29043 -185051 -26690 71762 107119 163205 184127 -151746 -47001 -210187 -53468 -216868 -11423 79376 -40601 61346 10461 -151704 46325 29312 14293 152331 -184280 -106376 30884 4629 46087 193394 -29953 68467 72569 81011 -76624 -31007 174526 -49940 29187 -52557 22294 43866 -160731 -124681 -61929 -13070 -20885 5229 75703 112793 -8800 -40140 -55528 7829 -5265 -23432 119763 -17385 -142511 69993 27802 99195 60048 152211 77120 -238574 133440 -83956 97631 -54834 199346 -10482 -51014 -24234 -110020 102347 3170 271551 -161374 9091 -88958 -5100 -43175 -151082 -151100 -80377 -34787 54119 59936 -150075 -30791 22751 -28745 175520 57698 -13662 14817 36832 206464 16022 -152196 76189 148883 -15407 -39091 68216 -71931 -165516 -114626 76798 1306 93301 -6829 100170 -95896 112540 24527 3705 113468 177397 -97545 -716 103350 -206228 28573 -61340 80616 -96366 95537 -8609 -100531 -67362 -19770 -16241 -224241 -71927 -173332 -13465 106111 30890 -133749 14998 -29024 -39228 -84993 -23195 -23338 -61320 122 -96136 123437 80517 91853 -101204 36718 113124 59027 248433 -128224 31560 -186528 -27249 135241 131908 5953 -24623 -78289 159467 162804 60928 -23635 -87198 -89203 38479 -29442 7365 47240 113826 -60983 119774 173389 -34713 152802 16339 34495 -51993 105361 -101909 -7276 118175 71737 -45802 -45891 -11475 84513 -35179 -57157 -100278 -99489 -49801 -35388 
+-61813 -163338 -36525 48277 -27482 -2664 129325 70214 -216554 -3089 49908 -53510 49028 -139244 -251077 -91363 95395 -209567 14705 23882 -189564 -58586 46145 -71362 -11205 -57695 -87934 -20993 145124 21985 92357 87143 -48024 -43878 64717 189531 -44967 -125920 11446 -16458 -40167 -82930 -65766 1428 -23328 33815 -70829 2108 25486 151182 111761 130034 -117622 -58812 -168135 -11593 -134086 -36183 65681 -14853 45489 9586 -33460 105045 18234 -2868 88270 -19074 -110904 184760 6855 48888 67600 25676 50783 -123616 91750 -98003 -41272 174592 -81927 5672 56042 5191 49943 21298 -98712 -66167 -677 -10136 38905 80338 99172 -62065 41238 -57643 -31424 -88910 -56115 56940 -7568 -156781 70246 -25101 84191 113901 136032 -55685 -96669 27857 -144668 66998 125090 181166 -2002 -56204 -20332 -52508 135018 -53345 261928 -131436 16405 -76620 129850 -29770 -131815 -143508 -49323 54207 -19888 56455 -169168 -36761 53837 -23707 255282 25128 -24315 26296 36658 123606 50809 -172091 52098 95107 -196697 -196495 -78725 -53198 -96934 -100876 53730 -169859 97823 -32356 62665 -75106 118191 -16938 40565 126073 129856 -159367 215 89889 -238715 -17587 -127843 52912 -137026 60487 74863 -75611 -21941 -28007 -16471 -213082 -50366 -200356 -17688 93720 -53706 39844 -13152 -5391 -111624 -53028 -26984 -24833 69385 8339 -32516 117245 43140 121172 -58594 53701 81080 115661 279285 -125438 52376 -53962 -17246 158629 82466 43440 12980 -43553 103809 125402 7750 -34741 -111365 -91978 14026 11204 12480 120551 103931 -90338 77274 15447 -49179 160802 -38784 174675 -58993 107651 -169669 -15813 73314 61634 50575 -60574 -45298 35813 -64975 -53757 -110698 -98187 -110199 -56490 
+-64338 -129838 -18731 -47439 -105955 -16208 43674 96003 -242217 43761 37576 -41654 51284 -183962 -185553 -31344 42469 -227517 36853 45660 -164968 -105175 10565 -64849 -12097 -79543 28587 -28970 158948 134078 135375 63414 -38268 -77890 78304 99711 -52848 -112797 74293 -44805 27905 -53311 -79302 41238 -91214 13697 54971 51708 -60229 104673 134511 -14105 -27631 -47582 -68474 43345 -10016 -21524 65267 22221 35471 45643 105010 139070 17647 14405 -22035 77844 -99200 208345 14605 14435 -67206 152106 55925 -179816 131420 -86811 -43861 159732 -126650 21234 147535 -11924 2805 98199 -28660 -94253 14633 28205 14517 83345 117123 -89109 80170 -65740 -41960 -64407 -104831 -61919 -27811 -105651 87345 8498 51099 152672 119080 -144671 78310 -25122 -125945 57891 40786 134484 -2508 -82514 -19479 -11704 28434 24097 215501 -141520 32471 -103546 130924 -24196 -108352 -139594 -73589 110025 -70525 67860 -177283 -67016 44641 -36973 215859 -30616 -20896 68735 34352 30146 138206 -159975 25823 34625 -199054 -118116 -56624 -10916 -37159 -83596 32002 -215580 99319 -85481 -4426 -75729 61097 1884 53742 137885 40370 -227766 -37960 -2005 -247625 57741 -170964 33243 -179461 10753 127970 24167 -23135 -57202 -23857 -172841 -36089 -159648 2076 108307 -64399 100022 -15702 -5693 -116967 46713 -6031 -21358 203721 -6687 13455 107456 -5761 96428 19931 50117 65293 176828 254514 -151954 73812 -7231 86809 135998 57877 6749 67103 -9091 -8184 -34022 -55664 -43081 -121970 -73331 1598 67076 1076 184800 -52299 -125634 3183 -119084 -66751 114735 -100698 234071 -55849 100276 -145719 -10266 37631 15293 -5763 -95328 -8871 -14886 -31209 -59689 -62721 -31063 -81802 -30869 
+-74936 -42939 -3653 -50747 -157898 -13548 -126028 94852 -122420 44239 3179 -38448 53034 -162457 -113365 21665 2014 -228884 47136 63222 -135116 -162585 -3293 -62080 -17905 -80937 79957 8279 146814 234111 93258 8136 -25916 -90752 78167 -97891 -62855 -152967 61252 -44596 -44393 -44531 -66337 110445 -113329 -3797 1617 25489 -135835 78257 43658 -114118 81308 -26442 -26175 358 82657 9016 67725 34342 19012 45087 155313 109707 29173 69740 -21431 -34011 -74013 46706 1044 -44177 -161479 211637 79028 -78003 93574 -27993 -47609 103073 -157542 -41947 170056 -37575 18076 -41593 59875 -107634 17836 -16546 -33539 82870 94497 -150028 49595 -74602 -69057 48766 -141385 -185430 -1068 -67524 62234 90118 8608 177614 101089 -150936 111574 -26129 -148700 57572 -147214 89498 -30525 -93174 -17331 14128 -67195 175486 158229 -49230 9962 -99883 14064 5986 -79296 -119732 -159748 87586 -93060 72661 -174314 -81343 50591 -60231 127023 -46215 21093 77219 31712 -44160 222004 -89215 7625 -29223 -16557 95449 47061 -50554 70237 -60427 10566 -103177 116994 -94479 -52139 -53917 71195 78302 41584 137588 -13800 -164450 -25256 -72651 -210797 38821 -159915 48182 -210090 -50119 95724 63189 -7294 -2158 -19673 -93537 -24002 -141332 17514 116904 -63886 -3339 -21949 33218 -139590 120217 -15647 -8912 145186 -2176 46272 132310 21593 99111 33224 40503 71019 211217 208293 -147617 93706 65346 202380 133553 -35696 -129480 95522 68236 -108434 -130782 -109912 -65468 -115949 -60036 39126 126228 9343 229700 -189575 -155243 -61377 -92059 -18478 86035 -63457 177787 -48922 88069 -89039 -10126 15009 21269 -112479 -125258 66725 -67801 -17885 -51255 -57307 12514 8795 -30417 
+-107510 -15093 2663 52373 -161604 -26912 -143621 124945 51956 20348 -11005 -47155 57943 -84506 -31187 53109 -14032 -206942 41087 57741 -112201 -147953 -57671 -124544 -5339 -78371 93980 -22741 155911 259652 51427 -57543 -32996 -118256 67599 -116669 -82092 -132397 69480 -15749 -160104 -45221 7230 164404 -90644 5318 -118088 41575 -163690 66859 15456 -15029 141807 -41134 32407 -49084 76245 -20746 58262 11149 16692 51174 56885 35082 49037 53674 110051 -197307 -73168 -52363 60555 -99865 -116718 151646 75037 99192 123690 44971 -4226 12669 -204761 -85391 120684 -52800 81692 -202752 102085 -116326 4268 7731 -52959 82247 81612 -158082 -33645 -69461 -58173 161427 -118706 -250055 -39689 -50663 60538 136839 -33074 199481 82932 -54963 -33801 37038 -172067 45741 -180127 24858 -11806 -59456 -19050 14738 -26533 222698 61111 -48894 18273 -66021 -76618 12451 -54443 -125329 -145851 26304 -50560 62062 -160173 -105454 34892 -28492 230 -44196 7717 123321 30888 -52376 208870 -17133 2311 -77737 117294 183800 141048 -50908 57720 -27853 -20767 82445 101695 -82985 -60825 -78605 62302 187638 3780 137294 30255 -88355 -39692 -22778 -149753 61274 -110908 75045 -222395 -96981 -38025 10115 -21198 12608 -20416 -10734 -3324 -80645 14534 77781 5793 -177858 -23743 28293 -100444 143714 7292 18245 5052 -10902 41055 154174 71793 103726 -14117 8012 84456 232611 198219 -131519 81841 126447 232243 88670 -44077 -163550 102281 108505 -195543 -164975 -124851 -86769 -95083 -55949 20485 185689 21883 218833 -178024 -158156 -67032 -9213 -7876 61896 10796 37748 -44733 75252 -24249 3321 1500 52850 -149706 -123668 73152 -107794 24571 -18557 -42822 33284 99299 -12012 
+-83380 -81858 14294 186579 -54461 -18644 6824 136805 98943 11026 5889 -61886 56654 25874 26395 81639 -52900 -176649 60345 32158 -61264 -177309 -42677 -55042 -8689 -76581 -2136 17385 127350 207605 -20691 -38193 -44380 -145515 87533 -31083 -94402 -136576 19558 -40485 -193494 -72242 88293 200996 21277 28520 -208983 65751 -220211 42814 9758 126979 202308 -23490 59467 -113647 -17388 -41503 48292 -29961 22463 33284 -80211 -6789 67866 7360 170628 -203609 -76097 11583 95027 -136002 14482 27207 73226 105450 71866 112605 21749 -39399 -224875 -110593 8148 -49758 62137 -243254 32636 -126611 -23368 2208 -30557 84740 74279 -175547 -118686 -73028 -102698 184693 -97847 -243520 1035 -64666 46650 117750 -63685 227545 64206 68453 -207572 124009 -140618 46719 -51669 -32632 -3886 -48394 -14764 -3835 101498 164907 -9796 3052 -20454 -35260 -15866 8096 -39959 -80885 -87992 -69298 -12108 57148 -136173 -128590 58059 -44670 -42461 7961 -3978 153247 32516 92 199951 18611 11851 -106692 49693 28143 204110 -99944 42889 -34937 -28208 138653 106475 -90292 -72051 -69170 50662 183571 -38316 160370 122505 9734 -82830 72903 -58637 78594 -25938 113767 -235080 -163276 -133304 -69281 24335 27393 -22288 63742 9855 -51661 3302 84312 102248 -188794 -37232 -10883 -27860 33444 -14448 40792 -72755 3311 84246 98794 100949 98590 -82310 9802 33848 226379 156327 -72923 94256 154664 118202 72199 -106500 -85772 131242 126193 -173921 -192053 -98078 -36748 -62348 -72504 70730 228837 1227 185832 -26446 -147904 -104494 106832 118 77144 37551 -71297 -50266 64255 -23419 11819 -35646 15802 -70110 -89107 4037 -163968 23232 -59077 -101267 -70383 119871 2817 
+-99389 -154579 -11763 127510 27719 -17355 117598 149652 -17777 48218 -204 -63217 55133 125480 75104 92302 -4607 -133248 33399 16520 -15241 -174216 -28358 -70243 -12198 -42951 -104233 -25018 82352 113905 -106498 23898 -42384 -171413 76010 124733 -99437 -136198 -19817 -24365 -100834 -110551 139303 165912 31693 34094 -134029 67544 -226182 24330 -82025 187451 228835 -57778 57090 -106872 -143221 -28547 41914 -45021 49243 9591 -175897 36073 73467 -6921 107619 -42743 -65542 217111 129567 -136123 126051 -30378 53334 -96458 69720 127281 54187 -112369 -217053 -75531 -56096 -35718 90513 -79071 -32435 -88866 -28501 21307 30147 81126 64727 -164027 -124173 -66258 -82468 100187 -47357 -165438 -6801 -112114 51537 20256 -75590 201989 45267 119749 -236742 185680 -154681 50233 99864 -82795 -7537 -101256 -25926 -38880 134536 27596 -66486 34051 461 26481 106132 -15091 -11629 -108023 -29887 -163584 58393 58327 -103545 -139352 60829 -58938 -40934 40639 -2454 144192 35343 100338 189284 1304 33778 -108040 -144520 -161288 159453 -150626 -54162 -23161 -26744 -4862 117036 -37605 -54899 -45061 52346 117571 -78307 145756 176266 4944 -105997 106393 23610 96622 44877 133831 -216262 -152227 -204391 -115279 43286 -2626 -15772 98025 39477 17207 -10034 104940 173627 -31269 -44474 7574 33718 -56263 23849 57870 -11583 -8553 45552 105837 137684 94713 -165264 -23865 91402 204776 108531 -82467 75675 128174 -2035 86578 -103777 20606 130839 100905 -66543 -101734 -37246 -30418 -22536 -87868 16727 251465 15390 106322 113072 -115957 -132362 189130 3483 86837 6004 -141715 -40858 61830 -118838 -2014 -50473 23586 13941 -49824 -54287 -208772 58745 -16075 -94051 -115184 16494 24864 
+-93360 -137195 -4386 21081 -33888 -5551 62243 141372 -206826 54732 22251 -60754 49092 181218 114386 97923 71645 -74996 53411 33300 13528 -172517 -29046 -122202 -10544 -23521 -76942 -2124 24268 4380 -162192 109772 -58094 -179891 59404 171684 -92314 -115620 -78723 -7559 19759 -151468 193173 132340 -24435 14405 11519 10627 -198229 -9393 -72830 121380 188704 -45010 15157 -78692 -202189 -11825 44414 -21476 47925 37201 -148053 99864 67745 -6550 3329 84091 -63956 205294 104602 -103044 229824 35926 51009 -211956 95834 100326 105089 -168276 -220450 -94313 -72117 -13242 39097 85846 -118136 -161748 -29580 705 36335 80638 63149 -158314 -80289 -52986 -84548 -25391 -33594 -9069 16692 -149489 40156 -28491 -64624 193478 26933 58156 -87237 194439 -171862 79771 43837 -107249 -23125 -80674 -21544 -100570 31889 -55294 -97070 96456 23715 49806 139257 -17347 -1421 -115882 -70836 -135688 117348 56643 -65029 -142653 48534 -68891 36189 60240 -9647 123479 36952 186064 145223 -46391 59406 -98562 -221307 -155273 -609 -155929 -97312 1722 -31690 -170906 116796 -12151 -9323 -59925 42788 78082 -96093 156295 137506 -48278 -106108 12088 92545 134160 121021 117631 -193217 -102237 -104987 -18994 82361 -17493 -21875 88689 51982 21889 -15403 77249 217124 102437 -64691 34859 -10785 -71246 16195 67197 136559 -14188 43432 94900 108901 83792 -119094 -30958 66274 161168 69222 -11630 66609 106769 -39460 45962 -120462 19685 122402 42974 79614 4810 24405 -1518 18347 -89678 49760 241745 15416 29300 107682 -72532 -74561 206895 3234 157981 -93912 7837 -35197 65415 -186977 819 -85362 2165 38866 -47167 -22719 -236713 53995 -28363 -82174 -59356 -83129 31607 
+-66881 -53450 -15605 -68294 -163142 -2239 -117110 115617 -240530 41746 1724 -47976 48642 155897 96182 60853 106890 -39804 31955 63691 44499 -162300 16002 -122032 -11501 324 -16498 30249 -28617 -77673 -219908 105019 5751 -199441 51458 137641 -85687 -110416 -118519 -10209 4528 -158985 144712 71920 -87588 -3620 43351 8964 -178103 -8483 -55665 -15640 102288 -50595 -46020 -10176 -167812 -58839 42251 19433 58093 9513 -10728 156322 50009 49945 -7279 7653 -60518 15831 129914 -41785 226213 154705 59249 -100647 81534 50453 109411 -174179 -221577 -29816 7850 5913 46071 60583 -136979 -128087 5627 -3089 702 77203 56743 -116055 3872 -59194 -53003 -93106 -12715 71680 17797 -142658 48177 -6206 -35249 167461 8743 -60987 83859 137787 -75590 96008 -172152 -130500 -17814 -91133 -25071 -153959 -74028 5756 -91623 118128 25092 112496 50844 -29725 9631 -67524 -112024 -51461 126423 47463 -23250 -157348 90732 -55194 155897 33027 10306 127933 36344 234279 81507 -118811 80924 -66765 -86727 47164 -110934 -122386 -171850 397 -30769 -238212 110229 11645 47298 -82652 76841 -14139 -81019 153418 45936 -167560 -122382 -49595 127791 116875 145305 77057 -134918 -47684 11178 18832 67728 -33620 -15708 20897 69552 -13412 17283 93251 186695 69808 -68042 64598 -38747 -6464 40257 56701 182057 -10678 -31420 57845 63931 89866 -53388 -58941 33086 103454 22920 -26250 29119 44325 48292 -1773 -127225 -89461 105119 -13953 142142 81034 66057 -44027 53797 -75762 2052 211408 11378 -45872 -49549 -48796 -65137 58228 67969 143602 -107175 180504 -35519 75887 -136103 31234 -100589 15272 -28836 -78424 38931 -267052 32050 -24497 -78776 71661 -94448 22522 
+-79340 1031 -24754 -75026 -165971 -11818 -164434 84366 -119849 23814 -14001 -39061 47191 71386 67042 30640 115260 8664 8892 58138 80140 -148901 46033 -88693 -13101 28299 99004 29208 -80276 -89767 -228791 36018 -33057 -207690 71303 -31104 -69458 -133114 -129821 -53108 -96084 -137605 74701 25283 -109009 4735 -78418 19678 -67190 -35229 -7700 -60742 7896 -68008 -82218 25433 -66905 16877 39773 34564 47025 46715 122224 104108 31266 45534 57182 -172226 -79967 -77960 90471 14329 94261 208790 102484 87688 54297 -21184 116688 -170610 -189000 -12255 114163 21428 -9635 -114944 -127379 -174732 26973 -1886 -18162 76015 45347 -85259 81812 -64090 -1564 -49356 -29128 97665 -85 -103102 30552 75448 6458 121772 -8970 -158170 108041 63495 -81163 88069 -176391 -117172 -6094 -88936 -27342 -182549 -33340 133183 -32169 140556 30793 143397 -74120 -61783 21275 -82172 -145814 24278 91997 51339 19780 -170744 46700 -56220 248391 -9094 7933 73253 33615 223714 30618 -169561 89887 -15446 85098 193553 -75038 -115763 -188661 24294 -13452 -100750 96469 24843 94621 -72211 49424 1564 -47741 146744 -8519 -193609 -140632 -45146 134618 102062 127824 50367 -103253 6388 117206 15564 132428 -5173 -22401 -49994 88007 -41976 13590 91228 110200 -89756 -70338 39155 -90645 77650 4705 46564 81839 -14652 -74526 110207 28270 78918 758 -77454 36756 47765 -41753 37587 17745 -38648 178367 44316 -99825 -178296 105240 -44069 129528 176834 81543 -16725 78630 -58907 22475 160607 22581 -91003 -195778 -23489 -20605 -59041 60092 139290 -50935 222290 -16907 89191 -39543 16249 -91039 20461 -118613 -111804 72887 -292057 32860 -39214 -14628 23870 -42409 48445 
+-85853 -48820 -20504 54524 -102380 43090 -10346 81657 83698 29463 -22062 -38457 48990 -31894 7595 -19826 112067 55370 -5970 51374 90890 -151796 46151 -71605 -11433 64033 102248 40566 -121513 -34263 -194322 -47756 -69141 -211888 87222 -127710 -60815 -129554 -90146 -21420 -203974 -125522 -6322 8595 -67431 27988 -187735 -1327 1193 -53488 13650 9296 -78876 -49100 -174880 9060 45771 -31451 38894 16393 27527 51869 151970 53950 17084 59187 170209 -221780 -83726 15320 57508 42550 -26609 144254 89021 141458 63467 -86021 115515 -144394 -157407 33696 179366 11839 41101 -250421 -15071 -149847 20798 -19636 -54618 75064 46534 -24008 58566 -57719 17417 71063 -40680 77420 -41775 -53911 31753 132898 48886 74175 -25799 -144953 -42377 -20984 -29018 77914 -28103 -85426 13609 -111972 -26964 -190383 95792 251699 10536 73682 34032 184020 -54993 -47024 16138 -82731 -84084 107165 16380 50384 60216 -170880 45853 -73017 245718 -44922 10256 36275 31337 142746 11204 -174576 82201 47713 98761 66776 69454 -74548 -177735 23637 5549 78742 114042 -27962 103800 -97327 112679 29050 -1619 155054 24262 -204739 -141722 59149 110215 138334 72562 28809 -41995 87040 132663 -41900 119985 -45737 -23003 -126555 87897 -115029 15872 79609 10479 -194988 -89489 19448 -124648 146643 22211 21736 -49764 -9866 -95190 55274 -2291 73150 51599 -120918 51147 1082 -62264 16927 -12001 -85840 233590 27270 -64116 -138210 72828 -53832 103016 170631 53561 -39625 89203 -55350 -17176 98501 24436 -99728 -173411 -48769 41995 -126614 95100 132825 19676 214008 -26428 100930 22100 27730 -101035 38501 -123694 -129998 14120 -301000 56403 -41923 -64790 -82774 53140 58933 
+-72240 -145122 -12156 154676 -35359 51541 114340 53937 92555 20808 10597 -46439 53460 -125794 -3862 -59105 78684 59261 7597 18391 82746 -136618 26608 -91644 -13063 80002 -26374 6347 -127037 74396 -152389 -69650 -60999 -209556 88355 -94529 -56561 -122258 -36521 -15742 -161054 -89296 -69405 16279 154 34361 -142022 -14263 62387 -26637 53307 190312 -146602 -43745 -208498 -53421 99223 -19347 37566 -17636 14593 29892 45912 -4764 16883 -20275 148836 -78621 -94677 187951 33814 49130 -125014 27248 81535 345 64784 -112844 99330 -80044 -127851 30382 148353 8340 9841 -195331 51154 -158789 195 -11571 -34348 71683 45240 34775 -5695 -68223 44800 173427 -68683 -53145 15638 -53256 45521 115770 82830 23104 -41798 -36206 -213032 -35865 -16704 69178 121492 -44740 1380 -76432 -31130 -191976 133540 174965 121089 88966 10515 245097 99247 -42909 11605 -38146 -51387 111986 -60540 41411 94988 -173779 64244 -82417 159010 -54721 -13042 24754 31051 49362 -37852 -89139 62096 106889 -70718 -128654 183918 -28319 -112440 31018 42169 132783 109879 -77952 75171 -77296 82139 139441 40002 110168 112761 -107409 -139694 97216 39488 118434 3425 52370 -13620 84119 27175 -122993 115620 -34865 -25123 -178345 100016 -162488 -18068 99466 -69108 -125686 -103515 -3053 -136270 135386 66682 -14 -16209 -13059 -63320 37354 39041 64993 10111 -141752 24450 -29085 -101496 -313 -59561 -164651 163411 47173 -13080 -11280 47600 -28496 -37491 72154 -15361 -18623 83606 -70205 32163 36441 29789 -74350 -28147 -71622 106271 -60058 103218 88774 49410 41114 -16713 107823 -76634 49784 -92420 10516 -24219 -106079 -51684 -276956 27671 -16938 -61790 -101488 119159 55002 
+-79373 -149718 12949 159491 -543 58479 73551 16805 -17168 48680 19308 -58924 57274 -182718 -125262 -129087 7919 68873 23210 32414 93407 -68528 -17300 -95974 -12684 83202 -96258 37227 -84916 185210 -61805 8047 -63046 -207765 67130 62172 -55989 -95462 -11483 -28842 -40853 -64404 -83478 59520 22714 15125 -26748 -26121 104233 -46297 78387 214504 -157859 -37808 -239526 -98893 19612 -34795 40173 -51948 17786 26844 -101499 34167 29929 -22705 36375 70329 -105309 215075 -21670 11692 -138885 -30884 62133 -158408 95213 -74278 50048 -20955 -70426 10480 56694 -22001 41021 -6795 89416 -140485 -52423 13952 21648 72853 34408 43490 -88703 -53820 54873 176962 -136883 -177115 41162 -93132 39773 30407 98458 -9503 -56620 82167 -231079 2921 11149 72117 47836 7287 -20103 -130559 -35725 -151931 31713 11608 191846 46460 29949 245277 152217 -47376 -4262 -41943 -71448 57672 -81618 65427 122475 -163595 29424 -62892 32838 -33839 -33439 -1378 33145 -24037 -59942 -15053 36481 160119 -211490 -193151 197658 -57953 -21028 52320 66664 -18272 103081 -105256 77737 -59985 76199 166484 57484 127712 172927 -8165 -146607 49432 -34400 121363 -77413 84683 30618 96185 -79611 -97112 181955 42 -23042 -208888 90965 -163542 -23761 129188 -73920 38010 -112654 427 -63883 -17072 51905 -18705 85995 -9444 -76106 33359 66389 73903 -80794 -173530 43504 -33052 -80896 -50624 -83659 -208052 33370 105045 -22751 49468 12269 49498 -147105 5285 -75875 -82101 62837 -87900 11420 -11465 29570 -17156 120447 -116371 112397 81405 110996 70769 -7449 -101545 -13386 106411 -173984 17552 -82389 -3086 64046 -73869 -21221 -213143 -32725 11534 -118081 -35046 86447 60008 
+-91418 -72188 -26591 48245 -56535 56727 -88219 -1530 -204219 27343 22944 -62086 58385 -167650 -153740 -173877 -32252 59898 34981 28069 77499 -66147 -56289 -70265 -7081 97160 -59274 32476 -86746 251910 5133 78929 -6816 -192036 72121 165913 -51486 -98460 61520 -23444 34418 -69605 -42947 120876 -23149 -3407 30749 -21679 142019 -37625 132629 68462 -137803 -69298 -249133 -130606 -98106 -37903 44018 -33379 25580 42689 -191459 116833 48271 29208 -22373 33397 -116352 60657 2401 -44692 -41810 33768 75799 -170964 105103 -8026 2404 55939 -46112 -49743 -45931 -37115 62969 92695 14440 -113611 -26299 2286 49578 74908 27299 59226 -121586 -72283 -4094 78425 -120874 -251320 -15387 -147876 43490 -24006 93037 -54553 -70016 120038 -78185 97253 33467 89030 -159433 70334 -26101 -85075 -40746 -102801 -66402 -53974 252977 -30323 41397 276604 17941 -34368 -23739 -79997 -93405 -26937 -106289 60174 140779 -160680 23993 -67613 -32275 5434 6926 39980 35835 -56261 -39804 22601 13487 194728 -161541 -17575 79571 -59717 50791 30037 85168 -174298 86202 -94724 42748 -66921 74655 139123 39733 76833 143950 -3324 -143675 -42169 -125028 105556 -134758 123464 64212 47643 -170023 2385 174846 -14747 -25947 -194492 87795 -184748 9352 80412 -36261 99262 -121088 10544 -29379 -38843 69772 -26430 210689 -8911 -8500 23280 124250 51063 -139179 -190455 32188 -31335 -90462 -87113 -91321 -226718 -42510 103152 59777 -17999 1895 116987 -168882 -137435 -110174 -17640 30720 -90791 33105 -45441 22696 56318 98814 -148591 143967 175122 111817 40128 -106446 -98227 -5906 98211 -178990 50979 -37263 56083 9823 -43690 49165 -221649 -9807 -9823 -92076 89382 -29417 54743 
+-87257 -13740 10513 -47703 -124783 56281 -173784 -18680 -266300 38406 1391 -54357 55577 -89970 -211870 -212072 -33935 22223 69437 49540 40969 -10248 -38641 -101095 -16033 93908 19643 44262 -42431 248937 79718 104523 -31127 -200894 48012 189280 -47086 -92347 95640 168 -28150 -20801 33856 178504 -85593 4109 -32570 -19346 61871 -19209 123386 -60922 -85892 -34953 -217452 -64386 -190656 -23197 47112 2831 32653 14481 -144017 152651 65740 76134 12173 -136789 -112479 -82716 43838 -102761 93490 148568 61853 3234 96842 47544 -37740 121328 -12222 -69001 -79189 -52637 91651 -520 -54228 -116331 -32009 9149 -15181 75960 15550 88481 -104349 -64957 -20655 -43778 -73275 -257279 3774 -148695 49551 3101 68401 -74559 -81925 47333 90980 173211 52634 71198 -199623 132383 -1414 -133801 -42774 -52102 -33126 -20978 281294 -22696 21002 279923 -66128 -10067 -41950 -83274 -142212 -113517 -40593 67048 147988 -144875 95248 -83135 -52915 46394 -4918 96663 37048 -11097 -32415 -17385 2705 212280 29464 131303 -23367 -106553 54198 6743 81559 -225639 112441 -64875 -10839 -75030 82276 71834 3485 69343 49912 -27359 -90162 -64586 -203521 75457 -173665 142056 74797 -19500 -174652 37582 198340 -970 -22542 -149548 75686 -142683 12881 62875 29169 11404 -120852 35257 28204 -73680 81257 -22100 144437 -20179 8401 -11790 125292 59856 -163647 -151062 51210 -6621 -86729 -57776 -96816 -161395 26734 115980 56275 -148247 -29922 121433 -155724 -167273 -121568 -56843 -9351 -76969 14629 -54811 47319 136845 -50559 -156784 161994 192595 92949 102224 -77847 1068 9175 86032 -70591 35783 -41405 11534 -112598 -58347 55559 -131436 -56798 -47574 -78070 60268 -93272 85636 
+-73909 -48270 -6323 -51784 -167331 66265 -30241 -19984 -127767 26662 -14982 -41981 52995 23683 -270444 -228284 3023 -6724 42073 45241 24261 5778 -63969 -71610 -13700 87308 99903 22872 -1015 173482 119976 9344 -18137 -178865 59837 32709 -67858 -68193 103678 -21663 -157782 -56873 120313 187065 -90389 27406 -165063 -48209 13675 -1773 132615 -63600 5299 -45157 -144405 -8309 -200645 -22725 48503 33818 49413 38830 5482 118156 74053 77522 109937 -226825 -124279 20948 48644 -138185 199703 211534 59721 123249 98274 113966 -56020 152372 21906 -83112 -30301 -44697 40376 -170622 -113141 -109578 436 -13289 -23517 79703 20701 65728 -19708 -48211 -29638 -93774 -67021 -182551 -4462 -113732 73608 71825 28266 -95221 -92632 -77784 104943 193964 62169 73976 -30995 167932 -6726 -148543 -40077 -10510 88875 127422 245156 -80122 14221 288669 -64271 3595 -65644 -94987 -117027 -133240 19929 65220 144340 -134605 42588 -71699 3123 49224 12708 163985 35768 75614 -1805 -57016 6341 218441 116171 106394 -84407 -130950 11744 46102 78511 -96356 85739 -24796 -51229 -46476 29602 -15800 -39047 74039 -9852 -142800 -118630 16106 -241915 65887 -150036 115100 58256 -88434 -61125 16200 184582 -7545 -17459 -76817 60415 -101428 4143 75641 165015 -171116 -133059 46457 -2905 48348 60262 -6749 -10119 -6394 29720 -2807 99443 48334 -111268 -168329 56037 42912 -74729 -143921 -89825 -98462 159393 158922 132313 -178349 -73960 95627 -45884 -202236 -89927 -54227 -49846 -57996 79778 -36699 39401 192586 -186828 -162847 111433 96466 111650 124781 -24750 167209 1328 72308 4754 3466 -2964 -5219 -133060 -84608 18373 -84714 -39068 -6557 -25735 -107201 -74179 95391 
+-81394 -134629 -54604 61237 -95145 45214 131526 -8425 66312 40668 7896 -36807 47868 125664 -290559 -221305 39604 -63871 19740 30627 -2730 51740 -25110 -102301 -11287 67855 87366 16676 61739 54278 128896 -25862 -76086 -159204 53598 -75713 -81440 -93039 25757 5780 -202841 -99237 177301 164505 -73579 34587 -189597 13794 -84972 10122 122947 47806 112767 -70269 -73615 30710 -119288 -23978 58038 25250 49775 57708 132338 44891 66620 17230 160919 -120404 -131608 180622 105609 -134520 247190 149455 80871 -21148 95841 129471 -43281 185783 61330 -115828 81072 -28182 37471 -253865 -112501 -125637 8772 -14976 -63830 83516 10969 54891 55974 -61445 -71275 -32055 -27874 -45394 -8737 -59785 77575 134032 -14373 -93084 -101064 -159426 -52134 161513 94687 47948 95209 200374 -33549 -131167 -41905 23373 145420 242675 248800 -150902 6487 268644 40011 2975 -89568 -94848 -73841 -82750 93718 65420 129108 -131803 73021 -60328 117586 10210 17289 147817 32991 165660 86796 -134874 25205 185655 2394 -87844 -21598 -121337 -39695 -28326 75405 83412 89008 -18291 -61679 -60543 20789 8862 -80472 40132 16657 -203459 -87886 133314 -255375 85351 -97043 64375 42676 -130871 46233 -71942 199909 -11517 -25374 2759 48650 -66439 -7064 74522 143068 -184882 -124626 12573 -75558 94433 75015 20704 -55452 -12207 75033 18170 73156 30638 37644 -150418 81600 95464 -31411 -113202 -83193 6605 236625 147455 169951 -74463 -71155 54840 83866 -126698 -16425 -48407 -85576 -55746 21642 8008 16810 227060 -173463 -119079 106439 -23007 140306 118760 30568 234200 2685 63220 -43082 20033 20427 -13598 -84346 -120572 -48275 -30031 -26090 -26792 -13790 -114035 -4448 94357 
+-85886 -143465 -37274 138431 -24584 58229 89515 17245 108323 26162 26417 -44193 46360 171933 -259791 -218076 98538 -108558 47230 35538 -57259 91198 528 -100653 -14208 33955 -5855 19148 89827 -40897 86432 -70938 -60897 -137932 69314 -109333 -101323 -102562 -25397 -15600 -105840 -132764 184272 119633 -6628 15818 -65622 -21975 -131653 39363 86231 215979 187448 -52731 -7728 -6495 -2340 -38118 59887 -10419 47898 22114 142631 292 50832 6501 73934 46580 -136241 224835 130843 -98594 148795 28474 80745 -174712 99778 107051 -44813 179148 63276 -83814 167433 -6017 12282 -116963 -64410 -62804 9778 15091 -4591 83201 12384 35614 82660 -51264 -106496 92881 -1786 76335 10128 -37247 74405 115938 -50684 -86704 -107985 -136084 -219969 70504 50852 50766 23968 208669 -18054 -116433 -40688 14043 39895 203288 154640 -194035 6118 250379 128661 -26386 -116068 -103178 -80094 -13620 109421 53639 104395 -113949 67684 -77417 205305 -36037 6681 158544 30945 231042 122166 -191744 49136 138437 -182420 -207753 108044 -126811 -93082 -23141 57920 132760 76638 -59962 -36257 -65894 37781 44262 -98207 33424 111217 -189141 -73197 69869 -217594 55735 -16357 46540 20788 -130666 116727 -132579 237680 -15161 -25876 57167 26211 -19268 -4431 99809 194551 -32506 -156822 -16943 -109453 146011 51950 38284 31701 -10098 57111 19897 16044 49898 1660 -182657 50061 147788 14583 -139921 -83547 27653 181798 94036 194537 47023 -124070 -30185 137397 -62615 36502 -41936 -110769 -68601 23467 63919 51599 219641 -40117 -86550 -18682 -123378 153884 139955 22772 210908 1990 61670 -133298 22376 47054 -9959 35934 -114718 -49462 17685 10110 -45807 -69451 -71138 87164 76636 
+-93936 -80354 -43957 161507 12514 18444 -85292 21424 -54249 71066 35727 -55192 47891 158992 -246278 -185774 117283 -160775 39114 38626 -81568 105198 26986 -93081 -15129 17263 -85056 6500 131127 -94744 43495 -7491 -17838 -114809 73804 -8894 -92355 -63296 -66158 -41005 27064 -130397 129836 59068 19646 -3198 10191 19839 -208028 61015 18423 201541 215016 -61219 32710 -61853 79634 -40184 67727 -36137 31681 2489 38120 37262 32311 15343 -22300 57867 -126101 57264 145196 -48744 13943 -34579 57529 -186176 102163 47968 -7185 128644 72462 -45040 176056 13438 45482 66822 11682 -50934 -8666 -966 10145 82435 296 -16554 33082 -36397 -112179 182539 -16263 108431 6672 -47850 61699 44388 -71568 -59597 -112728 -20379 -227141 -7754 62017 49721 -165356 195343 -12058 -66123 -45973 1595 -79321 45872 69847 -191841 4666 198786 92010 -27768 -136695 -92574 -73802 86234 146774 50734 71482 -84188 53752 -41744 261315 -40521 -24547 138325 31360 230105 151003 -158004 73920 75411 -203749 -79936 208521 -102079 -181216 -52660 36626 10398 80939 -54169 -21789 -77850 49181 139134 -85073 11152 173463 -114864 -69622 -33126 -157390 65801 65123 33631 -25431 -87940 68591 -89494 262383 -36555 -26077 93270 2967 6100 9507 110750 158647 102495 -131751 -7219 -129329 84510 90072 57210 159695 4632 14312 17378 -4923 36994 -10502 -195106 87926 199037 58680 -121155 -48646 149863 49245 36600 218107 34006 -147969 -46724 97940 90826 78996 -57112 -121870 -89178 20644 127071 35355 177094 120584 -48394 -59062 -65234 165536 129061 -37913 92254 -5594 67823 -185667 3377 64308 -7098 33251 -91511 6064 57189 13403 -19059 -102021 24419 108410 80486 
+-95121 -23577 -16652 32757 -60569 -4275 -167525 44612 -229363 18874 17175 -63451 51809 86564 -194331 -149636 114602 -199123 37696 37302 -118787 121312 46379 -101526 -7959 -13337 -64376 15345 173476 -75441 -47772 39153 -43880 -90853 90462 163982 -103854 -45885 -90631 -66302 14060 -142630 32103 13055 -43073 3548 9783 49735 -249845 91523 26215 16215 205195 -41941 41748 -108171 63562 2674 73936 -38543 19043 50309 -114850 102296 17192 86453 -35251 -92538 -119737 -68736 115525 12824 -110355 34939 57120 6180 82326 -35569 36998 70464 62941 3734 83859 24168 68497 56745 102960 -49437 -23519 1831 41473 82960 377 -74133 -80612 -46383 -91726 165837 -44168 81526 -9527 -114330 66001 -28926 -71725 -17486 -115805 94318 -68813 -37769 -5504 59825 -202550 161547 -7664 -124512 -41753 -44291 -41443 -47729 97 -195151 24703 148512 -46835 -46945 -158693 -80233 -172186 110304 73357 62256 32146 -65346 32178 -47517 207327 -39574 -18739 99959 33823 169821 184470 -98592 87939 19818 -51894 136766 183057 -79055 -215068 -72736 8283 -189690 86692 -85323 17488 -86344 70140 178456 -44941 -13010 148793 -36329 -37889 -84095 -88082 33505 127368 55184 -64965 -100924 27706 28013 249755 -52343 -18213 86820 -15875 19466 22720 97538 80587 61948 -148871 8227 -117227 -16994 86427 63923 182964 2276 6154 22682 39894 37694 -61748 -195721 87817 226224 107581 -120916 -19823 141870 -52190 38432 196938 -95652 -112906 -68171 3962 126298 80100 -84642 -116416 -88674 56761 184442 40649 107247 120739 -33446 -82221 49509 145902 76504 -89407 -74852 330 79537 -130255 15595 85397 14363 -56487 -53498 58772 105660 57019 -24283 -79023 47776 44841 53416 
+-110432 -30960 -52771 -55660 -142170 -5802 -41009 85091 -277350 44056 1427 -62163 55615 -24402 -125436 -96881 52703 -222769 -53331 82179 -154998 141054 61972 -87151 -8920 -38267 38283 917 192484 8724 -126419 92663 -51549 -54420 82310 193798 -91681 -76152 -139557 -41884 -97319 -135924 -34408 -1281 -99820 26852 -104841 75426 -227997 106810 -32571 -64235 157217 -25762 42843 -120591 -41120 -62522 80028 669 11517 50117 -190928 151307 15483 86416 100366 -230739 -108702 -25788 86622 49043 -152397 149807 58735 123359 78915 -72734 79882 -12750 58709 60530 -8867 7480 73581 -78973 86501 -29661 -23093 -20053 1846 82443 -7239 -113584 -124689 -24296 -57979 56418 -53331 -21653 -4546 -153550 70063 5080 -51362 30093 -116790 117688 96071 -9077 -16024 91898 -40678 111341 -34602 -75786 -43529 -99751 90834 -34923 -71436 -234776 8919 115465 -51793 -53150 -178437 -136068 -147263 86127 -9273 58243 -9806 -37110 76263 -50454 99450 -9307 -22963 60606 36478 75717 259110 -37038 87304 -46895 116175 158311 46029 -52462 -174697 -110464 -11119 -218661 79378 -85763 53325 -75538 83798 162814 -2093 -14500 55566 16202 -15951 10796 -4886 40942 140552 96365 -113494 -9994 -132335 38269 254111 -29443 -21861 22698 -35600 -43886 -6042 72560 -15198 -97763 -143048 37312 -60081 -86145 89964 56001 50896 -837 -13692 22369 84505 20953 -127570 -156540 80821 234246 147768 -93406 10639 154478 -2455 48267 226461 -188925 -134781 -10174 -102728 165438 33554 -60045 -96259 -77998 17331 230981 71374 23862 -38333 -33931 -92232 148014 140490 58802 -70075 -118483 -17492 91863 -13244 -1867 119880 -25211 -186978 -44139 20843 81919 67642 -21778 -52365 -73971 -62776 62814 
+-103731 -125637 211 -55786 -169800 -4788 109324 114576 -134625 41804 -11026 -57745 56639 -120483 -48070 -49099 22511 -231831 10162 48264 -195707 145556 25871 -102751 -11067 -47746 107706 -18982 145405 127508 -178722 69028 -38489 -28267 76069 77977 -84700 -51495 -88018 -16747 -212233 -82587 -86647 27063 -113552 34803 -191187 57205 -217391 122877 -52208 -75577 81290 -32499 -1108 -76363 -155720 -16762 86780 30286 24699 26261 -126169 114990 27086 20174 155440 -151945 -95103 179603 81618 48719 -74643 215470 49791 35675 93353 -90598 112641 -73125 28370 16618 -78459 -3502 64502 -239848 11496 -41851 -22167 -3274 -43293 80494 -3577 -156885 -117991 -23081 -64089 -59779 -97406 -163687 -24405 -144426 91311 74314 -14937 86331 -115660 35765 98934 66861 -61357 82925 112936 50666 -22595 -120161 -35975 -137952 130714 123476 -94852 -220823 6871 52353 45912 -86238 -186279 -148539 -62518 -19210 -106502 55227 -52566 -32248 88602 -42665 -4767 39223 -20300 7113 36982 -5523 152690 47963 71566 -88092 61777 -32078 -70101 -42127 -131255 -71756 -19313 -81730 79472 -93685 81540 -74948 56855 95437 39889 -39996 -11282 -10607 -14611 93687 73940 8720 129904 120365 -139521 34038 -201544 25112 216233 6881 -21908 -58607 -53895 -95701 -52437 87830 -85779 -194327 -134440 41198 -12833 -4289 62150 45693 -30262 -3553 -61454 81488 112208 24755 -133241 -190973 83976 219436 210598 -66940 42146 116702 128568 44541 179874 -121701 -106353 47347 -182626 101525 -22765 -83685 -63827 -59263 45759 251231 2102 -43401 -180632 -63938 -92991 218572 157311 38750 24923 -28746 -9146 103095 -20928 4074 140614 -28466 -111829 -64892 -38801 85156 61130 -54295 -27687 -117046 -114618 45696 
+-100597 -160254 5940 33305 -109065 -40716 82547 124700 23798 13095 14713 -42447 56236 -187730 71423 -10501 -5375 -214715 -8132 23165 -176655 132510 -48516 -90438 -11228 -68575 73622 3251 102324 227677 -227012 -20550 -30092 -6970 62123 -68781 -72068 -47543 -73050 -27595 -158930 -47410 -79241 77507 -76092 16528 -148511 53853 -129368 147806 -34699 82626 -3806 -51724 -79678 -12341 -220873 -36912 90516 34407 32726 52313 15180 45659 46717 -11186 118793 25501 -93954 212393 31656 8863 64556 151059 99876 -179903 60130 -58439 84555 -130152 4120 384 -61556 -23096 59358 -210138 -86318 -38899 -15402 15153 -42787 78957 -9643 -171615 -46994 -17424 -7832 -90809 -117894 -254016 -11520 -103188 76291 129926 28370 111791 -112656 -90729 -62568 155545 -107696 92520 20706 -2763 -11897 -41279 -39764 -175976 40822 236374 -94563 -222379 15413 25783 123211 -23083 -193805 -128122 -39377 -100586 -109901 62934 -92539 -16201 48035 -30149 -55212 61892 260 23175 35142 -48136 112155 8621 46114 -108418 -120751 -201072 -101904 -61727 -43566 -137853 -34110 71122 77827 -87912 124056 -64859 112433 -7652 57935 -68141 13253 -96478 -13764 88308 122724 -22055 75260 137928 -184966 85654 -135144 -110775 232197 -6993 -18762 -138558 -59649 -119498 -4684 80900 -57805 -121489 -134306 -12000 -1848 52540 83019 17744 -15020 4979 -89554 74731 127812 8101 -78920 -152877 79558 183397 233930 -45304 75190 65948 237724 34214 158512 19739 -90785 87777 -167927 3060 -83846 -40249 -24636 -54780 6106 246687 30702 -91967 -178104 -101325 -90475 120772 146967 77583 70416 134169 -19231 107372 -71915 -10104 166414 -40030 -34882 -110036 -47775 89088 3763 -34395 -35146 -31093 -62589 54985 
+-87754 -114738 -273 155184 11324 -36107 -67657 137385 97494 49572 24198 -37688 53336 -168356 60038 38896 -20972 -196230 11084 27986 -192333 129573 -66625 -70286 -6771 -80371 -7969 -6796 77059 260248 -219018 -57330 -6690 8967 63985 -141106 -66853 -48633 -46494 -21646 -37826 -17515 -17144 135873 4456 -2971 -17667 57371 -49218 150846 -1402 192955 -100481 -59160 -126925 33287 -171551 -47228 101484 -4055 52588 446 123533 4128 65447 -9956 -3540 74220 -90477 65864 -32183 -44373 174275 27777 93105 -184420 80979 1498 121593 -180285 -39340 -48964 37530 -42859 27144 -37282 -166169 -30901 17956 11333 -45943 74837 -6161 -186245 32005 -24489 22924 -12383 -96299 -259899 12689 -63427 72513 114230 68202 159669 -107655 -161626 -223257 191138 -124060 80978 -150549 -57952 -13093 -58050 -36888 -196206 -63070 219153 -45048 -200690 25200 -16372 94877 -29960 -189285 -121984 -73319 -167010 -74699 67243 -127215 -6943 61556 -46195 -67073 51070 -19462 55125 32378 -29254 109877 -55887 22118 -113875 -218812 -136576 52924 -80675 17474 -165387 -35888 133805 80622 -72927 65738 -62346 110441 -21798 47179 -93018 103035 -196612 10749 -22272 146599 -29115 -12771 108796 -210810 99733 -30404 -106047 231004 -18552 -28881 -193042 -74634 -163326 9020 84028 -18498 47637 -138365 -42239 -3650 147000 76105 -726 140427 -13354 -92761 66404 110008 -7435 8873 -173999 110262 131007 246977 13203 73738 -11195 205384 48870 127131 87950 -64108 130637 -73025 -120464 -121340 -35185 16507 -67805 13289 217052 29208 -99436 -28529 -136301 -56342 -28136 141663 108600 7226 273035 -21711 104566 -215800 -15301 191531 -19740 34313 -124357 27334 63606 32503 -47439 -96449 58900 34371 20417 
+-76171 -22286 -18550 160002 -12107 -17587 -161618 138048 -23647 41582 25397 -45206 49635 -99925 93972 74824 -21933 -157100 57923 1958 -198678 96483 -65221 -94847 -8026 -86406 -98444 -28534 6607 224124 -189862 -57182 6223 37696 78595 -52884 -57067 -3407 23490 6016 26426 -36486 74859 173467 27519 3021 40500 27823 18782 137333 -12281 150459 -161768 -36630 -193846 26330 -46181 -39896 97938 -36640 54856 9981 132592 35279 74055 53591 -7271 -69406 -71972 -58701 6879 -101790 238466 -27694 78728 -8178 83050 66162 123425 -181496 -82225 -84294 131838 -46936 36906 77276 -123751 -51131 32738 26417 15217 75813 -3679 -172374 88131 -24508 29797 113830 -77844 -189857 -28051 -49139 52273 37002 93300 181570 -100653 -127676 -222764 170546 -159759 65383 -210709 -99552 -6316 -68796 -28379 -188131 -37952 74412 27724 -150598 8058 -53991 -35825 -41684 -181130 -137321 -158400 -102018 -43532 67911 -153905 -4473 38710 -26625 60916 11285 -22638 59870 30895 51165 29874 -131676 4385 -91544 -123922 89630 143164 -126998 64843 -152137 -31936 21743 79095 -6942 60723 -66538 70866 33192 6656 -100655 169926 -202888 -17705 -67420 130729 -17231 -92808 71037 -232937 77966 89360 -14550 235128 8117 -28796 -234751 -77431 -165201 29057 92854 71434 114112 -137802 23377 -85734 128800 62410 -19411 230702 3201 -64828 106331 62277 -24612 25267 -148490 92737 75869 252593 13604 101500 -80309 88176 95400 91561 -22666 -30896 116790 -7950 -190977 -108021 -17522 52353 -84420 53735 163292 17985 -78450 113880 -151978 9492 -91253 126910 148695 -79856 207676 -18337 94264 -168643 -45076 162844 -1224 -6784 -110535 62051 24516 -25374 -29529 -113937 45654 94917 2822 
+-88179 -21177 -47520 20972 -65400 -8035 -62341 129026 -198183 22043 -2096 -52388 45975 21916 126172 88803 49908 -103028 52673 53803 -190422 80702 -38785 -106230 -11798 -74691 -60365 15943 -38450 124349 -122428 43616 -11501 59951 71446 101495 -48612 501 46255 -8154 -35204 -84783 141692 202759 -50761 26246 -72484 -6760 100503 143363 33997 47027 -166665 -58333 -225009 -45145 69006 -2580 108285 -49786 47408 68319 10800 108566 68501 65817 70852 -210053 -77084 -2690 42043 -137515 187157 33590 63429 118471 110854 113523 81234 -168654 -106970 -99507 175586 -45503 53978 32887 -48117 -25834 13580 21927 59546 73835 -2147 -143830 35318 -32889 12602 187956 -39524 -54584 -11082 -78698 61605 -25287 99554 207545 -92212 -5661 -58948 91902 -196605 76216 -36381 -119157 -12117 -36997 -23026 -158669 86897 -56318 100612 -102041 7174 -112129 -91866 29 -173996 -99437 -161758 -37616 63157 68562 -171240 6240 24531 -44940 173828 -44286 -20761 67409 31873 146557 -6508 -170996 2887 -57522 63645 171656 210479 -140197 59083 -191226 -13204 -183173 85759 -10237 13470 -70845 45137 99954 -45856 -142115 149231 -162345 -22708 -9405 60451 -9447 -146725 31687 -217851 30747 125621 39882 235640 874 -28691 -186562 -77345 -165116 10657 83965 166300 -9697 -126596 28529 -134360 28785 83953 -28133 119768 2538 -15244 95034 17048 -24739 19691 -85347 111677 20274 248085 7858 96611 -155583 -25689 88910 22042 -133754 14180 37632 128567 -149841 -72167 -16358 77656 -88232 48597 102823 22600 -20751 112288 -159632 67252 -54557 145231 113735 -87035 120885 -39702 82875 -85387 -3924 174246 -44296 -80762 -76070 42555 -22498 -57044 -43698 -67985 -39606 91581 -3717 
+-96371 -88500 3043 -84138 -172061 -4039 98243 112634 -280653 58244 -26852 -57782 48885 109035 89667 93804 97904 -57143 34367 48936 -152923 51497 -3678 -99556 -4471 -55545 1290 -21057 -70251 7640 -49809 93797 -81152 78673 91490 199392 -59338 -14142 70004 -72459 -151874 -120479 180173 161046 -102412 34968 -185548 -13169 135624 113291 59108 -99055 -126141 -31873 -268699 -112345 91541 -37484 114066 -19995 28986 44430 -127148 155292 52786 59477 170121 -169272 -72614 140526 86515 -134424 37828 155332 57819 55046 93603 130508 8708 -138627 -162865 -105051 115395 -23817 30541 -164686 70540 -45642 -25775 11606 -2496 72308 -11267 -125504 -38497 -6805 25926 151863 -26394 74397 -32079 -132952 57756 -5627 82806 216813 -81569 103790 101459 2878 -143043 68584 118564 -127067 14917 -50385 -31479 -114518 138802 -29151 183313 -52021 -144 -80972 -11009 12517 -153706 -117906 -84610 56040 134645 57020 -177596 -528 28411 -10153 217132 -46713 -19197 102410 34477 214849 -29228 -210208 15191 -1450 104950 29717 132761 -141825 -19959 -179375 17256 -247550 93718 -15712 -22870 -98826 44094 180166 -81420 -147640 58908 -55389 -13536 86494 -13381 -42380 -165610 21957 -200493 -53149 87621 43190 229241 -25611 -32964 -147284 -68671 -125169 18727 111334 214636 -169049 -116636 38587 -116574 -73453 72478 -16290 -6424 1141 36914 124448 -2897 -8340 -58785 -78065 96366 -19487 234681 10690 80314 -189643 -27503 129227 -24673 -181805 29649 -6137 131396 -149909 1320 -71237 89107 -77934 54358 43605 35876 62350 -29346 -138091 118686 25936 106158 98748 -42007 -56686 -32093 69606 6649 26546 141257 -26549 -186258 -54224 -27078 -51948 -22373 -42434 -39058 -155256 -7919 -5308 
+-94185 -137056 -46777 -68687 -184134 16687 108453 88887 -172209 40945 -8907 -62842 50288 182056 34794 82403 126948 -16748 21505 39362 -128957 25316 23026 -75920 -7584 -43199 96659 -51923 -113924 -73891 42048 89535 -20650 88752 75582 111349 -65427 293 89184 -59093 -197974 -141507 168792 112888 -95738 17231 -190294 -14316 108410 91834 137416 -28986 -65016 -43619 -222405 -154924 5222 -30614 119742 22490 15310 49043 -186878 117296 33140 742 124990 -6589 -63710 199256 80901 -91919 -66845 205450 68924 -90109 109342 107658 -20213 -54753 -193375 -74190 23463 -2779 79672 -243220 89551 -73942 -43842 20843 -50003 74460 -12777 -51583 -106813 -7987 -20637 33751 -15868 125355 -5152 -150648 45157 76006 48840 203216 -69336 115779 95357 -37068 -162523 69172 50841 -108396 -2999 -87096 -22287 -59746 51043 83026 244044 -46388 18193 -95100 121196 495 -134818 -74189 -80501 107563 147296 52589 -172294 -3753 49841 -15726 231578 -42846 1398 163420 36676 234714 -66561 -128486 39100 60561 -45698 -171490 19435 -132997 -95349 -197797 31438 -79309 81473 -51297 -76004 -58716 40215 164622 -98936 -154893 -7522 10699 -41613 128696 -104473 -31708 -146242 54199 -169829 -117221 -32557 -45560 208333 -38012 -31170 -55387 -70001 -78132 -20297 101823 220503 -190911 -104203 31505 -111991 -58024 35110 -6818 -30887 -6343 72534 140812 31380 -39009 -133182 -64513 107651 -36134 199480 -43133 80023 -176912 81846 160509 -45719 -62795 66471 -55990 86029 -61548 51166 -57165 84276 -59825 48036 -10971 27450 134487 -186330 -94893 142673 143415 140859 70466 17993 -106851 -42474 62673 -66477 -18665 147402 -29298 -91594 -60280 -56140 -126059 -59374 -19497 -62835 -96473 -75504 -12879 
+-79758 -111490 -28957 56810 -96293 16523 -72111 70778 48379 28862 19429 -48650 53490 164212 -30432 54334 103241 38997 30452 33210 -86745 -19506 34121 -27999 -4950 -9273 93504 -36470 -110912 -92419 88648 -8285 -41620 104586 36063 3912 -82422 21322 24256 -40946 -100527 -138234 94169 38406 -53847 -2677 -33653 -23178 78421 67560 156045 116096 46018 -66102 -192164 -89820 -120492 3349 126505 30341 11519 53082 -104608 38937 18176 -24014 32586 83027 -76867 90707 130832 -44838 -149262 147419 94871 -215305 111196 21377 -35152 211 -208639 -59860 -53627 14393 96810 -141926 54286 -64235 -30335 24916 -39791 76772 -12967 -12086 -132867 1516 -32161 -73105 -50548 77369 9363 -123160 35816 125343 7315 191810 -56179 19253 -70443 -24437 -135990 44506 -156850 -69727 -26372 -30745 -17740 -11460 -63736 213818 272225 -811 9069 -119676 119265 -11313 -113571 -74643 -61094 97220 101776 50000 -156801 -16042 44204 -42208 136040 3369 11839 157984 36661 185490 -48907 -40081 65893 130551 -205328 -174966 -89849 -82746 -166250 -177639 63397 71021 97066 -115201 -46136 -88918 9212 104427 -81697 -166224 10162 11764 -44297 18691 -182331 -25100 -81167 92180 -129238 -146870 -176129 -119394 210994 -29871 -31610 16950 -50366 -3968 -9968 47707 168214 -30646 -94606 -28398 -64233 9850 28583 22624 81741 -13873 74676 119419 100144 -27734 -137917 -85945 85912 -46226 170321 -49334 49145 -183485 213545 135019 -79396 55242 74385 -40730 -40603 60992 82124 -61447 64034 -52905 29730 -42794 17389 197764 -198956 -61416 200889 192635 105549 38571 60091 -60510 -45543 62080 -157317 -9103 108001 -30235 13330 -81346 -9693 -182769 -9516 -30944 -89316 88539 -100691 -35564 
+-74597 -49099 -1237 162655 -8465 37574 -179151 45980 104607 39054 34390 -41029 57239 85270 -104997 -7087 81038 50686 19936 16882 -42768 -39201 37666 -68709 -9542 25812 -48420 -4100 -119408 -38797 114489 -49455 -31280 104366 60483 -119369 -88196 5236 -30049 -22886 -3546 -99322 -826 -317 -1127 2471 38445 -82183 6583 47375 142321 187433 117605 -67511 -138013 -19222 -199210 -38374 129390 10599 32227 17060 32817 8760 16220 30146 -14710 -32965 -78729 -66664 132078 12022 -109876 23937 80681 -55914 62770 -37795 -75689 89834 -220368 2905 -73996 16196 41187 34945 -37421 -82852 -238 38424 -11947 78846 -12450 15446 -81839 22390 -77561 -84390 -84952 -12114 -2164 -80205 26367 125218 -34517 163482 -41006 -103097 -229741 51153 -109603 39307 -213508 -19585 -23158 -41931 -17602 11459 -37212 231848 261837 56628 21901 -76493 -3881 -10811 -84856 -84273 -117100 12848 20898 51718 -131271 -12027 83529 -66421 55018 55023 -4211 166743 34537 101547 -57815 4853 83653 177147 -187695 26119 -82262 -75794 -184113 -217706 75270 135957 96052 -84531 -48684 -62834 22367 34092 -50896 -186226 93987 -40536 -98352 -80209 -234939 19385 -3233 127804 -76975 -140778 -182807 -86297 184689 -25268 -33055 77624 -46394 542 11194 80517 17564 96968 -81634 -17946 -7047 94452 42039 40637 185251 -12582 67882 115509 126143 -33695 -107642 -23376 82888 -27082 117550 -78093 27168 -135842 218637 130278 -102044 27699 155090 -14476 -105828 119825 66684 -21934 32149 -68168 56148 -51238 23583 219691 -39357 -28254 135924 161141 60757 52492 -6727 107242 -51552 69691 -207984 9563 73675 -26264 2385 -111636 60055 -225615 -9167 -33378 -95031 73819 -28086 -41632 
+-79050 -11743 6022 187882 -9510 50707 -72129 23052 -15805 41921 19287 -36183 57002 -18289 -195903 -48639 20985 65595 53982 41491 -6006 -97808 33780 -96143 -7325 53890 -87766 -20060 -114489 56750 115698 -51274 -27438 96813 70430 -98056 -94677 14681 -66589 -10064 25324 -108510 -68577 8263 24417 25649 -14911 -29275 -85668 35299 118193 134728 176352 -59630 -41095 33652 -202628 -27633 129170 -25115 48525 42122 150203 38546 26649 35468 39827 -200380 -86252 -2443 114863 45145 8898 -29737 69166 129511 71789 -86889 -45452 139423 -229233 52436 -8354 12477 20638 90983 -146254 -86539 27540 4472 20141 82026 -4338 48612 253 2457 -102076 8858 -117362 -135504 7831 -42134 40432 43723 -64605 117131 -25316 -161789 -217205 143945 -95655 61967 -13386 44987 -12551 -36703 -11153 25457 91590 109516 222439 85248 -10676 -24361 -63041 -45120 -58365 -69924 -137533 -74172 -39586 55722 -97693 -39882 51861 -50060 -32628 60289 8935 125883 31835 14390 4767 26913 89834 211577 9301 186591 63542 -43472 -208787 -219479 89825 -14638 102878 -63369 -9858 -77771 76026 -11098 -2450 -215290 167312 -161572 -82217 -14215 -247068 -9358 78688 135074 -43151 -126209 -121115 6096 165009 -12591 -31310 93393 -7352 -9486 22781 103080 -4468 66369 -80339 19360 7918 128080 75172 59566 175332 -13060 61014 121927 126414 -40404 -24447 -32366 66556 28470 95086 -87310 2747 -85755 117506 119750 -117462 -112595 132349 62980 -150740 160207 23279 -31450 -7450 -84936 37586 -35976 10554 227332 103294 -32306 122346 78581 74936 97225 -118936 240847 -54433 82293 -125831 3138 45454 -38178 -40027 -119851 44284 -248775 6394 -48128 -106888 -28849 67193 -52227 
+-102640 -66480 18079 55983 -96146 66088 94068 -5465 -192153 52121 -65 -42511 55777 -112897 -231662 -96928 -28579 70323 39457 69043 43196 -103222 -439 -99614 -5694 60617 -37316 -17569 -55421 171008 85489 8380 -5058 101166 73165 34786 -96382 20695 -110632 10364 -100971 -63875 -92142 32318 -42779 35125 -146658 -10075 -134170 4739 82724 -28484 209533 -41530 263 7663 -104858 -20815 130631 -42737 59581 57510 113612 115185 46426 79572 138872 -207325 -92950 168618 100919 45267 157540 29438 57562 104976 91395 -92500 -23520 179762 -216820 8989 103952 -3197 21036 -45857 -156700 -115188 25310 35705 40308 82154 -3364 86133 68918 20726 -87991 132971 -131818 -226205 -10098 -54487 26106 -16190 -74735 75860 -8379 -114659 -49891 196309 -94270 51962 138969 96086 -9981 -41394 -21775 -3177 128837 -29313 164030 64685 6194 5907 -14682 -48857 -36480 -49279 -104856 -119293 -85507 55451 -57922 -47970 51996 -48305 -70917 37470 -12856 115955 30886 -46658 54188 -48346 78577 223812 126691 92739 209475 -29686 -108374 -210759 90392 -175090 104263 -15733 53354 -48317 71880 73 36965 -224960 154333 -188843 -72950 57238 -243263 290 130879 109015 10438 -77906 14431 62421 165355 8577 -25748 58125 4327 4909 4776 92619 -93059 -109413 -63942 21096 -36446 102169 22602 68186 12704 -14625 15982 122342 107619 -49079 55962 1702 41423 83007 27503 -128968 -21376 16660 -10567 53863 -96411 -153119 144099 116790 -151908 139117 -46794 -26294 -47934 -90228 54911 2036 18668 177534 117265 -50386 126049 -78522 50624 111906 -84107 260417 -52750 95634 -28260 17625 32192 -63383 -142902 -89206 -42413 -289578 36057 -54936 -28940 -160890 90400 -85887 
+-86443 -161639 4579 -67244 -148361 92047 108129 -6121 -271507 43060 -6038 -53616 49964 -183803 -265975 -153899 -24333 54910 37414 48144 59607 -140128 -36727 -97506 -2242 85982 57546 -31054 -15534 246255 15468 66288 -71988 95821 76927 169160 -95387 33537 -115820 -14636 -186316 -34721 -61009 101071 -116930 17964 -185321 -12994 -196942 -6883 67291 -80139 184828 -43924 46646 -48151 17684 -44136 130976 -24465 62615 31305 -6725 146574 64410 23049 170974 -38160 -111065 228861 24110 12980 231437 150124 51016 -60679 40558 -62923 -15063 183600 -205953 -8774 166716 -27983 16009 -212182 -85700 -106247 -10414 2126 4129 81136 5484 84612 70013 13562 -111492 189902 -95530 -263534 -4439 -108839 33302 -15106 -63732 16050 9375 10166 105763 178290 -47733 74998 17891 151734 -4359 4698 -15731 -35991 47721 -67036 90376 101952 36013 31105 120270 -56224 -20718 -50255 -61720 -137240 -113506 51100 -15524 -77629 61514 -57286 20624 -11552 -10499 24980 32441 -38291 91297 -101556 57409 212009 33884 -122978 201974 -49127 -30380 -192246 76474 -208254 112894 -26308 85293 -90342 78786 105651 55469 -228993 70149 -202258 -91613 93775 -175164 14466 148310 73022 40659 -8509 80876 16324 128080 10229 -25362 2721 26221 -109194 -27990 65784 -75950 -204250 -41846 58672 -62482 20667 12724 60382 -59048 -13154 -51992 69741 57086 -55568 6212 8848 39942 136062 -13161 -129558 -51302 80534 -36318 9650 -77360 -114721 123156 132864 -37791 41354 -100199 -23810 -84217 -79353 4666 61504 -6515 98030 -39535 -79149 -10095 -123970 29956 121372 -9858 131330 -52131 105566 -6748 13704 1957 -58148 -127317 -58942 -45977 -292890 56580 -31620 -32960 -63136 54344 -67451 
+-78089 -132291 -63878 -58136 -138882 54103 -41481 -15024 -147902 -585 6467 -64873 46886 -168183 -287648 -190549 -5488 18758 19744 51576 67913 -183088 -45038 -77652 -1862 99120 128935 -22887 49550 248646 -64541 108736 -22670 86097 68484 200694 -82547 14559 -94156 -27806 -173346 -61859 16214 163185 -97769 -2398 -118013 7009 -251378 -33285 32492 3421 138082 -65541 51040 -103754 83237 -41880 129972 13400 42156 53062 -141793 105445 72622 -17258 85265 77857 -110137 103539 8226 -46155 214141 209539 61423 -191661 54966 13783 29259 162172 -180251 -32524 161976 -48031 48533 -224122 -18023 -137332 -33759 20628 -40447 84230 11233 64161 -1685 33717 -72091 135149 -88854 -202352 12773 -161695 47313 68001 -33240 -14440 27482 108809 91648 117578 -11344 82543 -170687 189410 -12869 -37427 -19788 -91902 -67450 81406 -14997 79776 33655 74392 117672 -62183 2286 -86434 -66140 -81372 -45447 59636 27316 -89041 25435 -77734 125610 -53739 2735 44293 35263 26429 154012 -179300 31287 179259 -153881 -182075 115217 -78585 37911 -174490 63379 -99619 112726 -14016 106333 -88443 80131 148804 43121 -239860 -1966 -76209 -93363 56021 -104172 18088 119342 42844 65060 55393 88808 -70435 143274 41921 -30357 -82167 53332 -161965 -12197 65452 2945 -128223 -51531 -10583 -123134 -63564 8800 37588 19019 -8699 -62643 91880 4803 -59511 -34251 26415 67591 185974 -53677 -113317 -64463 136783 50636 22422 -68277 -20985 118423 112520 56926 -102594 -118302 -45176 -109761 -61896 26961 124431 -4798 18324 -167656 -125544 -56155 -32306 45483 117421 43286 -46957 -49658 107713 -108920 49439 -22618 -55735 -32122 -52514 -374 -269505 60644 652 -44201 47327 -26099 -61390 
+-80810 -65320 -39246 48013 -96239 71399 -166051 -22078 47062 7003 25637 -57379 47668 -111767 -269394 -218322 62171 -27212 41862 21797 80607 -174272 -48230 -88517 -3356 98493 68513 -22137 109846 179267 -136197 39417 -11663 56116 74630 57386 -62591 18267 -37185 -29008 -55315 -47299 100803 184125 -38077 1941 36490 45663 -213709 -47238 -25226 168152 25121 -43230 35969 -120947 54851 -31551 128332 34509 30078 30438 -186004 37878 70308 -17652 -8700 8563 -125407 -25755 -24855 -103812 92891 148069 82885 -134828 10221 52184 72639 118817 -145563 -75835 63417 -49331 15188 -73435 81089 -139016 -12987 36021 -27168 84271 9038 48324 -84064 30507 -50643 11467 -63047 -75788 -3396 -144932 45942 129296 9237 -66449 46269 110071 -79725 25643 26931 101930 -175199 201319 -4503 -23475 -22415 -141893 -45855 213340 -65276 49004 -4571 102611 29501 -57071 13187 -83497 -117125 44259 28099 59296 66489 -104996 959 -85440 217997 -59414 2746 4114 36944 121164 194797 -169046 10584 125109 -220492 -49435 3283 -112960 61528 -160859 37536 64563 113035 -7655 105342 -97986 70876 183889 10880 -227267 7950 -4144 -123527 -61161 -18865 29537 49631 40274 63381 92973 35386 -131479 88356 -13725 -31977 -156793 58238 -155738 72005 43599 113860 30984 -35652 -19742 -136292 -46062 18517 19125 143470 -9164 -67402 52371 9379 -53000 -104923 36320 70027 219816 -72925 -117508 -100444 128573 178916 -18293 -11192 53280 106469 54543 103006 -151343 -104619 -36990 -121544 -53702 567 184054 6539 -57586 -186794 -148482 -105779 64181 46646 112150 19868 -111014 -52045 102541 -198901 21467 -68955 -36262 53931 -75275 67825 -273792 48855 -42804 -34007 48664 -106113 -83393 
+-98973 -8606 -60757 159081 843 50818 -87774 2570 107110 52423 39526 -47991 46133 -1457 -239927 -235201 92377 -79156 82045 22868 94159 -191514 -12550 -56577 381 85566 -19816 -5924 138760 74377 -202431 -39602 11222 39912 55129 -87754 -57237 44929 -10733 -61210 29327 -87997 168658 182357 14182 25028 3691 35517 -176761 -56000 -27788 207675 -52854 -53977 -16415 -63695 -65004 -34225 120504 19770 12813 1983 -96820 304 54356 57168 -11137 -164177 -124289 -5350 9505 -134820 -41541 26183 81719 68444 74780 141221 117137 48356 -99723 -89081 -39883 -31994 89828 86552 72872 -148821 -21883 29274 -15251 80964 15192 2236 -131745 32093 -26955 -83321 -16944 51990 -7005 -103158 46760 121261 51594 -81729 64916 7484 -233691 -33192 57827 87855 -37838 206759 -3475 -71101 -16903 -173128 83346 233302 -96580 74764 27410 177243 -66949 -23643 14364 -79240 -152211 81992 76383 65106 100615 -120498 78671 -65938 251215 -10294 923 38735 36442 200632 182099 -128152 1915 56215 -73273 168339 -122309 -134367 61226 -175277 11956 122855 112309 -79930 57466 -73583 113927 125743 -35780 -240052 89244 -5738 -159198 -62121 64705 30024 -31217 58463 52276 106075 -97554 -57672 44578 -14105 -35487 -218833 72002 -176737 20087 69053 153467 105355 -22959 -13350 -74611 9742 19372 -2149 201254 -10279 -111432 66727 43708 -41545 -163651 70458 47837 222353 -77150 -63808 -103301 125488 238384 39080 9423 -23540 89388 -19216 129996 -210255 -55231 -65113 -116948 -67669 33831 227167 19642 -94423 -46820 -159775 -80674 192625 36639 115845 -38502 -42628 -43544 91502 -171017 31746 -79924 -64765 -3990 -111374 38124 -213004 52142 -43087 -83870 -30510 -69864 -74787 
+-84024 -49886 -42996 189260 9657 39888 90610 23033 -21869 46181 9181 -34947 51894 111227 -205537 -231761 122765 -130871 70792 49528 97763 -193501 10728 -54400 -2432 63465 -103229 4266 140691 -29572 -226088 -54349 -12346 20701 66640 -138538 -53046 2689 38432 -44428 -28413 -102933 182300 132988 19900 35249 -68932 34923 -117611 -46290 -12893 72370 -130429 -60608 -74604 -31484 -176308 -56447 117761 -26749 16504 31646 46351 41463 33560 90474 97697 -230760 -132956 155448 32423 -136240 -145735 -32822 72535 146994 79870 135469 99720 -32017 -52375 -109014 -79230 -22862 39778 51780 52191 -169210 -7831 19375 23247 77037 22051 -39470 -114675 61477 18011 -74566 -8133 109156 -33477 -61660 69690 59328 83250 -88462 83420 -117922 -211209 -28213 47009 97143 101987 183706 -18695 -24240 -22399 -186079 138483 113693 -89497 19440 -6193 214160 -56335 -13286 13215 -89178 -133192 110771 121381 52413 126709 -131394 61638 -51909 202298 33265 -2263 94674 33721 230371 184849 -34645 8982 -5207 97003 163751 -26108 -106535 -13805 -162099 -15687 -8187 112865 -85306 -2071 -59468 83568 21746 -75589 -236559 167192 -55468 -150402 37634 128438 44107 -103119 96808 34726 72964 -172365 11668 79281 -43774 -29402 -208481 93045 -189687 8986 70320 199263 -4813 -20829 38291 -54578 95579 -3162 -20862 100662 3941 -70828 38451 96940 -63238 -123419 77928 26538 223146 -78710 -78083 -80798 92832 144427 56160 69638 -146202 57282 -59245 71627 -188175 11096 -70189 -97309 -84313 -37125 249780 26925 -99005 109762 -147352 -114774 177367 -8627 62503 -109347 100579 -32492 78297 -48216 17928 -79754 -71568 -121785 -123504 -11637 -186998 24157 -69029 -88223 -116431 29219 -68369 
+-76972 -132345 4461 19449 -72675 21733 111598 53249 -207897 67133 -11136 -42575 55491 170195 -137157 -195515 102245 -172558 33729 61371 67863 -151664 23317 -72888 490 49234 -42589 -9595 156629 -90154 -205989 -5544 -34971 6506 95337 -35277 -53421 -10309 84822 -200 -152625 -137686 145263 78415 -48028 18653 -182042 25366 -14305 -45687 -56653 -37362 -155298 -58872 -144740 31834 -211265 -54851 118080 -48302 25705 50092 135700 119904 19856 41880 166990 -81628 -124144 199569 68783 -99191 -136444 34088 47263 -62987 92473 86382 107343 -97261 -18047 -39651 -37744 -2096 13797 -124570 -98967 -188504 10469 15023 7628 77446 20380 -99327 -36140 49796 53788 30979 -37406 94156 -13353 -46565 69378 -21367 98436 -84736 101886 -168781 -41092 38498 85780 74217 58454 144644 -18123 -39365 -32652 -196763 55615 -32980 -53953 -13491 -24149 242564 98612 -14813 8133 -78934 -65071 65599 130649 56129 142976 -155485 74980 -50465 78390 55507 -26949 87221 31345 208033 196451 13994 29202 -53608 83204 -81517 53707 -109142 -106618 -151479 -40860 -162673 112679 -63265 -8104 -86944 78591 -13170 -97838 -244802 160425 -146159 -144952 124525 151094 89421 -160158 127808 22089 19508 -184036 46085 -8527 -26214 -30840 -213706 97178 -112203 -25018 29364 205472 -186394 -14355 11900 14345 130727 -13703 -22060 -44589 -1034 -10092 31773 130366 -64647 -43788 72772 23140 204261 -84311 -14390 -90352 11183 21367 66180 121717 -164483 10142 -58073 -54624 -90447 63701 -60368 -65331 -91802 -6724 245321 19286 -67944 115456 -115013 -127486 91082 13518 48968 -32338 252720 -31807 67870 2384 37590 -94371 -76073 -53428 -108716 -56212 -127102 7120 -32277 -39176 -86666 98144 -44053 
+-94192 -147259 -48885 -53475 -149856 39416 -28381 63899 -240155 12639 -2187 -53020 58990 162126 -36317 -174956 69996 -200415 24147 45868 42790 -151022 41877 -59564 -928 30472 32838 16083 157362 -73979 -157317 54483 -66358 -34777 81283 160012 -62640 26042 31551 -11469 -217391 -144808 78274 22498 -97116 -2104 -150551 28551 76216 -34611 -40621 -46099 -142860 -60050 -186267 32231 -154258 -32506 112955 -37765 42631 23240 100338 139068 15316 -20289 107483 75450 -127569 74515 88439 -38524 -34043 156715 57610 -197380 100690 30287 78390 -148127 19069 -29 70881 14541 13337 -243233 -129952 -160929 17836 1675 -752 74242 22701 -127377 55757 48685 53280 149861 -52204 -839 7274 -82769 70589 -16190 93281 -83847 119786 -108203 110108 127691 68066 60429 -179316 87823 -13951 -14863 -35033 -159958 -64766 -60684 31406 -70679 27271 271874 144544 -31209 286 -101573 -48453 -31446 59007 60710 148325 -166130 77941 -49464 -15612 52223 -28256 149592 31050 131676 145439 11093 54465 -98105 -92341 -195748 159419 -80513 -165629 -94152 -39234 -180330 113615 -60774 -83035 -66361 27469 4757 -83570 -264934 79173 -225860 -145204 99938 126880 73145 -164764 121441 -25413 -60354 -61493 -22604 10564 -38325 -36028 -132656 93144 -82817 -20223 65515 86062 -172559 5436 42970 -12158 45196 -12472 -18675 -9357 -10666 52439 36196 110243 -67247 34557 101282 51554 152616 -55745 2246 -81954 -6491 -48798 148668 136123 -48081 -20247 2786 -128876 64118 75644 -22011 -26093 -79922 27983 214115 32 -15431 -30972 -74863 -54509 -27002 -9055 77284 -16362 233556 -25596 60689 -77863 -3808 -92506 -74679 -78670 -74838 -11084 -79371 -15292 -36914 -41300 27917 90208 -76761 
+-98978 -76488 -63713 -62998 -143622 -6162 -172538 103939 -188321 48767 9589 -57787 56995 100102 6838 -137967 46993 -232456 -19583 47656 5930 -137829 41769 -116696 2631 -9333 98354 29708 104080 1998 -81511 108154 -45011 -43954 88323 193902 -56142 33974 41595 -4609 -119106 -143238 -24162 6243 -112268 1419 -4683 55910 69708 -8003 8628 84627 -102050 -26785 -243056 -37184 -40813 6456 101930 6091 61252 46750 -19810 119987 25793 -16046 945 34060 -111067 -54593 119373 11524 118409 209248 55604 -148519 118953 -46680 74769 -167574 46828 25925 155787 11917 28712 -170095 -147602 -129982 -9224 8348 -43919 72065 34642 -160079 77109 58042 24837 188646 -84596 -138978 -20252 -127161 73997 50353 66378 -55288 136861 27312 83149 197674 24139 67479 -198366 23340 -10482 -14333 -31881 -123198 -53436 45926 101137 -116873 5097 278000 30199 3267 -19898 -87245 -59431 -138791 -11122 62831 142612 -184632 35764 -53647 -43418 15224 -18787 178137 33147 31333 33752 -47279 76827 -119762 -222797 -87134 170068 -46233 -192249 -99556 -29380 -69868 103284 -63980 -82743 -80803 14298 75111 -52731 -219763 323 -167202 -88674 -47071 79412 54054 -133292 112679 -69002 -113519 74296 -99758 33440 -20228 -37853 -44944 95524 -11899 41438 48100 15604 -52171 6107 6021 5189 -47660 5197 -3601 83734 -1472 57757 53407 108666 -57955 12727 118347 58887 93960 -17104 23773 -43010 -133350 27253 148425 181068 54392 -31654 60502 -174086 138746 53594 -10999 14554 -61298 -436 165853 36551 71629 -173918 -50069 36219 -112534 -23210 85798 45152 114415 -18671 64103 -137516 11565 -107958 -73819 59442 -51603 64807 -28411 -59663 -20465 -47033 83886 24684 -77035 
+-106835 -16064 26983 51421 -60634 -3557 -92225 108871 36024 36554 17281 -65204 53302 -7203 86879 -80912 -18686 -219762 16691 11192 -31412 -101865 -1129 -85191 4453 -14778 84038 39741 78000 109478 -9964 48094 -29834 -77132 80677 75224 -73073 50504 -19306 -37885 -7733 -103416 -68954 970 -39586 24383 58392 20804 113774 -1969 32921 203815 -3802 -47620 -247957 -113277 70282 -33163 98292 30334 60425 31938 -154586 44362 44921 16187 -19114 -140732 -111770 -8821 135366 46613 221214 141814 68592 45870 130422 -102654 2695 -179901 67030 37826 169155 5761 66857 -611 -79025 -143904 -32127 53403 -37247 74122 32527 -171668 46572 49216 -11133 116088 -112485 -230065 -16919 -145032 81460 130206 27609 -22678 152969 117010 -89017 201396 16376 63901 -26799 -40394 13862 -14577 -35425 -77951 73873 184461 189484 -157942 -9935 282083 -80177 -26096 -38701 -133908 -139662 -130664 -67741 54853 125678 -166615 49320 -65263 -35294 -26470 -12925 148381 35842 -32240 32376 -117326 88571 -107323 -141660 66363 52742 -34390 -174791 -75650 -35226 94964 107537 -46543 -53174 -61522 -11851 138260 -1724 -246961 2635 -108970 -112333 -71802 -520 85300 -64246 60926 -114182 -146833 124610 -115107 -6051 -36936 -39059 31828 92634 15237 6412 110042 -87426 86369 12734 -15924 -83455 -66508 598 21300 199601 -5828 64294 -80 35076 -50720 -26481 101119 56981 38397 3162 25830 -29941 -203398 146400 155779 176565 24737 -79968 129172 -102448 198564 9313 -40567 51043 -55635 42337 107956 35213 142414 -178263 -32248 48851 -78965 -45294 144340 17202 -46288 -17709 73185 -199150 6382 -81343 -69492 34219 -51526 60488 37938 -58340 -37451 -80095 25579 -80346 -45484 
+-109185 -58008 -38367 186304 3022 -4085 63141 132092 78632 22265 27186 -52648 49242 -119783 96282 -11170 -26100 -202048 -1213 22244 -73379 -77070 -17173 -67979 -701 -54626 -66793 26151 30309 221192 42226 -14824 9260 -116615 52010 -40550 -86092 24782 -59087 -6033 13802 -63023 -81728 57392 25983 35363 -44119 -955 112292 40362 90027 185486 98778 -28911 -218802 -123113 77299 -24814 91692 24912 37689 17474 -184520 3382 63154 54278 62762 -221648 -97725 136947 117965 45149 220288 21549 83924 96805 92876 -94897 -4650 -147410 77427 -8001 104590 -17248 86837 95084 25978 -112319 -35277 9677 9453 73172 57422 -184103 -43921 67283 -42943 -9735 -105984 -261249 26136 -125287 82919 127408 -16368 20809 167952 102829 -235624 143321 -52631 50036 111517 -80432 330 -32395 -33142 -19011 140940 245061 230231 -201754 46232 279631 -54136 -39320 -58948 -152784 -142377 -94429 -93378 50819 99221 -173335 58760 -47956 76232 -42495 4356 86732 37053 -50363 -14949 -185981 84886 -87423 46085 142503 -46856 -56191 -81483 -49179 -8478 111435 102614 -26787 -38842 -72559 -656 175823 32525 -236963 87064 -26982 -72469 11671 -93114 83338 13589 29212 -163086 -135361 93571 -30957 -47222 3429 -36199 86177 68244 26497 -13204 106255 -76939 63924 21428 2054 -128535 -11253 7652 47072 153236 -19401 76640 18581 15698 -53116 -100932 80339 61223 -625 60201 -9420 14269 -226312 230639 132262 189532 -95495 -100632 139578 9416 148049 -59533 -40337 76929 -65670 30893 39738 1413 196137 -47214 -45580 83589 50483 -57042 103239 -81910 -122583 -9619 86034 -102790 -8287 -63055 -90716 -63805 -89467 -24928 53069 -39015 -31868 -94514 -89123 -111251 -29287 
+-83018 -120744 -63732 171124 20893 -30970 113024 145242 -23191 18353 -5184 -47750 46654 -180385 103176 17456 -32218 -179563 37664 54689 -97283 -47143 -64903 -47094 7854 -69780 -106240 20752 -18130 261239 104171 -56393 -42620 -134603 55299 -118878 -100540 -14088 -97173 -38119 -99292 -26028 -25366 127850 9865 19358 -195172 -5721 80732 45341 114053 59772 163802 -43225 -167227 -84310 617 -17110 86049 -12009 25469 48439 -91695 41097 72211 57731 126939 -114575 -88712 218993 58215 6613 140136 -28688 75462 -37933 65216 -35112 -58692 -95295 72276 -4644 -7155 -34776 73001 -13714 86586 -98349 -24665 39816 36151 74358 50568 -165243 -116925 69200 -76044 -90164 -81492 -199738 -17983 -90413 72524 57630 -53262 80299 182068 -7937 -206165 42715 -64053 60530 29581 -115285 -30525 390 -46055 11716 61447 146994 274971 -227099 43104 257912 62057 -80835 -85980 -138397 -112083 6051 -57979 59830 64908 -164095 52535 -46138 185466 -52821 -2097 98111 35806 7537 -74733 -171282 67235 -40829 112193 15850 -65174 -51277 -26733 -7005 9993 23291 101104 -29924 15780 -77563 54763 131813 54763 -212059 159300 -9866 -64210 114118 -160130 101731 98127 40360 -194637 -95375 -14002 59153 -43055 3907 -36535 86063 60329 -28305 -26650 47299 -69265 -103904 14148 9123 -135965 64423 -27633 62504 12706 -2760 52145 -3099 15431 -52735 -165622 72239 61677 -30253 104987 -33586 43721 -205167 189464 111072 187543 -169477 -128374 94342 98043 27928 -114013 -83619 88886 -84655 41598 -9878 25096 225835 113843 -80386 154139 167308 -59748 84273 -114857 -72013 -10387 98398 -34029 6057 -50564 -93956 -139970 -115006 -54777 92042 -20069 -44477 -99104 -90730 -44191 -30563 
+-88078 -167024 -66661 42926 -75075 -18547 -11900 135870 -193742 1121 -22147 -38179 44136 -178858 82947 71564 28826 -145766 104590 57144 -139699 -2649 -70796 -82469 3772 -87858 -51687 54316 -79764 232162 128061 -13040 -27370 -154851 71555 -31773 -103750 12814 -114223 -30303 -211992 -53607 52191 169906 -38429 -1746 -179797 -43759 -22816 85542 117358 -68004 207625 -59276 -111910 -21556 -125531 -26934 78987 -41008 17231 38785 61518 115943 70955 13956 126188 55591 -79706 95674 59883 -43770 -13692 35829 67528 -167859 94846 23166 -39840 -39220 56771 -38187 -76642 -50460 48761 -188755 80242 -113790 1741 -13412 41475 78798 62784 -145341 -122364 78629 -77228 -61640 -51816 -74779 18687 -51142 73420 -14097 -73123 126641 194357 -127511 -30482 -17900 -73808 73187 -144800 -127347 -23870 -74456 -47330 22112 -69115 -17464 288463 -214132 12755 219448 135353 -49541 -109711 -112435 -58621 84593 -38012 48934 25178 -154920 43338 -15181 248521 -6099 -20424 23456 33012 94567 -58023 -113245 41996 22473 -13039 -166803 -3629 -109722 24453 8309 35838 -175790 92980 -21076 91927 -79421 53704 58309 43739 -199804 161678 -26182 -56034 109952 -224607 93014 136775 68599 -222621 -43876 -151559 23004 -65029 -17776 -42917 55533 46698 -28760 8926 66055 40095 -205791 22306 43953 -107960 89409 -14595 67105 -62054 -9075 4138 31641 61390 -57683 -144331 52981 59495 -29092 141092 -72262 69734 -146988 56345 53850 176584 -133972 -146249 47100 152399 -84403 -124296 -74264 84719 -91656 55901 -44449 39928 213821 116578 -108974 150430 173548 -55405 80414 -61973 120712 -11656 106606 -16870 -8661 -32211 -119889 -55659 -126318 -11957 92529 13185 -49189 -52999 8503 49428 -13770 
+-81846 -84554 -33765 -47127 -169630 -22433 -168077 123361 -282248 30122 7800 -45351 49662 -113725 1030 82050 98113 -94691 82465 49677 -177983 16216 -26900 -94091 5406 -82938 34473 41514 -78396 144716 80018 7211 -60140 -173487 85331 108654 -90025 -3410 -84243 -28661 -183632 -61572 141263 191358 -110208 951 -77546 -21511 -102348 106638 109205 -59046 233683 -44236 -59438 42823 -222454 -28545 76303 -37278 11458 35354 143972 153995 54690 -28041 50469 62207 -72785 -42842 17605 -96807 -119772 152912 55118 -159723 9374 79443 -44832 51857 42323 -78226 -63651 -52720 71380 -235608 -10820 -77119 7077 8723 -10096 80156 62596 -98011 -64414 93660 -92485 53322 -26577 30953 5800 -49638 68592 -22377 -71560 166302 205311 -166426 113791 -31347 -132182 40670 -225955 -118966 -14062 -35995 -43460 2927 -44635 -45422 222369 -218988 10437 170936 91736 -34842 -130434 -123437 -74219 114472 61079 56988 -17339 -123634 71493 -30353 258321 36148 -3833 11877 30963 181405 -69322 -82437 17332 87423 -192238 -145056 102315 -144828 64622 -20279 62089 -219281 92187 -71699 88451 -87615 82472 5903 12736 -186984 84337 -122099 -48249 -31753 -253160 128460 140746 109894 -230784 33780 -187149 -79867 -48722 4799 -33903 -20258 26546 -63370 22838 89735 82948 -110774 8708 5343 -57831 124680 -457 55565 31143 -4685 -82353 44305 99139 -44321 -51902 97790 79666 -30338 179909 -92017 81015 -88358 -33427 53677 170198 4456 -136172 -31271 108712 -158219 -88979 -54367 65356 -81639 18329 -51858 -945 170407 -28070 -143149 118136 116787 -74002 30649 -150 202980 9440 106404 -116741 -4692 17982 -73822 -34689 -102914 41552 82344 34380 -34420 -70297 60150 94846 -20090 
+-76230 -13295 -3794 -57240 -146961 -3440 -120993 110129 -169169 23527 35799 -56147 55586 -8190 -36982 87756 114090 -40601 81850 13993 -183141 37592 -10869 -75523 5836 -78104 103916 27540 -118452 32314 56815 101690 -25889 -185268 78533 187591 -95404 14675 -83902 -38409 -47917 -80123 190467 175332 -73443 23758 32499 -12263 -168105 125145 111897 69067 177141 -37774 20767 23292 -184593 -31845 62243 -3876 21876 38106 101228 99574 35982 -3890 -5320 -99152 -62472 -38379 -34642 -138694 -152697 208796 61262 10640 71026 126217 -24802 108007 8405 -87070 29741 -35341 28692 -102364 -87591 -69329 23732 -1795 -46053 82661 74478 -40274 24401 111698 -99183 164314 -2438 113516 5860 -108167 67966 49256 -48837 188538 214604 -84533 77781 10027 -125218 71163 -39332 -96405 -26556 -52090 -43256 -38280 72925 35264 165988 -215902 14643 135510 -64283 -31110 -157650 -134692 -125603 80536 107176 57712 -59785 -132609 39590 1264 146230 60286 -27993 11513 31348 234161 -26487 4903 2504 147520 -198293 50126 213541 -128365 40436 20822 68053 -100524 90479 -73031 98776 -73780 90444 -25939 -35407 -189710 319 -200277 -44071 -53457 -248324 109076 106703 134770 -217867 62647 -125572 -107454 -96957 -36375 -33897 -86729 163 -151697 9177 82903 180269 51317 640 -7263 13742 30301 7296 38638 178827 1499 -80168 33550 134676 -24605 15145 63761 83066 6327 204930 -78804 88876 -29173 -10333 30780 155089 71835 -109939 -80914 20762 -208689 -42781 -32310 33963 -62396 38199 -38781 30326 88436 -162920 -154545 86960 19748 -89134 43679 49565 255991 -3841 100441 -167037 -24738 22748 -78244 65280 -65571 78038 54025 73097 -36524 -76573 -44953 78121 -11053 
+-94357 -5039 -10584 23559 -67888 -992 57482 71364 44662 36368 22398 -62419 57140 105734 -97919 93007 124190 5583 59059 4632 -205829 86477 25336 -93362 5785 -61252 52338 32940 -123988 -60907 -15109 88941 9937 -199165 66397 138385 -70684 -17319 -44147 -11821 34964 -138927 181776 119198 -26487 35428 7206 -9942 -236264 130588 99562 185328 104742 -36171 34018 -8206 -92254 -49003 57272 36862 40763 42808 -43348 41121 19038 42068 15835 -229442 -64855 136890 -3722 -138842 -84269 150919 71332 111345 63351 126210 19871 152607 -13425 -112818 125779 -13895 11586 59476 -121954 -49061 -12664 -18015 -71462 84652 81775 21090 80440 103484 -76213 183332 -24995 106387 -12560 -147933 50339 128958 -11808 212959 221966 39081 -97811 105376 -160244 78556 137203 -49065 -17300 -58099 -43364 -77707 143461 201584 92208 -156562 -12482 108972 -76176 7335 -173535 -94709 -150741 5113 143374 60266 -99045 -107399 46513 -16600 23248 46724 11961 59637 33821 224357 38102 36355 4265 188400 -27737 205879 169197 -124382 -31314 11697 83879 70115 85799 -77859 100842 -65736 117281 52131 -75363 -175272 -642 -209299 -20814 -19600 -194501 108573 38514 126968 -194837 84933 -30247 -70906 -114263 -42122 -39219 -184434 -22036 -178221 31779 79726 181916 99206 3545 -15234 -25737 -68691 17715 16463 183256 -21351 -98584 48802 121259 -23334 38994 43261 92364 43181 231810 -113237 94045 38273 117413 9320 66922 -31784 -106106 -50182 -102935 -162887 25996 -6427 -5617 -55108 23497 1879 39124 11982 -182311 -158579 33870 -86958 -92814 64231 -27639 145900 -5561 88134 -127275 -28533 75837 -62080 -20741 -50105 -4357 36847 83229 -51889 -98836 -149038 -24902 15705 
+-90929 -95219 8815 183402 21721 3175 131967 38790 116781 10166 22186 -62861 55603 173523 -169259 54532 77673 46262 15590 36939 -196375 107714 60968 -89936 2884 -44343 -47021 49853 -107389 -90265 -82349 2400 -75760 -211410 69108 -16156 -64603 -38108 -20031 10655 -21288 -132368 132867 55026 -1393 20070 -126493 -26192 -250728 156472 32761 186047 11694 -43679 67281 -79614 29761 -13497 56227 29065 61023 19053 -156125 -8038 14584 83224 130174 -152523 -72283 225555 -1599 -97451 69483 26641 81770 21982 76938 70899 26549 198379 -66235 -48962 188698 6198 61794 70796 -104494 -61503 -43828 5501 -26362 81701 88612 51115 56727 109088 -51058 95699 -60208 6136 -9572 -155499 50265 129245 30943 209417 227285 121034 -239982 181285 -171861 84036 43880 10218 -8918 -81215 -45239 -129215 54530 244077 -10634 -139112 8410 44001 44360 -18183 -187755 -113534 -110032 -82833 119040 63876 -132676 -98332 81161 -33048 -36530 -10062 -4247 79812 36353 149144 65815 -20221 19794 216697 121507 76326 -3411 -92025 -109668 36392 83245 138055 79052 -43463 36594 -76289 62040 139545 -93275 -134911 75971 -118695 -4598 76768 -112208 68394 -44111 109853 -163793 69578 117316 10359 -127886 -33927 -41703 -208007 -36303 -165478 -28976 102011 125925 -10494 -4457 -21443 -30876 -64515 -9779 -7594 62756 -11956 -56464 73812 79603 -16115 6523 21710 78485 118510 248786 -115762 76689 138706 218529 17285 75550 -157662 -99679 5403 -165137 -70607 75395 -20155 -46764 -65542 38191 61383 35068 -65575 -46840 -136084 -16513 -86491 -91466 86078 -108791 -4492 -6505 75426 -75571 -6283 106891 -71134 -111676 -63635 -49328 7329 23969 -15625 -93064 -111985 -91874 40582 
+-87147 -146890 -3975 151388 -13742 27702 -1578 31419 -68008 18423 -18110 -49000 54574 175736 -206709 31260 29810 61801 23768 48482 -193008 111574 35020 -80431 13822 -13553 -101281 33629 -47591 -56958 -156232 -51581 -53016 -215598 54987 -132635 -55344 -21001 58542 -8341 -159474 -144188 40778 -5825 20545 -1377 -208424 -9683 -216899 145879 -27039 40510 -98028 -38718 29366 -135192 77117 -7321 48682 2842 59386 52902 -178580 54889 25258 29774 164670 22555 -75967 116063 52306 -43025 184618 -29561 76093 -179047 96999 20330 87488 184314 -112435 8087 135192 18388 31594 -107350 -21517 -35934 -38877 -24266 37663 84944 92345 68740 -16860 95978 -30332 -29535 -105996 -102052 -2169 -94905 45563 66705 69897 219281 230873 92720 -199527 204902 -186694 66037 -133691 68733 -13077 -73503 -39307 -170567 -53484 147226 -52719 -129984 -26047 -18639 152271 -6564 -188237 -54405 -85836 -156580 52032 53348 -157461 -89329 60368 -56785 -46185 -35858 -22967 132409 37031 56432 135515 -103742 43993 217131 49052 -189701 -70562 -69117 -161203 42399 72518 476 68026 -36330 -13452 -54508 47485 185738 -85736 -134325 161518 -59398 -9253 115080 -41290 105909 -114161 64297 -102442 45733 136724 54504 -104440 -59194 -40205 -218271 -58450 -188827 -909 91247 87178 -182055 -18638 9043 -105343 7345 -1339 -19341 -63703 -2207 -22535 92336 36248 -16085 -77041 -1388 102042 157878 246786 -120052 75266 123358 216857 42029 -9451 -149229 -74519 54659 -139917 23117 81864 -36406 -82852 -87086 17688 123317 48950 -92954 107188 -89255 -99915 6627 -90379 110821 -87946 -121763 -7909 65463 8098 2643 135929 -139362 -117835 -107774 -23947 -43701 29407 -23685 -69680 -4492 -87876 37998 
+-77913 -101611 -45890 69026 -130751 39251 -157960 589 -208956 60769 9206 -41629 50432 105061 -269760 -28019 -8250 61091 18672 49601 -171585 131872 14045 -79589 8716 17489 -33445 -3381 9209 49680 -221988 -49590 -25212 -214070 88277 -82098 -54630 -54573 43061 -49235 -196642 -109262 -46854 5424 -38735 458 -143291 -9283 -177407 142838 -36403 -70231 -158259 -20657 -21466 -97935 52684 -28322 43443 -43792 50094 78939 -68432 105184 42991 340 63339 77448 -80130 -69837 76977 18148 236731 28270 77951 -206383 98034 -64400 111833 157544 -139747 2893 42126 19453 57847 -235504 67926 -50849 -4860 -5956 25174 82473 87723 78593 -104256 115927 8041 -93624 -122737 -226180 -16808 -48166 47918 -16670 94786 187467 232309 -27730 -22013 157192 -167079 91220 -198438 126307 -4 -66874 -34952 -193336 -47171 10524 -84647 -90905 22743 -19911 78103 6212 -196622 -100565 -60692 -123228 -49529 50819 -172972 -63711 63330 -38522 14559 -48919 2014 157526 35205 -26035 155667 -155432 70102 203164 -137390 -183418 -66961 -30537 -209074 32682 63003 -155967 81469 -8260 -24651 -64942 25515 155911 -45970 -93994 164053 3264 -32027 34816 54960 75497 -160702 32709 -73344 -33704 53803 3495 -152748 -10296 -42224 -200085 -63633 -76689 -3569 96155 5821 -194674 -8936 39184 -127591 89845 2783 -22539 -33577 -16687 12851 100299 17749 -4537 -143201 -31499 70458 203250 241367 -113381 44369 135713 80141 75374 -57376 -47856 -27741 109398 -59017 117177 49207 -27334 -109038 -89661 15711 180848 30910 -102196 109969 -56027 -125190 122348 -99253 160078 -15255 -80824 -4716 61053 -83118 -21343 144815 -109469 59 -128809 58141 -118702 7477 -45575 -34877 41335 -3328 54073 
+-65580 -28319 -12588 -95682 -195281 56673 -117177 -13002 -249489 26834 18313 -35643 48922 -2748 -279624 -78996 -33334 68656 15640 23997 -142572 128368 -3738 -104966 5644 38037 44169 21893 56437 157043 -226816 12057 -24471 -209661 59642 73856 -54272 -56520 63656 -62076 -115093 -79955 -87471 20303 -113930 23090 15984 30949 -110833 114321 -38829 -48614 -169549 -60647 -91102 -27194 -76084 -42675 41538 -48832 29114 28562 77479 159926 63001 4377 -36982 -67774 -87406 -36882 111013 47745 158250 153572 72868 -16943 139154 -92494 105332 93029 -179959 14857 -54634 8746 52575 -196612 102730 -34991 21625 -13323 140 79836 98553 76510 -123946 113563 22175 -45675 -111974 -272195 -38540 -48844 38517 -24539 99365 158303 231995 -136210 115355 71807 -149552 70861 -29899 171738 -5970 -81279 -38886 -195872 73979 -65677 -95653 -29558 17118 -68538 -29663 -22208 -185074 -66653 -138748 -51384 -72574 55177 -177425 -32317 44802 -38464 130541 -12709 -2690 150136 32421 -48542 197594 -197037 86071 161703 -217929 2404 42899 -50750 -175171 16388 38923 -208831 70611 19723 -49375 -60359 65428 68367 -6350 -75371 91603 -12749 -18454 -59012 104627 40107 -160433 41265 -25994 -94449 -30368 -83118 -114854 4071 -38574 -102654 -78346 -57507 22172 69665 -79205 -27833 -36881 9467 -110999 125496 29110 -18860 113951 -11773 38490 115965 21846 1444 -152683 -38993 84795 227769 216331 -85374 17037 119858 -26120 98080 -114864 40160 1513 114750 48423 176084 -22204 -47461 -120964 -81604 -5296 222993 55150 -72633 -36985 -34762 -132066 196493 -116659 141804 30592 72892 359 65836 -170923 -1871 156893 -99789 13984 -120150 72878 -170166 3158 -30310 -37997 -21687 74415 72901 
+-76424 -4057 -49195 -75329 -135830 61363 62283 -37824 -136639 26550 27285 -48266 49527 -105499 -277219 -134925 -17844 29704 44518 15368 -109841 136178 -54662 -85720 16190 67060 96737 25791 96624 237364 -207199 115264 30709 -205045 98339 162014 -49184 -44486 14015 -199 -397 -25920 -70496 77201 -99291 35506 31489 19170 -36891 112392 -53665 95891 -155533 -56214 -163514 20928 -184283 -23781 39861 -23001 20245 23875 153300 125243 73606 67153 1528 -217590 -97093 118232 120208 43126 26846 209058 53286 148598 137119 -80894 106569 32544 -214024 33496 -72309 -14914 35531 -11612 46551 -25367 28461 -12333 -43626 76960 109226 56156 -94487 140213 17070 75712 -97565 -227665 -913 -67828 39319 43121 81985 120506 229459 -162643 72160 -13343 -126131 61898 136215 194713 -7299 -95136 -31684 -175432 140813 41651 -68918 -7494 35073 -85642 -93682 -30598 -180336 -67700 -138151 52381 -74474 51659 -170748 -22021 32926 -54403 229636 5534 6341 147199 30887 -15015 202482 -148908 87902 106716 -103483 158273 126491 -50484 -115341 10307 13062 -111065 75321 -54843 -72928 -57135 -17890 -11961 39263 -61411 7048 -86461 -23558 -32360 141814 30074 -117406 72711 25925 -125350 -147977 -111476 -156335 2522 -40755 -22399 -85333 11451 20553 44448 -70465 97385 -45654 -5420 -87284 79942 61216 -983 201871 -11905 65245 137305 68673 23454 -57371 -47595 104500 230580 165540 -36505 4161 64596 -32590 144179 -89638 26377 47832 112694 148237 153056 -78666 -67112 -117583 -60125 19541 247563 34770 1378 -171054 -32831 -81738 151535 -53886 64634 16163 231691 -14800 75310 -194974 -389 182174 -81498 12124 -85017 15398 -197154 -41926 -33259 -83124 -110536 107693 49594 
+-101554 -100012 -43667 56987 -73582 56023 126175 -13304 13423 29368 32190 -55615 49218 -176602 -247375 -169659 33740 -1791 36398 11216 -78626 125243 -56181 -73991 12310 79787 37909 9577 138666 263550 -146868 94446 -59597 -183154 74726 192290 -67393 -45768 -41240 -48866 32421 -43228 -8136 147593 -39410 20730 -85917 59017 67359 87026 -34699 209143 -51171 -53925 -197008 22534 -215386 -51211 42597 22636 14451 31658 96602 35674 70806 80205 122711 -174953 -109846 216883 107804 10585 -93100 149560 71649 97562 100064 -51226 93929 -38052 -220633 685 -4788 -44805 12914 94859 -22168 -44899 21834 4053 -37980 73862 117541 29114 -8161 135371 37793 175691 -60154 -91917 20850 -128066 37978 109598 46469 61230 225008 -78616 -108220 -41876 -69700 54049 84361 207120 -14664 -103227 -25476 -132617 67741 180741 -154 44549 11372 -97593 13591 -35355 -158907 -71839 -127970 128071 -73789 56503 -152928 3071 23423 -56830 256689 37299 -3787 124848 31849 68563 181973 -54566 75791 38666 80012 101802 185845 -100774 -60851 -6310 -16167 73261 84025 -36414 -38944 -89456 33915 -21404 51525 -73317 -3096 -160392 -42958 54952 138527 56938 -48358 104587 28049 -139085 -167188 -49600 -100467 10715 -44904 47469 -65703 12173 -56347 95130 -38305 56027 -40135 19119 -15253 -10732 33966 23860 125049 -2895 91780 121918 114570 3557 10861 -82739 75743 211278 122052 -50667 -32193 -3563 83802 170955 -129934 -115377 78318 38495 139250 64514 -118353 -38205 -98747 -53192 19218 245618 54305 76373 -173369 -60475 -73886 50199 -86939 45163 -54508 209779 -21326 88271 -103491 6506 176192 -104197 -75559 -47521 -52431 -266919 -54930 -24090 -108691 -108800 52094 74126 
+-94624 -163008 -14897 163517 -8974 58339 -295 9816 110937 25834 8693 -62556 52059 -177722 -202044 -211904 96224 -50207 86909 42153 -35759 111822 -59419 -131969 16545 93664 -62961 14811 147618 197699 -82693 34980 -45023 -162359 78312 48526 -84722 -93830 -71289 -24913 -100589 -26146 68983 177541 14692 -1018 -201944 58871 107866 60503 -19984 156165 4184 -61121 -255552 -25193 -158424 -30464 42079 30583 27976 41200 -60000 7959 56498 39475 170707 -1554 -119666 96550 84551 -46108 -134590 24804 74602 -128764 101685 36796 57536 -109990 -231973 -34954 96465 -50168 31595 96 -112734 -33268 -10789 5195 -10661 72701 129314 -2536 61198 148739 -19372 174820 -14063 26148 -35310 -146336 47194 135615 3036 22132 218642 49877 -241894 4987 -41698 39794 -151914 196871 -10577 -100365 -23120 -78327 -52360 226746 102701 52981 13896 -89270 120792 -49644 -140664 -64208 -63801 94742 -5711 58834 -125762 -5382 84347 -51380 189805 55807 557 80998 34568 165972 160981 2540 52125 -14489 96310 -105178 119416 -136298 30807 12594 -17586 117176 88167 -110401 25956 -79068 41363 48174 52816 -36589 70444 -216842 -44202 93862 87536 23812 29913 132584 48192 -132811 -78588 38658 -148476 -18991 -47488 93133 -61574 -10294 -25627 72541 73108 -98383 -41555 22673 -8348 -63303 50073 48023 -30097 -10626 53137 126192 125200 12617 40426 -85004 71989 173524 75679 3156 -48844 -106879 201294 160366 -84231 -200385 81287 -12647 40511 -18148 -111038 -56902 -67168 -63470 47939 215470 30757 156208 -51042 -101263 -19667 -66422 -105605 22567 -108896 137783 -37678 100552 -176 44311 169515 -105920 -161071 -52290 -23657 -273813 -51072 -37904 -90792 -23160 -48962 62304 
+-103945 -125963 -19377 175409 -15103 50864 -151003 22540 -3519 1739 -17873 -56116 55639 -117051 -107878 -224699 130205 -97711 65547 40385 10134 73503 -12295 -115233 14034 102200 -109450 5576 163461 92832 10465 -59075 -68442 -148891 53943 -107345 -94117 -65313 -109502 2887 -178913 -76305 152468 189816 7685 28 -159313 47676 156226 38534 12655 -1933 102002 -60968 -246593 -101998 -17936 -23360 37798 8141 48258 45979 -176494 44031 35279 -518 94642 86226 -123139 -52423 14071 -106576 -88582 -35552 87775 -184872 74193 114622 24736 -144132 -225252 -68073 172221 -50882 42007 -175213 -136730 -63456 -44074 23653 31212 75088 139111 -53357 74044 162619 -48610 73842 -12029 88685 -18337 -139575 45691 72337 -37070 -33203 210064 118312 -194100 86750 -10766 45760 -233513 164613 -11264 -102638 -24745 -24124 -52995 172088 178710 81990 3531 -73957 136964 -48380 -117646 -40413 -59296 29421 66640 62569 -90831 4825 46121 -65602 94594 41699 8865 56156 36725 223039 93103 44307 26597 -74210 -69142 -171390 -3907 -149249 60000 1250 -28842 3409 97061 -64797 50696 -83457 66224 114377 15567 12614 146984 -170698 -68348 48273 9778 -11517 102575 128724 73384 -69275 7383 37537 -127127 -37345 -46871 80843 -55242 -23799 36857 87175 102787 -190524 -73303 43865 -10807 -28305 62930 60831 -51718 -6480 5296 102050 143460 22079 -272 -139984 42237 123527 35266 11262 -71766 -162084 230142 69080 -106392 -133470 117102 -60914 -41516 -150172 -80380 -36988 -27945 -81685 62536 170126 27107 204263 93540 -129698 19933 -133030 -84944 76236 -62156 -11184 -28961 107510 -35866 40885 153857 -133437 -57035 -82086 47250 -278338 -46015 -43875 -80897 81974 -92780 74913 
+-91348 -30184 -39980 48334 -108965 31117 -129446 50215 -201364 -348 3118 -51134 57641 -13731 -56907 -234371 123797 -149893 57363 42176 32460 56201 29433 -97757 16766 98800 -26229 -7790 137926 -19377 73504 -42878 -36091 -124834 78821 -122785 -97450 -73523 -125479 -25309 -172652 -129100 189404 153519 -41448 22432 -24967 17384 97994 1014 55541 -57557 187707 -59664 -227946 -119624 76316 8184 42155 -33272 59056 54948 -164107 115582 21075 -14882 2084 -26208 -132461 -65494 53157 -137426 30452 30804 74821 -53727 62196 137779 -8674 -188343 -200460 -96109 157320 -30095 24549 -251813 -90885 -67818 -47440 -10772 32391 72981 136002 -93857 9059 155405 -77838 -47523 -25304 99173 -40249 -74017 48914 -2189 -65872 -57714 200365 82115 -12079 173471 11087 50860 -33760 114878 -9314 -113501 -19332 1564 58714 19294 228554 81190 4164 -47806 -7230 -57507 -92290 -105877 -82389 -75293 136428 54866 -50292 11632 74794 -79660 -26637 -3640 -8317 21732 36775 226193 38615 -13332 7691 -103326 -210900 -62606 -115999 -138518 39479 -34207 -47269 -150959 85095 -82943 77122 -73380 55939 181474 -32408 27142 170994 -95657 -72593 -64508 -71429 -17835 140992 109480 58667 -18966 135427 -28601 -110723 -38481 -44590 40391 -38988 -81750 12401 107826 172035 -127769 -82163 21831 -27886 93071 72682 69908 65081 -7763 -16267 111406 68697 43804 -35676 -148054 52261 65610 -14062 1710 -104956 -215311 126966 52278 -92015 8468 128680 -52495 -115162 -222031 -10599 -23688 12765 -90654 23507 108662 48390 229175 112193 -161724 86511 -53902 -94071 65780 10396 -125160 -37562 106681 -132953 37483 138379 -109865 47826 -118979 74420 -292670 1507 -46308 -58734 59312 -63123 67382 
+-83140 -1280 -14216 -86498 -157579 49147 47116 71169 -275129 8284 29052 -41268 55784 90027 30527 -205123 92089 -183728 89592 28984 61350 6383 37149 -88826 15991 81770 52027 -42721 129000 -86598 122313 6099 -29476 -85511 61717 -15233 -92208 -97517 -105625 -36742 -54716 -141399 167569 107400 -123586 35576 27474 26530 19684 -16905 104616 5925 209805 -27847 -168207 -97791 75330 -13986 43458 -54877 61431 60402 -47100 130597 15011 37794 -21992 -192718 -134420 95351 -4250 -135074 165555 155913 58478 94341 61050 126182 -72514 -177082 -185524 -86239 75779 -14090 51450 -136155 3435 -116419 -14328 11991 -34538 74888 134326 -147546 -71190 139739 -94225 -93333 -40562 29537 32352 -38027 62983 -28467 -75299 -92295 188618 -42467 117496 196526 39600 63879 117024 58673 -22448 -115898 -18346 23470 140232 -44580 285679 95612 -13518 -27578 -93752 -42049 -71237 -84000 -120710 -150409 142299 54603 -7854 6163 69204 -67943 -64973 -53189 -4324 23794 34566 170188 13209 -99688 1483 -117624 -163191 157625 -20719 -106880 -17287 -37661 -18705 -232819 94989 -48499 107687 -66195 121428 134292 -71866 46049 94442 -30084 -76234 -31399 -146385 -36235 134799 58041 36884 42672 98823 -109430 -138798 -43009 -45400 -32929 -26641 -136882 6902 43162 217666 46958 -84689 23220 -105179 150518 56594 53334 171789 -13333 -54052 100777 27402 41577 -112197 -167803 88241 13453 -44457 -29610 -92646 -211231 -15872 38705 -46488 60111 148052 -12478 -193003 -180658 42489 -41290 49379 -82247 4433 46460 43873 202985 -6668 -159031 154267 97134 -59961 130379 49637 -76679 -42807 97537 -187946 33539 128419 -120896 2591 -126819 5926 -277364 35013 -41514 -27421 -111885 41573 73057 
+-78899 -71887 -4764 -81948 -108986 17883 129957 93499 -180622 2785 18925 -37531 54062 164927 77154 -181340 28618 -215450 35059 24438 94925 -36906 55214 -79115 18612 72591 106264 -6631 81303 -75683 126248 74745 -1041 -78108 81970 141002 -83379 -109847 -41769 -28845 36722 -143193 86536 57968 -106215 21421 -20833 1280 -15905 -36118 159940 138797 218252 -68807 -97887 -24305 -15227 20081 46644 -25551 43896 44811 95593 103753 24503 78923 60102 -207918 -131459 216168 19979 -98522 229509 213533 58641 54572 52565 60151 -58734 -138767 -153552 -82654 -26382 3972 50229 44065 45954 -111824 -270 15376 -51515 78960 145106 -160423 -138026 159020 -106923 -27748 -84005 -96859 -54790 -58196 63220 45371 -62609 -90828 175668 -145581 65455 173497 56802 77520 15275 -3682 -13471 -133535 -14449 12025 64695 -13367 289758 76323 141 7116 -4817 3952 -44731 -76437 -123285 -150253 76714 65852 34383 -2246 44209 -77429 -25391 -51426 -31167 23445 31849 78088 -48928 -187255 11137 -107860 28900 167280 93706 -80629 -106392 -77500 -12438 -115767 98908 2082 107608 -80702 85060 93610 -94220 71762 12313 25256 -122482 57370 -217825 -6976 90809 23712 6747 78844 13916 -103709 -88343 -29185 -48731 -102529 -12913 -152237 -32119 77243 143802 115163 -93324 -23908 -128291 145185 62305 39280 141787 392 -78278 81024 1479 56388 -151227 -104072 54734 -28538 -80802 -16224 -99582 -184925 -38364 24453 -23670 -43771 121291 61372 -117367 -90117 84008 -47483 75981 -63793 62170 -8424 19642 155163 -163429 -134931 161151 233934 -37099 146663 -12684 40996 -39855 85175 -143185 18414 96933 -104842 -54203 -101519 -43107 -245344 30929 -32242 -58819 -138540 112809 57578 
+-85331 -156025 12512 37148 -60437 8985 16934 138792 10509 4600 17128 -46696 48851 175758 59661 -141071 -18058 -227404 20297 20616 84428 -38744 28473 -96720 18893 41321 57339 -9155 11993 -15574 105759 119154 -9846 -44673 79929 189198 -80356 -122009 -14385 -28575 -33346 -124017 3994 -6085 -23753 -634 -154209 15452 -123590 -48421 113712 188494 142798 -64674 -36581 27339 -124271 -3365 50828 11642 28532 28587 146433 45323 40691 71198 132740 -35767 -115792 112789 58866 -42825 178517 150306 63817 -75079 82706 5884 -13435 -90571 -120965 -12983 -79310 20134 47941 87323 69474 -126044 21608 15874 -58299 79599 136449 -182801 -114777 165087 -93186 97701 -111697 -209465 -11683 -122134 69048 123288 -31335 -98625 161300 -152084 -117596 79222 55889 77840 -122506 -54177 -2796 -100665 -18616 -26769 -50750 141293 228011 56662 5931 86381 120028 191 -23393 -100079 -97480 -57275 4273 72695 73300 -14490 61736 -55975 62886 -21665 -8912 66804 30856 -10029 -53795 -191345 34088 -71879 120500 -63310 181083 -61033 -156465 -83470 12993 81684 102572 3017 61115 -78626 72521 20937 -86765 68307 -4103 -46568 -102988 103103 -246568 -11373 22840 37063 -46266 82180 -90557 -26246 -76126 -41116 -53769 -172852 5220 -203831 -38004 77903 59090 -21955 -123405 4255 -97500 65572 76334 15872 12801 1881 -90200 70808 22056 53751 -92846 -120989 56898 -40260 -83892 -61615 -92254 -111068 53014 -8827 23079 -162571 108778 111089 -25467 8440 71906 -60699 88777 -52413 17383 -43032 30867 83101 -191901 -105255 131080 173519 -63885 124672 -69435 200855 -53798 72463 -13812 18797 49176 -117404 -148833 -61821 -51434 -201270 56258 -27091 -104816 -524 100920 67570 
+-104975 -139281 1923 187438 -6945 -3363 -137672 141373 90916 36037 1907 -59860 49141 106558 126146 -110792 -28719 -216155 -11372 47075 91114 -95213 -4315 -97104 21443 11671 -35826 -35104 -26842 99140 39652 47740 -65510 -10016 90094 110827 -58861 -123547 46982 -38145 -156692 -74495 -66533 9725 35713 -407 -187290 3678 -189709 -46663 87508 129908 68077 -37443 27447 37104 -203951 -38286 56324 35725 13828 24283 73080 7855 61862 14042 139395 76497 -117212 -36963 46307 13432 70197 25693 82919 -178114 72015 -66346 7574 -21213 -67608 21391 -45660 6238 14750 -60386 39953 -150577 2969 -2314 24756 81475 145229 -180955 -34658 161813 -72025 183829 -129251 -269830 15506 -126769 53616 139472 10726 -81464 145416 -56736 -245252 4660 78072 93108 -204191 -99787 -17272 -100966 -16746 -79392 -45991 229718 150344 16994 24250 94765 153302 3758 -1785 -111587 -56131 27888 -31406 63077 105554 -18797 55627 -60990 186018 21974 10265 122185 32380 -48422 -59835 -121639 59323 -21773 9442 -173906 210522 -37193 -198324 -108140 27433 112307 103100 -11195 12154 -79391 73357 -12297 -51376 93724 63333 -151020 -129701 78966 -248504 -31237 -59032 75835 -94419 70263 -166082 36305 -39328 -8670 -49928 -215962 20488 -160484 12392 45970 -29939 -177134 -107108 34392 -83368 -23044 57004 -9238 -66644 -4857 -75769 85562 65061 70823 -12533 -161646 48491 -26500 -105448 -62443 -65529 -96891 172241 26023 70848 -160193 116630 126378 73333 111200 39531 -67135 85394 -61451 33467 -52119 34052 6490 -55316 -68900 129898 78206 -26780 116526 -106417 251735 -55955 63665 16216 37180 28633 -118800 -76178 -40002 48856 -163390 68681 -36101 -83927 69544 15760 68763 
+-105320 -43524 13478 138495 -34275 -37580 -140506 159790 1776 24313 -12445 -59470 47183 8656 87798 -43916 -29922 -208721 31995 40615 76800 -126627 -32830 -120504 20845 -15191 -80351 -29741 -49952 200907 -40757 -38854 8628 -907 71841 -51053 -49039 -136201 66582 -7576 -209540 -93660 -82195 50260 20810 21734 -57478 -52441 -221740 -42255 129517 -42334 -23680 -43791 56943 -35003 -168650 802 60463 19768 12497 107390 -60452 40058 72021 -7436 31910 4662 -110133 -36625 110413 47581 -86208 -38079 76570 -96313 118185 -97405 19476 39552 -32674 51921 56106 935 -5399 -227144 -68985 -127364 -5820 -26885 38723 83790 143979 -161082 45684 173193 -80056 162817 -118630 -229730 7677 -142869 85991 66948 52146 -51400 128776 60170 -186355 -38849 32440 99523 -29041 -120707 -3408 -116645 -19584 -120981 78062 170386 75928 -17211 48017 177602 438 -8279 8730 -138705 -54110 104575 -77753 52350 130156 -51803 62240 -61184 231601 46055 -10623 139430 35161 -34589 -47317 -52712 81019 41969 -179892 -111399 78572 -45934 -171123 -129339 52793 14487 105552 -49244 -23343 -94325 56222 17355 -9223 88251 150456 -209480 -117202 -28621 -205478 -26491 -128957 104845 -140046 17639 -134157 19762 -32669 27166 -50462 -197175 55943 -134753 18327 50468 -89268 -180404 -120213 65329 -38919 -37897 69215 -22632 11758 5220 -31364 69520 119193 69761 19290 -170338 39967 -10239 -72905 -114982 -31940 17287 229825 -8497 138791 -45776 60484 86374 148387 158544 -34358 -44965 67065 -81923 36926 -36448 22466 -53662 95955 -36131 56202 -45123 -8246 64286 -42467 151785 -55823 61523 -89758 22192 -3886 -103548 33060 -72429 64523 -93350 24241 -39115 -92690 56614 -74153 31448 
+-74407 -1490 -30317 26572 -143918 -17996 27505 131637 -167742 39660 5204 -59142 49936 -99231 39365 8720 23661 -170059 4574 43869 49688 -148575 -49171 -53458 23322 -23087 -50927 -34662 -117531 256568 -116327 -52082 -16676 26413 81255 -162636 -53347 -134095 66444 -27550 -108277 -17420 -62848 94603 -85576 35537 32979 -37734 -247499 -41641 56727 -87978 -111467 -42997 59144 -85995 -81316 6495 67606 -17426 22890 41214 -177924 116260 71613 -4753 1126 -172079 -92943 127656 125069 48154 -149110 30117 56410 68511 85954 -84886 70269 122452 12388 40038 150597 -23301 -8025 -221735 -134618 -145078 -25567 -16653 6503 83102 147714 -117181 67624 173078 -7700 51528 -50924 -109591 -36036 -106031 57432 -6479 84981 -21509 111376 123905 -4071 -7743 5990 62044 88253 -124775 -23212 -134339 -17287 -167361 138448 59342 -1905 -75975 28957 199644 -84709 -30996 20283 -143133 -108484 129786 -93341 53973 144666 -49920 54891 -60829 201027 50641 -8275 137657 36945 45857 -1296 15885 89464 108352 -201110 115234 -32552 -96993 -89722 -137603 75572 -154190 120698 -65484 -48855 -107826 51539 115075 36147 115600 170644 -192871 -126816 -59796 -139147 -16360 -160664 129300 -176857 -59997 -80541 -58624 -63487 5124 -46386 -161241 63155 -81244 -5031 58866 -62723 -10968 -136247 25519 20704 -27676 63453 -25749 129888 -8893 15167 51323 132999 75573 24308 -166048 31823 28652 -70997 -137150 -17168 95274 167742 91680 156856 34890 42513 40751 132717 160964 -95911 -38731 35429 -92949 26595 1578 18285 -99594 115727 -34090 3008 -70575 -28178 37520 38774 -4476 -54886 67692 -200991 21492 2916 -95810 21783 -102947 28475 -50665 23931 -28615 -72444 -102422 -100050 50829 
+-89353 -49922 -38925 -72823 -170595 -19652 123207 138277 -285875 40861 24169 -45947 54121 -173962 -55290 53018 94199 -125187 8494 15222 35432 -150074 -64927 -87466 27981 -59448 60131 -33386 -123675 232515 -187031 -50085 -37435 43280 71057 -48913 -48994 -113307 48341 -1627 2785 -61478 20633 169340 -89271 22108 28660 -56921 -208197 -48862 41124 -12651 -159733 -43407 -2439 -124816 42425 1216 72614 -44650 38947 26318 -153111 164156 56963 62329 42225 -226079 -78432 229060 151639 6783 -122185 156410 68006 106092 114576 -22513 87688 152169 38931 4753 176436 -41062 59927 -60593 -114548 -151014 -29535 32957 -33201 84578 155942 -83887 40858 167412 37061 -62900 -50674 13539 15142 -60483 70271 -30234 99711 28991 93231 66100 120340 67939 10631 61815 10719 -103251 -19445 -120021 -19759 -192411 74266 -41687 -65462 -102639 1774 253691 -39104 -64023 15086 -112225 -127995 71131 -42016 54431 147910 -88248 51942 -48652 114335 12324 -17372 145351 36385 139776 56433 19044 82579 163120 -56759 191005 -101892 -116650 -23057 -146898 78871 -210128 109249 -85829 -51969 -74834 -12566 166380 56284 127393 102258 -113818 -135299 -4132 -60138 -12325 -161443 125743 -198573 -101769 46736 -134111 -26617 12912 -48656 -96734 82191 -12071 7700 88201 -32522 99575 -140222 10475 -17020 93346 61781 -20454 180026 -2321 39205 37393 125158 85143 -51533 -180885 80422 88912 -25798 -147451 -7185 104143 16694 126167 220201 24229 22425 -21582 58882 85484 -118701 3022 -3841 -82125 45888 56260 -5248 -99452 -3689 -50207 -86725 -27296 -23023 69333 65798 -89587 -49862 79008 -175563 5871 -26703 -105556 2810 -137866 -55680 1506 13464 -38118 -26858 -106616 -26144 34901 
+-81060 -133371 23105 -78883 -126590 -20369 31875 116810 -183078 -868 20443 -37379 58077 -180271 -88919 73347 120612 -72930 59473 35899 -4874 -180000 -32451 -90096 28699 -82319 81891 -26725 -121243 152758 -217124 51662 -60936 76436 99193 83426 -57329 -136842 10557 -45697 4230 -34873 104577 196424 -90074 -199 -113320 -26255 -164708 -23540 16221 148458 -173357 -48968 -26139 -92786 78502 -22428 78905 -31010 58202 -3281 -24513 110484 37538 68007 154460 -80994 -76241 131239 129826 -46136 -20725 210805 43419 -20794 127611 31388 121439 187676 60706 -50533 110215 -47328 85306 74258 -64072 -163007 -8205 24557 -40988 80891 155959 -29428 -36645 174389 17363 -89583 -8392 103439 15633 -48261 82748 34225 92023 79935 74945 -53999 57631 142590 -28649 67405 -143426 -73128 -7559 -78344 -23677 -193700 -51667 -40416 -94092 -145182 3422 243847 119985 -40267 13829 -120033 -108633 -32657 37589 48906 140126 -90766 44508 -52354 -5984 -43103 -11325 104039 33811 218938 117962 -46900 62974 195943 111874 8776 8061 -134090 27341 -172668 81855 -89952 120829 -96921 -62824 -79257 52414 173481 46382 130906 15914 -12790 -113032 72543 24644 -10760 -107959 92332 -225106 -128189 133920 -59884 -22596 1250 -48265 -4460 99525 9771 -6100 27184 52193 44192 -149267 -29015 -39917 145562 71881 5604 94729 -8337 91606 5442 55705 95310 -126999 -171923 71933 135279 8339 -104774 48065 105899 -37109 114314 212105 -115665 -11245 -61679 -99262 -30016 -106205 -39095 -44546 -63844 26929 118562 -8337 -62003 -176468 -82862 -107036 90528 -1589 117059 -13956 -85773 -48588 91830 -56780 4294 -70371 -98965 -78529 -110857 -48007 58063 -24913 -59881 -99628 -27301 71512 34491 
+-73422 -144159 -48884 44087 -69070 -11185 -134050 65595 5561 -2489 1117 -43845 57656 -124330 -178726 93266 125598 -24529 53168 40856 -41675 -182999 4093 -81640 24051 -84386 47485 -20032 -113556 33969 -212083 125255 -51001 83328 90102 216309 -61715 -125485 -60077 -33144 -100580 -107210 169953 178560 -39294 -823 -195079 -36613 -106152 12903 -59233 170874 -129246 -54443 -90032 -23986 29523 -31439 91550 8995 50423 -5597 100685 26152 20990 60670 138378 69113 -66046 -35510 88196 -105231 118856 149345 66677 -164156 121190 116551 134324 173117 64932 -94049 2766 -41962 50877 53767 34940 -144939 20998 28706 -47805 81381 156977 26328 -120380 183077 17914 -7707 -20124 106556 -40854 -62662 73599 116686 64212 136644 56195 -155425 -126175 197601 -53790 51287 -257057 -15524 -13531 -102271 -22817 -174880 -63074 119416 -86824 -204735 -6039 294057 111603 -79206 3660 -134697 -70200 -122981 113166 62648 121682 -98858 44322 -36176 -51526 -51641 -14998 73920 31367 236472 189969 -105541 36083 220974 70040 -195917 116535 -159949 60212 -176529 87955 84402 112468 -20972 -2645 -93364 48900 116435 13364 131598 -12650 14705 -95500 99770 99027 19990 -39694 50412 -211069 -138632 131234 12872 35926 1774 -44277 59886 101399 803 7584 67359 169583 -107087 -145746 21599 -127672 115352 105199 25425 -20555 -3310 85400 27449 13297 87525 -144772 -146689 52669 192211 48374 -117695 57728 141346 23036 126792 217541 -190623 -26141 -48746 -151003 -145253 -70590 -23323 -81121 -52463 34282 177097 -10707 -1951 -194827 -116467 -88115 202362 10519 126041 -91621 52220 -34269 102839 9897 -121 -91669 -110390 -103250 -83037 18072 60023 -38563 -43724 -107438 38755 115719 -8469 
+-67802 -77168 -26123 190098 44886 -20016 -143614 38840 112219 29486 -27293 -52813 54723 -19857 -209830 101717 92455 25571 56644 65799 -84025 -145989 29271 -71486 25743 -74409 -49336 -4284 -76566 -61767 -182161 58393 -13994 99796 88297 149411 -72680 -139106 -74776 -58790 -186225 -98730 184522 131985 32564 21064 -140589 11643 31969 30706 -27708 91555 -32194 -31380 -157550 50533 -71486 9526 89261 34917 38675 61569 140762 -3346 15145 -12133 75613 34796 -63344 -62087 6549 -137953 222257 28380 75245 -134327 70814 129168 105528 139172 76898 -99743 -75370 -23467 34730 -137796 118046 -148141 15645 10120 5635 76681 150265 49031 -127016 199123 23563 118012 -32620 43374 9730 -107679 64183 134925 23685 160177 37482 -150521 -245478 179423 -118476 57018 -13990 33888 -19975 -90178 -25993 -133707 64365 211783 -36012 -203150 -493 281179 40937 -68634 -11252 -89952 -73611 -141849 125233 52230 93579 -123026 27611 -37553 -55067 -42039 5202 47274 30901 188881 197862 -166404 13546 218196 -111829 -182523 220322 -132988 53525 -221632 70362 114337 114115 -9842 55140 -57494 75378 4117 -30062 158022 55761 -31648 -109716 6569 131892 -3041 45311 38661 -198887 -110766 -11353 52470 59399 -57996 -47734 104246 100682 -1128 37928 56204 209253 -204435 -141158 20162 -132233 25918 55183 44279 -58634 -9696 50252 31435 -11438 88706 -121800 -176501 83246 225491 94105 -104912 76643 53370 145803 176119 231611 -115877 -75529 -4206 -112131 -196002 2898 -18453 -108106 -62517 60146 221259 14294 75210 -58409 -153198 -98472 193483 16001 123852 -113412 184891 -34737 107281 -36269 6446 -79043 -103187 -109993 -54326 90404 91203 -22062 -40979 -112034 12731 71952 -31981 
+-89417 -30424 -23348 174583 -29426 -5231 -2721 18782 225 3512 -3341 -61519 51328 86564 -260839 65319 38344 46644 21574 64833 -127194 -170338 64252 -97095 31183 -65151 -108056 -5884 -24469 -95625 -116503 18022 -41255 107121 80970 7095 -95768 -130016 -132236 -16248 -182051 -127386 148903 72115 -772 35533 -1153 29528 102126 47345 -41078 -63978 56066 -42034 -230772 42665 -186264 -45068 101814 30259 26150 62279 70189 53475 23728 -17906 -14207 -142761 -58747 98720 18387 -135992 218009 -35390 86238 35857 78029 114995 63978 59707 70194 -105255 -70049 -2934 55780 -255934 74655 -150623 1578 15373 56683 75242 155300 67611 -68041 182474 18197 188637 -72618 -82830 -32546 -149094 56402 79402 -20064 187263 19284 -42173 -180780 113714 -117530 46825 150842 95337 -25416 -104610 -33135 -83331 138336 206364 5096 -237731 19716 265285 -76125 -52835 -37586 -73005 -87740 -110295 124464 52313 58092 -145438 42383 -38751 12308 1427 -12118 51598 32999 102577 188204 -170273 2542 190029 -225988 -3025 159833 -94814 -13461 -201558 41897 6380 113235 3045 75612 -74465 76593 8279 -68341 172579 149055 -113660 -68277 -63506 145292 9480 110294 49435 -179700 -49349 -132711 -17588 32091 -51721 -50456 76697 84416 -72204 8797 76055 196974 -115588 -147411 24405 -105738 -96797 77672 64280 102305 -4258 -704 15888 37757 83835 -41796 -154295 71670 233304 156906 -57912 73874 24346 227809 126992 173903 2325 -104486 66961 -53451 -190745 55367 -77435 -121161 -80869 56743 248524 19644 155216 101658 -161050 -93341 98049 63197 102788 -3189 270973 -36888 105251 -149005 -5844 -106173 -105183 54070 -56931 38808 86399 -57935 -52728 -62413 -86903 -32134 -31950 
+-91139 -41514 1191 54219 -131081 33581 111427 1743 -173037 -17902 28247 -62199 47551 161444 -284177 29072 -7959 71941 23951 33977 -142278 -153137 34345 -108272 33376 -50885 -42367 11153 47740 -59510 -46239 -50103 -25297 94770 50751 -113554 -92338 -143893 -100521 -34435 -59326 -144773 77440 31521 -63097 22789 57878 22958 94424 70677 -64825 -96107 129519 -25227 -252085 -34775 -205638 -10409 103008 -18505 15895 42191 -81542 114916 41193 40283 1798 -228248 -65512 209005 8982 -96462 133965 30887 78024 121134 77222 58421 36640 -11438 50657 -73787 21962 16658 17820 -152009 -7105 -138647 -25099 5658 18798 73857 152842 93695 7816 182142 -65732 148257 -114366 -198589 3176 -132111 48526 -8336 -54728 210743 834 85739 4662 32764 -141754 49950 58903 150907 -1414 -88343 -39142 -28156 65819 82975 89156 -246059 16246 270601 -44553 -14876 -60355 -104019 -175827 -9907 78475 55752 17785 -158703 70594 -16524 144406 55032 -5365 57157 35743 12176 212283 -125832 6339 145229 -120119 171765 23009 -63464 -108191 -207192 16610 -191320 103358 -48139 85938 -60462 85321 42875 -96935 150724 176937 -184907 -51990 -30604 108970 12142 147821 78908 -163057 14490 -168074 -107734 47840 -22412 -52548 35402 93200 -141121 -36067 57203 102110 59436 -142582 -15783 -77958 -53217 36779 67226 171323 -12118 -47841 19874 76595 94049 14136 -127420 46970 217863 198254 5372 92429 -61994 185598 85721 156731 58863 -113545 109383 65604 -153134 70188 -50509 -118252 -90012 37747 248389 -7664 205178 117391 -147379 -62491 -9001 74053 126026 41868 154731 -26876 94328 -206171 10682 -105378 -111338 22125 -80544 -55879 93507 -9942 -43597 -29251 -109922 -100173 -25318 
+-103688 -102795 -20319 -62331 -176884 38107 59220 3109 -305650 -7108 33247 -57451 46372 164973 -269596 -13686 -31544 63798 -240 18649 -192964 -143860 18230 -94146 30318 -30525 55597 27970 81876 21809 24797 -19689 -32718 106734 58540 -98311 -88395 -126528 -84417 11719 28458 -134649 -20555 -8277 -102679 260 -65961 54096 110507 93399 -14321 23693 181281 -32757 -223483 -94635 -134762 -28016 110016 -43190 8844 1008 -184168 142426 60703 74143 123295 -120630 -79751 143535 22066 -41323 -23877 149893 62410 -50817 74727 -13111 1384 -57016 15830 7854 124552 26450 16675 11063 -98259 -135410 -30864 23659 -36861 72673 153881 63350 67461 214560 -72011 28967 -141099 -263238 -8232 -83486 52113 -26566 -72901 218152 -16292 126215 120969 -32642 -172601 64074 -157642 191166 -25031 -66923 -32998 -560 -50226 -34369 187162 -195608 10381 239136 102488 -1159 -81092 -32312 -128015 60487 -19154 59998 -24990 -158126 49860 -22669 223383 62350 -483 47815 37140 -46925 141081 -34297 23326 83209 69719 94611 -39749 -36994 -172619 -236493 -9945 -213728 101951 -29713 118555 -64703 116880 89630 -87044 164520 113355 -220096 -41067 89528 33471 47983 133412 112565 -93775 53805 -111962 -122942 99237 -15651 -57704 -54685 77630 -144140 -44314 44523 29106 87968 -134939 9336 -23333 -14386 48010 57000 142464 -13582 -71282 41381 123986 89312 40943 -119854 76830 188258 214583 -19226 89035 -133682 55388 52482 108459 -43490 -117619 146382 86978 -16261 73012 -24199 -99882 -82784 36921 217875 15355 222558 -8698 -119972 243 -111289 60012 74749 6522 31286 -25027 81154 -139537 -11327 -90128 -96053 -51372 -113094 -48770 75285 -16909 -14389 -69196 -23031 -90445 -55289 
+-83905 -161811 -17372 -66436 -139609 53586 -135980 -22714 -144378 -35588 17641 -44826 48106 122325 -271854 -55408 -11338 53132 14675 17776 -180882 -97398 -37098 -93304 35324 -1261 106490 16604 109172 145151 81871 419 -64173 101100 84068 41427 -93661 -141136 -55593 -31896 -27959 -89962 -66013 20907 -93572 -1203 -188898 53322 66214 112827 50056 195371 220352 -46527 -218831 -133061 6752 -5279 117021 -45065 37127 28149 -142275 93677 73249 55844 167439 49437 -79252 -45741 31669 13934 -122174 217307 55173 -162838 43245 -78678 -31690 -113707 -13977 28908 171900 14220 38009 88600 -148262 -113694 -40440 58943 -29974 71842 155951 45431 60464 188520 -97279 -75455 -98504 -242851 -1236 -56332 74614 30163 -71655 202798 -32887 56446 51938 -15019 -156624 77140 -196388 202680 -22145 -77819 -37790 22532 -50636 -49254 235404 -201319 10793 180695 136891 12923 -109646 -55328 -71675 106354 -69105 54099 -67036 -167646 50715 -28963 239460 45600 -23081 105538 35915 -42847 107367 -8937 49280 22604 111130 -146430 -83526 -39368 -188009 -171476 -22334 -135521 101210 -36249 63141 -82094 81346 138918 -50876 119253 21168 -117646 -38171 87113 -39159 67704 82693 131911 -55476 113618 -14224 -47102 74680 40285 -52980 -122189 57025 -198407 20746 40120 -57829 -35323 -137904 21116 26410 106852 58771 39243 -9733 -25829 -111354 51836 129850 95456 -28734 -97611 90558 144411 230887 27949 70085 -176817 -38699 62463 83001 -153941 -143512 102365 181124 77198 17918 -33042 -68654 -65054 17856 168622 -597 208055 -165657 -77358 36221 -87127 86409 60493 -62700 -102403 -19057 69451 -38901 250 -69239 -105704 -140910 -122927 15680 17868 15173 -15677 -72806 63219 2945 -55196 
+-57204 -100348 -9244 41015 -51611 34451 -148594 -7904 29392 5312 -3007 -37907 53642 17393 -184100 -109444 14054 16441 25585 46769 -196657 -46728 -53108 -70322 31305 35926 24467 -4558 136365 236020 125886 115499 -31702 83030 76025 159324 -85291 -125571 -4044 -11039 -128594 -46772 -95805 61261 -6780 20391 -170292 68726 775 125464 114305 178735 206618 -53231 -128763 -93192 80075 -23267 120924 -9375 53497 28348 -12898 47029 71132 13572 106680 67493 -93476 -57193 62265 50233 -131586 150858 61578 -156251 72611 -109457 -62863 -171630 -45290 36094 147322 -5965 91280 -26433 -99991 -91856 4967 23146 -35990 75422 145066 6020 7206 184556 -95799 -82433 -75525 -137117 -19884 -47932 32273 110895 -47616 185217 -48190 -68303 -137248 42813 -154621 76138 -47484 203807 -7282 -65601 -44079 13438 60397 94763 278895 -183324 32962 137924 52554 33601 -125470 -74329 -78687 95593 -90695 57280 -105464 -185638 76048 -39880 167116 -2815 7510 129938 33084 17042 72037 40546 73926 -34385 -38429 -178217 30143 -61009 -170419 -181436 -31252 63245 98424 -53186 22310 -73773 114408 167382 -5784 146062 -7935 -42832 -27477 28897 -129963 57399 4555 125846 -14238 84970 89456 15026 87191 -7511 -54322 -191810 33578 -178102 30960 21101 -92326 -167075 -123688 4823 -16928 138863 68191 11635 -53564 -20680 -102204 53153 100527 80426 -96408 -85448 73709 87226 237184 23641 56721 -221787 -19888 3838 39769 -167731 -132864 34069 53161 137694 -36151 -32034 -30016 -55583 64347 109394 4704 155699 -191680 -47568 91861 -8015 90427 77690 -102854 -102823 -13630 61820 -1840 -5569 -50274 -125747 -67905 -104955 75592 -10070 31284 -55483 -104917 46670 110252 -69879 
+-50462 -2325 -46057 158470 513 59700 7462 10607 117367 15616 -15004 -46777 56280 -87868 -117553 -167753 88727 -22680 -16949 59752 -186336 -28568 -43870 -80336 32718 59493 -76699 -8815 158787 260520 105268 93938 -58688 75204 83881 170852 -75429 -95792 35533 -43408 -208240 -37685 -41510 120899 12880 35485 -51333 37936 -70323 143479 116562 60929 124606 -57951 -64911 -22618 76541 -39546 125929 28007 48866 32649 121453 4620 58049 -20895 708 -103227 -100069 84630 100202 43236 -62693 21220 78382 24352 50755 -88151 -43557 -174292 -93337 17925 39879 -20606 70493 -212544 1927 -94740 7487 22104 5196 80928 142754 -15054 -87114 176350 -109128 13579 -49299 -5518 -30290 -94850 52527 138242 -10241 155080 -62546 -160620 -246050 129262 -162779 95913 102757 182474 -6745 -42220 -42641 -18789 138285 227898 275147 -167019 11618 104472 -61944 -536 -156305 -92794 -99888 -8001 -72961 57273 -137446 -165571 44346 -55350 77584 -41220 -17438 143938 30995 116344 4982 -37086 87947 -84876 -199975 -5823 169138 -124586 -119790 -184882 -41955 130106 87730 -96281 -7607 -66291 78733 127103 37693 141921 50422 29815 -33250 -54285 -214348 57994 -72244 88738 23288 52911 136619 35077 156081 -5541 -49654 -235791 13056 -142288 34465 27322 -43949 -187371 -116441 12492 -54205 27966 30849 -12140 23703 -7701 -73743 35983 76497 105653 -133049 -56933 105829 40586 252436 -27976 37747 -183359 120823 27451 -18427 -50015 -110529 -6862 -15651 161883 -106399 -40856 10956 -61800 55945 45196 4611 78964 -50792 -30286 135495 155991 67848 72443 -62874 2678 -8435 62753 -67059 1736 -5454 -105572 11310 -59315 52504 -71083 40738 -36924 -92442 -50582 94837 -37572 
+-87558 -7960 -25760 163795 -24481 68811 115308 23547 36361 -4610 2283 -55438 57453 -153470 -59099 -211293 119297 -60511 62014 66675 -189587 -24959 -52802 -74589 38367 80197 -94268 24594 156253 208234 74351 21719 -18171 53143 70021 64660 -54539 -116965 72453 -16726 -138970 -25317 53001 185489 -2840 23420 69585 37184 -146310 121109 140457 -77502 40499 -56807 -19432 16449 -32207 -19670 127919 32015 65375 24791 147795 51730 37841 8960 698 -223198 -110313 187370 156390 10096 97341 -34178 99507 136921 58398 -17665 -40404 -159553 -140483 22468 -60214 -43854 60716 -243783 46250 -59335 39624 34429 31579 78231 138269 -57073 -130036 180062 -88455 136722 285 79567 -30341 -141329 60798 81372 33084 111915 -75508 -136017 -171343 194337 -127332 85073 39254 141288 -14016 -63111 -40161 -59385 76199 215134 212775 -123473 17117 44248 -51806 -22123 -172847 -34892 -120252 -108304 -15071 57587 -161136 -172490 98838 -59200 -42507 -46599 2482 158145 31325 205457 -57118 -95538 87505 -112419 -178077 155375 193119 -136859 -12124 -176149 -26667 10237 90032 -50062 -60465 -50738 71418 26265 51805 141952 137813 -31602 -17126 -45945 -238913 82038 -140448 51885 51672 -4960 38502 -51794 156646 -33380 -59505 -204601 -7859 -82365 -18164 54673 33406 -12186 -104982 8930 -117821 -36221 33282 -24941 173194 -15807 -12855 70419 25992 100605 -124537 -45147 71074 -15296 210436 -29337 2994 -147222 225837 29130 -20834 35820 -77192 -34836 -111669 120930 -121626 -39302 48070 -79688 38338 -7856 21521 6583 97891 -37204 148593 180506 103315 117283 16154 178450 4837 70404 -158685 -9481 15867 -106214 35007 -46729 -20626 -116542 56328 -22328 -68982 -99616 29856 -76743 
+-96832 -114210 -14485 79247 -138377 52913 59789 28082 -190157 -5072 42274 -62990 56484 -188191 -2225 -227941 127786 -119697 86260 31730 -168444 46870 -21590 -62081 39649 89643 -11854 34039 108745 103204 4137 -28035 -5613 40321 82399 -103584 -54018 -100566 54560 -51514 -9480 -94477 123501 185965 -80622 701 -20585 33545 -177118 136607 132410 -49776 -56178 -47937 43412 10887 -148553 5330 125744 -763 45093 -5430 37489 119289 22492 54509 60073 -144974 -113114 183781 113677 -47675 203227 28947 60627 4748 95246 46477 3061 -130584 -167050 -36051 -75669 -44286 20720 -83985 100709 -36475 20790 47585 20576 80958 138095 -96853 -106377 196929 -20087 189904 -13023 119220 -5741 -156670 24321 -4838 71574 52411 -86739 -30415 14337 187258 -90362 90785 -160216 80682 -2816 -35118 -39302 -123150 -40379 77740 158033 -15642 13582 -8102 49170 -39362 -181935 -75148 -146214 -125792 71349 53644 -174403 -150902 79594 -49622 -53119 -26941 -8503 131517 33643 236734 -92559 -167175 71748 -113006 -6633 124270 109901 -129842 39364 -190477 -18843 -167983 83504 -10026 -68627 -68021 33236 -4816 44675 112260 175939 -93147 -34744 45978 -248500 103895 -166952 27147 72312 -67514 -36347 -118406 180245 -9141 -56458 -157620 -38533 -35807 -14583 62350 111930 103227 -107184 -36473 -157274 -71031 31417 -24926 187607 -3461 18993 79576 10432 92934 -53621 -48592 78745 -40447 190327 -48770 -34974 -94495 201899 35931 -80239 24177 -57236 -45959 -193496 19807 -98401 -55364 74931 -91578 -10502 -43840 40170 -63993 127670 -68437 145312 141364 112736 127376 37924 238473 3130 83200 -162221 -12640 31518 -102634 -11782 -64190 -52673 -152726 52275 -13822 -33618 -22217 -77446 -76129 
+-99929 -160801 3715 -52940 -150873 60493 -105872 63646 -278549 -17723 40088 -56391 52823 -140759 81132 -224491 86607 -167597 50869 49292 -134143 48533 10190 -46487 43473 95420 69486 24835 84595 -8276 -65237 -37302 -21976 9857 67209 -110542 -48520 -93776 12183 -51285 22129 -98366 169130 165188 -115939 -1581 -155273 -9174 -224440 129943 136262 98807 -124016 -47927 64330 12 -209970 -8013 131923 -39183 32379 45586 -112347 153935 14029 82148 146681 20394 -122440 -28556 110682 -104265 224336 150479 53963 -153051 121500 116048 33356 -67778 -186408 -108485 -7734 -35615 19102 69600 45155 -49671 -6899 30681 -28190 81774 135351 -139566 -14500 193070 -11359 131149 -31473 56421 -3134 -110534 41301 -25497 95660 6492 -96055 89120 123766 129847 -67867 102504 -228619 24556 -1881 -68337 -48352 -163542 -56525 -29686 99894 -17967 32926 -21330 176405 -64319 -191689 -92437 -87949 -128284 117377 53360 -177138 -129178 38520 -39720 12749 9048 -17132 129648 36309 208508 -83629 -196794 46731 -96725 126101 -92424 -26770 -145069 35222 -138577 2020 -230092 85250 -10019 -44658 -65845 46371 20000 10095 138525 117305 -185623 9013 122530 -214857 76256 -158680 44585 81447 -123182 -176023 -70177 205622 -56105 -62015 -94565 -47028 -13384 -9269 51282 185260 55641 -101618 -8744 -93935 -24138 22619 -17129 49502 4256 51724 128800 35078 89503 45913 5533 93618 -31060 163069 -75710 -60762 -12730 91657 82426 -105615 -97442 -16124 10033 -164253 -109023 -53859 -44685 88252 -85057 30609 -52982 12819 -95174 -12937 -100090 116441 38424 124513 110930 -5202 202016 3166 95335 -80203 6038 93514 -109554 -121862 -95798 -2634 -224205 32709 -20093 -55000 76763 -97241 -67910 
+-95197 -102312 3277 -52632 -138774 28078 -153335 89746 -198986 -10459 26225 -47912 48566 -33064 97879 -228732 45233 -198451 57411 30459 -78806 75729 42382 -93160 41544 97570 92583 32460 48013 -82513 -131305 18672 -33899 -2614 57749 -6778 -56757 -90163 -25111 -41698 -67154 -113394 170168 115079 -97943 19671 -192373 -18869 -232214 111621 90579 200173 -156574 -53225 39009 -98586 -168674 -14180 126063 -45125 15260 39002 -187642 94381 21763 22405 158091 72770 -129655 -82425 75890 -135221 151476 207856 52998 -151062 103278 122522 84879 6766 -211795 -107859 84704 -18716 19187 59101 -44244 -31380 -33237 4079 -48947 85365 128261 -192074 58170 182153 29849 6731 -72759 -64430 9180 -59260 53374 35180 98282 -25910 -104076 125502 44156 47560 -25680 49521 -41006 -28352 -9436 -37756 -42912 -192402 60710 -45947 -7261 19315 -2697 -71002 76346 -23686 -193550 -103075 -67267 -31170 122121 54468 -168069 -123434 50435 -61811 89607 57223 -17505 82386 36986 133475 -64805 -141025 21885 -59213 33911 -179399 -95239 -115668 35255 -110215 28359 -115202 94208 -33668 -973 -68658 55445 82054 -31275 95030 21504 -199701 -22030 28644 -156559 106700 -95510 82581 33205 -146900 -173613 -21677 192848 -58414 -56547 16698 -54353 15492 2023 45183 240070 -127241 -85768 13900 -92475 80733 25693 5778 -42887 1691 76642 109056 94749 96826 26607 2634 111941 -16572 117309 -117682 -92965 95358 -30026 95478 -131996 -181739 11753 69079 -16756 -192515 13100 -53114 85922 -66792 35020 -37118 30100 -98443 -168924 -136604 72616 -83885 144926 81855 -67870 38547 2810 104551 -26576 19171 57451 -106139 -124879 -125161 71760 -271891 12725 -38774 -86512 100846 -67477 -93757 
+-83522 -19659 14812 59355 6115 24941 -14342 126763 -5184 10173 -25347 -37476 47932 74113 107016 -194028 -19533 -217487 45858 35109 -62252 109291 46490 -101877 44839 89923 -1255 9848 -41816 -78456 -184833 63091 -25961 -44235 58292 185132 -59429 -116816 -70989 20622 -193428 -138748 131543 65359 -18096 35424 -79193 -10972 -201116 112729 43333 151770 -154630 -38549 11971 -129360 -58838 -31687 128699 -19630 11545 38873 -138166 34313 40658 -21218 53592 -68939 -126941 102316 27136 -131306 6369 149622 57233 22895 114984 120035 96802 74501 -231642 -78038 157388 -6345 27618 -111277 -110357 -10056 -35108 34425 -39077 83120 123231 -174078 75985 200391 22634 -85084 -97234 -199757 -3945 -60770 47497 104327 79211 -64317 -110221 41134 -143772 -22697 -1040 59273 87466 -79858 -15173 -30418 -30677 -201903 145272 88557 -40412 95729 12519 -83293 -39526 -67475 -187885 -87413 -82633 37244 98927 56896 -148904 -97131 37163 -47001 182165 46858 1341 50798 35249 32122 -13457 -79356 5706 -2570 -153327 -57911 -59439 -83671 -10252 -100685 53037 59674 78654 -29853 -5031 -77250 35718 154391 -70736 73613 -10473 -169204 -29200 -49079 -63767 120110 -20655 118308 31547 -144758 -110823 58022 224799 -3426 -46420 67997 -77776 -5946 28785 39344 169731 -203441 -75678 61260 7046 138027 1225 31918 -39129 -4014 64997 104026 124067 80304 3833 12033 82447 16016 77465 -138833 -77172 134161 -17564 143694 -104761 -109766 52269 112717 83249 -193206 60115 -66314 67775 -53943 18223 -3733 13163 -53904 -197430 -158833 27593 -101986 125588 69764 -118185 -90156 -1929 107815 -71012 19721 129487 -152131 -60812 -120162 58469 -269344 8095 -35722 -120460 -32604 47660 -57068 
+-62171 -16866 -17731 130647 28616 43140 117655 147028 104038 -11849 -20674 -39802 47236 159295 63422 -158108 -26504 -225279 20316 47498 -3784 139043 25541 -122226 39459 68605 -44694 38270 -60944 -28680 -223113 106970 -12724 -66256 79580 158542 -77004 -84096 -95956 9897 -175738 -134851 23654 9707 26757 24070 3648 -16117 -134400 55694 -2199 5281 -87336 -78049 -39420 -104673 55547 -33877 130956 13451 23148 56876 -3178 13576 59908 6364 1977 -225238 -121738 229596 11008 -95322 -104010 26109 86396 131219 70289 53902 151520 128999 -236596 -77574 160927 15521 64612 -240463 -123621 -48242 -33784 1084 32788 84073 131730 -163470 26178 195570 58733 -72134 -120987 -258799 -14944 -76725 39139 135114 45356 -81386 -114522 -87889 -248039 -35237 29793 43238 51422 -117208 -15144 -23336 -39822 -170893 78954 195924 -89292 103239 34095 -97147 -62571 -42907 -176104 -116104 -119356 100871 55839 59930 -119899 -86683 66239 -48470 259450 28433 -9063 18479 32527 -29205 38519 19725 2287 59617 -211611 129628 91472 -62654 -94174 -85025 69272 99908 79321 -55245 68088 -58142 39728 189021 -91030 56845 44066 -61158 -57985 -44975 7810 126979 65591 127247 -11591 -56493 57446 8830 205250 -9559 -53871 104286 -75102 -22962 7955 39344 65832 -100821 -74414 29181 -4771 107759 6867 53135 81836 7417 16291 117344 128671 83311 -83945 73948 97250 66541 36120 -144128 -113644 161896 94041 151303 -67342 23110 80764 126702 132859 -99371 86970 -26259 36936 -62584 31711 53732 10133 5841 -57049 -157804 -65269 -34918 152157 44787 -36989 -133760 -7059 102937 -153900 39137 134733 -117454 39166 -83588 -18862 -280238 -47028 -49975 -68551 -103237 107281 -60674 
+-86877 -97949 -23525 179445 -45400 -7322 69073 143551 2833 28841 10437 -47305 49799 178568 28914 -102405 -22994 -212609 43146 40157 13481 131871 -25698 -81727 49472 36739 -110375 17005 -96061 87389 -218323 49266 4947 -94406 79645 95234 -92712 -92561 -103481 1766 -43813 -88662 -52741 -7733 -23340 1201 17946 -52616 -58297 45233 -41434 -99833 -14467 -65193 -115770 -38595 89770 -22956 121254 35980 45009 27225 135362 51988 73023 54203 47996 -186480 -125649 161284 29152 -43050 -143101 -32697 80327 36152 86122 -23759 108006 170666 -207902 -9891 78613 17743 71632 -189162 -86444 -39825 12209 -139 44050 79813 108269 -155285 -53832 197429 5191 35841 -130060 -239657 -16237 -113259 52005 82185 1845 -98327 -116304 -162468 -165578 19061 62536 45524 -133750 -130615 3927 8829 -35901 -140479 -38578 212290 -77554 99262 37742 -105161 40625 -12522 -160436 -134178 -167408 99086 -31183 59651 -84095 -75047 64219 -62383 191178 -19001 -13921 26288 30921 -50416 55870 29597 15513 114500 -74779 159004 215357 -27174 -162887 -60322 93476 -26493 77223 -62490 91278 -70377 92649 115809 -83006 44428 135050 11212 -65354 44378 85933 93058 116444 120959 -72703 -50454 99422 -86880 245574 -16087 -54211 66411 -78849 -73405 -4087 29030 -5235 63364 -56281 -8356 -2725 45900 2350 65832 180738 -2157 -7831 123148 97401 70133 -141995 60998 64662 117916 -15394 -126153 -85205 152993 214032 145483 -87347 51757 92582 85182 110136 -25218 57828 -34174 -1640 -79586 33424 119158 21514 85544 100957 -131400 -78356 126565 148768 50486 21570 20215 -10274 91348 -202047 46576 149607 -135455 38646 -52587 -49312 -265381 -26473 -64497 -49342 -41093 84781 -66716 
+-95935 -147702 -36472 35157 -131919 -658 -99743 155876 -173028 -19102 43744 -57937 54970 129948 -36741 -74987 19928 -196982 9010 23589 38361 131352 -25046 -110506 48619 20317 -7084 10225 -104039 198413 -183579 -16160 -9264 -116429 99851 -75255 -88771 -85206 -120870 -40631 32746 -55940 -86073 29446 -92804 -1929 -89549 -10547 8798 21896 -28535 7668 78403 -74873 -177134 15593 11801 -32336 119211 14336 52712 39708 137846 120812 70784 59234 134396 -8239 -106659 -10569 26716 16046 -85115 38769 66339 -125551 59960 -83973 102207 186828 -196930 20442 -27353 13184 67197 -7896 -6936 -30650 11579 33246 18114 76992 111661 -99834 -136022 206171 47366 153176 -101142 -153336 16780 -157783 67561 11073 -38396 -85133 -116487 -130210 23906 112181 61091 49442 -198267 -119035 -26905 -19907 -26877 -87274 -60681 92609 -68101 98180 1521 -85925 158358 -21144 -142796 -110728 -101848 28145 -89169 68417 -43156 -61457 56253 -69306 113048 -61585 4380 41815 31744 1649 110856 -10636 39618 166681 97523 -32680 182263 -45064 -188472 -67768 80916 -166336 95916 -80001 109867 -61174 84419 52282 -57271 7026 176206 -1693 -76807 110612 127066 112150 149190 82389 -113460 25663 133147 -111280 250180 -47 -59657 20618 -69273 -162917 23436 32849 -68915 98169 -40410 6618 -32282 -62789 15859 64114 127539 -8478 -24427 102530 51042 68475 -114823 50837 62823 168935 -54367 -111343 -86289 117401 237851 152368 -24404 -39729 94855 26400 23204 50193 -1657 -43474 -42793 -93313 14556 177470 51465 163196 131897 -86355 -145945 188370 145138 87109 21006 174456 -9949 79146 -127712 35582 146397 -104174 -82818 -45731 -14762 -263360 -34161 -46541 -41290 54997 -9382 -49401 
+-96474 -112555 -45599 -63150 -153338 -20817 -170721 111670 -270303 -12659 34516 -62845 54854 20866 -81677 -13525 69341 -160833 37548 32926 77740 146370 -53137 -105236 49629 4699 72870 46978 -134502 257049 -104970 -32657 -53613 -137517 56177 -120858 -99289 -72616 -46385 -53125 -24659 -64387 -59702 67611 -110641 19015 -177798 -7728 80783 7867 -68150 141647 173831 -56024 -209018 19652 -101941 -10342 112961 -29736 61104 31667 20684 139321 59681 900 147308 75641 -105489 -69443 28014 48234 33507 149090 52167 -194637 47328 -105902 53428 167293 -165596 32107 -73940 -4510 28831 104393 60296 -65614 -855 -2882 -29814 76250 101117 -54012 -125551 203840 -23540 187805 -57783 -16484 -8865 -135232 70871 -33429 -67009 -70302 -114526 -13166 123609 185017 61673 62348 -51662 -91775 -16150 -30881 -28577 -36910 53047 -11903 10043 68907 6362 -64728 98636 -760 -121733 -136134 -33309 -56707 -106856 65013 -201 -10780 52403 -64383 -15943 -43287 -23069 80769 34437 90520 175443 -97366 65326 205135 88751 -210075 66409 -85737 -193373 -9421 80396 -223559 83938 -45695 74765 -61470 100464 -15131 -11324 -57 120478 -68851 -103754 66609 142838 76844 123001 41640 -161559 85925 29202 -42723 217015 39826 -60964 -62307 -67787 -170005 -6854 43149 -82229 -22679 -37774 -12889 -104596 -29017 12679 55210 -13766 -1566 -77767 117344 -1775 74304 -95257 98337 42173 204765 -73899 -92984 -55549 54822 134538 90547 -14303 -175935 139859 -34646 -100885 164955 -68085 -48877 -79716 -84074 -752 223098 67587 210770 6467 -63743 -112086 131185 152247 112271 -32278 247253 -17116 67120 -22058 19654 171633 -117357 -117772 -78718 70853 -229301 -28144 -41305 -80583 66807 -94490 -40562 
+-75689 -45364 -55704 -58052 -104006 -29907 -24654 105547 -153531 -6319 -12803 -57770 58899 -84046 -178017 34588 124988 -101190 30105 55996 87715 100976 -49277 -139869 51083 -43494 79912 23717 -104767 248865 -23243 -18427 -32169 -155433 61997 -77600 -99946 -60815 -35504 -43802 -133213 -28978 -3822 145829 -77517 35295 -163046 -9167 116948 -12866 -17367 206055 224717 -56965 -247659 -22102 -203796 13558 113028 -42728 41375 22714 -124612 98364 41342 -10002 65615 -25235 -98062 69764 43790 44250 180175 215026 58403 -15166 36492 -67709 18170 115646 -129908 37799 -44166 -22327 13379 -2011 68803 -63588 -29672 13638 -64087 74598 93795 -16399 -57813 191568 -53423 112092 -15879 74333 -10490 -90617 73683 23954 -74431 -46591 -110799 102222 35468 196903 56060 81026 136345 -52692 -7857 13305 -20325 6019 147440 -55761 104813 57306 15059 -17664 -30332 -11607 -93570 -133495 -60700 -131382 -73246 59315 41274 -4684 56988 -62640 -47083 -8620 -16362 94449 36650 179379 204420 -159148 83449 222839 -95996 -139816 -42007 -107819 -133857 -29842 67953 -86607 88790 -46639 59647 -47070 79914 -8864 31105 -8283 32093 -127017 -108225 -12908 117753 41085 68793 29961 -181883 85830 -76547 38516 264817 -6811 -60079 -135483 -61608 -194577 38225 29796 -48738 -190503 -21804 5654 -117890 19951 4505 37095 -67993 -5476 -37314 128996 10834 60490 7853 123032 45570 230726 -93257 -76737 -40058 -7634 353 45740 57440 -165291 127884 -59907 -159069 180195 -105174 -28802 -107248 -66751 36217 246816 40005 230066 -159104 -37237 -60781 49128 156323 143086 -83096 225999 -16080 61096 -13852 7769 194501 -113710 -53637 -109860 62079 -183746 -14095 -63872 -71555 -42602 -93266 -30398 
+-92319 -1854 -11316 22924 21150 -21635 110393 85421 19039 21964 -2875 -50025 54691 -168926 -234006 76050 135902 -54701 57880 51476 95857 104641 7654 -88040 48405 -58466 19440 7125 -75502 171052 41140 63293 -29442 -183213 57639 120349 -82730 -49136 -4822 -13399 -207428 -59481 85106 191170 -4884 24741 -13142 8990 122964 -29045 902 143176 198644 -63830 -238452 -97304 -201591 -3325 106650 -30385 27234 23056 -180668 21644 22525 -7068 -4097 -195083 -83337 205943 103914 8424 216849 147412 61098 105872 47267 -10563 -17040 54070 -88611 6344 41584 -50110 26435 -179896 38690 -72768 -40775 11561 -18228 74752 79758 15373 49348 188004 -100876 -13985 -18792 112814 2311 -50719 73236 108431 -60084 -18563 -105008 119133 -153867 154711 6472 97339 56023 9262 -5763 -35019 -20786 14391 84110 58949 176042 31672 27171 7016 -59585 13859 -72065 -137178 -108784 -133494 13661 53715 79418 -196 44136 -57117 -19456 36725 -18175 126429 36844 232679 165130 -164777 88920 208963 -217501 97884 -66530 -134905 -34186 -15929 39013 63732 83522 432 7757 -68824 75774 52159 53592 -49176 -10416 -179679 -121097 -88069 56156 50677 -6906 45125 -214904 90666 -196564 45927 272983 -19157 -53744 -195680 -39621 -139070 16873 48585 79464 -153649 -23574 39284 -119577 106118 -17720 9141 28184 7334 -57121 97654 73644 56716 26877 90065 78650 233132 -117039 -3238 -13164 -124534 -44741 27900 97337 -40243 128555 -53808 -135842 133989 -116545 -63725 -120851 -54294 18515 246181 35934 213117 -194462 -32136 -12288 -69106 123639 136882 -114808 45910 -19211 63554 -95888 53425 159897 -144538 33206 -119411 -12746 -124517 13870 -45475 -93712 -150676 -3307 -27712 
+-82903 -77623 -24288 177108 43897 -13489 84347 66228 127039 25681 -1186 -40752 50844 -185790 -280681 81619 102612 -2378 66665 58543 95024 82071 10252 -86310 46705 -69113 -75045 6778 -48163 47016 93621 59399 -33189 -204205 70644 213090 -77763 -16084 53339 -27071 -125787 -93364 145182 195781 47154 1688 61100 24522 70932 -59291 -5814 -27155 159761 -56997 -195170 -105799 -102648 -41176 98814 8660 21145 50320 -117312 18358 15350 29316 24501 -203131 -76789 164753 99114 -52302 191270 34203 64242 23365 71834 68765 -22131 -22009 -49130 -79770 150350 -46768 38256 -252784 -57724 -90606 -38103 -28516 24962 74330 87702 54662 73920 193146 -112114 -91296 -18860 47587 -7784 -36998 84417 129594 -29007 33218 -97654 32600 -248429 61064 12519 76010 -118166 71084 -2833 -34569 -20353 8679 -42281 217687 228493 -3064 17884 39923 -6276 -7438 -44833 -117289 -169616 -68610 67347 42240 110669 12283 66542 -51280 54672 62146 131 179783 34648 221476 155670 -145550 79375 173849 -144656 171275 -3884 -119012 44894 45953 5498 125201 97872 -8115 -37164 -58121 88760 153783 47519 -63514 39419 -237473 -172278 20139 -29094 29603 -92945 80822 -213143 62685 -158583 -30408 286300 -15308 -66518 -215656 -21567 -117240 -1142 61988 152847 -20339 -20082 20050 -50493 127798 -12261 -11995 170571 -6760 -34254 101089 84770 53409 -17688 85730 62976 202166 -91600 4562 16324 -152975 46895 48430 136605 47191 116856 1177 -64627 7922 -89456 -51811 -118449 -58486 -19685 221449 32296 150491 -63357 -54089 40567 -103161 105961 115471 -23689 -96340 -35376 72873 -212275 8912 141183 -64089 22089 -110545 -54180 -97660 44507 -20785 -87697 -82815 69178 13656 
+-72408 -135375 -15067 168856 -54485 -21972 -87852 29171 15627 -7719 20786 -40899 48991 -125392 -277570 91224 24556 30057 55647 42728 85400 45694 44595 -90931 53289 -87173 -64104 14070 32124 -45679 133792 60506 -16870 -205482 75437 133585 -58066 -27848 74648 -1152 3987 -109943 175770 158350 -11142 -2227 -21739 50322 3598 -59099 46247 -80045 88869 -76775 -120790 -99392 5370 8616 92457 26753 15985 35700 13971 28977 21434 79690 114249 -47646 -71273 -32549 139487 -104673 58131 -35164 70923 -137047 88970 118424 -51977 -80532 -11190 -61768 176425 -47586 43606 -122449 -160991 -83602 -2718 -16755 46278 73916 80968 89827 45513 178136 -83975 -58385 -61387 -40515 -27906 -94775 63196 81163 12047 85591 -87692 -101481 -157909 -12908 -33657 103052 -224923 127676 -11893 -40380 -22205 -12374 -69977 234451 280877 -68169 24476 75529 133044 -28597 -27366 -94550 -128962 4979 142264 47130 133529 5201 46396 -60101 163399 45519 -9125 185760 31912 155119 141903 -78086 56959 128679 45487 56022 99017 -133638 78973 43327 -15293 12825 89458 -40916 -63493 -74509 42202 188990 16400 -82910 130217 -88275 -142006 126609 -121097 50520 -150195 112275 -216045 -46541 -98594 -82399 237947 -38146 -64225 -188617 -8592 -78445 -10035 38289 211902 96401 754 2499 -17125 64429 350 -22259 168933 -10718 -25159 86367 128766 31754 -112289 89233 38181 162171 -53371 24753 52012 -171759 184605 2541 162395 3575 111928 51455 -1035 -103673 -37846 -59154 -100794 -77312 21555 175792 37725 71638 84627 -87122 23140 -73085 102717 78847 45710 -136163 -40112 85612 -153598 25845 119427 -107198 -35964 -73724 -18009 -16871 48190 -37669 -57499 29318 108072 6557 
+-92243 -134879 -6061 47120 -138289 11037 -155182 4508 -154910 -8911 31842 -51624 47133 -38349 -277146 80437 -7770 53042 52733 21864 47780 4388 56565 -106434 51889 -85239 -23474 -18692 53115 -92705 93856 -3604 -44166 -206285 72972 406 -54265 -7238 61933 4926 19105 -144288 159578 98234 -78557 18290 -157575 66644 -80392 -59811 45884 -2899 4842 -39297 -69541 -38441 82826 -21879 81884 21130 29322 32680 129255 137111 39884 51869 170769 78395 -63486 -83529 91577 -140828 -81207 30593 73803 -200569 116343 139624 -21282 -147003 26870 -118204 119067 -11928 67194 63112 -132475 -103021 17408 13620 16945 76772 70801 86657 -28247 190145 -98278 58513 -76152 -179255 1844 -137171 79793 860 55412 127763 -76598 -164099 30851 -35539 -16398 79980 -56824 168782 -8780 -25660 -18742 -61479 51141 129550 257421 -98430 -5178 154515 117685 -41955 -7589 -105834 -29640 98863 139260 53563 145730 54 99950 -56009 215278 -651 28333 117735 30826 61372 48617 896 32214 66722 114760 -164019 199554 -92644 50299 17949 -26786 -167963 94229 -42921 -55947 -82940 29596 181108 -28673 -95609 173292 -26933 -157880 58997 -185056 33702 -166645 129422 -196528 -78166 54050 -119868 218846 -23952 -60983 -148286 8861 -3342 16982 65914 184197 34456 -1669 -10614 11375 12731 -6923 -25962 41000 -14187 48814 71062 128222 31136 -138045 57353 38668 116126 -11088 7257 57229 -214099 238856 30652 212750 -99728 64872 96806 111897 -170545 32407 -26527 -70365 -91955 8595 113445 39620 45 128303 -133735 132807 92528 127023 46935 31353 -18356 -40769 98896 -90642 3447 104965 -73049 -118377 -40757 55857 19887 85650 -34037 -42782 57655 46977 -11641 
+-86189 -37269 -26786 -67679 -187281 22121 -50346 -14346 -262849 -6460 -3381 -57046 46766 69431 -261519 60418 -34115 67344 51595 14899 16555 -34022 45888 -103316 57211 -64836 78822 16602 119295 -62483 40803 -77141 -71532 -220834 64063 -120940 -43076 -10321 -2209 -36069 -82624 -130696 93880 39154 -109450 35193 -162144 62121 -142211 -41440 124202 170723 -69742 -42118 -3069 35354 64485 -3259 79433 -19086 50738 -8598 130689 150644 58539 -9257 103187 14291 -58947 45241 51092 -133978 -146080 151472 86028 -65933 132032 93176 -43775 -172428 51664 -102092 6177 -5313 80777 85659 -68168 -89731 24673 -13426 -43459 78749 63668 70870 -112905 183483 -32695 167009 -103421 -251713 13686 -145941 74660 -22749 87347 167522 -63843 -122611 123937 1589 -89737 71010 117542 199274 -6645 -40389 -15807 -115207 147487 -9994 231833 -152916 2597 189038 -2334 -75850 3108 -73686 -58206 124030 76615 47674 147629 9346 35215 -60399 241254 -31193 8168 114879 32366 -20291 28074 35376 11146 5555 -6676 -181982 141971 -77820 -13801 50600 -39355 -226104 98173 -118650 -34728 -52033 7974 63037 -72947 -114722 126173 9877 -147035 14175 -240904 1937 -143499 115182 -154765 -144847 104623 -20076 238493 -56521 -62654 -58559 53287 2293 25160 30282 168779 -127824 14277 -33415 -22801 -39909 -177 -8910 -59984 5109 50509 49444 100274 31432 -121953 77849 85491 46404 -1972 417 64608 -183092 162659 34670 197168 -178832 38964 142147 186025 -177881 70662 -34607 -31660 -86904 62946 50291 34052 -71208 11901 -154457 162855 151570 107059 71417 -13116 141756 -60742 105600 -30429 6128 83491 -122924 -136074 -60822 81406 69525 45486 -34121 -93662 -59399 -39570 10049 
+-105016 -9589 -34244 -62939 -102140 24254 114542 -10473 -200377 -11096 -6368 -63974 52532 151799 -211379 3756 -41814 55485 17171 38136 -18431 -67375 -11368 -88242 51349 -67786 96915 -20490 166256 27269 -18178 -30948 -52292 -212562 69960 -62403 -58916 -15043 -38985 -34259 -186482 -115357 4912 9274 -82333 25341 -63832 42405 -229649 -9539 92154 217921 -132330 -52925 31460 36262 -55221 -27018 71218 -51055 59322 43532 17919 104797 72030 -20431 -7197 -166077 -79050 213669 48575 -96216 -124381 207839 58944 71882 131976 35357 39762 -197730 69368 -66650 -68915 22035 48735 -83514 19136 -164611 9620 -3919 -67714 80070 58936 64014 -129203 162171 946 181854 -129417 -242671 -7935 -110538 63439 20743 98703 192824 -49803 3755 28014 95827 -121516 66544 34710 206065 -18956 -24499 -17265 -159042 82021 -56284 177811 -191572 -11559 252231 -98666 -20366 12866 -64938 -84651 62100 3360 48849 137623 -1083 42381 -23959 132612 -47203 -10614 39225 35162 -52427 -58179 -11063 1656 -53331 -188723 35683 44043 -8177 -97887 25831 -34646 -96030 94183 -92162 -385 -87619 31962 -27323 -88521 -132942 36553 -41845 -141885 -68503 -264056 -25888 -79660 80123 -133784 -153356 112053 18400 216637 10171 -67465 35648 57643 48957 3613 34795 28948 -208156 22420 13175 -88926 -48851 4960 5711 -2856 -5942 73939 54878 46325 16530 -92114 56506 37189 6515 51988 3477 86067 -128551 31457 95628 198226 -96305 506 108586 90165 -153811 75056 -28364 9289 -66548 26842 -3433 23099 -97454 -153384 -157612 175732 157476 112295 82833 -101881 236076 -49554 107373 -55009 10245 34816 -90659 -60905 -87683 2943 72232 32779 -33058 -113961 -82289 -116191 39143 
+-84407 -57911 -23429 37554 -19557 48917 100410 -11252 -30266 -24528 -9968 -51903 55530 181785 -138565 -41099 20391 44307 10484 58338 -53118 -68131 -21869 -49164 59702 -28846 39825 12452 141185 127250 -84281 22572 -14637 -199359 62090 38937 -55267 5452 -104321 -14297 -184218 -70277 -60928 10496 -12549 2200 36122 31672 -267776 1123 151857 76660 -169140 -74617 60655 -10760 -158381 -12266 61479 -39896 49858 79468 -119034 28431 71024 17000 478 -215043 -74501 161858 18413 -37890 -2528 144017 61546 102286 77536 -32757 68551 -156936 73257 -9436 -65916 19707 -679 -237842 80376 -117136 -7934 1857 -14555 83467 55432 -16816 -80206 192028 16114 90928 -85454 -156976 8451 -57858 42924 104771 91205 208952 -34550 105455 -159977 179364 -144796 47767 -141905 194602 936 -60634 -21435 -188911 -26538 41224 79556 -219743 23623 269851 -23623 -33657 11071 -52030 -146029 -26799 -55934 65601 117533 -13178 17824 -12412 35075 -34307 -19381 20712 36949 -17170 -34194 -83094 9143 -93585 -199639 181587 -77353 -41190 -148871 30150 -34333 56084 111243 -84444 47054 -74864 23862 -4320 -87033 -144310 -9764 -138848 -92342 -28992 -229515 -18219 -146 46886 -75194 -122415 -14443 34935 218019 -10529 -66238 76860 64377 -31450 -14524 43908 -32758 -103124 15526 39426 -115824 56084 -11206 31333 143427 -11761 64918 39930 21426 23235 25504 61744 37778 -23335 117214 -48339 66684 -35743 -45774 143893 193795 9629 -15398 41862 17308 -39119 47057 -43027 46412 -52781 41939 -37740 19278 -86477 -187832 -145806 126794 90489 73787 147157 -48919 224129 -49215 101033 -162300 -319 8211 -106984 11040 -130693 -48911 110676 9344 -35710 -111571 -81147 -86529 33532 
+-72497 -136064 -11828 173949 17031 67127 -67130 11694 109954 -1936 2494 -38627 58610 135516 -51209 -88832 69869 9840 19054 50374 -89796 -132013 -64085 -89270 59992 -9224 -81842 -20260 139858 226369 -170209 85364 -21677 -190445 79421 202088 -68044 -10781 -108776 -56141 -68567 -17832 -83493 43852 20484 -2534 -3414 13709 -222777 17356 98320 -20150 -153046 -60780 24850 -72901 -212025 -27090 56409 930 39385 72952 -175622 13935 60339 50156 101110 -74850 -95453 -9557 19226 20969 148893 24111 56383 -81683 106877 -72404 95226 -103165 77909 7995 15170 6883 -24493 -215174 68526 -150493 -44598 -18105 33840 84583 42087 -46114 -1176 170901 14259 -33592 -53980 -38038 -33672 -60822 38462 143232 63190 215820 -18042 112451 -249097 204786 -181008 51064 -218110 162072 -10458 -40170 -20611 -204028 -60923 186245 -7389 -253334 -18170 281930 104367 -14671 8736 -46047 -144998 -121857 -86226 58951 87980 -24322 28947 -26171 -52785 6657 -9417 23732 36416 63158 -92472 -129220 28507 -116634 -26109 105429 -74465 -41501 -190057 -6363 -10083 130372 103661 -8611 82954 -77083 80509 33599 -55913 -167294 31927 -227239 -118929 50968 -167499 -16956 77408 33738 -36842 -60922 -151778 -43408 217940 -3838 -63751 85079 76680 -84931 -5103 46832 -96935 64536 17953 6026 -147411 118101 19577 49986 194351 -9480 43752 17689 15015 12465 35889 69749 54390 -36568 154109 -106128 100644 42587 10875 147763 174592 54166 -53797 -15830 -126954 61505 -23772 -72554 74088 -57678 16957 -54274 28714 -52857 -69427 -111784 62740 763 97901 126911 -6243 81156 -51834 88616 -199123 -16115 -40265 -81982 4467 -121283 -25474 122556 -21416 -36175 -54397 58554 28019 60088 
+-73557 -169771 -21073 167771 -53252 60787 -164275 17096 67739 -3551 42507 -39535 54927 27337 28250 -148486 95005 -47986 14777 28025 -125500 -151114 -62022 -120044 57245 22438 -100264 -29969 124355 260550 -210719 75001 -27449 -186086 59237 194624 -84691 9192 -123175 -22939 36342 -57596 -59498 104869 -28797 17538 -119504 -3669 -189772 45413 93507 -82995 -63745 -69300 -10705 -127012 -149749 8897 55895 36035 24338 12798 -109135 53637 41781 83480 167770 69743 -98486 -58912 12924 48392 248812 -25641 69530 -196003 80628 -108393 103749 -35840 50567 43663 119477 -8587 37569 -44510 8453 -174881 -23816 -6450 45246 82802 33478 -78174 56093 182789 29454 -93862 -21768 84953 -16857 -73880 58337 96690 23161 210568 -669 13439 -147899 156345 -177061 56788 -79453 109555 623 -57285 -23949 -176886 39625 241750 -76196 -186927 45827 262546 141683 -8335 1976 -50516 -73501 -157215 -89210 65559 51381 -53830 63496 -18384 -50571 51867 22633 45168 33950 165522 -39487 -175401 54406 -116162 110972 -116501 2948 -104696 -174432 -843 11066 3637 118907 -56315 92230 -71487 71858 129270 -9377 -166581 128792 -187713 -104687 92646 -92681 -11241 132041 53476 3222 -12931 -175635 -134221 162561 8655 -56314 63531 90018 -135264 16125 47776 -85500 102529 15007 -42342 -82250 139915 13859 64128 97414 -2754 4405 25703 57814 -1850 23545 13969 67971 -26927 201690 -97446 59323 86244 146484 134841 132744 -60340 -76672 -59716 -151068 144752 -76792 -61343 88502 -76322 13135 -39322 10768 8002 105853 -72476 66501 -130139 103855 119071 65593 -13314 -47838 75329 -71189 -28911 -27434 -85163 -75303 -82499 54782 85955 -39759 -26226 433 80784 104927 76958 
+-78717 -70049 -8692 58725 -151750 70165 -65882 36902 -131304 -20056 20047 -41870 53019 -73733 61541 -162429 124722 -95241 30434 26451 -153517 -178810 -27514 -92760 62387 45114 -4308 -4343 90783 220565 -223429 -5725 -26368 -159775 51606 18572 -90000 20116 -109622 -13534 -8880 -23771 17694 163561 -80300 35027 -198989 -17178 -92084 54400 40246 51412 12579 -58146 -71647 -111758 -40695 13952 47465 22073 8517 34833 43459 127677 23635 23736 149885 35703 -105269 73930 52171 46547 205025 34080 79404 -94937 58038 -47860 95105 25575 30006 3238 180215 -38347 39463 83441 -98018 -183467 7236 10747 26864 83344 44296 -118862 79367 173003 12848 -42024 -17990 104827 33709 -112727 38464 17650 -21783 173774 17072 -110364 39183 100850 -184751 61908 137543 58371 -10013 -63710 -29743 -146486 144513 161625 -82418 -243028 25955 272378 31879 256 -12502 -45343 -57688 -103034 -38876 62884 10268 -76319 66269 -32586 7191 47923 15669 50528 31471 224915 -16243 -133944 77662 -92809 54505 -226201 155614 -128597 -123783 -5316 32570 -160831 104217 9062 124623 -57905 140503 159665 28706 -201283 176881 -130277 -68521 -11215 -2960 -16578 151852 89598 44715 52249 -130670 -80715 173446 -32827 -68387 1825 92597 -185899 16352 76265 -31804 -33613 8015 410 -44663 -2064 18166 65985 -49709 -5913 -32730 8927 101563 -10460 -48786 32166 58681 -2087 236467 -137903 49209 132918 231431 101365 97447 -152873 -114069 -57301 -172636 171346 -121152 -66900 86245 -90233 32152 -3030 19602 91081 118686 -45182 -7618 -94756 63971 100439 14813 -130780 -47554 65099 -18550 -10835 -78402 -81933 -119146 -59254 77764 55195 -48720 -22781 -71506 11243 94324 80146 
+-82533 -6808 -3990 -44912 -193321 73062 96181 70715 -267444 7041 -20156 -52725 47860 -158427 103850 -198974 101376 -142709 38972 27871 -183653 -151065 2236 -107931 62041 72620 81474 -38154 36503 122521 -202993 -63547 -51617 -139488 49780 -105212 -100994 30520 -54107 -14501 -132370 -73337 111100 190931 -115650 25981 -123489 -14946 -44771 75528 1102 186496 117574 -81423 -130847 -52018 62755 -24328 47513 -13790 14637 24236 131512 144031 14105 -19635 50932 -142067 -109818 205545 81242 4978 99633 146887 74839 76598 62268 2138 117078 99080 5898 7359 148258 -48301 54490 14465 -138864 -131940 4954 -1957 -30165 81258 27863 -159688 9852 159034 38829 80973 2135 73415 13347 -145450 32982 -36538 -55354 158284 35511 -165553 123265 5979 -168489 50584 42491 -2448 -16040 -77835 -26564 -95517 86181 -5404 -91452 -211480 16432 266261 -95549 -5079 -26391 -73638 -58045 -20845 32216 63935 -32531 -74498 28692 -28564 124774 34337 -21609 82748 30996 228825 9436 -81548 88937 -43700 -140610 -24013 193207 -144335 -41508 -30735 55408 -237482 108543 -20247 78930 -82695 81226 175950 53349 -216661 133120 -21282 -49762 -57469 67675 -29247 116774 120100 49680 85195 -5071 7553 133408 -33105 -67211 -71265 96375 -178022 18350 65927 82429 -192487 -962 54445 11453 -87951 29282 54151 -55462 -6014 -72085 15247 120089 -9172 -121762 -13613 53401 40112 266757 -139714 22931 144473 180321 77083 57897 -176583 -132968 -1262 -74122 132363 -121656 -36390 68848 -85354 19341 52705 4886 154905 243 -31914 -62330 32432 60755 45988 -68722 -25329 -54545 61054 -27394 23980 -85616 -102636 -121113 -57627 2211 -5516 -37180 -51096 -89155 -107745 10301 78686 
+-81843 -41359 -27979 -61763 -89927 44658 96313 79336 -149974 6673 -15247 -60996 47618 -188186 111943 -232579 51956 -183627 54093 58336 -203843 -167785 24060 -86236 68131 80022 107749 -22623 -9918 395 -139448 -10131 7490 -124840 68140 -138859 -95059 15038 9150 15882 -206689 -105790 169024 188605 -75579 2723 21325 -31304 62628 116494 -8364 187605 180188 -46049 -188269 16901 81597 3566 42746 -43111 34624 -1360 110662 107713 22015 -26980 -11824 -229818 -122536 171881 119532 -46145 -47482 212368 78862 111195 66590 63064 78302 161371 -51175 -53937 58294 -46235 63623 -146207 -100519 -148812 26312 14085 -67159 80377 34566 -196288 -77325 149657 -12372 177540 -66927 -40602 18646 -140038 42687 8691 -73766 111259 54266 -113177 18742 -45128 -162631 59112 -122577 -57915 -22644 -84542 -32734 -37007 -46801 -44397 -43471 -161626 4479 227801 -67420 8138 -52504 -37332 -131186 91568 109149 60470 -73996 -106876 47931 -5046 218340 -27093 -19484 132086 32880 173950 40253 25457 84765 13460 -226225 151036 128173 -156422 32548 -45682 81938 -124410 116305 -70508 11012 -74301 137164 79330 47697 -227182 40519 15044 -35477 -8253 130378 -16649 53439 131872 80109 83690 97852 33902 111565 -54083 -57853 -144703 95964 -136759 -14512 60175 152381 -177802 8533 17471 17777 -56185 41204 30403 73192 -4806 -78422 23097 129924 -17031 -113758 -16827 88707 98813 254214 -119445 7643 168761 58480 56629 2418 -47096 -106494 71794 79146 47171 -73839 -16822 38498 -69399 34895 114329 12735 211668 -172162 -46216 -143215 165031 50632 39337 -94660 143535 -45038 65756 -101257 -4955 -111076 -75184 30186 -74937 -56532 -37283 -34058 -19109 -111004 -60886 -83643 78034 
+-82722 -117255 -16083 63917 -19143 26243 -69833 134468 18124 6317 558 -65493 48251 -138751 90426 -224988 13795 -216063 19201 46798 -196290 -185984 45915 -82938 61478 93816 8527 12113 -65838 -77072 -91303 30029 25501 -85273 53350 -13558 -86752 73423 59113 -27813 -132842 -122240 180030 149518 6682 -2848 40610 -33141 90047 129271 -75217 70234 226976 -26398 -237753 28668 2394 -12923 32387 -43166 44281 57609 -10747 31450 37473 44637 31177 -115822 -127370 -17732 135509 -103511 -128179 147108 63587 -36151 76924 134493 62917 180409 -80181 -92437 -46673 -40666 66359 -248517 -50462 -118531 1786 -15837 -449 76990 22490 -198942 -137214 156830 -67900 172456 -79316 -159868 2753 -100262 26946 94081 -70041 50861 72800 19588 -167539 -9529 -111884 79664 -206299 -97583 -12256 -80049 -30551 -1867 -67575 10510 12392 -137080 12011 228385 104821 -27389 -76128 -67283 -150622 126989 130154 56436 -111623 -136122 57481 -44551 241693 -45130 -29187 169128 35692 82335 141535 55607 66839 74398 -105317 147640 -25439 -113800 77803 -67036 79472 61458 103661 -103901 -25832 -90481 52036 22358 23695 -239748 -8491 -9948 -41018 49271 144828 2162 -27805 114766 66745 79264 130906 -5890 108222 -33923 -60939 -192871 74634 -151082 -4336 41976 200754 -10212 -8729 25689 -33159 29733 26717 3322 186446 -10817 -88106 -2366 97953 -37536 -90714 -75854 109037 151470 255066 -102904 -29721 40094 -44093 43887 -32834 57248 -123270 97863 141408 -73614 -4984 -31986 148 -55296 43947 171464 4215 226964 -202043 -77502 -94491 209282 6234 60249 -34133 234540 -33617 75704 -176907 -2817 -94641 -75476 42606 -107352 -27392 -93414 21616 -7594 -94423 -94 -107409 100884 
+-76570 -158447 -8831 190090 22330 22500 -166842 140256 97043 30053 21210 -47546 50800 -50617 62747 -215264 -15400 -227058 44732 45271 -182565 -159604 51720 -70632 64412 100286 -85249 -2273 -124082 -97290 2817 52614 -50987 -67896 47850 119263 -66010 14567 51473 -12728 -18110 -132160 141145 75492 26643 16893 -76543 -11677 122036 140282 -33993 -56451 201514 -58045 -255126 465 -113982 -32807 41950 -7082 52205 43704 -149976 20594 56931 65048 144675 49803 -125604 -85743 88065 -135578 -145489 26102 57979 -190440 77555 129424 49179 180624 -117805 -99787 -80221 -19974 44926 -159852 73990 -172865 -20778 6485 22175 75577 12185 -152067 -117372 136616 -68274 68858 -106875 -247949 -42196 -52876 57899 137823 -47427 5909 91468 110681 -248126 61285 -94419 109075 -46027 -125208 -9364 -124561 -37042 24279 41011 173689 97749 -98338 32658 171331 158278 -30089 -101424 -92449 -77780 84547 101555 47637 -142399 -137455 37154 -56693 194895 -53576 2742 177288 37087 -7537 187297 -15570 41314 139928 79148 -50402 -117153 -87796 42965 -76958 80904 100697 111561 -72507 -66376 -89786 36094 4539 -28937 -231700 24703 -97131 -2472 116966 124207 -11583 -106126 74655 37326 -23331 61150 -85232 25970 -27036 -68934 -213569 71239 -83755 25121 -10076 212751 100700 -11581 16856 -111041 97687 33662 -12191 151507 5311 -41332 24741 29040 -39849 -4251 -89387 91421 190178 217733 -72387 -36052 26127 -1832 13922 -68860 5837 -103888 142153 168713 -198198 48005 -24618 -41157 -59692 28147 219505 12593 197440 -68760 -116135 -103278 138077 9214 89725 42582 207353 -34659 89109 -140370 12439 -120384 -92671 -27269 -126870 36432 -116927 10381 -40289 -36345 107787 -21760 88094 
+-82375 -102999 1169 137360 -89781 11430 -75121 149174 37658 -6830 25344 -39080 56882 54402 -12857 -173332 -33942 -213588 49742 30180 -170655 -133618 8428 -85902 64480 94875 -80522 -16123 -145053 -36536 75212 111657 -74322 -35456 72783 216374 -69484 24969 63176 -36660 7941 -133512 70547 19276 -34852 34879 -184143 -35440 99832 136660 -55686 -72935 160970 -45203 -227453 -69080 -206007 -28285 35036 26587 48105 9692 -177883 37368 72813 39901 140094 56349 -127881 55583 124227 -131969 -24145 -29865 60733 -124630 94031 95268 -11009 164194 -151274 -80409 -22880 4339 23334 31713 90501 -127170 -32055 -16419 39107 74973 6390 -147249 -42413 131838 -120763 -50850 -134245 -250395 35945 -35168 32060 107787 -8024 -30505 109523 103118 -140249 164386 -49415 81711 123210 -128497 -3357 -80375 -41577 15269 150242 242051 142243 -89583 207 119057 57628 -39800 -120857 -111829 -61746 -3376 34637 52135 -164010 -162959 41843 -47477 69613 -27904 -8586 156486 35956 -49878 192406 -80276 17820 186803 105917 -210993 -70383 -61203 -12729 -68071 78260 6467 96151 -67855 -50746 -79583 26193 14452 -62044 -249537 120433 -208242 -21462 83282 70917 -1362 -157046 45893 15761 -67430 -77915 -136376 71089 -7418 -66512 -183246 45635 -56614 23964 54464 117302 59482 -18512 -14282 -141546 139975 51389 -24251 29886 8163 405 75375 10523 -34820 42439 -49527 141535 234572 199535 -48177 -69384 -68076 114034 28355 -110492 -124043 -122155 76633 49373 -213153 79884 -38627 -78417 -75773 22584 243870 19820 149761 79015 -146402 -56396 24981 -18392 126963 50082 84160 -29023 101310 -69916 3960 -118594 -56267 -130157 -114658 62437 -213412 28274 -30984 -78990 -7686 67952 63096 
+-75644 -18689 -34237 25495 -159826 12897 95197 144839 -121801 9266 4540 -39819 56294 145979 -96517 -146674 18353 -208475 11132 11665 -157505 -113389 -9978 -84754 64660 82889 -14922 -7349 -118473 64470 111059 34700 -32372 -6493 64118 90990 -55181 40154 14776 -40225 -71271 -146415 -20334 9166 -92916 26557 -156308 8497 56078 150194 -23714 88203 49102 -42078 -165018 -109571 -191172 -3471 39273 38452 38278 13189 -87634 117872 72853 5476 65193 -98688 -123605 222144 92355 -98409 96416 31896 77727 34204 133735 38627 -41308 107527 -193994 -63435 80039 15335 20096 98503 45240 -90440 -41073 12849 -9051 73956 10233 -100726 63846 135337 -97345 -92941 -114389 -165524 -4450 -71598 47850 16316 35817 -76035 127129 1183 46326 196451 -26978 96584 58706 -108918 -921 -92075 -41081 -10182 102095 166690 233601 -16476 -2045 51498 -52175 -61094 -148542 -88441 -53453 -78032 -27728 54086 -175774 -162558 39096 -45504 1671 28269 1027 104057 33224 -31117 207117 -173303 3131 217672 -68515 -88146 87222 -41905 -102997 -112766 66656 -149847 106338 -67823 -63345 -51849 -14213 98496 -94372 -253983 171293 -191871 -15857 -42154 -14551 -1602 -163334 34630 -32640 -99707 -185234 -62496 46142 -15312 -61614 -105866 27829 44154 -8271 32431 -5480 -140117 -32501 -12142 -98746 92845 50074 -23414 -74123 -4657 69022 56407 12335 -51670 36114 -118558 98912 230364 177155 -215 -86732 -148597 212689 45081 -124604 -179998 -73187 43931 -24569 -175227 75259 -57978 -106110 -88507 19615 248114 -10826 67964 129663 -160253 -42585 -92266 6835 125430 -26900 -60637 -21654 107150 -10929 16109 -63855 -118668 -111286 -72046 6640 -244314 52020 -45990 -77762 -61549 115992 75144 
+-97244 -29126 -28136 -74233 -189826 -5811 103427 123665 -247930 -10159 -21208 -48228 56933 182992 -167292 -84998 69936 -173317 17662 24896 -124141 -91325 -35146 -118610 66861 50439 77432 -12614 -91902 189317 131849 -15640 -4330 17679 80956 -16178 -50043 57943 -19079 -9398 -187104 -65822 -71888 25817 -126663 3281 -33237 25639 -26880 128362 38704 212123 -49692 -43297 -123894 -92462 -91518 -39567 38644 6382 21815 57510 57366 160771 60778 -9749 -1789 -221300 -113655 164601 12013 -39474 224260 154089 59593 114468 101540 -47687 -47917 24163 -211025 -3493 163534 15454 60050 -56627 -55860 -94385 -18167 4605 -39872 75161 3556 -39040 74325 136243 -72898 -23504 -80153 -51908 24040 -120505 51786 -32288 73180 -85086 144050 -121989 122617 183010 19818 78360 -165722 -73421 -8801 -115012 -46269 -50437 -34253 6989 285865 47070 20716 13090 -65351 -40642 -165533 -137423 -126117 -139140 -91990 59563 -176343 -183222 66412 -30531 -64209 58630 -23137 75878 31104 43499 149272 -176843 3594 216563 -215414 102637 204064 -27769 -138026 -158522 41839 -240242 102853 -59081 2847 -59189 10636 160802 -86279 -229264 139746 -166118 -20618 -40990 -88972 -8279 -136458 48006 -79059 -133757 -161686 31359 32450 20588 -66579 -68122 5369 -3840 -28346 30003 -71260 -200049 -38973 24288 -72936 25179 65793 -11282 11199 2623 73464 55747 50768 -53738 -43253 -187186 93549 214487 124188 1718 -91903 -139469 213716 101729 -104124 -106429 -42168 -2805 -152850 -68621 37904 -82146 -120475 -88163 43740 224149 10121 -5419 5948 -155338 -20546 -94552 -33293 135113 -103970 -112061 -21082 106247 -49776 7040 -54723 -52734 40 -46792 -38974 -277175 76926 -37062 -116556 -90954 71959 54906 
+-94432 -112066 -18987 -86806 -56634 -32076 -41555 108298 -179895 5994 -12318 -55870 53993 138629 -181055 -36956 109089 -128408 33272 59611 -83334 -71949 -39819 -67565 66421 20698 96832 5576 -80077 254879 98642 -60676 -518 42433 76599 -130243 -50240 -8210 -90604 -32482 -193369 -64170 -83377 54443 -63395 -3056 27911 25123 -106372 124518 83296 161719 -110167 -43123 -38933 -44709 32596 19856 46059 -38991 17381 18627 139541 104687 42490 36923 1764 -155751 -116419 12385 19501 16465 230661 212202 62377 22664 156354 -98783 -34544 -40126 -227568 31350 166107 3126 28873 -207932 -115504 -89885 12902 17785 -64310 75640 -2861 -9096 44736 115808 -49924 101966 -24107 61067 12973 -155307 34502 6843 96177 -92202 159585 -168844 10623 100173 33857 70892 -194944 -16197 -22767 -73917 -44867 -103435 -66907 -80541 264811 64316 15890 -25823 76866 -54183 -185204 -111687 -153970 -129048 -118148 57866 -165783 -169029 69290 -58870 -20546 57803 -7219 31125 31318 135848 126659 -167644 19519 201347 -163278 182643 191197 -97638 -215764 -163378 24406 -86338 98696 10113 27274 -85097 35416 187168 -63378 -263877 46605 -54378 -33934 39933 -180596 24371 -65937 92571 -116519 -133359 -104042 56249 8012 14960 -61937 31415 -13759 -17491 -15387 5861 -104658 -92398 -62207 22569 -61953 -77811 78806 7361 161924 -13905 63665 93544 107107 -42123 -95938 -165684 47432 179605 70430 32705 -100878 -215674 102013 99977 -121398 4362 -13679 -43852 -159989 42643 -34704 -36694 -119266 -70669 34359 178144 -11587 -74812 -166279 -122493 53411 -11281 -45680 77771 -104252 -32616 -10684 97547 -151346 31160 -20071 -76130 20831 -59730 -41771 -290955 48046 -28315 -134291 32608 -38896 41696 
+-93220 -152295 -17893 55928 -10585 -8466 -165548 95337 15259 -1664 1383 -65492 50115 40024 -280305 13184 119488 -77207 18115 60796 -36632 -28331 -42922 -76636 68895 5933 23243 17904 -39181 255603 45296 5909 3787 46884 78975 -103040 -55362 24202 -119988 -3495 -60368 -30176 -25388 122155 -11450 16147 -16559 72996 -170144 93458 122648 29489 -166339 -38087 12495 3280 91218 990 45074 -41884 14445 45237 112425 43163 23353 59957 118115 30597 -100891 -76158 -18783 47612 123904 144242 47893 -180623 124483 -83773 985 -100546 -227336 44587 88784 -19383 73373 -232379 -157873 -72536 20111 4532 -22616 77743 -324 53659 -61671 128266 13973 185182 -21900 105482 -8168 -124139 44721 95250 98240 -89454 174208 -93173 -176598 21607 59083 52629 -52305 39471 -10374 -114610 -46200 -155047 38012 18990 244531 63996 -11848 -59553 146482 -32067 -186379 -144534 -118849 -48796 -65134 67619 -144475 -160116 44004 -58798 51416 12398 -25587 50729 33625 212173 88343 -90245 44045 163061 17720 -120 68150 -107471 -173882 -171672 -6178 37544 83173 39904 50402 -67757 50329 100077 -12931 -238046 -11705 9742 -17526 117205 -238587 31173 21638 131125 -171460 -99418 12145 -13833 -14631 -12367 -63951 82308 -19592 -48459 23917 34783 -42421 61542 -57129 32748 -4872 -54681 78138 35848 191033 -8212 52462 97940 140530 -47542 -146454 -144264 40287 132297 10936 13946 -104505 -208190 -17993 115265 -67295 43253 21029 -72871 -159412 129749 -84370 -9727 -102284 -55777 68197 116355 -28017 -106830 -207230 -82674 89985 132128 -36929 103546 -30846 134373 -8345 84494 -196504 46239 5774 -35401 30492 -91623 20981 -296042 11942 -14343 -70537 86546 -102098 53223 
+-100683 -97866 -26277 155095 19982 -30072 -79533 57653 122378 -22212 26175 -56508 49963 -68160 -282559 64313 103406 -32327 38538 34321 -1361 6436 -6496 -78280 70149 -26496 -68162 -36187 27085 179280 -44190 68079 -42781 77911 40891 76128 -71177 8575 -125503 -15098 26792 -37321 61430 170270 33399 34674 -145728 59304 -227066 87750 125224 -83406 -168014 -43548 46070 15093 41284 8858 54153 -14316 33625 56431 -23336 25035 16691 60042 173600 71351 -83094 54895 -3045 43673 -37 21955 59978 -179593 110707 -60556 46084 -156455 -224710 12996 -13684 -36760 55193 -66722 -79907 -33156 8311 -20472 44304 80822 -706 56791 -125067 114068 15319 159922 -26333 99448 -24297 -88928 59649 140841 77856 -68436 187232 34892 -248584 -47259 75696 47220 88603 96380 -13682 -105409 -35174 -189283 146285 122346 179954 99422 4599 -72126 85757 -29990 -192978 -139582 -67232 41296 -8095 66195 -114069 -164398 41612 -59268 179213 -31288 3887 56705 36203 237028 62460 -30119 69155 110509 119333 -174679 -52380 -136706 -108630 -190913 -19904 144329 86229 -34766 90719 -65890 90492 23452 28096 -257040 21725 -9831 -59599 82898 -248882 46101 95735 131929 -190175 -67170 124342 -125538 -42865 -30925 -62226 86014 -40041 -106609 25824 43681 -5828 94334 -71019 -26048 30563 38071 82835 53977 70403 -14242 14242 124555 119918 -60850 -88873 -187040 63499 80940 -32410 -12709 -64150 -176465 -37782 164229 -32244 -46726 46657 -4731 -16280 157855 -121960 -31921 -72030 -55850 45730 52844 7014 -95208 -79565 -51135 143688 185094 -45571 66573 30012 240066 -3792 72170 -56383 28755 15682 -45136 -90349 -125498 78872 -292500 -3397 -44221 -32930 7146 -76299 46616 
+-79161 -19348 -49110 149825 -76302 -16912 78287 33877 27991 -15238 20982 -50256 48239 -155968 -289729 78061 46326 9074 29276 22629 29072 41139 27915 -114639 70319 -44180 -106838 -6399 75094 67544 -118948 98665 -67782 90073 73578 204058 -78172 -15034 -152303 -15797 -24817 -54242 127518 195406 -36295 27135 -179918 68505 -242153 70027 106926 -40549 -113768 -42557 53054 -1573 -73024 4567 52020 22079 43808 29453 -157338 48303 18800 30475 97965 -64316 -88295 200155 46369 6037 -112018 -29389 87408 -10153 70975 5018 64371 -184157 -220343 3639 -76702 -44586 21318 83845 8716 -53350 -16513 -290 39366 82372 -2978 77827 -123627 106912 26386 46638 -37574 -31972 -2054 -30254 71803 100536 42921 -46337 199313 121581 -131169 -14378 39383 70249 60241 146451 -25739 -142594 -44099 -196711 107256 238802 92182 85632 20868 -94967 -49789 -28313 -185609 -101372 -38317 114219 63071 68643 -77251 -153733 9050 -79063 231555 -58154 6172 53987 37031 194216 15320 41467 85769 52750 9136 -162822 -70881 -127128 -46318 -184257 -36876 16635 78289 -39629 121593 -79574 91085 -5457 56074 -246304 113243 -73797 -70036 -39357 -247684 62739 130903 117872 -227336 18052 135335 -92696 -44516 -12263 -66673 57412 -58371 -156921 15089 68244 68973 -54321 -93520 -9590 -45056 132908 65126 65901 -67118 -14294 -15344 121893 64232 -49959 -39848 -157249 49635 23329 -39020 -46887 -49990 -49969 77289 138435 -27709 -181495 58308 54744 107533 159337 -113393 -27345 -33812 -75698 28451 -2904 18410 -51013 95037 -28662 108142 164309 -66216 73132 26098 227099 -5156 62664 -7248 40732 48249 -30194 -149620 -118011 19528 -233082 -12382 -30077 -41260 -113992 2975 25759 
+-78571 -26322 -25071 26190 -148008 -19210 123169 16348 -144610 33866 844 -37389 49962 -199806 -276115 98202 14408 47248 59718 7745 51207 68298 32104 -86007 68317 -69160 2405 23424 106112 -32349 -189799 34890 -32654 105798 70081 168986 -94049 10350 -98641 -46450 -130538 -99752 185911 168255 -109567 3868 -77635 36506 -226062 40926 120394 98432 -37130 -39882 35442 -64506 -177256 7725 63769 39153 62967 29874 -176358 121179 37114 -4643 2483 -216105 -65992 186293 70194 -53357 -151045 34871 90768 154356 53912 79589 87171 -172544 -164898 -55714 -55377 -45108 15413 47266 83633 -17822 -37085 12965 -15605 84523 -5497 78523 -68785 96538 37143 -65783 -74019 -146594 -23511 -62278 57521 18597 -1053 -1184 209347 95028 53092 45602 61489 63091 -152433 189573 -16606 -107023 -41751 -183281 -26942 189671 8671 62172 16072 -92261 -99373 -23701 -184509 -145639 -89555 103345 110847 71779 -35625 -144180 55308 -61403 221589 -24855 -111 104407 35307 107411 -43396 9233 88491 -2654 -172301 94070 15834 -126479 21010 -187691 -40889 -126569 76248 -70277 78224 -72689 121145 5180 47384 -214429 176021 -160114 -66397 -79009 -184379 59346 146802 75444 -220844 57459 105 -41203 -81383 -26600 -64574 -974 -66737 -181349 -3936 44594 195329 -190604 -100137 13732 -88623 128064 67319 62761 -30537 -3251 -74806 118889 29048 -52343 37264 -176312 69043 -14557 -73283 -44763 -27048 25062 211496 128598 22641 -155141 111252 98164 142186 69339 -66086 -35121 7347 -89125 631 -39273 21103 4202 133399 -34641 98577 44551 -77738 116169 -46655 118475 4603 61534 -50684 34196 84709 -83589 -66715 -89604 -45337 -232781 -60293 -10209 -67810 -136470 75487 -3425 
+-97958 -67247 -32015 -48151 -142262 6661 -25385 -8807 -281257 -39192 -6180 -41726 51813 -146190 -213074 89606 -32695 70216 40354 20160 79901 90152 61840 -120534 72712 -87807 101792 -11519 132235 -93578 -230746 5615 -7698 106152 77113 5445 -87954 -47 -37904 -38940 -216875 -131981 174358 122368 -122053 -3293 28926 25428 -158631 17695 97013 228659 64451 -61774 -20043 -119648 -216679 6658 64398 10982 55644 60151 -65032 144629 56222 -11768 -1062 -185476 -67291 23867 118927 -101817 -60337 147891 64663 -2111 67269 129938 134644 -141438 -144489 -105993 45656 -24527 42699 -128883 86611 -32194 -22975 54162 -63081 84920 -5112 54002 21129 89025 8113 -88297 -107722 -238415 11662 -116505 72459 -28673 -40891 41919 217758 -20587 120298 139645 -15372 63908 -179481 204480 5414 -71550 -33800 -148356 -69297 36718 -51545 61807 -6598 -93194 54703 14137 -165495 -72817 -127192 23765 134767 57848 7018 -124380 54759 -81041 147080 -3623 -10784 135126 32562 16992 -90563 -64898 75994 -60835 -205801 189859 110642 -108486 51594 -187638 -31886 -225907 83528 -86898 62603 -93534 138337 98245 17772 -214971 151177 -201385 -60662 24763 -111317 79365 106035 42076 -204784 103599 -106813 62696 -55538 -37101 -67792 -101299 -76949 -158979 -7480 28123 216279 -183379 -98232 12304 -129465 53047 65192 53124 89960 -12225 -93365 78904 -2476 -43274 27331 -183899 89698 -38005 -111680 -71767 5449 69255 225575 90006 62881 -34238 112501 120237 92073 -49001 6867 -48271 44932 -86687 29439 -52483 10029 94041 14201 -68027 102602 -72398 -39059 105649 -96042 -27274 4820 66133 -110809 34578 113921 -28494 6149 -62151 -31978 -153339 -32498 -32647 -118816 -7238 104067 -12586 
+-93679 -162996 -81670 -59427 -70256 14716 -144513 -17632 -198330 -1054 -7372 -50683 56517 -61666 -120979 54283 -30964 68078 77072 54238 99402 99679 43607 -104794 68643 -84909 79405 35133 188286 -86244 -218109 -82969 -26531 93327 76147 -140235 -93942 -14247 29010 -29023 -115076 -127779 111428 61441 -69633 15438 773 23986 -96956 -16168 62533 154257 141853 -32248 -81724 -118349 -152728 -18127 74641 -30326 40208 45273 80158 98249 70132 48312 53913 -10848 -67366 -84745 130226 -141885 48992 212936 51741 -151550 37098 133068 114018 -93713 -107955 -93827 141026 -2461 57591 -248045 29898 -22987 -12762 29817 -59346 82489 -7187 31261 75093 86592 -17043 -3170 -105191 -250368 11109 -143014 87886 6851 -67168 90490 224043 -134226 667 197458 -5563 61523 -53938 201049 -15246 -101223 -35779 -102057 27792 -56626 -86357 11878 -1685 -80042 145539 -28724 -142763 -100297 -141060 -81741 107648 50260 48663 -110751 78462 -52056 29715 37926 -23865 134201 30837 -50326 -72623 -174189 52056 -103329 -57142 62524 183459 -44203 62841 -204732 -15048 -117286 68406 -55775 -9489 -64836 70873 135638 -30309 -200193 55570 -164075 -99683 85535 -25890 81807 34119 33497 -187611 96504 -171097 35410 -77887 -12767 -62529 -169700 -80167 -126615 -11590 4471 170988 -9617 -115173 22607 -109400 -36512 90256 29750 191803 -12751 -93975 123280 22466 -47072 -29098 -213195 44594 -31244 -94203 -112807 30065 139625 129104 87708 112310 51499 128582 114161 8547 -130685 52302 -72279 72948 -69646 14622 -42089 12570 166151 -141044 -101662 34744 -116901 -106407 136968 -70845 -124016 6997 78687 -207513 17817 137118 -40044 28341 -48767 29870 -99230 -51666 -30973 -138964 96118 24870 -23262 
+-99014 -131511 -19631 41733 10192 36088 -85103 -31845 -7280 -21761 15574 -56797 56953 49141 -83662 18804 5446 56377 43492 59492 96443 116895 -3946 -69576 70973 -87577 -9166 25511 168812 151 -192988 -21088 -13503 103461 68469 -82089 -88230 2189 65482 -39967 -2650 -137543 28353 9751 22084 34492 -103116 -8338 -20725 -13061 -2308 -6917 197261 -41750 -142672 -58529 -28207 -43421 78085 -42114 20789 62741 139512 43797 74622 63623 176896 72234 -69274 30703 107540 -133793 183461 141976 70729 -195424 52009 76032 108608 -21336 -72432 -85114 169648 9887 81983 -196987 -62999 -38243 17329 57354 -6598 82380 -10909 -5042 55833 84321 -49638 122612 -104635 -191716 6143 -150332 80231 84857 -74211 125361 229033 -166135 -182639 194489 -25078 90858 131392 185297 2982 -102987 -32111 -49500 131628 -16210 -75378 24011 28216 -60790 116642 -39060 -127901 -86016 -82940 -123898 28070 60045 85430 -93138 57830 -70275 -53799 58423 -6269 159207 31684 -46172 -80317 -168075 26582 -118232 105058 -207297 167374 -46888 -2420 -227168 6960 31593 68675 -53223 -43255 -69655 72493 177133 -68840 -158706 -10121 -83966 -100201 88113 57801 116160 -42494 52066 -150309 31965 -167192 -45679 -93313 12089 -67412 -203956 -80810 -96637 6303 55292 59989 115347 -125009 56539 -95964 -48041 75383 6227 165423 -21101 -95576 110142 72055 -66268 -93069 -191931 89225 -23997 -64195 -125277 60747 163544 17698 12879 126268 19242 131699 25532 -88797 -187498 76525 -51959 87796 -55685 5454 -4730 -1355 215003 -199948 -133957 -13800 -43114 -106230 141323 13092 -45816 -1573 92282 -151435 16630 155929 -48564 -18758 -72695 72235 -87069 -4174 -38215 -82830 13573 -62627 -22927 
+-78909 -51422 -29577 151857 -10567 28936 66802 -4158 117078 8204 59030 -65924 55837 142380 17860 -16035 60373 21862 22411 36868 88906 123552 -33788 -69558 74745 -61063 -95908 23498 136081 132418 -125361 38101 -64701 98322 57320 29994 -87338 -30871 67209 -22002 14127 -108338 -51057 -9242 13458 27698 -201110 -16412 35870 -61687 -11395 -90970 216420 -53565 -187506 -3172 77617 -23144 88219 -28928 16358 57929 89145 -17035 63024 43707 124555 -23299 -75700 207102 105471 -93638 226076 27102 87967 -13698 56407 20908 51254 46458 -14845 -41872 121825 21427 59784 12574 -116868 -44634 26360 41799 14611 79716 -10694 -39669 -24678 73035 -70342 189164 -66986 -67571 -9572 -116026 84320 140209 -59590 168013 231574 -76861 -245155 127078 -115150 84210 40220 142105 -5730 -122641 -29900 -8421 98532 134652 -56612 -29749 34530 -11450 -34810 -63836 -102847 -58588 -50242 -141354 -40508 56343 115172 -85256 49008 -67685 -63069 35461 -10126 155969 34339 15119 -14495 -137772 8095 -110667 85379 -202148 50894 -33768 -70282 -189087 25352 125498 90148 6992 -68006 -57786 42724 123034 -87941 -163372 19021 928 -104290 36412 122841 91432 -119420 94858 -118743 -25426 -61665 -131057 -123489 4255 -69807 -218591 -62939 -65590 -520 19213 -15620 46037 -125233 -36019 -13714 -16641 69443 -8875 776 -15975 -39250 102070 121475 -68142 -131625 -180503 47730 22054 -56735 -133251 74858 121152 -34788 34174 179386 -109259 116609 -7166 -177325 -193097 66173 1920 86517 -58724 30926 47442 10580 228756 -69503 -157696 -64413 96595 -105772 100906 36839 84103 -5289 103084 -34768 32516 153416 -28624 -119764 -104383 42679 -13530 163 -60698 -73422 -98594 -110921 -29820 
+-103063 -18873 3454 173608 -60801 72513 127395 2740 51908 -3902 13136 -55862 53394 176385 65755 -78861 102760 -17574 17980 12712 70494 168833 -54540 -86969 74427 -44564 -96423 26520 104569 217754 -44677 89053 -18591 78654 58723 148846 -78745 -49754 37857 -20116 -70050 -82861 -85661 27107 -23445 4436 -161984 -39297 94923 -55058 -37710 -19715 190731 -52785 -236494 45705 92852 -2022 97274 17692 11864 17287 -43738 48529 43511 6273 14365 -196488 -72605 180382 93603 -37225 181164 -31726 86881 120590 77079 -53113 70983 117737 18479 471 18223 17031 62389 89991 -125045 -42531 -2916 20247 29546 78391 -617 -121735 -99456 80837 -85547 145013 -55187 55971 7402 -59788 83281 112812 -26338 195121 232254 51137 -121703 29858 -97210 87699 -119712 85617 -4698 -127271 -28992 15066 -29206 243024 -5206 -100065 11228 47805 -90713 -30746 -80269 -109261 -89732 -87031 -77908 57690 136570 -42654 45446 -49858 27824 3131 -21757 76589 36634 109505 57854 -85986 2721 -76218 -109825 -10686 -87878 -50635 -167236 -190032 47501 45597 86563 -50953 -83554 -72536 29225 42575 -88931 -168692 106678 -13935 -150753 -73912 155756 116979 -159513 126348 -90520 -70389 78787 -75249 -122063 12404 -64588 -170976 -49165 22308 6985 23778 -82640 -124248 -138397 -46066 -21956 63862 83514 -24081 -53842 -4099 3356 109442 136171 -47750 -120265 -150035 43940 79964 -11488 -119597 93829 97328 53305 7751 201547 -179213 120802 -63608 -190667 -75490 18703 -16958 69905 -74453 -5200 111858 34413 200748 78517 -158810 -94251 189477 -93174 78312 17352 243814 -7260 107943 24122 1862 171639 -39795 -129214 -118747 -35885 36111 42397 -46033 -54851 -97218 -52084 -36199 
+-87948 -66282 -4689 50887 -156201 71189 -18809 28091 -156001 -23677 -24023 -43169 49105 138382 76968 -129547 120455 -52974 9658 7850 54035 131038 -44205 -113978 71402 -9605 8098 35839 69544 263249 14793 82833 -49156 52866 80274 171959 -54014 -27990 15621 -8804 -187415 -44369 -55670 85909 -93267 -3526 -13344 -3078 130287 -49547 -38960 173033 132307 -85606 -245519 4605 -2433 5342 100611 37638 33684 29576 -157630 131357 25234 -21152 -36147 -206336 -78498 12615 55711 20084 46466 33608 76909 95842 104200 -76045 -262 154728 47153 8427 -59410 -96 79381 -19810 -44557 -90808 -19333 1227 -4499 73941 -5631 -155087 -141609 79942 -103187 23844 -6022 114747 3036 -41228 56124 33767 14799 209247 231028 122608 61671 -27843 -139684 80442 -188922 27674 -18270 -129880 -19813 15843 -69881 210873 73303 -103810 19316 101091 27261 -83932 -55952 -46777 -144257 29931 -75083 59138 147288 -33063 9364 -44946 153905 -38267 20034 73328 36828 194575 79088 6849 11939 -29568 -221816 187095 -86263 -96268 -191180 -197542 67762 -164824 83396 -31335 -28072 -72555 29919 -29038 -64760 -109775 171644 -44042 -130159 -20171 121244 96815 -161061 119986 -12714 -125006 117740 17831 -153334 13446 -70216 -114336 -35393 39565 -10778 -5544 -71622 -204633 -127972 -1326 -3572 126060 61454 -22832 42106 -11435 60063 89693 110773 -50496 -65145 -144455 48260 130897 21295 -104095 86144 36634 177253 -3749 239629 -110201 92929 -49249 -25501 12348 -45559 -26285 40414 -90527 74089 169837 24063 139165 143091 -139422 -143915 148695 -65013 44038 -74305 249668 -15527 104832 -80991 20848 174941 -24428 -42821 -109367 -26998 70457 56205 -36925 -43386 -19562 33376 -55282 
+-74634 -147794 -26313 -52550 -185331 36125 -157971 59310 -274047 -17561 -6644 -39079 46122 42537 114907 -179690 129270 -108298 17905 41026 15706 115869 -43336 -78346 78001 4672 92549 42026 -14808 228108 90818 -547 -19429 50805 81810 53730 -50651 -23846 -44203 -21943 -200038 -28953 -2370 142342 -105548 14753 36962 -44328 93229 -56014 -36288 188541 36360 -48474 -209448 -78954 -147007 -9477 110297 18254 46241 43644 -165763 146754 15223 1335 46254 -43099 -93692 -76877 20894 49503 -94992 144911 71164 -132519 112071 -97140 -23919 182147 54780 30090 -73032 -20116 45531 -199934 34739 -66488 -29996 2890 -57889 71915 -1876 -177403 -93198 74018 -77250 -77900 -34934 85413 62740 -85147 69627 -24350 57209 205936 227776 86341 119611 -21453 -155262 89402 -62931 -30209 -34091 -69171 -19623 -4926 24768 68889 153133 -220227 1092 119119 152342 -47409 -34106 -32829 -148116 79442 -59044 59823 146575 -13887 57359 -46252 212040 -48252 3600 57115 34712 237435 159646 13226 34165 29168 -132406 136030 27734 -129782 -182221 -139479 82410 -204158 98675 -62284 7852 -78864 6197 24984 -24832 -112929 149977 -141601 -133785 106834 75205 79989 -123440 115690 14898 -125092 65455 30158 -152210 -23044 -68115 -13467 -22992 9349 124 4105 -45879 -116038 -149723 9333 -64339 94362 90334 -14099 191159 1063 53860 69241 60044 -42559 25246 -159149 57714 184655 45751 -77392 102197 -25247 229951 67157 219582 16446 84057 7235 52346 149707 -97956 -12305 1959 -87516 24715 220868 38498 71160 5160 -109305 -82137 102586 -87541 37600 -100865 119092 -22461 95278 -172325 3726 166382 3630 11708 -68392 26135 97596 45839 -58520 -119496 80636 102844 -48251 
+-78756 -137450 -23254 -52833 -76247 51160 -109712 90098 -200369 -8643 11399 -42416 46771 -58968 69768 -200577 60174 -158133 24174 69685 -33305 98824 1340 -91335 77208 42310 65277 47430 -52199 135045 133595 -29440 -35744 20274 93844 -117163 -51656 -66479 -89394 -51458 -73898 -57851 74638 192569 -60567 34218 -52496 -12866 30047 -27646 -29804 122015 -38318 -44009 -173367 -109326 -200645 -23122 113380 -20450 55371 32177 -57492 112313 19583 54385 138199 76858 -104297 45088 -2461 45424 -145949 210343 52533 -196340 157176 -46797 -64597 178966 73610 35642 -6486 -38487 18421 -249744 89492 -72583 -45685 24166 -27726 73061 4813 -187122 -16296 49453 -71925 -80528 -44880 -9349 869 -143658 61103 5143 87636 194780 222540 -36004 -7419 28386 -162355 60282 90810 -79050 -29047 -118909 -18137 -37725 149621 -50298 222407 -215621 22630 202122 116276 -18814 -13903 -53587 -76990 119780 15522 62413 134940 -17360 66032 -32883 234343 -31806 1908 30092 31993 210655 167573 9594 60218 88851 62808 -94170 113467 -139366 -128837 -137138 88900 -84738 85589 -85361 59585 -99284 32453 85604 29150 -97881 55862 -211503 -145954 103729 15533 117287 -52113 67350 38334 -132741 -27351 -5708 -134397 -66162 -68611 52030 -4770 -8492 10382 8847 42421 62775 -150546 11485 -133485 21526 47864 5451 171928 -6803 81898 46251 26939 -35572 38871 -84676 38506 219404 79974 -62949 65434 -109293 165602 78431 190941 34294 55000 62651 121401 146361 -118042 -39986 -39538 -73621 50966 250696 30206 -16768 -154636 -60868 -71080 -20012 -99075 105517 -58446 -26959 -29336 81395 -182686 -12742 140021 -43512 37122 -51115 68230 124403 58926 -29181 -108781 12781 87384 -68210 
+-77005 -56896 49415 63030 -21464 47210 58418 102655 -9903 -13815 41865 -56894 51278 -154720 66113 -240983 17929 -198286 39819 44776 -76588 56650 63273 -85566 77282 78681 -9476 10279 -92397 18087 119970 -20012 -48389 -521 61445 -155753 -47181 -59545 -118074 -27341 19653 -93276 154792 192422 23399 28258 -162458 -39495 -33874 -19744 2104 -30709 -133929 -24916 -111994 -120903 -181759 -7812 120194 -55038 53186 21109 80108 32767 35529 62516 148526 15375 -109569 206073 7988 6927 -106957 151966 52784 -30374 88407 24409 -38755 151985 71833 -16332 104861 -44320 59529 -99994 101446 -105021 -7710 26268 -18414 72613 -3163 -187481 62070 50648 -61078 18404 -65370 -137604 -44971 -151038 52343 81322 100140 179364 215300 -146136 -189814 113163 -148054 61715 52526 -112683 12945 -87239 -21962 -102998 102781 -32062 277445 -258777 5723 217974 -18017 -4746 6416 -66543 -29730 59250 108890 71321 112871 2382 18002 -26979 181145 26165 -43623 59304 30867 136965 234284 -74298 80739 153320 110621 -216690 143951 -123958 -21603 -139647 76867 46331 103682 -109378 89017 -75963 78552 139674 57931 -63371 -4939 -196023 -108639 24956 -76254 109915 36992 47719 65367 -85329 -148906 -90423 -138116 -57383 -69416 85210 24506 -87236 33134 44909 133303 92778 -148635 43601 -130940 -54381 40040 32558 42201 -11074 57727 32170 18076 -41739 -8084 -106480 67991 228330 147901 2173 47637 -159144 31863 75410 157216 -34386 2584 101122 125203 144834 -106579 -53726 -76626 -56567 37214 248876 34945 -68931 -200284 -38715 12022 -102842 -81257 103886 13334 -94589 -34452 69298 -96077 -39489 138344 -6962 -93511 -53330 55561 84930 36144 -28363 -54880 -72529 -19824 -72287 
+-85603 -24291 -4873 140361 9754 51235 124219 141255 98125 24442 44989 -59026 55881 -189006 -19493 -235708 -8270 -218492 54539 19053 -110578 30244 67254 -92191 76551 80204 -91187 28543 -117412 -75608 83354 22479 -70747 -26979 61432 -13392 -68644 -56104 -128725 -39064 -9202 -96687 171463 157390 6277 5008 -177727 -11214 -115815 -1920 78241 -94999 -154345 -57957 -11530 -72742 -75516 -7091 122935 -31948 31529 29216 149718 12950 55287 12081 61393 -168550 -125178 205003 22872 -49615 26527 26200 45996 75085 112384 93841 -33333 81515 72333 -56578 174058 -40279 78262 60521 13845 -113862 34191 9804 17338 75279 5892 -138160 76154 40812 -17981 140644 -102964 -236115 -38855 -111447 47489 134870 90471 154442 206249 -155628 -243950 178480 -153350 52177 -124637 -125827 -31895 -65454 -18379 -144880 -27571 126558 279300 -230630 48708 262705 -73583 16735 16230 -82000 -82471 -10247 123311 63423 82144 14988 69514 -36586 61761 55433 -28391 44392 32261 38358 209589 -131421 89707 195331 -38631 -33462 167399 -119105 28705 -126455 68634 94240 92302 -75841 143600 -99168 85905 179450 50093 -60708 13259 -116886 -106695 -61364 -152588 102124 100099 32318 57031 -526 -197452 -107314 -140660 -25390 -70530 79019 46503 -154755 1749 16898 207264 -33716 -135069 6518 -118215 -76570 65142 53211 -62149 -5137 -8373 15904 30911 -29380 -115878 -115565 69860 228824 181931 -3928 18890 -172276 -36592 122585 129263 -172211 -43690 120864 108652 80570 -39394 -95999 -105071 -57520 8845 222921 53948 -97342 -90864 -26088 39568 -106913 -88233 129323 20825 -61977 -35543 61797 -2298 -2920 94549 -104 -143164 -90684 -29634 61938 39227 -36977 -62035 -131682 -84454 -70766 
+-86026 -77014 -42299 170333 -126077 23988 1204 152731 27537 -14650 10031 -60979 57794 -154351 -120391 -222701 -50151 -224245 60747 25611 -140582 -6375 40010 -71123 77203 101048 -55079 25491 -132593 -96439 13376 86604 -6443 -53172 59496 124994 -74394 -60881 -90362 -33347 -126152 -142887 155762 109700 -20457 -3702 -61325 22345 -163393 30292 105309 25371 -146192 -34391 12678 8829 42890 -43990 122240 -603 19921 38140 86892 56849 71503 -21125 -21618 -218348 -126184 26538 75962 -107664 154746 -31448 64904 101237 59289 113660 7121 12453 40781 -109808 145711 -26345 106460 65480 -97834 -135264 15744 30583 19008 76898 12892 -128271 18112 29684 -17987 189835 -127090 -259695 -1056 -97282 31591 106689 61560 101631 195569 -71453 -113952 199140 -153387 37429 -199840 -119204 -84 -43716 -19139 -187110 -72755 236363 244983 -220167 16161 293727 -23594 5384 17646 -103544 -103247 -86601 108900 65390 44520 11041 62679 -7276 -10330 57104 -2633 74574 34986 -36877 161435 -166595 83219 217974 -202526 131332 30622 -83444 57421 -111647 34227 -7284 106721 -34564 94797 -75723 124404 141181 21422 -47365 103045 -9429 -85803 -43428 -221031 58554 148743 54653 63370 22884 -129439 -61482 -124478 -25379 -69131 42963 59596 -152052 17836 48265 232970 -224154 -144681 -41533 -70567 43209 34940 65278 -21014 -4097 -16177 54715 61929 -35157 -135109 -62664 72276 202132 227628 -8661 2893 -226274 8611 128092 49812 -160184 -61362 98036 -51668 -27191 22571 -62303 -119971 -74213 35016 176427 17526 -89236 83945 -59744 107887 73753 -88243 119866 -38079 86162 -47967 62293 -41104 -18323 91651 -30779 -51125 -124536 -45272 64062 15941 -22089 -60389 -8264 -98764 -87535 
+-98580 -128688 -19222 45229 -161393 15040 -150628 142633 -154661 14759 -20835 -53048 58942 -65299 -150391 -182379 -39977 -224981 15645 33129 -164156 -47009 16321 -56535 81752 101424 9419 19515 -86231 -50262 -79293 86521 -13718 -70338 46947 204751 -85799 -57097 -83373 -5529 -189573 -148393 77260 42481 -102551 14059 26614 12292 -215103 68464 77616 148075 -92265 -74342 61635 32129 91960 -18848 132526 38198 6430 32101 -67021 111525 73505 -1620 -4799 -81423 -122079 -78497 81699 -141049 244683 36479 75102 -95241 59420 128413 29865 -60054 20044 -108487 60768 3317 94207 -94696 -132266 -89748 -9959 23149 -34946 78689 19137 -85789 -70110 30462 1703 126885 -90057 -200799 3672 -45530 18375 26349 20408 53037 183363 60118 69130 140509 -126736 67080 -32235 -93845 -33088 -49597 -13587 -198698 24819 212157 213412 -186701 8548 265896 138356 -6129 14212 -99477 -166979 -117859 73238 46968 3116 18045 57747 -20244 -52915 27467 -3054 138560 36890 -53147 134963 -168533 63237 223388 -185703 125357 -95854 -50198 53483 -70882 24106 -138529 104440 -37569 54272 -64894 117851 48655 -19334 -27084 171772 28146 -91163 68467 -249592 32576 143599 95319 27938 100207 -13541 18209 -129515 -16277 -63625 -20312 75246 -188369 17931 20899 144726 -167397 -137536 -11121 -20691 135873 28811 63506 119634 -6453 -73837 28018 120502 -5836 -108297 -47767 54081 145092 259428 5865 -18477 -187750 155581 166467 32926 -35108 -99902 21591 -125367 -148877 59912 -23356 -119374 -90045 25197 119869 30848 -45676 125944 -87151 74360 171046 -45050 108557 -91707 255859 -53428 69813 -127484 5200 30172 -8834 27106 -120065 -7991 9215 -20092 -44404 -99456 88994 -12578 -89246 
+-82060 -149320 -12030 -52185 -171640 15754 -132219 134332 -280031 5589 -8867 -39912 53471 43081 -197968 -145859 57976 -194203 59107 50375 -183982 -68972 -29259 -108771 80060 101689 123121 3252 -57693 56056 -139513 31128 -35939 -111701 76906 126775 -94233 -66382 -4661 -39057 -127763 -129690 -5782 9374 -96470 33958 -14620 36274 -243591 80237 166343 171903 -10703 -18553 49627 5871 32100 -32373 128535 28723 11836 56327 -176494 149310 62554 51459 126678 72942 -133909 29300 123407 -135661 202644 150843 71901 -181073 41933 92167 77067 -115933 -20050 -76752 -43275 13281 4792 -244341 -86720 -149147 -16173 45393 -53377 83010 18051 -31814 -125515 31684 33891 2147 -95986 -72051 3657 -56258 37184 -24239 -23186 1377 169798 124869 116145 51651 -106420 37520 113665 -47936 -9014 -70123 -17363 -188013 140512 82352 106401 -211071 -5004 294640 142308 -33778 7714 -85098 -130739 -99252 7396 58841 -40131 -1127 66705 -20124 -7865 -13692 17323 122938 36527 -5066 46797 -90381 37048 193972 -5478 -51043 -80148 -30456 -3813 -52693 -2647 -230495 102258 -25215 27708 -63028 93037 -27983 -69267 -8494 154355 -15433 -59062 102017 -249455 46062 94089 125049 -7072 93914 96231 34928 -110704 -10792 -69981 -107460 80212 -169501 -789 -4413 58030 -6006 -124607 25701 9673 128629 18433 50857 189087 -12740 -57618 3335 153186 -7273 -86096 -33966 43787 102626 261324 -7967 -53112 -106988 240125 112520 -685 55734 -113114 1673 -208830 -192782 78750 -4930 -103244 -87546 21756 58209 39231 24751 10871 -119354 130827 196776 -87441 90644 -86302 251805 -43863 82525 -162657 -7805 3297 31735 49040 -94486 71851 -46732 -32715 -28799 -106446 48951 65317 -45007 
+-76918 -69066 -30821 -49879 -35052 -14777 52175 119668 -228184 8324 33110 -39916 49305 144626 -246792 -107640 83373 -153829 44995 53798 -208079 -91231 -43221 -103877 79427 90683 87780 5439 -23080 164564 -197528 -17717 -66341 -129525 86279 -56529 -97936 -74589 26670 -844 -5395 -94825 -60205 -1996 -41798 28810 -139075 13559 -210736 112652 122042 93760 69338 -32818 21814 -80631 -101064 -33398 129897 -12453 31843 19877 -163435 105628 43552 61935 168076 29016 -119268 193020 112495 -97893 83226 213048 61135 -108100 71031 19432 73045 -160780 -56540 -37221 -78446 16888 52893 -196237 -26406 -148517 -41028 -475 -38733 82112 25493 16810 -115577 15075 11465 -86809 -54116 42857 -5070 -114296 46960 -1339 -57372 -42337 154655 80337 -15881 -22732 -63962 75329 56303 8709 -17492 -62188 -15332 -154594 106690 -49327 25298 -144059 27636 275869 -17701 -51419 -8709 -109030 -38657 -26714 -75372 42618 -81000 -20332 54758 -20505 62723 -56779 6060 141197 34045 87421 39697 -38472 13255 150571 121098 -177980 58563 -35289 -79630 -42770 -25222 -98208 110030 1439 -38153 -74836 78695 -2667 -91744 49782 64332 -127716 -31790 51943 -205360 23556 13038 128506 -45854 70581 147916 -49735 -90427 8438 -70825 -188701 94767 -93816 21602 9769 -17791 100628 -125546 22457 84 87158 2980 28808 97565 523 -102826 33388 115261 6692 16683 -18365 53611 45507 261083 9817 -63923 -51310 183304 97953 -52734 20289 -116724 -59316 -135418 -213598 63996 -17130 -73638 -71308 17965 1686 61197 99213 -146459 -150805 142973 126422 -44572 42138 -2724 125602 -61661 95513 -121870 -1073 -35622 21976 -31342 -54784 67062 -125855 -26687 -29332 -106378 -83164 98726 -60848 
+-86189 -7138 -1454 50904 21644 -21518 123022 87087 -22359 7763 30850 -43035 47870 180134 -299084 -51137 127656 -120952 19763 37168 -195749 -151187 -66939 -73799 78898 62432 -2290 -38100 30428 242197 -224478 -81542 -42725 -150400 77382 -148154 -95054 -111426 64473 -22675 26293 -59635 -79363 45684 6581 5633 -189502 73286 -151116 135543 112799 -65283 170323 -26804 -30815 -124682 -173848 -33159 133084 -39897 45882 29689 -33809 26086 26758 50971 87907 -130551 -106183 193096 116860 -31908 -67298 151058 38998 61470 78643 -50081 111581 -184339 -108374 -24068 -29978 10041 22044 -25902 61388 -144371 -24222 10895 14299 83466 27568 54056 -47893 10917 3452 -69181 -26944 114088 -12808 -142033 44334 75350 -74380 -69378 138627 -44184 -196635 -39869 -41812 72103 -151706 71207 -29462 -14629 -23154 -106303 -17067 -37655 -41938 -101211 9945 255367 -45365 -39765 -25518 -153208 -56933 49977 -77762 45126 -117572 -17498 22159 -37013 220821 -53089 -20108 128413 31570 181802 -46554 11393 2320 94128 37444 -88365 172900 -89546 -180805 -52168 -28358 53309 99973 -75201 -33977 -97327 43339 87491 -91774 47841 -2929 -197775 -23911 -29429 -114545 -17764 -55420 97712 -81918 29445 38870 -122607 -134127 -4915 -70102 -222577 84980 -74188 -603 14066 -61967 37708 -128737 8361 -56028 -36161 -15151 8020 -39074 -1565 -49659 9162 60589 14627 28643 -8983 70744 -12305 234724 -38531 -84270 67249 53215 93959 -71498 -116895 -130184 -36137 -27228 -89710 -12172 -28495 -35260 -57202 11772 -37789 32689 176787 -197699 -158281 106957 8817 -28969 57725 27887 -22612 -51603 104960 -31436 -4125 -50945 -8190 -133410 -44956 -13472 -181136 -32287 -47018 -58603 -103437 50777 -64329 
+-85173 -27639 -35531 150157 25246 -24499 7122 70514 111225 806 18481 -58368 47673 141411 -299763 3197 108694 -69576 5309 21306 -180655 -145928 -23165 -96692 80478 31875 -78475 -30800 71071 249144 -217749 2708 -71230 -156040 85136 -67010 -84207 -58797 59052 -13026 -76203 -38602 -56715 94350 698 -3879 -117138 67633 -83885 141721 81431 -70147 212299 -53023 -79651 -132687 -206063 -40353 130884 -48433 50966 25555 93381 20 15137 -21019 -6172 -231421 -116570 37792 80752 14842 -167762 29829 58663 104081 42487 -97024 115508 -173194 -143218 4850 70943 -13693 55255 96910 84686 -149031 -4841 10995 48309 80224 42018 79452 34088 14243 4894 40708 -14765 100123 -27271 -138867 41925 140459 -69589 -84178 121607 -147816 -243274 2921 -3537 102936 -228423 122777 -15803 -13240 -26916 -52589 -75932 101726 -59502 -36958 16418 222406 -24152 -34564 -43126 -125316 -95393 119569 -55632 57870 -147148 -35209 52781 -27632 250266 -13452 -11264 78831 30996 232577 -78402 12151 6337 32962 -155166 125837 181388 -123381 -198200 -13934 -38049 120874 110158 -80495 -64713 -85835 61371 121660 -61434 85346 8333 -208140 -28026 -67448 -41875 4063 -138201 65446 -147865 -32285 -50879 -101984 -94718 -22993 -69859 -223254 103097 -35177 -26697 17990 -46070 -121287 -126057 -48897 -97331 -58968 18102 -12742 -76511 -8536 13851 38349 19255 -2182 -6241 33017 68755 -25201 198928 -85299 -92757 117235 -38576 6421 -126984 -168240 -122714 14684 92624 -36236 -62930 -48338 5426 -58827 30179 -54065 17813 212440 -91504 -144268 28269 -73913 -28815 97135 26008 -111428 -54407 106978 -49302 11804 -47645 14453 -107688 -68992 -53884 -186462 -8001 -33944 -57199 -60160 -40353 -18628 
+-106559 -121663 -19536 164978 -107651 -45744 -143543 30485 82092 20458 1668 -61754 47497 63739 -271112 44394 69867 -7254 49579 11593 -158871 -173677 6798 -113789 80995 -2628 -89845 -14114 114499 200386 -172666 71036 -5150 -181353 73195 62144 -81193 -100234 39558 -34736 -169870 -16788 40053 163115 -41988 13330 3626 27246 3344 140660 63510 52532 219773 -36173 -160387 -65090 -121662 -49161 123554 -9657 52567 24280 150742 71362 19971 10304 -27641 -123779 -97122 -62495 39822 50074 -135803 -28313 64410 -34779 102515 -87960 76085 -144017 -181320 43199 162132 -28763 77126 12540 27291 -120381 12851 -22135 29017 82020 45887 85171 78766 -24231 -70642 156452 -50275 -106 -14166 -110170 32918 120422 -43595 -102262 103623 -154415 -104437 98786 40302 84456 -38579 170017 4072 -52249 -25341 -4407 21983 218915 -102521 -5427 45 167760 115613 -46373 -70475 -120072 -164843 91550 -20537 62776 -166872 -53716 86998 -43737 239675 30841 -924 40049 32934 223311 -82015 -73572 23467 -24271 -222281 160668 112191 -139677 -166259 1184 -29627 28683 107572 -60999 -75354 -72604 52874 179969 -17565 73242 89687 -146916 228 51596 41241 6948 -162308 36406 -183238 -95114 -154937 6894 -59159 -22977 -67810 -146529 91718 12371 717 27534 -20458 -203667 -103581 -12039 -139733 -26066 -13397 -24812 55184 -5691 43478 46527 13027 15856 -83109 63617 93008 -30766 168163 -105701 -93758 156227 2092 31371 -134459 -90628 -108511 61334 146011 128512 -115623 -76144 43174 -73769 33508 -40091 11666 225507 72652 -117359 14452 -118452 -23321 95602 -44675 -93447 -51846 102604 -83663 11732 -75295 3049 16183 -103066 -13635 -226489 -1773 -16381 -32017 68623 -115114 -10342 
+-102546 -165690 5794 52213 -172192 -21981 -139081 4461 -142292 7043 -20406 -63557 54654 -60963 -178972 80814 16620 35685 26226 30108 -131679 -194521 17454 -101446 78748 -14639 -1655 -17010 135709 86808 -96266 111826 -22440 -197783 42704 167337 -63695 -97693 -3439 -50028 -191929 -44907 107888 182758 -99873 33689 35274 -3312 85971 145977 -5128 176353 161415 -40376 -232468 6492 -7849 -29322 125688 29813 39417 49974 69015 126531 33650 30256 85801 42273 -88575 37569 28098 48974 -2982 31996 91827 -167340 130501 -47061 61356 -63373 -202638 20392 171687 -44716 86231 -177446 -48253 -148605 26239 2446 -2625 77644 54223 76801 39486 -4080 -108398 186766 -72255 -117336 7493 -49176 48552 35105 -5222 -94047 85408 -57637 74212 174626 35235 100511 111542 195638 -18909 -46809 -33053 18333 145522 220344 -36014 8184 -1456 112954 139646 -3025 -94990 -132882 -137754 -345 41510 64919 -176961 -72835 72764 -50372 119277 60152 9814 25447 35598 154733 -78725 -150540 48132 -76597 -76157 14333 -2235 -115778 -141287 -3260 -17753 -151398 106666 -70212 -25629 -69633 15331 153323 24294 76130 168945 -31877 15771 96353 105801 -12530 -157636 40428 -197173 -130509 -173063 59312 -37662 -36075 -68174 -86170 80037 26648 25410 -12444 49254 -76475 -86710 41150 -103706 65800 -11168 -21605 205240 -18850 89644 56763 37965 30343 -145197 53830 91296 -22769 128213 -137592 -79224 137003 100250 -1543 -110287 29466 -86278 103450 155599 195370 -118041 -50741 71855 -89186 38823 -7033 22701 190658 121170 -76637 -25406 -873 1821 143456 -138886 84297 -49613 91452 -168779 10623 -109182 3659 45883 -125774 65527 -272147 21606 -29707 -71183 42884 -58678 -15832 
+-105945 -101443 -24986 -59348 -166756 -27849 42154 1586 -259229 13023 -1138 -47187 53786 -144805 -181415 102036 -32166 57686 47467 50583 -103183 -179762 52985 -63280 79741 -34028 74201 -23002 158945 -35038 -29026 42400 -51497 -210565 59395 140395 -54644 -110974 -72695 -20649 -72191 -97820 175142 184394 -117842 29341 -105546 -10025 109853 144746 -29961 194004 91001 -48511 -227060 44296 93663 -41121 118194 35017 19469 22185 -73389 153031 52958 61433 164674 55744 -81390 186118 -5162 5015 124273 149351 77657 -122693 127779 33727 27566 -8430 -220626 5202 90483 -47952 52867 -247683 -127428 -110251 -5582 -4798 -61856 76890 61274 55472 -47062 -7599 -91279 107533 -119218 -233451 -13627 -41324 71013 -30191 38369 -66272 66878 68956 114784 203528 90917 59074 32449 208183 -10528 -29370 -39098 13916 98028 104903 2438 49291 19993 89274 28309 -29452 -124555 -137479 -85284 -81671 98161 67996 -175540 -93224 60059 -25884 11135 52465 36238 10475 37075 58377 -5324 -192236 73835 -111525 94938 -157718 -68994 -134101 -59685 48892 -7501 -227840 102704 -56125 47876 -44658 56210 75591 50884 102530 158205 23758 3983 71876 146504 -41084 -105489 69722 -221053 -134925 -92931 26377 -44459 -50338 -68436 -10694 61170 3540 41903 35473 147455 76334 -74490 23309 -39733 125157 5420 -12473 162977 -18754 63610 47699 79273 33855 -157699 57333 105424 2171 91560 -124029 -59884 136101 211507 25770 -94613 48745 -64283 147541 18426 154919 -89849 -65156 87519 -89185 19222 45989 12552 137741 9493 -49381 -98306 129544 -15863 115156 -71920 203196 -42286 78113 -165375 37040 -108827 -372 -18115 -113955 57440 -277816 56567 -52583 -105617 -46557 11123 -2947 
+-81244 -26683 -15540 -68883 -51417 3256 134747 -31668 -222666 23682 7820 -37204 57706 -187634 -92390 86175 -35603 67890 34584 59243 -73742 -150089 30817 -98089 81706 -63244 70757 -31924 168782 -92538 38573 -9564 -47478 -218673 74245 18534 -42429 -130248 -96114 -17974 23498 -140025 183291 141853 -53381 6241 -215054 2271 140566 136606 -35038 58831 -5878 -69235 -244734 5281 67098 -40666 114468 -453 13922 25467 -187488 93188 69857 33691 102023 -109687 -70074 199883 14056 -50324 226569 205988 45302 89534 82052 97921 -32526 89202 -234334 -74304 -7930 -35767 30893 -122150 -180708 -144998 -15486 22699 -58196 75861 59703 9958 -104154 -19196 -115480 -18619 -100768 -261729 -10425 -82646 74138 -7757 75455 -38839 48257 129619 -24466 166473 45875 64255 -144475 193842 -21266 -39240 -38871 -1630 -22067 -37073 75505 60701 -32663 23362 -79939 -17858 -145908 -100301 -40573 -134550 144472 63389 -162678 -112178 85914 -47739 -50214 16419 -19487 24620 36019 -16867 42818 -150886 87836 -117285 94848 -169953 -35067 -105370 20523 11923 30010 -151897 104356 -32912 98669 -70740 87332 1591 44794 117004 71565 -2916 20400 -22584 137491 -78926 -37559 103498 -236285 -132347 21016 -53732 4701 -10210 -75669 50182 48445 -81069 -22846 15651 169166 95929 -82513 32171 205 99447 -5919 11932 24138 -5792 73496 83235 139906 34443 -97721 81025 102831 51520 36576 -123229 -47567 70191 203771 10601 -74156 -58266 -44901 94969 -85355 92197 -34507 -41927 87176 -72077 18603 108571 20038 56871 -151516 -33332 -95400 207869 4769 139002 -12007 238203 -42585 67046 -78319 45085 -119190 37288 -118037 -74469 -33851 -309156 31602 -61169 -87105 -173909 111478 -14092 
+-81788 -46501 -31831 51777 17637 9372 23402 -24286 -52469 17144 42229 -40956 56840 -160586 21673 71052 -5859 65714 64784 60447 -25136 -132519 17767 -66555 77711 -71183 15289 -49090 126448 -76428 109731 -62539 -55139 -216814 91225 -143941 -52063 -116272 -140577 -12094 -6074 -180485 137591 77653 3253 -3987 -153784 -10531 93364 117449 -54771 -89822 -82315 -44376 -204638 -65873 -23543 -10715 104693 -36284 10504 17058 -152844 27876 72889 3831 282 -229703 -71043 15639 22111 -106583 218974 144309 64858 118554 83903 126554 -24065 137077 -222373 -114572 -75522 -22760 12227 46841 -73964 -105584 -16073 5269 8565 70280 66336 -17570 -137987 -22394 -88205 -92158 -122012 -210300 2364 -137411 73403 66488 96350 -3556 29451 59637 -205177 76408 72440 65915 -206794 161089 -20606 -13040 -44295 -33229 -68629 -55025 174204 110236 8119 4154 -65644 -2339 -161135 -116533 -79865 -123055 99667 53324 -139761 -136449 -2338 -75266 -51761 -39029 -20472 73260 33320 -53043 85804 -103875 87354 -100945 -78542 59732 89192 -69991 81986 22601 48330 34756 89240 11299 101480 -77387 78334 -12647 19562 131333 -3506 -77055 -17953 -68491 98875 -25533 47875 132166 -228169 -62718 119480 -127523 31593 -9674 -75593 94205 24936 -132949 -23791 32397 190239 -53877 -64776 -13281 -14678 19147 18712 38816 -69059 -4781 40936 88510 126546 47539 1657 103044 84356 102224 -17812 -142684 -14578 -31939 94644 68618 -15098 -179946 -10360 26608 -188830 -14104 33013 -19334 71241 -57995 32418 163306 -924 -10547 -196357 -40765 -130314 157920 -7462 82850 42155 166005 -30812 61626 30655 20249 -75117 21740 -138558 -38925 -50911 -265644 77719 -62371 -49706 -108963 110256 13600 
+-98946 -103611 -17916 192279 -20551 13383 -135497 -3505 89757 35443 12481 -46418 51284 -68673 30381 35989 60440 52745 27807 31040 6696 -117420 -6318 -111837 81026 -80708 -82240 -11670 80381 -301 120509 -6339 -45149 -199065 74580 -92405 -64650 -147694 -124294 5229 -117065 -142213 57624 19259 22623 12629 -26466 -47638 27491 76991 -29457 -47912 -136856 -25903 -171499 -120761 -152389 21658 102843 -47817 37558 22917 -23378 11530 63877 -2399 -22220 -151826 -68167 -63792 77824 -136616 118450 28316 54910 -11986 125484 116473 -54713 167627 -208100 -105821 -63627 2889 20344 83673 6765 -101152 -41053 25684 27041 74537 83761 -82265 -70222 -22570 -42322 -55214 -107060 -91006 -9018 -147701 66386 133235 97810 39031 11225 -65301 -238731 -1404 33945 66213 -43504 115840 -20904 -17621 -43711 -87428 16878 70044 229758 108788 25401 -35329 51722 -908 -177400 -73438 -162768 -65146 41805 63773 -107848 -137874 44633 -59597 53114 -55328 -17727 101795 31175 -22977 134731 -15409 72794 -60317 -216782 196882 202139 -44240 72934 20388 62408 135303 83104 -14474 101016 -75822 109549 28008 -21049 137646 5876 -162071 -54976 -441 30240 -28732 114190 128136 -177448 -12526 120977 -77087 41031 1018 -73026 76477 -11317 -164153 -8701 32634 126315 -188655 -39587 -7971 12235 -56250 -27415 58564 33082 -7199 17373 114060 102582 47455 31369 76845 67969 159313 -47025 -83313 17298 -75795 -21030 102273 15283 -150376 27417 -22212 -164743 -129793 78226 -25378 41995 -55305 60570 214937 2959 -77747 -76529 -80206 -62056 38138 39902 55523 -1700 5167 -27318 63853 -33413 46193 -60668 29844 -40770 -53810 -20043 -261934 5069 -44459 -51474 69396 12089 20609 
+-85333 -156703 -65690 185712 -104456 20744 -146152 11991 54953 4573 -5492 -62605 48705 41387 98307 20958 119033 17700 11280 14766 38370 -121071 -34334 -84678 78919 -82497 -55142 -24231 49950 112689 99047 44149 10685 -201635 68979 7005 -71102 -109126 -100863 -40582 -210595 -132408 -30469 -6254 -36049 33347 61732 -45390 -52412 68525 -12521 100578 -170961 -60910 -82261 -125954 -221638 -22878 104622 -15431 50793 69816 112737 62288 45653 5590 66177 26697 -62793 3763 117903 -133014 -35146 -30539 75134 -177093 101783 64874 -46679 176749 -186442 -59646 29466 15712 55122 -69254 88187 -79543 1030 336 29252 73875 92784 -114878 10670 -16244 -59333 63274 -55297 30935 16075 -130182 73483 121407 76615 95462 -6427 -156325 -94964 -39703 8703 43039 116188 55215 -14460 -44008 -41458 -140879 136052 210582 252886 81258 -2971 -77581 138259 -24331 -186609 -61636 -132335 51510 -47296 48464 -70109 -171899 95152 -56521 132924 -37968 1767 115413 31226 57425 177463 13627 47734 -9998 -149096 90510 175399 -41693 394 36802 75289 32300 83518 -12452 81202 -62670 72828 122359 -62505 149251 90956 -199318 -37818 94372 -60778 -14121 145384 96301 -143798 99097 9393 33249 20736 -7242 -68161 28377 -20229 -182852 16260 -7569 29435 -154889 -33637 -15748 -45379 -99543 -13404 64149 151321 -9712 -34840 95566 49383 54631 33926 72495 58347 207505 -78143 -65162 25976 -170263 -20866 161371 54152 -33392 32576 -47304 -95422 -191345 81290 -27550 3822 -72024 52570 246738 21251 -102339 79820 -111134 -62674 -91140 48583 81147 -70416 -100348 -22579 72638 -144406 21729 -66798 16838 39881 -86484 52967 -210394 43413 -37301 -66787 78276 -74248 65840 
+-75002 -111508 -470 43869 -166361 56835 27951 29648 -124848 13032 -12680 -61205 46515 135896 116823 -43503 125567 -32140 -6899 41452 65929 -82428 -56256 -71113 79532 -82718 -94 -10925 14652 213944 66578 104806 -10777 -185492 52215 140963 -78203 -123531 -53495 -64787 -128074 -92946 -75651 13168 -109393 29850 -46532 -40007 -150280 51199 25172 168579 -139284 -52631 -22861 -53416 -172010 -2008 90545 22031 57291 16975 136452 124293 26837 66014 197529 80212 -74923 178006 133956 -94088 -133511 31797 89775 -138170 58309 -2406 -29871 170870 -153484 -41982 129881 29236 70992 -227649 85484 -63266 27735 -2652 -30818 75888 93142 -159181 64274 -35226 17378 169531 -24069 104243 9844 -85303 72658 36272 40233 137539 -23507 -143534 81044 -7621 8487 62153 54248 -5159 -10687 -27351 -45159 -186571 109980 227604 267878 88587 2643 -86263 54890 -17836 -195506 -52350 -106102 104500 -94878 45025 -28433 -158387 56692 -45381 227464 10580 2869 133498 33482 158694 217106 -352 22155 52281 35337 -122387 87680 -37105 -106496 6511 85445 -142538 84576 -70465 30816 -96615 121812 187819 -90429 149764 164255 -153794 -62663 82322 -143502 -16524 132901 63493 -95735 99916 -73510 49988 77471 3229 -68723 -39632 -29464 -161458 27061 -5351 -61772 -3801 -29057 29916 -125061 24067 -21793 60326 200496 -19036 -67936 118555 -6516 74956 -33948 63192 54211 231303 -77669 -22513 52021 -190277 76175 123037 81197 58428 89522 -43638 6131 -178823 38022 -28129 -37239 -86898 131 249447 4078 -95558 131521 -141853 -9251 -110681 48843 62419 -105218 -88912 -17368 85855 -135137 29139 -38697 7984 36229 -119736 70241 -150766 -21204 -29044 -112842 -68619 -102344 40571 
+-92906 -19905 9134 -73450 -161888 30512 122861 61957 -289101 24678 20543 -55656 47727 183689 80188 -109314 133079 -74089 20388 58444 77985 -35332 -52796 -87805 80496 -42171 89312 -28677 -59519 270087 -1294 37178 -58486 -168531 59222 169273 -91219 -116200 22669 -53711 -23637 -34463 -75313 65848 -94500 6910 -161062 -8514 -232386 15625 34687 140690 -61276 -55880 41638 2808 -58252 -22709 89069 37756 50948 77419 61860 147382 15482 57270 170129 -60282 -87949 217871 124246 -33289 -150332 150734 82165 13826 44821 -59295 17141 121403 -114096 3136 176969 8941 57311 -227473 22170 -50665 16404 -12846 -50729 79737 93268 -163359 70995 -30504 29238 180250 -23352 98934 35913 -56784 89052 -24471 -2974 178955 -39650 -37687 110244 82831 -29168 47672 -131729 -57380 -3709 -39994 -42093 -198765 -19762 117819 264099 33388 -14418 -75912 -84316 -25501 -192103 -53383 -55671 94812 -77549 44136 14406 -179326 35749 -47704 235760 44491 -7690 167065 36104 225195 209616 -59470 5591 111702 116335 -199575 -55652 -101182 -144746 6820 85881 -218516 75832 -72774 -790 -73620 130991 174337 -94072 154208 161326 -87119 -41811 11396 -227311 1517 78861 37542 -67050 76794 -170500 -4815 85696 -5771 -72489 -107120 -58427 -124987 29063 27948 -92888 106425 -13731 26940 -109540 101675 -14575 48974 75067 -12420 -94232 86056 -2766 75073 -117589 45686 75580 229786 -91973 24636 82317 -216427 194976 137084 120432 -8672 89693 -56 123247 -110294 -21932 -53788 -75060 -92401 42789 224379 -10798 -42167 21182 -158756 61439 -50720 65624 120980 -59678 71961 -5554 98064 -118604 32152 -22082 23847 -74693 -126760 -1151 -85509 -31043 -61391 -96608 -108052 -42385 64185 
+-93790 -5994 -12488 -75729 -51790 52915 56756 104590 -216887 24951 49537 -39676 50794 152456 27340 -150563 68597 -127062 17130 52572 101611 -35915 -16209 -93008 86711 -26111 60198 -24765 -84770 231297 -82283 -23239 -72113 -141983 72222 70834 -89716 -119034 44142 -40445 20647 -31072 -22246 130418 -53753 -4092 -219598 -12817 -222393 -1640 135008 27505 16176 -62938 55168 39145 59404 -14780 78598 12842 37718 10975 -95306 116484 18474 22532 56333 -219499 -83779 51339 107403 16393 -84627 213841 72595 121791 38265 -87048 30272 56006 -76948 21035 124663 -12319 48492 -51008 -69648 -86910 10819 -21153 -23513 81208 96391 -180881 -4450 -43376 22022 86112 -14149 12268 -7054 -52093 59274 -9520 -42519 200425 -54744 84706 -35550 168573 -80982 78250 -186384 -102915 -20936 -34390 -44118 -187621 -71158 -16889 183685 12237 33406 -113885 -53236 -51026 -184168 -58829 -79500 49175 -45798 53067 55192 -162919 45519 -82794 179631 44363 -11929 133016 36999 234235 162030 -119125 2598 164492 -4591 -38681 -98031 -119419 -195660 -40228 66040 -115720 66456 -63790 -33617 -74698 67678 75915 -66407 138753 80306 -21685 -96293 -64358 -246768 -13934 1380 37816 -6224 65398 -150026 -75287 123425 -38950 -60006 -182313 -58674 -75358 -9953 7288 -59138 30486 -13818 -8101 -84326 137494 16201 25407 -45947 1060 -86113 98071 41427 88365 -107919 95365 57857 210986 -80240 -14604 94440 -191602 228604 122463 193788 -152945 120164 62735 173862 -5157 -80466 -49288 -104268 -74204 6202 180837 11694 28128 -150211 -160603 102221 92324 70988 150032 21596 208936 -14140 106340 -53296 5524 15295 20037 -166011 -91097 -71177 -44320 -64240 -30215 -108415 -97020 63151 58277 
+-71181 -99235 -63534 7963 49188 77373 -121478 121977 -40786 49528 16838 -37040 54605 61760 -19784 -183312 34110 -176744 15040 37218 104742 22170 6476 -93405 82854 219 -30644 -26899 -103434 144457 -159442 -34930 -33582 -132841 85234 -73161 -96787 -141256 86734 -24214 -56230 -49992 62919 169909 19055 11910 -59646 22942 -249032 -19395 119478 -80851 117245 -41777 39716 -957 89161 -48663 71372 -31111 17382 66063 -191214 30099 32974 -26987 -26843 -180169 -94047 -48925 84244 52177 90984 144144 53305 22875 62721 -88688 83396 -13266 -32048 46875 31609 -28542 27328 95264 -149310 -45343 -37592 -552 5310 83074 105855 -177612 -84584 -44418 33392 -37576 -53396 -115266 -23132 -103379 41468 63855 -69178 214236 -68458 119474 -210222 195189 -116003 79714 -85241 -123127 -19449 -64753 -40951 -157203 5853 -46784 135355 -66883 45188 -100128 87683 -39653 -169205 -50648 -104637 -40867 30891 64156 91227 -185026 52516 -53631 56500 42807 -10879 127526 35438 181842 136952 -182971 14513 201787 -180721 158372 58548 -128397 -175712 -15596 53105 45830 75896 -71933 -76434 -87714 37112 4990 -22200 134037 -1756 1768 -107253 -20891 -242868 -12226 -82869 67078 22570 2979 -79632 -91929 164527 -32920 -70507 -195073 -77196 -26057 -16102 9206 13209 -138775 -8908 -1861 -86653 82358 -19154 4414 -52086 -6828 -54397 110781 95299 68519 -100716 30852 90024 173013 -63023 15990 101821 -155909 115506 76703 196008 -163813 138255 116639 114696 112121 -111636 -39508 -119958 -59081 45033 121993 19731 110821 -201588 -127604 136269 225219 99775 135474 37352 259780 -10659 106490 -37420 8299 51268 46238 -105230 -67994 -24247 -9892 -43730 -9935 -38823 127837 104669 72999 
+-77397 -148917 -32739 156447 -42339 47594 -149303 127251 95346 24813 -2719 -39325 58332 -41751 -105114 -210499 -4649 -212821 35245 15131 74509 53759 32622 -92908 80870 26709 -91125 5093 -117652 30845 -204058 -65163 13764 -96282 76592 -125002 -81668 -140269 85966 2937 -189249 -58304 119645 196906 -8555 33022 35399 38262 -208603 -25979 162077 -46873 182431 -40551 25828 -51038 28371 -1935 68783 -46768 10509 49849 -141566 -4510 53073 -12526 24775 -311 -101589 4236 23825 43437 197413 33962 55751 -157117 60850 -24710 102403 -84242 10909 7414 -62554 -46953 30697 42634 -120240 -31987 -39658 -51145 35552 84105 117399 -151337 -127111 -42352 530 -94112 -108617 -212217 -3306 -149051 74798 135272 -72594 222181 -80591 56749 -234506 175808 -128819 101168 103174 -126829 -42107 -53493 -36575 -105061 133976 51018 17354 -70288 11458 -44311 165603 -55127 -153718 -64181 -163548 -110375 79311 56064 119884 -166054 28322 -34386 -48510 -15020 -10140 91611 32651 91257 93227 -164237 38141 215545 -196187 114900 131350 -121211 -131945 -44779 23775 164992 77898 -43333 -47250 -91199 23301 -14245 24418 143997 2553 -57369 -115229 64387 -215472 5879 -143492 99737 66731 -74174 95342 -48457 134730 -19888 -71955 -208875 -82876 13198 8112 14699 87249 -213237 -7000 -16897 -15378 11520 24568 -18099 99906 -20158 -38797 114090 124838 75568 -14879 39599 44076 126967 -24696 34935 94458 -54338 11466 41452 203111 -99627 132953 125197 -24051 167040 -111529 -28289 -119999 -56017 47809 59995 6735 176130 -93467 -88904 143781 190023 77227 138006 -22849 152252 -863 100386 -121448 -667 100650 33047 -692 -51578 44722 53539 -27848 -39376 -35796 40784 77495 76949 
+-86163 -140917 -19070 173982 -148515 44383 21440 142197 63274 4439 -9680 -52859 57710 -140117 -153543 -240168 -53602 -221714 66877 23476 61790 58639 55321 -105773 84920 45464 -90828 -1543 -123717 -64784 -230996 7726 -44375 -63750 80622 -18209 -83792 -84777 23363 -15464 -188242 -130557 169831 173075 -60319 30323 9320 43149 -119544 -40693 115861 107111 203530 -22635 -44839 -117075 -112504 -22422 60380 -24308 21154 57848 -7069 52354 68203 57206 107279 86699 -114801 167082 1501 239 222681 -26792 64227 -174308 113012 44381 99877 -136734 32011 -4825 -74378 -44021 39416 -144094 -68799 -23867 -17135 -4641 14837 82492 123227 -98078 -98984 -58552 -19936 -38199 -121408 -259014 30990 -149970 71911 122285 -58821 206859 -90993 -74670 -85122 92530 -172825 77962 32338 -106442 -10580 -68576 -40671 -63453 104693 201477 -48192 -151148 3081 -15502 105254 -37741 -130007 -73622 -112036 -158755 141901 57859 139142 -165394 52440 -79835 -64604 -52153 -20958 42454 30900 -1970 29047 -115617 64410 216675 -32517 -66102 180090 -112210 -55950 -61004 -4630 27691 84447 -1582 -17258 -69091 -4278 14188 49095 118975 83115 -146128 -157588 128511 -142215 14605 -170236 127450 79804 -99623 125767 27674 152783 -33107 -78885 -155043 -81865 -2446 17975 -17618 182043 -84954 9288 12252 -10814 -66166 33953 -27030 195727 6028 53675 88053 123710 101732 31512 38276 52679 63465 16568 -19368 72910 29361 -32469 10442 181345 23188 103322 101211 -122055 148729 -66029 -31429 -104013 -70411 114357 980 13648 217643 80180 -56770 123888 76254 100731 98007 -89312 27654 -1019 88860 -182383 11155 84548 -1777 11558 -61082 70679 78381 -25936 -38873 -77059 -71796 -8986 80502 
+-80021 -37355 -34545 40242 -171895 38207 133565 150540 -139341 3011 -17317 -59717 53526 -190407 -180601 -227442 -3838 -232623 38595 32209 20066 109982 25369 -97095 85446 79861 30889 -1005 -87223 -99624 -219516 101279 -45714 -63722 81003 89417 -61731 -99510 -3856 -1265 -73641 -141435 178303 124570 -104002 7520 -113323 31302 -63450 -50319 89292 218825 208097 -58813 -110192 -121815 -206052 -32079 57349 13640 37676 66738 116543 133407 73293 72814 156423 -22426 -118846 200502 -23723 -49912 165945 32514 82198 -19010 125102 104702 115913 -183063 64453 -80378 -6389 -43110 53782 -241735 36074 -12176 -82 323 -13379 83971 122635 -59896 -18963 -72019 -46523 85646 -112902 -208598 20197 -119064 51100 46002 -24632 185975 -99848 -159178 86844 8087 -172895 70408 -112291 -67950 -14578 -13637 -30174 -18465 -4390 218392 -98196 -181864 25487 15504 -33347 -4568 -105107 -52313 -48420 -83199 131181 71515 147626 -140207 32691 -53750 2072 -48002 1325 41223 31672 -46008 -3284 -20145 83353 178321 115997 -176217 148190 -77995 32112 -91638 -18886 -134582 82504 -27460 17352 -58273 41419 110149 43964 146292 158549 -192399 -149871 56934 -51167 70566 -149051 123289 57520 -140963 99903 38358 164774 -26960 -74211 -93784 -78361 20898 -4565 36042 208554 63947 5881 18601 -14993 -6110 26501 -23474 160330 5488 84696 119824 93475 95512 13486 4408 58313 19638 63409 -58717 54403 72759 54653 19609 211763 35578 97066 27471 -197638 90970 -9229 -32722 -75095 -89103 44471 -35673 -7656 221159 139250 -34432 97986 -47992 128603 85021 -78915 -87936 2811 75280 -147645 8604 118301 54637 -15853 -105031 3413 102457 -7219 -29470 -81834 -133723 -92538 102512 
+-107698 -6431 -22444 -77096 -152363 48987 62378 157727 -228575 17443 17408 -58903 48042 -161594 -247145 -217431 49639 -208496 66479 54731 -1527 123557 2487 -132074 81887 89141 107633 3007 -33764 -64689 -155198 60494 -50390 -25398 71231 189610 -46696 -110644 -73257 -44869 31284 -139001 102247 53781 -108995 -4198 -198866 65852 15475 -52891 36890 122879 155320 -34212 -180662 -66980 -191989 19840 51253 31642 54118 11789 132448 138937 64660 39650 79673 -194737 -137483 76386 9195 -108910 27350 154447 73142 118344 115629 144053 85780 -175725 77576 -82769 110540 -17277 20972 -164062 78720 -6636 7880 13293 -68307 80896 136206 -23707 57845 -39968 -76068 179585 -92740 -111566 -20003 -63600 34007 -17367 18228 131151 -107134 -135538 108928 -44259 -174403 78685 -210290 -23597 -9418 -34716 -30544 17891 -75830 154051 -84045 -223368 -11979 82467 -55860 -31932 -82474 -75787 -48236 10442 83866 66208 145226 -141415 67472 -32630 117810 -14882 8779 49546 34201 -31550 -47384 35308 88955 134758 59057 -48520 1080 -50431 49607 -95985 -35400 -236335 74951 -26555 77795 -67634 30315 159062 28765 124564 163826 -178891 -149269 -57632 20740 41276 -102009 96324 43036 -144018 -13348 -65386 202779 14826 -66342 1266 -68408 -53585 -17735 -23929 170948 96145 16058 39162 -71203 83262 33019 -4440 -6765 4065 85396 99267 52754 97838 -12350 -9556 74647 -36688 93868 -98833 36959 160230 179388 11378 170986 -51327 89529 -23103 -165805 -22426 44608 -53240 -37070 -91315 39230 -52333 28162 183825 26183 -32475 27172 -94146 159686 59574 -41331 -92015 -4391 65702 -67155 687 162532 26996 -116208 -123452 -54442 84177 23530 -47031 -140941 -81256 -105001 78628 
+-75017 -76835 -34376 -59623 -46622 7724 -110015 121585 -226903 22954 24467 -57898 46716 -81129 -324300 -175358 92422 -176830 21355 51401 -24014 127960 -19204 -105162 82827 101086 73235 30484 12038 35577 -93492 22852 -62309 -4934 56796 138389 -50795 -107752 -70518 -57326 -6802 -127757 18991 5097 -56243 11227 -127556 62676 57475 -23676 -3901 -33870 72196 -40300 -217339 1830 -113421 -41423 43629 25095 53693 -3447 36120 92723 46908 5222 -18919 -206581 -134671 -60798 20325 -138113 -108326 210457 51403 58385 86659 124169 53851 -143956 80359 -115243 178731 3007 46502 27119 79606 -81687 13263 6343 -38858 79682 133009 39056 74324 -53501 -99669 169789 -73706 19200 -33064 -52797 39163 -7645 58969 98883 -112243 -18768 -43874 -31879 -138831 79881 -49151 36100 -1534 -110036 -25130 24436 2081 -20092 -66494 -234393 32083 95761 15199 -27299 -57970 -102086 -115299 103944 23524 63382 131757 -116405 64727 -33630 178717 27550 -13292 51986 36625 35916 -60360 18842 79547 78053 -130967 110024 -77517 -28306 67568 -107275 -35999 -125345 81533 -46155 113236 -85048 41219 183408 -20018 107879 88052 -114511 -105396 -54847 96473 108147 -12996 58464 27742 -96067 -134511 -111455 199206 3474 -68014 71422 -55495 -73938 -15410 -15379 59259 -69137 10670 8638 -138399 110401 29285 12994 -46677 3726 71166 60226 10139 91484 -109675 -11501 44501 -34769 166301 -98358 13520 156701 227124 59898 105854 -164242 56536 -76843 -35050 -137992 78809 -46987 3544 -74419 68136 -42214 -14345 128488 -146233 -59515 1932 -88675 141004 80133 26817 67569 -6282 61305 -471 -9009 175282 56564 -161546 -114782 -44106 95361 45540 -32688 -83593 64521 -3094 54402 
+-84536 -145262 -11047 16023 25900 -17710 -149046 105034 -63970 25443 26245 -43335 45796 25132 -291228 -127729 130187 -138464 52136 24066 -90452 143358 -45968 -99458 82920 84302 -50334 11368 73177 159277 9238 -40678 -30415 28657 58763 -37857 -53561 -81998 -112815 -51755 -135746 -128434 -44771 -4615 2121 32650 2744 43990 107863 -19263 -69020 -56914 -12659 -48470 -232453 31847 10081 -20445 39537 -16663 57003 44590 -110425 23605 26556 -3354 -2088 -50149 -134752 9636 85278 -134720 -159520 149090 74844 -133750 115161 58718 9810 -132922 64621 -73744 151389 14434 51313 86798 6475 -64106 9495 -20912 -10595 75871 135836 64207 20898 -58934 -75997 64101 -35776 114747 -34446 -72337 53987 65706 88957 38820 -115496 97101 -214326 58538 -119187 63591 64879 96010 -32028 -64966 -26102 900 136173 -70463 13284 -226952 35678 154844 150776 9593 -31850 -116820 -146315 109432 -71805 54801 108484 -87766 75016 -34840 247430 54023 -22838 86578 36862 133931 -70989 -37144 58113 14041 -221801 144190 -62483 -65949 -33247 -112729 -33823 76560 87655 -77426 97877 -82730 65168 102606 -60132 97402 6107 -8469 -110514 64596 127132 36984 62308 29189 -23293 -38612 -178846 -92477 226790 -3323 -73881 92659 -32677 -163726 26293 30865 -2624 -181409 19826 -5264 -150341 133400 33089 38813 26829 -10558 29441 53618 28297 91884 -150710 -54985 39474 -35607 160618 -136388 -19242 104786 167236 36992 74133 -139172 41116 -48088 55502 -192268 70990 -17569 41901 -59856 55151 -12111 23125 53047 -215489 -100023 -31754 68838 165079 95468 22992 224948 -7421 65299 -73505 -10441 161160 38658 -7290 -76149 37438 61577 48752 -71059 -40850 41011 88995 77832 
+-72196 -138463 -17719 157497 -8449 -7923 -6216 62380 107990 -4597 314 -40972 50963 126602 -237967 -62017 135076 -94873 7150 28291 -125810 107476 -65207 -78437 82125 92875 -97432 12681 100089 236076 72974 -63012 -18379 48591 89532 -123232 -60607 -79291 -126329 -56874 -208159 -70482 -80254 34789 1692 30817 35543 50397 130735 -3959 -31449 -1241 -96765 -64971 -234296 16651 79094 -61293 36626 -49922 36860 67771 -184885 10073 17340 4003 77573 76803 -122189 144232 118882 -92352 -96152 26301 75455 -160743 118501 -7737 -14066 -29645 56087 -21074 71502 17166 87900 -32584 -111379 -83959 -28678 -1881 37407 73811 147491 82888 -53005 -62344 -76159 -54488 -21330 104818 4328 -114718 18883 129659 100471 -5164 -116601 118309 -231468 135729 -105727 67689 60795 149410 -12570 -59667 -21486 -31428 117467 32012 88784 -229388 2628 225848 91713 -31240 -13283 -108127 -127202 61296 -109062 57209 75886 -59295 57816 -42431 217213 54975 -29515 98267 34810 211808 -46129 -128791 31499 -42650 -108311 -21238 72324 -68836 -77860 -143045 -19639 155644 94213 -57256 84836 -92566 85465 15824 -88181 71010 2696 3774 -108330 112694 144816 74455 129203 38153 -58629 37995 -113565 7979 231339 -16408 -68500 83422 -2642 -194820 6781 -2031 -104514 -158536 13487 -15020 -87648 68122 33765 60308 186056 5028 -16232 40747 51077 91919 -96421 -42356 55559 -2553 224516 -130966 -61498 107262 34846 110898 29557 -23863 5537 4588 113729 -208235 31208 -34758 70672 -54619 7482 43983 23324 -24645 -96710 -131295 -127234 171582 134297 147557 -63162 270652 -4282 75729 -152059 -8750 171993 65408 14241 -54031 67436 15129 29716 -49762 -67150 -15941 117578 55195 
+-76099 -45126 4667 175284 -107019 -9342 124384 37336 57984 35957 -249 -40748 54261 175052 -191630 -22745 89021 -41464 20028 36488 -155690 145613 -32392 -131533 84565 85984 -72495 28991 149639 253605 137248 -10644 -25776 61104 93215 -116618 -68492 -78921 -80018 -40906 -149009 -42274 -72192 80092 -74399 8178 -59618 6604 98628 17911 -58653 161040 -160884 -62106 -194161 -54415 48495 -22744 41557 -33881 13640 35448 -128881 59836 19087 47762 161801 5862 -107930 189512 130957 -37128 37075 -34650 62896 -84489 43236 -94568 -26710 29390 23702 29100 -31227 2518 46239 -210021 -133232 -77448 -28332 5458 11301 73898 142824 83125 -130699 -58265 -44103 -92222 -29755 26931 -26487 -158617 65321 128372 88368 -39227 -115943 36510 -75117 192168 -70349 47308 -111191 183831 -3558 -74551 -18574 -86975 -14116 167682 162273 -208672 8857 221653 -25738 -23039 1121 -114964 -63162 -33882 -76711 52828 37269 -39713 54859 -29277 124512 21780 -19208 139076 32039 232858 35040 -199280 9933 -89552 83267 -179607 140084 -109414 -148256 -202523 1495 23413 85028 -44742 66590 -104820 119317 -36513 -90395 68334 75070 -28042 -88433 44415 104305 122740 149843 78089 -116046 68005 6621 54506 230976 -23329 -68319 24547 10361 -188131 14006 -3719 -76465 15520 1180 35967 -66054 -36963 50961 65438 225026 -968 -85857 13939 115410 100801 -60950 -86072 60761 28553 236988 -136403 -80480 39877 -38727 128749 -4254 44129 -27713 77607 133503 -137495 -39371 -47295 87123 -68833 35711 106362 51100 -78019 82803 -154935 -101987 190116 134329 124570 -117697 190229 -16184 89308 -159727 -23772 142853 43522 13895 -45363 3203 -25188 29636 -26755 -96648 -109496 35906 72640 
+-82495 -14439 1089 52015 -169978 -5884 55380 32294 -117491 13110 14350 -55933 57067 156948 -141456 20713 31999 3409 4442 44134 -173253 114004 -10377 -111281 80691 45696 45986 12487 166394 202877 114769 77913 -59324 82950 85023 90863 -80128 -80716 22215 -17906 -23399 -28878 -20585 144651 -121716 -4229 -200151 -8793 29730 51998 -74635 215480 -167766 -57509 -137214 -103390 -61022 -44109 40946 1369 10830 46256 11358 131651 31277 57582 117825 -162151 -107677 46764 103723 19207 176514 34377 75091 115108 71793 -100345 -55642 104544 -1703 50434 -81666 -16465 53738 -240296 -115921 -90305 -16611 30910 -15529 75775 151866 81027 -120337 -59348 -3318 -19276 -57096 -101802 -15149 -143885 37681 51748 58827 -79169 -113207 -90773 91784 195147 -24009 63496 -211656 205996 -23310 -104556 -15394 -137568 -83265 235718 233357 -186887 958 251204 -74018 3749 12308 -138547 -58748 -104655 -28689 54921 -4471 -24982 75478 -32384 -3120 -37110 -9416 158878 30868 196127 66440 -140983 1808 -111101 103256 -149511 213377 -147333 -191073 -196545 23505 -165254 95528 -18254 935 -84729 78076 -756 -67600 46981 159916 -121513 -104484 -34855 44957 101990 130906 113671 -144206 94124 93230 12462 251585 -37145 -72562 -70728 27852 -159506 -35686 -16024 -27851 109784 -3566 48331 -1939 -79925 65898 65404 56183 -2649 -76057 16552 140531 99564 4168 -70554 45288 89160 263224 -112583 -86165 -54919 36847 121651 -36851 -11599 -63638 113675 86617 -42990 -92018 -14556 87568 -90011 36967 168942 17755 -99346 132182 -161842 -127205 120419 132373 138549 -67000 38941 -23170 101188 -121520 -14524 151180 58092 -73117 -79551 -49514 -73819 16363 -75529 -130625 -83248 -55961 40986 
+-107803 -55794 -43050 -56144 -106268 -4935 -103794 -5068 -264947 46737 43313 -63133 56768 69397 -62912 62780 -10549 31578 -1432 54594 -193408 83442 48895 -70568 85353 25657 92607 30105 155087 97341 88254 77745 -49555 97143 70457 208262 -92717 -74372 12929 6272 19850 -51499 87177 190939 -92551 10513 -173257 -21503 -74356 83047 -33588 97921 -118486 -67967 -68629 -124575 -156197 -9807 39560 32231 11263 38972 128315 148315 52429 4252 12983 -221255 -101287 -81774 92359 49278 234722 153019 73506 102084 20128 -76203 -52746 153785 -49862 11289 -43970 -34237 26520 -89711 -23874 -111802 -11446 10607 -77290 71418 157198 32429 -62184 -68869 -28737 106718 -61559 -201678 -19556 -95432 68166 -30829 17986 -86607 -108476 -168338 103885 116050 -6218 58039 -76679 200311 -17039 -117760 -7426 -178463 9527 141719 255959 -159616 20306 277436 3402 -73516 15734 -92523 -70873 -127678 41542 55740 -47168 -14268 29397 -30993 -68162 -56316 16307 156966 32166 108679 139189 -83330 8884 -118959 -65985 81810 110885 -125636 -196163 -181464 43435 -229679 99790 -45630 -50028 -57138 72958 74981 -19713 31332 166581 -208762 -85627 -62597 -50849 116301 62289 139248 -202167 83402 127590 -99650 242351 -30174 -70681 -128001 38728 -73223 -38948 -8965 43199 34479 -2258 14068 3656 2120 60048 46765 -87792 -13734 -90574 32174 118921 89375 23716 -136329 78395 149269 246066 -60458 -89864 -116293 131393 150806 -89730 -123661 -96551 123239 -36487 93837 -120127 -10739 72039 -89847 17847 215014 -336 -89949 24042 -135426 -74886 -37886 149547 95044 31571 -89276 -22957 107142 -45473 13628 129432 61065 -101829 -121156 -35777 -131004 -32748 -60169 -109278 35847 -118086 51919 
+-88232 -120360 -3640 -51434 -56471 -2827 -158378 -24515 -250954 14929 23609 -65057 55911 -36288 -6230 83656 -42272 65646 51421 53360 -194089 53008 43107 -65651 79494 -352 55112 19146 148959 -14644 39939 -10042 -42689 102411 60675 149276 -95494 -45369 72595 -10073 -64120 -71412 154788 181724 -30499 32290 -39273 -44120 -121126 117965 -50355 -40203 -30693 -51244 -1740 -57000 -213055 -65540 42059 27891 32996 20625 137225 113596 69728 -23118 -4030 -80598 -85392 3947 59591 44343 174369 213727 71883 -114649 36075 -16404 -11202 188711 -97236 27491 67119 -38544 55274 76450 69014 -127946 6371 20970 -11502 73530 151265 5639 30736 -66047 36285 186122 -108115 -270541 8073 -35482 47826 -13530 -24509 -102111 -101868 -124782 -55579 35011 11905 64402 78326 179245 -22312 -97960 -17993 -192833 126002 17391 277236 -160864 55315 284296 120453 -72358 14911 -110327 -149304 -125622 84686 58020 -88020 -13265 31750 -47962 -49171 -49529 -13251 134832 34886 18082 182369 -27312 28115 -93947 -207474 201957 24794 -103667 -134084 -212096 60760 -134595 106390 -30464 -64553 -67951 53637 164325 17475 25790 90317 -193041 -55062 32299 -132148 129179 -12449 122788 -203161 57699 64296 -107792 250641 -54168 -69148 -200757 62455 -17003 9212 -6589 133835 -145353 -24281 -4188 -14225 91061 71847 28877 -29062 -1537 -49943 -10420 88973 100515 -48638 -114885 85697 186098 212642 -46264 -96717 -163988 229264 115502 -97804 -158084 -123347 90469 -171099 149756 -99762 -34095 43504 -74349 13778 242233 18343 -42158 -135126 -104469 -8042 -103629 133414 70561 35636 -102990 -34899 105958 -49763 2436 107816 73472 -93756 -133962 40813 -187886 -21554 -36009 -67142 103418 -54012 -3569 
+-89030 -161437 -6421 60675 -5802 6733 -17447 -30747 -49630 11870 -7409 -48137 52143 -133917 84093 98419 -1339 57725 84641 8408 -186033 40657 46921 -96414 83505 -28948 -19377 45256 88867 -82898 -33983 -35926 -3916 111766 61349 37919 -99926 -31904 75134 -24899 -174977 -109590 180127 159978 9267 31244 50602 -42666 -213872 124487 43837 -73811 42694 -59933 57981 -6332 -171356 -36953 40748 -9720 52742 29626 25512 30923 74166 23868 49963 71000 -77116 154673 36317 6023 54084 147338 67949 -201104 97758 63785 12558 176328 -129198 -33345 165234 -46058 85703 57129 84551 -91609 25589 1777 12894 76083 158512 -22485 80938 -56650 59840 157038 -116081 -237607 -19716 -38462 59129 57932 -58254 -92535 -93318 -1278 -218950 -27615 26443 83328 37245 144683 349 -143236 -22684 -195271 108243 -60459 253689 -47424 8290 279881 89857 -79662 8936 -106720 -152853 -26724 126290 58086 -123156 1038 38969 -51830 72104 -8506 -10182 84866 36911 -44858 203834 23174 55236 -51117 -168372 37229 -47287 -91183 -43619 -226949 80633 55480 105421 5428 -60307 -68695 51954 161940 53288 -15860 6439 -121133 -66286 137695 -207841 88868 -89793 87378 -210619 -14228 -77774 -68333 267373 -4273 -65332 -239154 79238 21344 -11200 -4840 194291 -198102 -24466 -5830 -95076 142965 76462 -2837 130489 -12157 -55520 -18686 37856 96775 -99946 -149361 74121 226857 190090 -44726 -94896 -217487 198476 116709 -87857 -83198 -123064 25320 -170338 165751 -53537 -46230 6259 -59222 43401 252244 26726 34829 -195508 -68165 19286 -73544 128677 47499 5480 22905 -42074 98370 -131929 -15449 78532 58757 12593 -97528 61658 -215174 -20774 -21927 -43442 -51999 48959 -12371 
+-79637 -64096 5006 174082 -42403 -11699 117861 -11736 109174 39156 -23155 -44509 49349 -180084 93057 93273 35352 56934 61186 43192 -178865 -34238 13902 -127665 80185 -67423 -104146 33594 46333 -89941 -98333 -61954 -15113 108144 53876 -146834 -85980 -35995 42627 -44416 -192348 -150113 153455 90768 137 8847 -34438 -59943 -248238 119164 57222 36228 136172 -45917 53651 29113 -33806 -38716 46763 -51184 56364 62655 -121319 17344 64812 69847 141751 43283 -69420 195274 32288 -59216 -76134 30206 55541 -111057 77873 110979 25632 161149 -166687 -49278 173929 -39753 55837 -125312 38926 -133898 -3966 10210 31783 78613 151629 -93553 54332 -69840 7081 41486 -106495 -134680 -22145 -102222 57303 132211 -74237 -72607 -83289 100930 -226619 -24298 60813 69192 -155407 90085 2277 -102343 -18062 -161291 -15565 -2609 203558 -8194 -9607 251649 -7714 -45410 -1253 -103352 -103816 59826 111299 58731 -151226 5917 46290 -71272 178550 38674 -20203 54121 36535 -44490 203769 -6570 77776 7429 7876 -154616 1668 -71456 16147 -191993 83988 144095 93983 -70862 -49595 -55480 38906 155200 52706 -23979 -5566 -35084 -45310 81846 -239007 66516 -149988 57148 -232817 -92352 -169508 9819 248676 -6804 -73309 -198214 89597 6286 20398 -20470 200355 -90196 -26510 21925 -123563 97727 90736 -15821 212666 -20782 -2869 4397 13712 87593 -133619 -164724 93669 240996 171896 -13636 -65937 -191371 66846 76162 -145349 25292 -153049 -16883 -73895 125016 15358 -50192 -35477 -52745 29417 225208 50289 113374 -96347 -36127 49657 14488 100337 60628 -81834 191823 -37889 84585 -187401 13058 60709 82572 32526 -65971 43583 -243319 -54788 -56101 -61926 -160995 117018 6538 
+-88038 -536 -12318 170751 -105238 36939 68650 20292 86444 10556 -9144 -41753 47783 -165904 124330 48163 80227 34585 61260 39637 -170652 -50811 -16800 -80366 82989 -76499 -74953 67581 -37020 -18163 -171659 -3495 -60924 97703 77613 -100308 -83834 -36336 33469 -40834 -90887 -157543 87318 45990 -63616 -4272 -153881 -40710 -240161 142220 74404 180768 189080 -61554 43679 16117 68109 -1937 51208 -46071 60763 54298 -184930 48444 48425 95360 151328 -135634 -65935 103387 -6232 -108051 -142297 -35544 54942 42020 81895 126767 114386 92304 -197378 -101940 96545 -13106 68319 -244234 -63836 -184917 -32855 21781 21900 78910 160196 -138077 -38513 -66402 14412 -68828 -92323 -6853 -30688 -153045 70994 124735 -68331 -37485 -71393 117816 -68216 36465 66851 80162 -219135 26195 -12686 -116130 -26617 -121854 -74323 154262 98041 817 28955 210297 -106364 -42894 -20307 -121779 -53800 118289 78798 71115 -169489 -440 29716 -47558 242266 51312 -7063 63260 34045 15665 201696 -37736 88922 71466 117106 -154614 65452 -28208 82818 -224660 83206 42270 108424 -62330 -7497 -79804 9888 53086 24449 -39672 68334 47625 -24322 -9102 -240317 100844 -171130 30072 -192326 -145722 -177532 54402 260147 21794 -64019 -153610 97553 43213 -15992 -35875 130812 73836 -41731 19054 -124998 956 92756 -22427 100569 -6119 52332 10964 1844 92397 -107615 -161897 72277 222437 122954 -4879 -36917 -183539 -47695 48208 -102450 40680 -132259 -67615 -9916 -19371 64604 -53636 -73280 -69397 -4019 184429 23908 171336 68258 -33809 106062 122330 99433 129335 -109257 229979 -50865 72654 -138848 21130 26274 59850 -26102 -50998 -42062 -272616 -41046 -42920 -84391 -83025 83880 -8843 
+-65572 -16123 -13327 45763 -149240 46426 -98073 51623 -124312 30574 19660 -49157 48391 -89993 87311 16701 118707 -5095 25972 49685 -130019 -77882 -19818 -68561 78738 -86362 19890 27408 -60335 91492 -217104 78640 -31569 85759 68483 9139 -65139 -42152 -38117 -24002 25334 -93891 -4935 10013 -125823 9822 -184333 -14438 -197402 144323 170202 191346 199868 -43834 14184 -49391 94088 -3138 59894 -12309 42009 52644 -111310 112072 29213 41756 48415 -229244 -73486 -73749 4249 -140753 -116720 24010 91566 95738 84999 121111 118927 34103 -221797 -81586 -6241 9752 31861 -181942 -119094 -172713 -34489 22687 -32385 83517 154167 -160129 -103949 -64737 -40830 -87094 -27540 99113 20256 -155864 63867 55826 -42713 6423 -58276 18632 97418 129397 59065 81770 -79594 -27786 -11699 -110519 -21363 -70680 10540 260622 13824 33759 12197 210916 -9620 1376 -40097 -81529 -48941 93750 -36624 64549 -177207 -12319 63140 -46652 241171 41685 11519 32820 31526 101986 143008 -121559 85275 126216 14697 26298 184256 -41535 65112 -196807 64817 -106919 128920 -95739 60325 -63236 14036 -9446 -14187 -63939 155930 -14047 -6231 -52319 -219582 113508 -136856 37064 -163947 -156488 -96741 -9125 241819 6918 -60631 -67075 99314 -22606 -14145 -13205 59783 89853 -42697 10089 -81624 -60493 94461 -23032 -16336 -4010 68188 15283 51509 92233 -57264 -186180 58582 190682 71817 2649 -15913 -82954 -12252 9309 -92496 -72622 -123974 -46826 82492 -73551 85694 -63306 -102901 -88031 71504 121995 20984 219885 143919 -45203 144832 173207 102960 135939 -42106 210946 -42510 63859 -53470 34974 -18390 48816 -85557 -77025 -38374 -291687 -15109 -52272 -94434 43667 -16194 -12922 
+-88540 -118352 -45376 -87423 -130940 53911 -162945 52333 -276733 68093 45430 -52921 53368 25624 49419 -12545 127848 -55946 35431 51284 -85836 -92941 -68541 -91041 81371 -84373 103641 36364 -92114 200201 -226495 88447 -18452 73850 81389 141791 -52577 -1384 -99528 -37420 -12167 -111242 -83773 3453 -86160 31882 -95913 13349 -118044 155213 149442 62300 178018 -52252 -56732 -118351 4404 -16180 61336 32009 12081 38637 27039 143769 16204 -4002 -27697 -116612 -70941 -26082 48769 -133146 3170 150233 89430 -72858 113276 51769 149582 -45445 -223273 -91979 -69418 23927 15685 -15278 -148985 -147880 -33818 8426 -67848 84687 152315 -187398 -136678 -56156 -41851 1335 -22587 116384 -9179 -117327 77270 -16450 -4100 45860 -43383 -104937 98593 188027 45579 68995 68144 -79221 -9424 -98411 -22188 -21367 119246 193440 -53902 69417 4203 135187 110980 -3133 -68837 -67081 -128882 26586 -78610 61517 -173915 -4086 57341 -72799 175982 -4206 8505 42264 30957 194962 107661 -183456 67917 180150 -168615 165714 187820 -56176 -30332 -173862 52578 -184533 103819 -49604 84658 -89304 61498 27102 -64762 -77616 167960 -83598 -4099 2559 -157916 68375 -87823 76136 -125127 -135341 27914 -118976 229669 -3645 -68975 19983 93872 -67649 7631 -30323 -19362 -66346 -57004 54909 -34111 -52243 64470 -4618 -49136 -15606 102646 64879 112812 80017 -21911 -203902 62994 145070 21087 33963 19591 -23759 108430 -3433 -58521 -162286 -103740 12750 157426 -190784 56954 -30005 -119295 -90811 -4544 59592 28872 231775 35067 -75540 126333 145963 85579 135753 36608 50549 -49195 62037 -6063 17660 -19507 89243 -103185 -106762 25649 -282189 -6542 -24690 -127989 58833 -87994 -35695 
+-89200 -147312 -9773 -53096 -6477 61957 -40960 73805 -229910 44945 17893 -59863 54138 113050 -15066 -85716 85764 -109496 24075 30286 -59979 -131563 -36313 -58051 78904 -80334 47193 25357 -100877 251571 -193133 67380 -55361 65143 83628 170501 -51827 4221 -105283 -14062 -131769 -44260 -70064 33288 -29968 31665 34189 -829 -66025 121547 122005 -98701 108945 -44362 -128023 -112995 -116398 -28325 67568 30638 9450 21395 135375 100481 18584 -10259 38539 47047 -69330 151421 74015 -92056 150827 211631 50941 -185727 137720 -20238 98750 -112901 -231091 -66259 -57573 21063 36013 90044 -98485 -151526 -1116 24256 -21047 82996 152921 -187217 -101977 -62169 -76230 126482 -13641 36329 -35028 -68228 69753 -13739 40558 98051 -27593 -160815 -62022 188194 27650 96206 49294 -111052 -5070 -98776 -27929 11403 123295 8816 -87231 93606 18863 106669 146005 14823 -91449 -40591 -158897 -95349 -91684 57773 -159405 -2000 54215 -60869 60139 -33377 20289 76876 32787 230855 50101 -155410 41217 216424 -210888 85649 102154 -115985 -101793 -204197 24530 -126041 107392 -40357 121207 -59553 86156 73499 -87257 -103484 101882 -203654 -15951 91012 -74263 49964 2162 120516 -104237 -87375 121339 -118538 225117 -18844 -63052 82938 89141 -137891 13628 1266 -49786 -190221 -81268 -3792 15409 19493 68422 15753 56498 -18977 82099 75484 130709 66960 58165 -152071 92591 87987 -9555 -15328 39558 72481 209646 12597 -22937 -140394 -78542 80739 137912 -189727 -98 -13988 -120255 -75450 10824 4416 46726 195444 -131844 -119502 127117 10051 87770 143630 51589 -106402 -57339 66906 -64779 25629 -68265 64717 -32198 -117588 65634 -278484 45311 -53330 -83573 -56928 -101871 -39781 
+-113854 -97939 -24742 19897 25935 60148 112289 106800 -52763 51750 -11768 -58656 59182 184820 -63342 -125087 32857 -145502 -13034 21453 -18918 -173333 -13647 -82193 81380 -57336 -16205 -6482 -119053 239179 -143119 -17057 -29270 35757 53980 77963 -47981 -5920 -119772 -23724 -197033 -43578 -53171 105207 34849 9534 10571 41238 32699 115058 103559 -54959 33380 -36764 -179402 -72930 -204255 -41907 73568 7164 19862 19295 126976 17765 31735 22649 137340 58540 -75768 215632 135404 -33856 215436 147510 56615 -120910 103183 -89758 72348 -161912 -217843 -27297 23960 241 37443 -2691 -15548 -181362 17538 10169 7075 82761 160890 -163469 1976 -65649 -85463 189603 -28982 -67956 -14656 -45411 78964 49785 76163 144657 -10762 -113675 -225272 131991 35497 76870 -122848 -129727 -18138 -79634 -30269 26173 2368 -57805 -89341 104285 48744 29152 6715 -16963 -116962 -78142 -111029 -145624 -71462 61839 -134963 -39877 56418 -57621 -44867 -46600 -17379 117789 35576 209546 -5976 -118013 17898 229043 -55647 -81770 -75407 -143229 -168713 -176929 2988 36796 106400 -28614 96792 -76891 113500 168816 -91040 -124767 10203 -210185 -6143 103222 7215 73985 80968 131763 -50449 -33480 110182 -34457 192262 -32125 -64885 107421 66275 -183011 17877 -38728 -81359 -163163 -77171 9286 10728 131558 69008 41052 173062 -1001 34052 71557 120135 73150 4 -159236 88799 30848 -44413 -49037 59431 105012 207698 48769 18114 -7656 -26120 115252 25967 -165249 -59103 -27418 -105144 -58284 8562 -35518 23349 133744 -192386 -144745 131281 -57831 102196 84866 -31645 -91788 -53514 79410 -189781 42396 -80964 82710 20210 -117144 32870 -228996 19904 -36837 -69665 -84311 -21615 -66275 
+-71841 -4894 -18623 175794 -26518 62700 84175 126240 86892 16689 -237 -49252 57748 155870 -164689 -171810 -22994 -191568 3190 33445 22605 -156166 30904 -91757 70406 -48016 -99814 -18989 -99582 158998 -66818 -65460 8017 15758 86556 -40336 -60326 15583 -79535 -40236 -137067 -23462 45004 150317 3572 -4257 -99638 61007 91850 93257 44374 83539 -63523 -58316 -224750 2545 -190523 -20537 83810 -37025 28493 62852 -5227 14753 52613 57661 154710 -101773 -81470 61774 128623 18482 222252 24141 71000 44393 75261 -99099 51169 -189537 -193854 32214 125957 -20286 71822 -182450 53169 -152586 29110 28643 68415 80127 152637 -134440 73601 -65000 -78522 141264 -84000 -203061 -6129 -73685 78546 132959 98257 172942 6785 12691 -222801 48308 -19579 53261 -209006 -120571 -10367 -94370 -37450 6014 -82562 -25407 -72573 103217 25869 16767 -65721 -12900 -136837 -72344 -42196 -121047 -12792 56960 -102093 -36514 51301 -81980 -50138 -34859 -16586 156748 37035 139286 -56539 -32806 3043 206123 108455 -183470 -96793 -138205 -194257 -163977 -26931 142323 104935 -23800 90460 -72797 126694 195602 -69151 -139011 -8775 -169220 -15754 -24362 86839 56268 124192 123284 -11504 50937 3349 55639 159886 -39347 -64317 78338 52976 -175872 2188 14764 -15346 3629 -91979 -11634 -73265 122425 80246 59356 156256 -13196 6439 82814 81363 55636 -56239 -181268 92769 -325 -70315 -91859 87625 145198 95802 88154 71613 69643 -6548 123258 -134537 -58887 -108542 -53815 -76790 -56228 37434 -52585 18708 36491 -93800 -164169 82466 -56161 78297 75827 -108538 22844 -52987 91999 -189788 39224 -92836 89863 51149 -87833 -34726 -184770 74294 -16867 -74834 -81094 76506 -34763 
+-93055 -15599 -5018 190470 -160449 51158 -73938 130129 96047 45688 10810 -39072 53826 80938 -219580 -215510 -46633 -199351 40621 27783 58266 -178883 33214 -84379 77665 -15640 -47680 -30167 -71037 44315 23859 -44280 -78451 -10559 61787 -142558 -67632 -8549 -40917 -31525 -24390 -47769 116694 192955 -60303 9159 -169554 42454 84050 64225 -10596 227537 -137318 -45977 -248851 10300 -94842 -42558 84497 -44292 50592 68319 -136546 54856 67740 47048 77777 -229602 -105148 -40809 126091 50861 106443 -33724 57172 105890 105126 -66460 6062 -173556 -158050 32204 180772 -28738 85351 -250932 94185 -128714 -8184 9245 19922 79904 153536 -113116 74638 -63542 -62426 19320 -112111 -262305 14128 -94280 66390 131971 96639 194194 24932 113013 -57068 -16833 -76796 46611 -34518 -94860 -8412 -103642 -39807 -35764 -3088 140749 8660 117633 28346 -46415 -37466 -64183 -156819 -69386 -47969 -72616 57976 62372 -63072 -53886 73058 -51267 17205 20042 -8947 157552 36199 43480 -123499 41020 4407 174780 77795 -19694 -16574 -116327 -185273 -144258 -32707 20395 99640 2192 19781 -75493 104124 126868 -26939 -165408 65904 -61971 -23217 -83528 133752 57223 148480 84628 31490 105670 -110863 30986 194543 -56649 -63972 10676 37047 -169529 -8630 -8382 115772 114871 -99112 25164 -87168 58620 85978 65406 31617 4766 -54962 115136 31714 45949 -124138 -153370 95988 -34872 -90258 -109059 91874 156567 -5179 155834 130762 -14225 15173 86606 -161872 74122 -125799 -49774 -39333 -67734 59837 -45180 58590 -29007 65528 -153891 -33965 -39513 63793 44860 -79428 156761 -48291 103396 -88723 30251 -104917 59195 -110853 -55266 -39916 -139799 63891 -29374 -119111 25877 117866 -77489 
+-86908 -104848 -12300 71701 -184202 47932 -171689 139037 -110325 28125 44449 -40064 48695 -31635 -261535 -232539 -36782 -225296 41697 55763 73555 -186493 63375 -103127 76213 13670 31381 -27185 -46664 -60121 87769 53480 -38362 -30957 68718 -38477 -69657 9770 3054 -60217 18434 -111493 162257 179514 -113145 31469 -138172 66595 116775 30781 -28732 162625 -150805 -47789 -217819 30878 21364 -13313 97338 -16742 50596 37807 -179582 126708 73702 -3548 1138 -158571 -113952 -18740 87695 39859 -29255 27876 68529 -30055 58558 -13901 188 -140410 -126400 -24902 137226 -51860 77955 -105869 6295 -121684 -40525 35836 -44476 74859 145735 -36984 7905 -63758 -51085 -80015 -119486 -241755 9433 -148490 74346 64350 75287 215169 43590 102665 101615 -26222 -94428 44936 83585 -51860 -1534 -82772 -45546 -82667 112208 219235 70540 60113 8384 -71530 106351 -72544 -178157 -93973 -121809 45172 117708 48676 -20835 -88920 23339 -55183 114354 44341 -2227 130832 33367 -27790 -63266 18733 19212 121741 -97749 158941 154513 -101551 -133368 -138838 -30350 -139349 111920 -49700 5367 -60054 99585 55101 23583 -168084 148676 -22181 -66478 -11095 135935 16493 117861 57698 62751 85526 -205385 -59825 184218 -10784 -58195 -79526 9469 -117445 -6405 -6708 154615 31049 -118822 52137 -135565 -50179 42974 61286 -58150 -6886 -96530 114841 -4245 47587 -116839 -172291 83572 -35284 -88779 -123383 93694 126341 -31029 151326 160803 -129392 50642 31110 -158652 143967 -97685 -47957 2011 -86937 10284 -11643 40856 -82741 138915 -116422 -50264 103981 57769 55640 -32211 267418 -34010 107966 -2123 30993 -122940 76369 -107768 -46192 4899 -54304 32150 -7615 -85436 100727 39667 -58321 
+-92487 -155926 -948 -45521 -130505 41371 -70745 136482 -234045 58557 38295 -50080 47912 -118609 -290121 -233980 39634 -224479 89756 58971 91920 -183618 27725 -85394 77891 39718 97390 -20673 7971 -91819 91759 75018 -3646 -65490 95293 97957 -86400 -1141 40756 -24839 -52768 -115133 166244 149919 -91349 32086 -15188 57341 61790 38776 -47415 20093 -125785 -56553 -208481 -53638 82488 -68902 101451 22072 41413 9557 -95672 140387 66706 -1140 -18196 25117 -112760 149431 44013 78 -137786 148352 93925 -159435 68820 59845 -62032 -100796 -88372 -49762 31051 -47743 26405 57572 -76356 -74869 -36204 19484 -43675 74554 140695 7092 -82108 -61904 -42303 -78118 -97072 -139029 -32750 -122211 74457 -13400 38507 202569 62125 7088 93930 17757 -105031 68584 87525 2922 -1440 -81448 -40782 -132876 118951 173507 154889 -1895 26414 -81069 161066 -49256 -188698 -68884 -179487 128580 144588 52882 21926 -104411 37730 -58326 206131 60139 6200 111143 31188 -47844 -74652 -30213 43788 52121 -226064 149283 211385 -70633 -53261 -98619 -34073 -224373 102315 -57669 -49941 -66480 78316 -6603 51357 -210529 171163 3851 -53540 100341 112601 23581 51463 41151 48788 82881 -132351 -125832 205774 -19634 -63643 -153406 -1560 -35693 20406 -41623 221198 -138638 -115894 -11816 -106268 -24412 69519 45008 21867 -8163 -105228 114561 34825 45301 -59884 -114090 79128 -21338 -113706 -148246 93929 65271 66883 143029 200257 -182265 83462 -15037 -57090 183641 -35476 -19357 40215 -89660 33057 41520 21938 -106852 27660 -77149 -97185 175734 32658 63314 38754 211887 -41515 104596 -37960 20603 -76264 79072 -77019 -79232 50427 -20385 53324 -15039 -112646 7167 -53579 -68692 
+-86485 -110439 -18106 -60383 -41366 19397 104194 112539 -273032 58751 -5056 -63957 45937 -185082 -252672 -212095 98307 -203134 99462 24298 90335 -150131 1844 -82079 78858 73231 68082 -19870 67025 -65376 119990 34101 -33399 -72513 72761 175850 -101011 19016 33923 -22854 -173872 -143100 127115 77515 -22929 10220 62609 29374 -5948 10017 -54545 -105346 -97799 -55897 -107360 -103396 51239 -36974 108436 39892 29091 29300 55441 105463 48422 17642 89116 75798 -113547 213110 19301 -54763 -145980 208113 78242 -149038 53489 118414 -38916 -25689 -46134 -69292 -49487 -30848 32721 82990 -107077 -79316 -17243 32256 -17722 72382 139549 39391 -139384 -71975 -11578 23175 -58593 -6932 -7624 -100352 38372 -22396 -6298 203249 80660 -116001 -73739 109619 -147494 55295 -116633 69085 -2388 -84302 -41715 -179383 9335 36964 213024 -39332 24141 -105906 72031 -48293 -190998 -78080 -134973 112737 110244 55509 61703 -110012 44393 -52147 239584 27473 59 113527 31258 -691 -4248 -131127 69666 -5805 -134641 -82503 144522 -63210 6383 -89662 -21281 -108431 103439 -106163 -70131 -82432 41349 2403 50836 -217132 108860 -92603 -52939 109969 48084 -13040 -27956 50298 62572 28483 -33274 -90899 78224 14357 -62280 -201302 -19429 -4786 19620 -23303 186571 -190850 -137642 7651 -83819 -34535 60227 22880 151544 -2813 -74660 120629 64654 46945 -7416 -102923 74391 13573 -41690 -104655 83826 -26207 205866 145009 204592 -88204 85429 -57593 66783 119325 30336 -37363 69817 -77261 21383 104909 45239 -95490 -141069 -51969 -88805 145889 28742 120166 14764 55615 -36501 95173 -93423 -6521 -88773 64888 -4165 -110804 38290 24788 6994 -31827 -48042 -117205 -115870 -69721 
+-108998 -21501 -12159 10895 -2454 24139 100329 103685 -41730 15855 -21507 -60165 50764 -160361 -269580 -181643 147944 -166759 50470 20387 87368 -139812 -18946 -98848 79255 77277 -30549 -27800 106304 29706 76637 -5227 -20532 -103024 67458 157135 -100362 19608 55175 -7767 -191119 -144367 55089 23516 12669 -4254 -42629 7658 -73756 -23094 -67686 -35074 -5012 -57674 -62515 -132641 -68615 -1323 109508 14253 19871 29019 137310 12628 29731 81926 162490 -59570 -128189 101886 17442 -108946 -52003 148084 75249 39976 72097 142667 -37750 62257 -7228 -72561 -71877 -642 30165 -71353 -121221 -70949 8919 22305 30 72341 127931 103018 -104639 -48610 18257 144263 -53710 98175 -23112 -56146 49204 38896 -44264 163520 99517 -164617 -229892 178583 -164614 77046 -222927 120802 -17860 -92991 -42249 -197357 -72777 -65520 265030 -71792 1697 -108471 -78722 -20021 -191743 -92695 -67216 45855 17140 58071 96955 -120950 48352 -54860 200504 -25834 -9091 48959 33486 83940 68887 -181550 86010 -70103 58088 -214172 28781 -46867 56468 -45865 1813 79215 95000 -79145 -64617 -80022 44107 64393 30211 -214324 16217 -188323 -90762 28759 -23118 -14986 -108731 75348 47605 -36706 83770 15012 106057 -8535 -66890 -226247 -38635 6397 31574 -42826 91279 -84183 -117495 24897 -37664 86416 40324 -3041 197505 -6888 -36205 111436 116745 11965 42788 -138720 78415 72414 -17207 -102327 48279 -102041 220754 94607 213808 41570 138898 -31404 105094 22937 68048 -27630 86606 -56908 24535 162514 411 -34073 -206214 -29859 -104397 95505 10858 141557 -58893 -73643 -32317 81737 -196617 12978 -63929 94834 23826 -129679 -32874 76478 -33364 -35861 -70886 -130052 -68636 -52253 
+-98729 -9416 2143 174246 -48615 -187 -74131 74563 99917 40022 -8337 -53293 53554 -101597 -202340 -138429 105936 -120433 64158 31030 60168 -138008 -49400 -83871 73280 101558 -95220 -45916 145897 139334 32904 -29426 -57009 -132135 56891 -59691 -98740 28903 51433 -18901 -83532 -100480 -33073 527 -1971 8548 -170955 33958 -173184 -31092 -6946 119842 84586 -48683 14847 -92777 -177552 -2024 114476 -35866 10908 51063 112605 -1514 17210 45984 115619 -211966 -120862 -49139 -5279 -141067 119112 26535 75824 113900 78069 97492 -21275 101976 22341 -93111 -12978 7075 56961 -246580 -37914 -46701 8415 27231 34850 73891 134129 89069 -25804 -50997 29746 189162 -16087 116656 -29241 -52787 44213 115112 -68631 129890 117055 -98090 -217304 198611 -163208 83911 -52223 167575 -4437 -75705 -38527 -189670 3199 -7754 282820 -126013 -625 -81509 -78143 -21365 -182528 -135405 -60588 -61920 -29431 64657 123555 -144694 57415 -31394 97694 -47549 -38031 923 36120 170289 106769 -178824 89677 -88091 117757 -82796 -48000 -56135 33917 -42532 28168 101002 92596 -71609 -48881 -73301 44762 142993 -15426 -220085 -6832 -198034 -126383 -93394 -106481 -40034 -158543 115201 15868 -98518 137276 85328 110713 -4283 -62322 -196284 -53742 -15025 -20072 -30873 36955 73504 -127969 24765 7995 148232 42708 -17187 59796 -1073 10287 140304 122018 19333 -15884 -110273 65619 120904 -12865 -105301 25016 -140676 134723 69640 182463 65303 117777 7140 171194 -84071 81541 -34992 87371 -52845 28218 212332 43236 40557 -109957 -44853 -81305 -26343 -7083 118085 -88294 -123298 -22591 69858 -134782 -20007 -49648 68246 -24207 -104162 -55038 79675 -35200 -35617 -102374 10531 3788 -74998 
+-101485 -75321 -22648 130602 -133915 -25403 -161614 59863 74374 45076 28979 -49470 55821 17085 -160738 -106813 93073 -78004 -10688 44464 59689 -77995 -38658 -134283 72353 100123 -54778 -15809 167777 229315 -57729 -41383 -35666 -168246 62202 -150965 -78836 12635 -2153 -1370 13541 -74152 -75541 13211 -62154 31010 -173138 -38704 -218522 -46267 40707 206112 173867 -70736 45474 1572 -205889 -19252 121403 -43110 21312 70695 -24073 55902 17788 22804 219 -187070 -120229 -17050 25318 -133348 226776 -33037 53070 5100 97165 46849 12418 176141 56338 -91309 95534 20351 72148 -214704 3641 -89904 907 18879 22105 78127 125091 86469 49947 -37649 17018 123114 -9518 52487 3528 -88796 34269 127861 -74000 92080 134274 28539 -46959 160982 -152250 95812 86157 195378 -18696 -53272 -45160 -172717 127183 122722 248598 -142523 16917 -71088 81374 12789 -174348 -99297 -94206 -105042 -110220 62651 141290 -161870 49595 -48475 18960 -51189 -35129 48634 37092 225705 156985 -104349 76567 -111699 -37409 106068 -41911 -60905 11912 -25041 43243 51846 76659 -2040 41043 -59010 -9500 203170 -63561 -244678 55931 -162023 -133954 -23104 -192146 -46149 -168818 133725 -32098 -139700 75850 855 62675 3252 -58684 -113277 -68202 -18966 -22791 -8920 -45600 99255 -152025 22334 11677 124014 40308 -21122 -51134 -2871 34896 137087 114841 -3897 -75668 -78775 68222 180916 56309 -63500 -10084 -185752 9871 38377 160995 -54205 121100 55667 98738 -193601 59175 -5546 73057 -66302 56112 243530 16466 116325 63706 -67568 -32430 -136203 -21026 105577 -73341 -14461 -12289 61936 -59852 -4779 -6621 103751 -125216 -66837 25740 97403 -22317 -36316 -93986 69271 101780 -48410 
+-89855 -138814 -1969 82413 -175618 -22564 -60574 10273 -106061 60382 27900 -41858 58821 117052 -55073 -49157 32457 -30203 57711 64056 16183 -35796 -41744 -86854 74807 94997 64382 -23178 148047 259678 -140879 12095 -30655 -182611 88987 -79779 -74666 17970 -75380 -7007 -1749 -65596 -74002 64838 -122651 32484 -55794 -21274 -235170 -50804 88330 117115 209533 -58745 64516 32976 -142471 -60341 129107 -31556 24829 37007 -152684 126644 29155 -3822 -9208 -11021 -120339 148351 50028 -89330 243031 31097 64424 -180680 132326 -26404 50705 175410 59313 -28406 170280 17328 56832 -39767 83306 -40420 -1807 32069 -48740 77121 114754 73265 70423 -60152 31568 -2589 -23184 -70788 -5360 -136730 41269 64457 -57342 42093 150871 122429 106331 59788 -130232 86238 55010 203658 8039 -97718 -37779 -126012 111939 228553 191492 -172511 14590 -31109 152136 1720 -153233 -119875 -134363 -148781 -92073 61426 148014 -179167 74069 -28234 -58963 -14863 -44442 20457 35441 224120 165153 -35008 52155 -108243 -202737 161622 1362 -129120 -81793 7756 69900 -128549 79314 -2418 91704 -73431 64171 121762 -89033 -244719 143896 -84798 -146171 43054 -238254 -10592 -132950 112317 -81741 -150535 -27506 -96232 46932 -7608 -61511 -43656 -69286 -46604 -10284 -41854 -69573 -58778 -139002 36405 -34331 20999 30431 -22142 -28712 -4814 68052 131986 78465 -9827 -126476 -86177 30502 214511 115735 -13495 -35627 -230849 -32440 -8312 162508 -178607 133421 104827 -46887 -185830 -3924 -42983 44799 -85956 64485 249580 -2584 179134 126958 -106011 14962 -35416 -61406 39229 -4917 148563 -9588 62524 -26630 -26506 45502 65982 -132907 -47932 56696 91891 -35300 -44471 -79259 -29836 106459 -24116 
+-87656 -123808 -9668 -32613 -130002 -28328 105293 1842 -284838 54206 21615 -45886 57498 175471 34568 1447 -11122 28317 22860 46351 -19220 -11304 -1788 -64122 72356 86688 74991 -22906 141521 205997 -204181 92214 533 -196699 104312 61211 -52778 8139 -108351 -25384 -129271 -31735 -4207 124084 -79909 10877 42125 -32540 -236067 -62142 107270 -20378 215984 -41508 40848 10483 -22282 -10622 128503 9089 54676 30497 -181757 144532 50796 -9765 64127 79749 -122798 222889 64283 -33822 161394 156994 83640 -159871 147159 -72688 97466 171555 73864 -9507 156114 -1943 47222 93326 72548 -29678 -39514 19218 -42809 81024 107022 34735 38750 -40920 -14657 -88361 -66968 -201481 -28229 -167897 44947 -4639 -22670 -5394 166043 99522 88582 -5885 -151068 75024 -158119 195473 -7655 -90314 -33863 -79106 -5618 213690 115426 -233877 19930 -7836 73500 9907 -133484 -117869 -151797 -83841 -35332 58938 143483 -189504 44152 -27664 -54733 31224 520 89523 32709 162514 191475 33096 26951 -81991 -184902 -8581 197750 -151064 -155442 20902 91307 -218396 83037 -20180 109566 -84687 67248 95250 -89365 -244816 173706 6813 -132777 103386 -248152 -17284 -63790 86280 -117853 -133419 -131162 -116289 16649 -11913 -63037 45303 -83787 -86059 5707 5262 -67100 -192048 -150033 -26137 -88552 -60617 -5717 -3575 93622 -20042 87532 97249 17248 -9891 -116203 -30608 58301 224887 128071 42024 -46949 -197195 48414 11802 128040 -164307 115187 122803 -185281 -151526 -64347 -67099 7478 -91089 52006 231325 11231 225652 32677 -146982 108417 35151 -37611 49374 39510 244614 -7482 70475 -86382 -12631 28807 74796 -29439 -65886 54634 83202 -5855 -27272 -88767 -122251 25135 -51388 
+-89811 -36398 -52138 -66875 -16138 -34243 112456 -28336 -251748 11210 -22901 -52213 52462 163235 81580 39546 -29547 49937 8379 30419 -66044 43775 21569 -92457 77331 56101 56060 -22932 121531 115663 -232155 79860 -66252 -208978 75913 129423 -42371 43862 -104860 -39827 -199807 -53939 66625 180146 -11186 -4214 7430 -56491 -154641 -45626 94062 -104562 165161 -50972 -13138 -35076 79170 -25652 131902 44698 58278 17350 -84492 102578 66513 75328 140723 -24468 -100618 89467 115457 21121 7027 214359 79138 4236 85004 -104416 146507 154262 75665 38133 72402 -25543 62525 26144 -33555 -26441 -53666 25187 -14389 83596 115093 -16642 -49519 -23376 -35970 -66397 -109083 -237909 -9146 -125520 43051 -25843 19587 -37995 180225 -6841 -80552 -38559 -99938 83691 -234898 162992 -18737 -75418 -29412 -27921 -88891 70045 37284 -225993 23551 60720 -73444 -5005 -111208 -109225 -70501 -12046 29070 57024 128105 -181854 70896 -19594 47287 57281 9751 101862 30826 59644 200446 27893 7877 -40830 -7148 -170790 200415 -136312 -191538 -2127 80462 -120398 74535 -61987 130697 -50238 89023 3551 -67038 -241136 115277 -3381 -145744 82555 -219321 -27194 19650 51446 -161566 -52689 -203235 -49140 35210 -15125 -59897 81596 -75905 -170552 -7268 -27793 -15814 -167088 -149152 -551 -117252 -64055 24362 16474 187283 -16538 43798 67815 19131 -37631 -101096 -51835 21545 226167 179361 13177 -69174 -158314 184673 7464 73023 -28353 90755 102561 -183583 -92446 -117327 -70540 -33740 -78402 49057 186549 -9031 221327 -132675 -157852 117767 205540 -41944 57668 3095 222410 -3332 82047 -178694 -12986 71671 124862 41255 -97381 -4491 53760 -2807 -33725 -40027 -115540 -74859 -33521 
+-99529 3350 -27683 28559 -887 -20940 -48300 -13761 -76754 56022 -11444 -65510 49120 89634 89209 81487 -8642 80198 -1999 11343 -85326 57919 45628 -90956 69592 22837 -34480 -38115 59119 -10029 -224203 38113 -30078 -214557 80163 163296 -41860 21343 -87622 -56712 -149734 -78440 159696 187823 25582 7825 -124721 -44122 -110458 -9812 143169 -7789 81605 -63776 -84755 -113944 76535 -9826 131180 20499 48937 32018 53832 21811 73655 58019 145554 -197160 -94529 -40307 138325 50144 -102234 148553 64944 129792 85054 -62973 135085 83524 62084 19873 -27990 -37696 1963 -162143 -93543 -26880 -36809 -20536 -7516 84474 100663 -56355 -121164 -39698 -101795 45581 -121611 -241831 -2560 -70934 41688 40160 60679 -66749 192763 -126215 -234638 5786 -67599 61930 -66572 118395 -16578 -40416 -27102 15872 -12294 -40221 -41595 -241606 18775 102660 -82529 -36704 -92657 -117340 -33557 79963 73685 54317 102948 -166177 47440 -22864 166686 46063 10143 133274 31593 -13649 185190 -40143 2267 22073 121017 -150651 141696 -105286 -185946 10986 79689 77363 80815 -46748 92569 -109483 110577 -17435 -26909 -233771 23978 -53007 -125666 -40583 -171464 -16470 96483 38085 -205332 12922 -120055 27256 -413 -37743 -61297 82890 -64008 -191148 -931 -27336 131858 3526 -152915 -8829 -136666 -16068 -3048 42077 128280 -7619 6924 80512 52857 -13310 -15257 -2439 41909 199740 213305 2899 -90483 -28270 233008 25460 52637 41491 58896 33125 -164281 29035 -123017 -43551 -72007 -60979 25242 124209 -10615 181281 -206886 -161987 144027 200121 -50201 87884 -72250 69635 -2839 95449 -183218 -13169 109328 90037 26137 -124172 -59829 25180 29885 -47301 -33068 -2464 -112443 -39968 
+-90167 -67405 -13885 165947 -58654 -26464 -165915 -14220 74013 32563 -887 -61646 47091 -16138 142211 99378 5240 58740 18205 30869 -128763 73240 45971 -105133 70489 6094 -114038 -40726 -11159 -79653 -176184 -36227 -83245 -215679 58707 35456 -55171 47472 -50639 -2992 -29856 -115276 189896 173442 2319 30565 -208916 -8803 -19243 -17589 137892 122515 3678 -48601 -148873 -120158 -25175 12026 130226 -21339 31974 50824 148744 -7279 67214 35276 62738 -206755 -83589 -53579 138698 46721 -134355 30839 60879 51016 72753 -1261 110430 14079 44439 27681 -79269 -48291 -3176 -257291 -123971 -51200 -5580 14113 59920 85443 95938 -114104 -120607 -18718 -91896 159416 -99680 -156519 2645 -38217 31681 111134 89777 -96946 203622 -165452 -211117 82004 -29517 62881 101699 59162 -20706 -65787 -23366 17369 119377 -41090 -43022 -234637 11422 145942 29350 -64140 -66345 -123969 -98093 124063 137301 40436 69566 -168118 68394 -3752 225464 15881 -33644 175693 34194 -52490 131873 -95544 11650 91074 34186 87567 -828 -100422 -116062 28175 77050 67775 70480 -76222 48563 -84590 90429 35579 20172 -243406 -10713 -145696 -123311 -44760 -87403 -8151 131183 50300 -230266 80308 -13067 41283 9386 -10674 -56100 62868 -57437 -181548 -2222 226 204687 105591 -128903 33040 -66713 116818 -244 58522 -11892 -2787 -33923 73830 67531 -17454 29023 9250 18410 160762 220250 -28230 -97357 34359 163798 61675 -6689 -21399 38995 -23790 -57584 127033 -87084 -52598 -102110 -52296 33845 66072 22404 127034 -104565 -134028 153681 111318 -69973 151104 -116633 -86543 4118 105139 -65793 -9508 129913 85186 -129664 -106759 -15818 -44741 45189 -30749 -69413 87067 -46952 -27568 
+-96285 -177462 -41421 172461 -123061 -4548 -72336 3450 70054 43953 15521 -51886 48756 -117488 69218 103460 83189 48159 67379 58635 -178533 114889 -7640 -77531 72251 -21660 -29880 3355 -63075 -99536 -106603 -60324 -55793 -202353 71964 -83826 -58088 10929 -25705 -25044 32787 -135539 158334 117359 -87169 32826 -111529 14501 21642 9191 87811 188912 -82350 -47231 -205033 -72967 -145368 -16776 130737 -49743 22032 58006 106254 65262 50372 -7823 -13915 -48495 -85340 127977 111133 3472 -85842 -34973 62731 -146681 65453 75758 71050 -55779 2044 -21735 -40455 -43818 -13033 -157115 -112671 -46983 23508 9344 9132 81354 88950 -143802 -61097 -32907 -97068 185616 -93285 -23878 -28843 -59847 66506 133911 99339 -95530 213160 -89425 -38547 175421 635 66262 58022 -737 -13620 -44829 -32914 9584 123180 100662 -94020 -205576 41943 194186 182491 -73597 -38123 -92809 -131474 82783 121344 49623 30477 -149057 42514 -29578 230767 -25468 1247 124451 36610 -23357 79364 -170801 33656 145102 -154676 161136 -95458 -71352 -45625 27479 51191 36433 82385 -81461 12249 -81549 85617 89916 54127 -241564 46930 -199045 -140938 30130 836 899 145250 84204 -205520 88364 99650 -52748 -31331 -3983 -65796 -10699 -43918 -176652 16596 -42472 208421 29468 -122547 42691 -22373 153821 21054 66972 -55305 -8236 -71838 65419 133908 -29031 -10440 34109 47453 110395 268593 -9976 -112232 84562 31902 90906 -55248 -135283 2708 -57366 101454 143567 -30375 -24855 -119327 -67832 50340 6474 7575 38348 63231 -90475 124168 -43524 -72716 154783 -50651 -85387 6666 107883 -12446 1798 146242 73716 -167077 -81077 68675 -107589 63233 -61960 -90928 7875 55194 -20305 
+-85272 -130907 13358 43272 -172599 39235 94859 20073 -87793 52131 30126 -38570 51622 -184026 66789 74385 145035 10629 23369 64760 -179478 118509 -25090 -101126 69410 -40209 58994 -9661 -66623 -35942 -20304 3612 -14925 -201544 77768 -114598 -80790 2579 28563 -12954 -51206 -122864 98216 55600 -121134 11563 7603 53347 98626 25831 51485 122117 -142029 -30138 -253118 -23611 -207692 -29786 130845 -33882 13775 38336 -46103 131355 30641 -16525 42437 84352 -72420 204634 84245 -52924 55123 27629 68293 -167720 76711 125179 48374 -126296 -40843 -63727 58835 -25984 60612 38248 -20600 -84404 15305 1228 -39434 80304 75041 -152302 28308 -5348 -97396 103064 -46737 81735 -14692 -102345 48894 73434 87555 -87660 220858 42753 109610 209771 12137 53879 -94138 -52551 -2143 -24619 -24412 -30677 3458 213903 -68517 -161230 1983 248851 115397 -61769 -16116 -84623 -155028 -7747 46301 43404 -11933 -137403 47544 -51082 177218 -51225 -8424 138998 36893 57738 16819 -183646 58991 193987 -211038 70935 -89035 -43492 19042 21482 23353 -128347 92629 -27351 -28139 -96812 104529 192225 49970 -240970 143747 -192399 -93230 84737 64889 24010 107849 122891 -211511 84248 97455 -112484 -68513 -37028 -62362 -85549 -20435 -67931 21496 -59028 174750 -139925 -111928 20276 12740 69383 -22032 61654 23677 -13798 -90097 20929 138993 -40600 -25677 39349 46527 55483 262166 -24045 -85994 123959 -30679 107284 -95109 -160894 -31412 -51022 146724 132602 27788 -35756 -120760 -84923 70198 -32556 19568 -26729 134142 -55485 79012 -118102 -50029 120355 26440 -26599 532 102230 -56357 17679 144364 76458 -80990 -50899 58538 -137678 70116 -42187 -122834 -107935 116274 -1477 
+-48656 -69413 -30042 -49591 -131947 30859 118021 45887 -261449 30996 20833 -40179 55072 -176792 3473 33359 136929 -23803 50900 39203 -199819 133436 -25536 -89536 66514 -73264 114002 7008 -133170 78356 36897 94447 1098 -190624 75854 -33580 -85768 6234 63887 -8271 -184245 -117512 7590 -7003 -91014 -4132 38047 29650 133515 71137 51740 -27127 -169950 -57846 -263951 12442 -174813 16283 123071 2451 23929 15996 -171340 147183 17413 23704 127905 10212 -68093 93115 51225 -114314 182152 155641 97993 -49555 52355 126090 -26371 -165886 -76405 -89152 145792 -5995 76000 88169 51593 -72183 -15520 146 -54058 78723 81333 -188435 71720 -26362 -56615 -22894 -26562 117862 -46073 -135190 67953 -6106 58669 -65081 226462 119695 83053 172211 48695 55855 -207077 -95069 -2506 -30367 -18751 -69831 -83982 202283 2815 -112964 -20877 268303 -23462 -49103 -3567 -119683 -87338 -88867 7040 62453 -54533 -118425 66332 -39936 43369 -38702 4174 89476 34891 152407 -43285 -110536 80546 217632 -83597 -166633 92586 -38869 79246 27409 4219 -221652 89456 -25079 -67409 -93930 28858 150324 30890 -215262 175846 -99492 -97043 101772 128351 -18501 41363 132751 -203649 50700 64708 -94124 -62711 -17111 -61246 -167309 -7692 -28681 -8712 -25183 76325 -184482 -120796 15140 4573 -20413 -3107 42850 170983 -2981 -89632 23876 107454 -38883 -119124 91862 59987 6191 225475 -90036 -76754 173975 24883 160337 -91943 -92613 -67422 14805 100407 38674 77510 -20482 -106145 -93797 52980 -54079 38486 -79832 27949 -37832 51388 -53156 -71261 107889 30997 135905 -3367 91798 -130595 12417 144152 41725 39340 -50790 -5587 -192055 43332 -59284 -91720 -96020 74765 17417 
+-75583 -8680 15180 -63726 -2287 66886 -37318 76551 -220299 34513 -9318 -44806 57893 -106621 -66251 -5471 74256 -70407 36143 7940 -208760 139695 -66089 -59770 64038 -77709 38778 8385 -124597 191539 98572 114759 -58660 -184169 88551 124863 -85972 -5938 76451 4031 -188617 -99200 -56656 11797 -3198 7210 -72408 37181 87533 101015 -61367 -55292 -121499 -75753 -202393 15402 -74926 -28855 120489 28382 37025 46640 -181630 110344 17370 51594 150189 -161846 -67990 -62867 -4610 -143298 241264 211028 65483 96601 45799 85832 -39970 -177728 -114336 -122650 169025 18516 68009 -40334 112768 -80232 -31532 -15944 10712 77277 69971 -176510 56228 -6485 -20132 -92684 -603 57265 12917 -127168 58694 -18292 14713 -36897 230293 87950 -92593 99110 97131 72295 -50475 -127925 3111 -72052 -20012 -131891 -5750 81582 53399 -87882 28645 267629 -93282 -26926 8679 -86028 -54854 -118377 -65353 69747 -94474 -109500 58738 -70414 -45821 -1319 -9861 49254 32168 216240 -53588 -29117 89621 218889 88394 -195877 203552 -58832 48638 12552 -11612 -127097 91883 -9804 -55605 -71964 48568 134120 -14810 -238173 120210 -29692 -73945 -13870 138090 32975 -50398 115740 -159604 4868 -82890 -27150 -107937 -18267 -61424 -243574 18267 -3935 -21611 -29099 6223 -82611 -111868 -5809 -60427 -61500 -24471 18316 164766 -10034 -61348 -4796 47878 -37378 -140408 73141 58241 -33295 200696 -83891 -62473 125474 140339 121946 -92451 31534 -109701 59131 56266 -80550 86154 -50373 -78434 -80240 51134 -44961 6738 -114280 -127778 -32504 -23181 72266 -70617 73521 -26655 249681 -11114 78191 -175362 9805 177658 56655 78446 -76039 -57246 -241325 -5249 -48688 -53298 3265 -31273 44073 
+-71534 -65595 -52896 22061 22961 63455 -172466 104187 -73886 27317 2025 -53317 56848 3110 -143756 -61069 57415 -118427 24131 33531 -195514 122618 -62486 -58771 68370 -79804 -49109 -6763 -117578 250261 117352 55897 -43375 -165015 85693 156090 -103290 -30313 47377 -45933 -80312 -68141 -82817 33459 31616 30109 -181357 35175 59610 95815 -27883 41861 -57422 -53041 -175019 -44274 47760 -36047 117876 25848 57079 49753 -73306 7977 28274 46522 93437 -214921 -63073 -45245 -2708 -127532 187145 143028 69680 47618 42159 35467 -36628 -173483 -154717 -48521 115965 26522 67417 -240791 48715 -79811 -34721 -9568 33380 72800 54301 -155315 -8651 -139 1702 -51746 -30255 -45748 11619 -99516 60386 28479 -27521 4304 232412 -25587 -237586 2810 76404 58953 60931 -125173 -14445 5317 -18373 -166194 120414 -43083 141061 -9081 13454 292704 19035 -33045 18242 -90049 -38719 -113539 -102450 55398 -128668 -89132 40625 -61138 -65377 54547 4021 24512 30867 233487 -51270 9771 83322 203095 94924 7809 231740 -98568 4763 634 -26591 53776 105956 -4392 -29051 -70319 38118 26685 -62371 -198079 28508 9322 -44912 -58254 125728 37660 -117155 83240 -112191 -65013 -158121 47096 -110744 -3964 -56119 -230440 28723 -5684 -9891 -36762 -95020 68689 -100683 165 -123861 -20705 11533 -181 65878 -12484 -10650 15168 8129 -40164 -109934 93059 69342 -41174 169414 -123588 -9886 120380 240813 101379 -95870 23783 -102497 108180 -86459 -143132 36446 -66640 -40872 -59454 42870 -14197 -1388 -80814 -209478 -50839 -76104 152291 -91935 56169 -92190 229268 -5570 66966 -137226 18932 164974 80771 -51952 -112058 -30401 -264467 4021 -16442 -12513 73962 -110563 48909 
+-78318 -136109 -2651 154562 -64083 63898 -96492 127940 89341 39949 4358 -60273 52867 112936 -222396 -114070 -12270 -168915 -3391 49880 -176575 111518 -19296 -60918 63313 -67434 -110649 27147 -66153 237877 105770 -38913 -21516 -142126 86020 55978 -97924 -8345 7447 -46961 8259 -37884 -58923 81959 -27783 33219 -165078 48632 -28869 138506 -84057 151621 23239 -71880 -111686 -102413 83427 3240 107948 -11344 56880 38043 70765 22495 47731 6870 -23457 -82278 -69664 148667 -10420 -91302 58728 21856 56866 -110603 102596 -45557 -57552 -129623 -186021 -34214 8264 9210 44337 -227619 -51394 -81207 -19265 -20825 23838 70676 53737 -106033 -100336 6842 6337 68114 -75487 -180610 21986 -57836 66760 115384 -60937 56786 232174 -145026 -206994 -38652 27309 98325 104029 -108353 -7870 -21709 -16107 -188638 131684 -46065 215794 -12036 8783 279488 138673 4423 15022 -83788 -103889 -49024 -89333 63663 -155057 -58630 80077 -48177 20905 58200 -22410 29821 32029 180581 -29828 5600 63107 158687 -76032 169167 129899 -134093 -82001 -13194 -38844 137948 103484 -14405 2941 -35544 26835 -8773 -96430 -199002 -12067 -22791 -61543 -29785 70452 46179 -158117 37203 -75996 -128024 -179284 29235 -113604 -44323 -58145 -180868 48059 7408 41203 -25466 -89175 80332 -89211 28983 -126908 82771 -8452 -21414 -65992 -14599 16908 9819 2106 -68342 -27885 55053 39348 -28295 125078 -133214 9746 27402 189875 116766 -124268 -84205 -128444 112439 -148013 -200899 -29484 -54183 -17 -54957 52929 39593 -14188 -28956 -121302 -91117 -89992 235405 -105211 73555 -98542 100141 -7519 61875 -24409 45737 176398 79927 -133801 -123341 46151 -296219 -60975 -54201 -56290 25310 -87246 35587 
+-91699 -147284 -41201 169175 -137822 54639 81085 139023 87190 43625 43876 -57089 48709 169645 -271187 -166660 -45920 -210359 32789 56439 -148049 96026 24444 -128122 63485 -55527 -38224 38472 -50467 173747 61463 -38439 -12458 -121253 57105 -98543 -88981 -29178 -19200 -23853 2490 -38995 6633 154710 -67110 12281 -40737 30554 -79502 142072 -52882 195308 114697 -67587 -39249 -133206 33181 -43570 107202 -39663 48333 54005 156975 45348 65760 1863 19289 67352 -84526 212920 55665 -27929 -94829 -30795 55341 -176421 99660 -75320 -44580 -60864 -206175 2503 -69256 -6479 38594 -67771 -135288 -112349 14205 14169 -425 73550 54391 -68657 -135407 18030 5645 172069 -99374 -258570 -15491 -40556 83682 131441 -74108 99323 229832 -163177 -29273 -11500 54720 71452 -107063 -71203 -375 -31887 -11863 -195840 10617 78281 249760 23540 30314 253997 116956 626 12132 -75005 -147137 85736 -3327 65102 -171770 -58827 67301 -59055 117013 34205 -28882 23396 34835 94266 -19441 -51825 37037 109294 -214359 103139 -47581 -137306 -160551 -16725 -37066 22337 96755 -41287 31595 -69129 37031 39250 -91777 -164272 43675 -121149 -73808 72483 -5463 64010 -166956 21208 -37313 -158905 -88725 -70476 -97488 -60515 -61036 -117919 73123 -15123 30062 -79932 -33466 -63849 -83404 42299 -145106 125101 -13723 -26667 -20241 -7195 61763 39318 48692 -42930 18683 106531 76497 969 80270 -134923 45798 -62431 59937 82204 -87262 -164551 -131879 67211 -209398 -153297 -80784 -21416 38446 -61442 7278 100824 29750 46722 63680 -124203 -116934 103864 -104885 95179 -33154 -26966 -20917 63353 -47927 47677 147142 63167 -109267 -109574 67266 -293675 -31912 -40190 -102586 -79471 45 63767 
+-71372 -79223 -18382 85736 -145190 78172 118840 139058 -70085 30382 25258 -47316 47758 171453 -266741 -199253 -38738 -233210 6164 50446 -98931 85431 32805 -110031 61775 -53408 59228 17422 14353 67790 68 -20040 4662 -90604 56092 -99138 -73708 -24216 -75549 -32729 -122664 -58595 87760 192106 -118846 -4043 25032 42323 -197999 141413 -33589 84136 181487 -40292 9144 -94094 -94093 -35752 97973 -40559 39014 10530 90194 118850 73093 22115 90783 47269 -90224 118804 62133 23980 -153176 38316 61855 -58899 106896 -99818 34234 -19685 -222733 27853 -69083 -30688 47797 83020 -150315 -107450 28678 -30669 -49750 74722 41812 -30937 -104425 1194 23987 178117 -114333 -257130 -22333 -83391 82184 85109 -67234 148835 225445 -72784 112588 67965 37960 78064 -238821 -19946 -23603 -9309 -22148 -167435 -76014 208464 265958 70827 -26977 227533 -23432 -11768 -4578 -53792 -137151 103755 75081 61669 -177453 -22361 28481 -80071 212013 8029 -16072 81919 36759 6012 -8961 -112915 15133 41189 -155781 -115814 -82747 -127645 -192161 -52629 -20252 -148941 110164 -95535 101343 -72734 48967 107256 -67762 -164677 133384 -197970 -30725 95278 -88728 106749 -121194 43627 6500 -130679 53129 -120846 -126881 -16348 -61732 -29602 73906 -108554 27093 -38638 38280 -204604 -64173 5363 -74474 123300 8619 -18828 106295 -2804 93185 10486 93651 -62825 25196 83073 99960 42291 37185 -131081 53613 -111721 -31151 39710 -65820 -113868 -117136 23087 -62870 -121593 -110365 -65289 69128 -86032 47289 158697 21978 116308 139364 -157773 -92481 -22467 -79768 128812 48275 -103261 -21198 72929 -108973 26665 104406 119193 -482 -74543 5314 -299760 -32264 -6776 -86381 -114773 99848 81288 
+-77255 -21519 -43830 -61720 -110839 65295 -19007 132146 -256813 35600 -12162 -39791 46253 102344 -295210 -225185 26605 -227025 -7984 20545 -80344 30198 57809 -67629 60086 -16671 119213 6294 53898 -45486 -80491 51114 -4869 -62699 94712 -48170 -64154 -29864 -113666 -13592 -207359 -85160 165225 178195 -84702 6583 -31023 9890 -222596 142500 16331 -74848 208139 -42843 59037 -12951 -187265 -32785 88777 -7267 8650 46231 -50914 140717 68465 59641 155247 -138383 -105888 -70543 111562 53576 -115908 154560 82882 104438 88673 -49758 41614 92359 -237307 44461 18926 -42892 75639 48126 -71138 -126504 20878 -34124 -68780 75918 34491 4117 -14723 25943 11152 81721 -107448 -170374 -19026 -133951 87366 -5050 -40375 174090 219680 52567 76529 162470 4025 79643 -96402 35823 -22477 -49046 -14385 -132734 -23914 231547 255241 97564 58266 197395 -69039 -13884 -17430 -66248 -85550 84082 121459 56404 -172036 -21192 68873 -94987 252767 -39068 13287 92475 36581 -47920 83819 -167953 2636 -16243 38682 -198883 -42238 -114479 -171649 -72884 -6680 -240417 103600 -53467 104355 -68630 87477 174890 -21319 -157639 171214 -214744 -29683 -16381 -176010 55928 -49879 91655 37819 -103419 119581 -76814 -136839 -12778 -53113 55515 93556 -138482 23042 -26951 123276 -151243 -63782 -7706 -5659 53705 3122 -3573 189065 878 57475 31701 135101 -53011 -31575 40857 81694 94860 -17581 -98530 65601 -171622 -21857 8774 -12597 -17598 -101723 -22015 21283 -1655 -117379 -22454 86178 -92069 26181 214518 24047 181737 37717 -164128 -101241 -69986 -98459 144855 39459 -46483 -30396 85348 -161693 53786 99564 48928 30949 -43488 -51968 -262015 -21079 -34857 -86497 -74681 94044 68765 
+-67639 -28340 -39640 -76583 15266 29904 -159625 114706 -263444 20594 -18101 -37663 47982 -7104 -279541 -218452 72699 -220709 78731 28273 -33655 5416 22067 -116342 59498 290 48445 30669 108048 -86015 -168052 100474 -58120 -37600 86343 126253 -50248 -21548 -126870 -15965 -156163 -133606 173670 157109 -11735 29576 -160918 -16676 -244091 140476 46224 -84527 204654 -50335 58998 33861 -210574 -24408 83231 29398 13102 48044 -164980 85975 51906 72622 125153 -230441 -112786 -62513 116605 42453 20706 208585 74858 140797 79310 -2938 85651 135554 -236479 15057 122481 -41977 73509 -122636 35161 -134557 -7519 -21469 -3829 77444 30321 42541 47931 14950 -7471 -41390 -92104 -48087 -46943 -144509 77993 -27602 -501 192467 211576 122229 -100850 207077 -26047 83322 118981 94582 -3064 -2659 -20930 -90867 122693 125135 202351 88517 8111 153056 1944 -52272 -38532 -61234 -45146 25069 149328 43711 -155571 6323 58088 -68077 195620 -43993 8586 145837 34239 -38440 155821 -159016 5391 -71632 115602 -40085 111718 -80781 -113130 -84587 18979 -123995 110511 -67454 98005 -72229 92087 185143 20040 -120313 122729 -141386 10786 -60555 -228797 106248 37939 120958 64724 -15182 103122 4305 -147548 -10518 -51199 91896 97479 -194461 -9362 -23317 184589 8074 -43351 -9865 -38 -40914 -653 18263 110873 820 57630 31413 121757 -61547 -111372 34015 84091 144830 -35210 -53798 101454 -210625 116893 21303 46468 60834 -82824 -68924 108635 139856 -68721 -18156 88071 -77921 44688 243226 35744 218524 -127141 -140834 -24802 -63947 -72700 137444 -26519 114965 -42530 98374 -143405 41911 79339 53647 -5013 -64830 -24911 -189384 -944 -23579 -57215 55696 44941 84871 
+-79630 -124517 -13025 49602 -20812 32997 -106583 113125 -81697 39650 -8367 -42672 54401 -112213 -197627 -225578 114681 -192276 41205 19633 -3160 -37774 -9385 -84195 58408 35920 -64974 18512 158971 -74601 -196937 68150 -44476 -21228 68238 183097 -48765 -50107 -116317 -27570 -30599 -141641 150808 102587 11090 33559 -176520 -17036 -206781 141767 96062 56978 153384 -43966 48332 28712 -118562 -8253 79818 32975 22109 73677 -160960 25737 31673 34215 7313 -122340 -126643 116209 105643 4691 130989 153191 71269 -56320 119853 93804 88167 167601 -214739 -11267 173521 -34806 79444 -242578 79174 -175727 -34142 -3774 27869 80779 26384 73778 78451 21250 -57949 -93840 -64626 74700 14098 -118301 69694 25472 42213 219425 201984 77255 -240232 184740 -58034 63721 56295 144101 -8939 11532 -24203 -39227 134480 -22353 101301 99711 13709 127484 118326 -31740 -60975 -84674 -107662 -82003 113302 53253 -129510 8686 45307 -47497 79481 -24034 -19281 161261 31589 31373 177485 -120327 22512 -107496 -7887 149776 175678 -58655 -51148 -120737 46697 33134 118553 -27949 70496 -94038 80941 93810 49458 -102031 37092 -45861 -31898 -12652 -248659 102556 100692 138187 55890 30534 14507 51347 -167224 -11682 -57685 88872 102271 -187501 33025 -61728 209447 90224 -41612 39637 -5638 -32710 -14295 44694 -12855 -6688 26167 55259 107044 -54321 -159974 87269 82700 197655 -78297 -12999 86203 -166780 214864 28775 71234 -18997 -74468 -32191 154965 170105 -11640 -37151 74276 -61371 18284 250457 26736 222018 -197174 -104991 33597 -28684 -106909 105287 -102236 228804 -41028 105668 -57047 28137 40200 52560 -105024 -97513 37805 -165600 17265 -49797 -44297 45962 -67204 65704 
+-93220 -143609 -18932 133371 -33829 21100 82896 55204 97828 15900 22571 -59174 55710 -177330 -138823 -208087 119504 -146811 60718 59489 35645 -68072 -47400 -110868 60429 59603 -104248 47338 140870 11777 -229179 -19498 -32290 20890 73081 106764 -52073 -40003 -36140 -27451 38596 -138607 80940 38818 -22938 12996 -74145 -889 -169520 94712 112170 176465 54191 -60712 1933 -56571 4469 -15967 70344 -1007 35795 65226 -49187 20265 17430 2617 -13076 45757 -122570 209011 100090 -55199 232379 28288 64335 -175048 98216 113765 113575 178327 -177974 -66859 139141 -22832 79173 -170874 110132 -142192 -27340 -15139 45678 82808 33391 79050 27534 27806 -61345 -34366 -51418 125112 -634 -76340 73216 114360 78321 215251 190646 -42990 -201178 113665 -94172 76758 -102997 186929 -16203 -19734 -22339 7179 20344 -68196 20112 92030 -257 56852 132399 -56544 -85876 -87048 -139161 -139690 30969 43696 -95732 -5859 46920 -61304 -22967 13597 -11386 154648 31057 132385 232420 -54473 48351 -118924 -184523 144848 151860 -20378 24782 -100772 59602 134509 103924 -26394 43718 -97664 88952 24043 59472 -93967 -9394 6181 -28791 45393 -244499 88050 144127 110437 55515 87905 -118347 5783 -138440 6514 -54928 56298 89868 -168642 21607 -42001 115381 25368 -42515 38664 -76792 22440 -8438 57091 -41184 -9576 -41389 85464 40743 -53858 -123216 69834 90453 226682 -70324 18699 82572 -154123 207461 55473 149325 -129017 -31506 2026 116423 137862 62309 -72765 46307 -55663 17512 230050 30415 172674 -108818 -73454 82781 120916 -51634 70611 -59010 233319 -39048 106556 -10866 24106 -3425 45861 -142073 -120055 64346 -100982 48575 -52886 -92948 -53069 -122987 73028 
+-100235 -87622 -14890 180526 -138097 -1899 126879 57039 60155 47034 14072 -65358 56554 -178881 -79891 -160050 103637 -105609 19022 52989 61176 -109864 -41073 -109562 56995 73338 -29975 36468 147019 141829 -206655 -39188 -2460 37323 68863 -2532 -59388 -56709 -7836 -69631 -50637 -120068 -4384 13751 -96199 -3934 50232 -30558 -76377 64529 138061 163145 -39991 -52636 -93825 -97509 86445 -23868 63810 -31253 52041 57336 90844 60341 15699 -7668 88565 57707 -126774 113829 63886 -110718 206612 -32614 43466 -78063 64448 125258 118704 153216 -142396 -115293 40126 176 23461 8333 155 -150868 -23557 10294 24807 83497 17594 84937 -81042 37533 -89566 90271 -4128 78236 -16826 -41527 60415 134566 97108 193808 177534 -143257 -18885 16331 -112704 38975 -212597 205721 -17433 -43837 -23745 21879 -73222 38686 -41978 51655 6816 17337 5912 -63399 -106697 -128168 -159506 -120909 -16891 60201 -56088 4808 44363 -63560 -48141 43672 -27975 123122 32647 207337 211113 23466 73321 -109436 -205083 -43602 43996 -53664 46764 -136887 71070 58650 124218 -6852 -23780 -65806 115607 -29248 32332 -67886 38568 1319 -24237 103948 -191033 80788 141649 79245 59585 119316 -169016 -91612 -131398 182 -53825 -36410 79971 -99476 14436 -10841 33078 -131585 -24514 11959 -99832 99943 22027 62650 79233 5567 -56561 75774 16055 -63461 -31294 56153 101472 230945 -99944 20579 52544 -94959 89238 69212 185762 -182923 -22663 73901 8279 37749 85715 -58010 9530 -64076 -2628 184107 13584 116904 63041 -39739 147035 206940 -49015 55433 -44 97654 -46668 100259 -59936 23677 -44458 79119 -43400 -125540 13494 -50945 22428 -43224 -138512 -101341 -62211 63066 
+-94820 -700 -29394 60081 -181472 -8429 -12097 21711 -98555 60985 33122 -60101 55579 -107556 1826 -130156 40642 -44655 40746 49267 83422 -150591 -40296 -80728 55448 101057 62442 39546 136019 225826 -163749 -31104 -31520 58799 59895 -136711 -80403 -56118 33676 -42465 -171618 -96871 -66505 2955 -117356 5942 22873 -48019 2896 63121 150858 22294 -110101 -82495 -144296 -108565 74381 -3394 62147 -52484 55935 290 151776 131274 26928 36897 146979 -88009 -131536 -54683 22845 -139274 105640 28976 52763 57721 36479 90110 88351 114504 -110627 -83301 -45150 17175 39240 100372 -92046 -145962 -10335 1947 -60581 82662 7770 80562 -134106 47268 -94875 181593 -6339 -22154 31126 -52948 45095 88002 96002 173985 163342 -151288 116144 -27591 -188645 51107 -85047 205557 -18193 -49564 -36931 9771 -20436 201534 -90224 28106 14041 -24524 -97040 -61078 -130558 -132367 -95728 -67550 -82116 65112 -13393 -256 60587 -36597 -25358 59067 -8114 86094 35408 236201 199852 37378 87790 -73146 -43906 -201324 -71836 -82277 32617 -175322 82909 -127104 109252 -13870 -61009 -80675 68932 15771 -13800 -64618 133114 -82877 -48551 9807 -109429 104762 97447 45382 -6855 84339 -154984 -107789 -119722 -15429 -56311 -94895 73004 -62507 16334 -17055 -29660 -204264 -20041 -890 -120228 118474 18528 59972 188906 -660 -97873 82053 16537 -29162 14148 35295 70159 220093 -80562 16281 45056 16817 -10391 103234 188721 -79093 18858 102510 -138442 -55988 67517 -45331 -31510 -83332 54223 126632 24744 29971 140452 -30978 142594 188715 -82496 74382 66556 -38495 -46316 88647 -178739 16633 -58538 37975 -14878 -90739 -62654 -20950 54756 -40454 -87123 -75000 39874 58882 
+-75599 -18659 -10262 -47776 -60851 -15007 -152290 -9103 -272018 23842 4844 -43380 52501 -5154 61789 -61992 5774 -6430 6889 21741 99233 -149282 -5555 -101974 55429 102142 96278 65339 98617 265467 -80304 6199 -5806 66618 59086 -79554 -82939 -47366 61928 -70092 -185816 -47598 -78780 36876 -77148 29044 -114351 -5153 79205 31109 125322 -65749 -150459 -60120 -204270 -93398 -25253 -57207 56098 -20372 51525 42705 80879 140174 47300 82746 99980 -223408 -127709 -41199 -22917 -130410 -47638 155833 73248 111818 74314 15590 55067 77307 -57857 -75335 -81125 19412 24494 -26196 -126773 -156632 19681 -19630 -36135 82086 10000 18135 -123623 53503 -104068 167566 -60150 -169968 -30843 -99074 43500 6970 73331 130508 147908 -55342 70860 -25226 -156268 62927 125912 181655 -9501 -7711 -33182 -21603 118223 242488 -87400 -13257 23492 -41858 -39594 -79186 -153809 -103836 -19886 43533 -98529 56245 29257 -14742 35640 -50473 69099 18120 -29710 69828 37068 201033 131794 -35336 87039 -28379 110075 -95295 -71073 -131806 14692 -175841 76072 -230972 115950 -45442 -52644 -94128 48451 96024 -61679 -24383 175429 -181337 -10363 -74601 -29172 105503 17626 36745 -48904 58654 -51570 -38564 -83161 -11307 -54822 -179034 56230 -32592 9378 -46274 -77358 -73225 -5732 2330 -118100 98646 22145 42349 177822 10047 -70177 96423 57054 -51548 42903 27596 65659 177154 -62198 -7332 26080 37415 -33609 157651 215697 39029 44159 130247 -161625 -161705 16982 -87898 -70331 -93824 9028 65696 21042 -39683 44044 -43336 162379 31185 -53029 77027 7368 -134315 -58843 74751 -170575 8887 -76323 72302 20632 -53813 -35909 25871 40472 -33122 -57569 20679 116402 73294 
+-72557 -102701 -25067 -87087 3940 -18366 -115177 -168 -246569 22363 -10030 -37130 48770 102371 96752 -8638 -23463 33763 7572 15408 94216 -168358 2285 -95177 56763 98600 29446 31454 65472 222575 -7930 91808 -26979 82122 58654 44748 -88068 -96627 47554 -23432 -102991 -52231 -44060 102547 -8579 33825 -195599 -9161 96458 26574 70512 -67913 -169268 -54738 -252479 -34594 -144451 -45416 48150 13090 32802 35392 -62789 77936 65285 61978 52066 -155775 -114867 114122 2418 -88565 -132857 215159 96132 -57793 42942 -45266 36965 -15534 -18509 -24559 -18788 7644 47733 -194047 -137305 -134928 15396 -12463 11226 81516 1463 -2974 -43799 50276 -69024 59439 -87381 -260381 -28596 -163354 43092 -15324 35617 79281 131405 69644 -110905 44495 -205045 52081 90340 139548 -14786 -28914 -40064 -66785 134176 122803 -73740 -76762 12770 -108918 106787 -27135 -172768 -105923 -87287 118681 -47651 63829 68581 -25529 60572 -46847 189019 -20536 -1050 10308 36164 116545 128716 -99105 72807 45878 65619 111409 -12909 -127746 -100287 -187060 79305 -173215 107822 -78119 -49412 -65333 38332 179612 -88711 4931 129879 -219208 -52894 -50917 51946 100576 -63249 47731 -95113 -25236 61702 46282 -92323 -29449 -54592 -220863 30648 -12366 39025 -43740 -73130 84289 6802 -32494 -41326 3318 21567 19476 599 -8777 -50264 105349 93867 -46109 -22781 22378 53066 135612 -44948 -37297 -12460 110227 74923 143231 226209 19304 86983 80784 -169491 -214176 -39858 -5882 -100780 -79653 30332 5356 31395 -90371 -136604 -76769 119845 -57343 -42419 114568 -74871 -21576 -53679 64647 -104649 4671 -81402 59354 -92063 -45809 27659 64851 40273 -43437 -64230 47097 88190 69461 
+-93154 -145997 -2878 28727 17378 -12872 59083 -14141 -81228 36744 18327 -41205 47944 170540 120695 35329 -14773 59001 22585 26670 81296 -168306 43335 -70710 54767 92126 -70889 11284 -12813 124430 68187 91758 -43506 102728 80109 186212 -98128 -116260 24861 758 24343 -31890 37306 167209 14142 13705 -127485 23653 128438 -11313 41645 99386 -104293 -49689 -245545 25358 -210418 -32578 49663 34059 11187 64830 -165674 9551 75164 11694 -8044 24252 -102510 239710 27496 -35411 -148387 146631 54708 -233419 43329 -90831 -19557 -91828 1062 -20914 79502 -2748 87228 -248959 -74136 -114507 -14037 15006 34842 76652 -4988 -70404 28768 66129 -54758 -57733 -112715 -264079 -8520 -139999 31423 24100 -7919 37028 113930 124098 -242250 133449 -155166 65061 -129688 86724 -1104 -68699 -41970 -119436 16805 -6345 -24425 -94719 45976 -80318 133021 -11673 -186757 -154544 -132261 114097 13493 61269 102361 -38552 53579 -38493 218283 -51188 -6003 27393 33483 21348 43034 -167289 47627 104963 -130412 158697 146454 -129561 -131629 -193141 48681 10108 104461 -73775 -22390 -58630 19681 211999 -98267 10505 39809 -156998 -74387 62091 112473 108186 -124801 84937 -132331 -79366 130442 48678 -96411 -33662 -46975 -224841 14876 12714 33472 -60268 -14886 72369 6725 -10841 2252 -43705 51065 -4066 -54969 -324 -21881 113507 128447 -37963 -72241 -7824 79537 75574 -7708 -62203 -28728 148418 197868 137819 219671 -65540 98756 28469 -68855 -186425 -101939 -38155 -118513 -62393 34305 -32902 61944 -98376 -192281 -120089 73381 -100090 -38594 179160 -101270 96092 -56691 60872 -27727 6502 -94669 25732 -132089 -66808 52157 67017 23524 -42516 -51000 -35289 -8900 79034 
+-77243 -115270 -21060 161193 -87475 -40299 116611 -33019 114104 41347 40762 -48867 48159 167382 106762 68719 4261 66368 36051 66771 56526 -164304 58179 -69122 52969 61505 -106358 10414 -48548 16841 90157 37593 -9982 95502 61882 165994 -99518 -82832 28545 -2384 2839 -73687 129994 190268 -18140 -3783 17515 1844 82122 -14137 -29702 189200 -20577 -61578 -215887 19361 -172880 -26243 44171 9518 16723 61257 -153380 6669 69122 7098 21471 74027 -100922 138592 57287 23306 -41000 29927 49210 -130757 47672 -106896 -30726 -134587 38391 19306 163860 -36008 74598 -104557 55846 -95545 -34758 31423 36331 76232 -4425 -129953 77050 52300 -14252 -91463 -118836 -183792 4883 -93646 41665 107053 -47057 -4274 95891 66961 -193636 192230 -171622 55979 -193471 27594 3035 -95569 -46221 -158402 -74677 -64520 47803 -170624 16301 -102954 66232 -1545 -186441 -127418 -151348 56370 85586 60213 127848 -47841 49012 -40327 211349 -45530 -30751 80857 31194 -38586 -35187 -181979 22643 164351 -224424 10176 226483 -112270 -191867 -195046 25761 128525 99579 -94652 56073 -83630 36961 147814 -71581 37393 -12942 -82144 -108090 105207 141140 75293 -164143 127742 -175601 -139538 72943 -39784 -75307 -28522 -58937 -151184 5867 -24517 36970 -39759 89275 -80924 9684 9075 6971 -37584 48080 -19948 -13370 -13660 5590 130722 117933 -42388 -138257 -34890 37977 21713 69601 -96363 -35443 173075 234589 107685 208275 -167574 132698 -37682 50351 -113133 -118351 -14569 -120626 -53693 43995 -54604 51141 -83069 -122556 -147490 32232 -55201 -30627 157883 -71971 222919 -54294 66583 -9133 -549 -104121 106741 -102028 -105803 10230 124019 11764 -24844 -114372 -120365 -105978 53005 
+-99208 -25375 -21452 159926 -155538 -7276 -11954 7414 69294 757 35208 -60443 51516 104795 91138 91964 81354 71283 6034 57805 40459 -180964 31465 -89570 54292 39772 -28614 -1387 -80682 -76038 128424 -44992 -28019 109613 86343 25746 -95449 -106126 -48671 -30554 -130497 -65897 167871 195313 -88469 5355 38091 44986 -5862 -56622 -23892 162816 69844 -61894 -158975 -27638 -47115 6908 39489 -33372 17603 32507 -41163 45123 53520 6553 132883 -60572 -85756 -32511 64318 51693 120670 -33269 63828 42338 101519 -49855 -49080 -179842 54479 24639 168871 -51101 58582 64585 104784 -94222 -51972 9767 -20660 74207 -1826 -170452 54928 74179 33023 -15124 -102181 -58192 6399 -52083 37497 133886 -69883 -37949 77386 -59306 -9593 203978 -116493 95828 -91327 -31520 5 -50633 -45590 -197563 -17257 37578 132817 -200000 8754 -96547 -76882 20840 -192299 -103730 -86016 -56592 112337 63799 143047 -68952 47324 -60019 144215 -6983 -518 73398 31177 -39345 -36629 -126894 5804 197595 -114376 -185612 131407 -81088 -176107 -203037 14763 34602 94709 -52343 80819 -88225 39540 62518 -27333 30688 30435 -5532 -132559 59864 129259 85011 -157880 138100 -197660 -142597 -24860 -117407 -77787 -49846 -50847 -89498 -33731 -62064 -23560 -54470 139286 -195204 21439 25735 -22529 60308 49828 -25385 153698 -5668 69106 133384 90690 -15253 -148051 -60992 79256 -9091 121727 -126477 -60004 107100 142650 69558 133403 -152996 130393 -72342 141602 -431 -105426 -37540 -107137 -63330 39928 -46076 22248 -28141 58723 -167356 -37085 100897 -14967 130281 21546 243674 -45708 75799 -111192 -4952 -127371 70738 -15089 -125401 -35169 98026 -30295 -55480 -118274 -18360 -109078 46435 
+-97283 -1831 4950 44079 -180564 5409 -163173 8818 -63684 33657 12038 -59551 54209 -8004 2696 81732 114405 37277 43322 44257 9183 -176005 24434 -101199 48737 19847 75553 19789 -101904 -101748 90611 -31858 -42748 100378 48875 -109606 -87310 -120096 -72883 -62721 -209274 -137510 176449 149085 -115643 28559 -57972 46874 -99725 -48182 -65047 19128 150318 -54879 -104642 -93842 54299 -29223 35280 -52486 35707 -762 98490 116391 33071 74369 131674 -219416 -83716 -47326 118745 42620 219200 30664 59131 130193 90239 15154 -32311 -180664 66057 40994 83912 -47041 70330 74885 52393 -36288 -2838 28808 -35053 71209 -4777 -179653 -43161 81865 26524 111098 -80897 62620 -15344 -38533 39036 92837 -73963 -77021 58826 -152617 119056 127217 -105053 85444 102233 -80762 -5245 -72892 -41892 -200810 98472 167626 202099 -198020 12065 -69345 -35403 -16566 -185676 -112586 -68883 -134673 140476 52712 148443 -81493 51327 -20298 40096 35361 -19535 106881 33368 7145 -90642 -34229 2348 220127 72727 -168277 62271 -49277 -108785 -219292 -13235 -134922 88339 -30226 73925 -86852 70605 -23115 18518 57964 120571 5308 -112900 -31979 98645 28175 -103933 107006 -229260 -134895 -138493 -97384 -46960 16116 -56540 -16193 -54912 -127362 17834 836 200873 -152287 10999 -10060 -58461 82331 67469 -19084 212695 -15086 75472 123679 48936 -24593 -71827 -95996 94528 -43956 147079 -132037 -100008 54625 5847 46826 104865 -25407 151087 -38861 137692 115866 -50805 -35546 -80010 -83828 26064 -12832 30150 50597 135837 -150160 -72413 205965 -10826 100761 50980 103979 -43523 89277 -181610 -6775 -81332 63585 8845 -104139 -40329 66710 -60783 -49822 -63185 77345 -23791 12396 
+-80750 -83170 -7750 -44511 -105504 3221 -136264 60591 -252411 -14809 -8246 -54613 57966 -104326 -79634 80274 144060 -4984 49351 22440 -43085 -148690 -20259 -62113 54189 -15272 101382 -10146 -115270 -43361 42404 32736 -37666 89057 58807 -108996 -66486 -114577 -133169 -33825 -143847 -122830 125366 75754 -83617 34122 -155683 43596 -96219 -36096 -28431 -112332 180507 -35112 -36922 -119533 84761 -21269 37104 -26688 64077 22682 145660 142896 19392 83354 96628 -186980 -73927 96413 126547 -1879 220239 154475 70184 22425 132156 90384 -48894 -167822 78150 -36331 -23351 -40576 49946 -98395 -43733 -74531 23743 -11391 -38134 72868 -6198 -177852 -119497 95618 6704 187448 -49127 113553 -12899 -78845 40078 5592 -55536 -82749 40180 -147353 64065 50990 -43678 95461 96125 -112669 -15108 -70267 -38996 -177327 135977 255953 263496 -243976 -21135 -30830 85993 -24026 -177050 -90167 -55698 -134124 96658 50544 141964 -96862 50880 -30614 -67411 78553 -2331 151515 36115 100171 -82214 12503 14196 219808 102101 56558 -15222 -30058 -34919 -235060 -33969 -229803 87747 11676 118309 -51687 99872 -18245 47936 87211 174267 -59220 -126922 -57895 4096 29901 -28958 75402 -225319 -74107 -176308 -289 -22668 14304 -49567 68850 -56037 -183409 21206 -42226 185689 7007 4282 -14808 -96601 111222 63269 -3492 86822 -9585 56836 109320 16739 -13212 -5020 -85003 55659 -42569 179223 -105782 -88792 -17961 -31721 -29677 67792 56213 101551 -12051 71744 151282 13344 -64007 -43163 -92236 36539 37280 25240 127496 43053 -130684 -146649 192683 -9353 66819 -13386 -32013 -34408 100858 -142400 -16468 -64597 65535 -22003 -72299 38693 43253 -74318 -44568 -51307 70434 74523 -14418 
+-89697 -148954 -38220 -46799 38318 25772 44511 76469 -212069 37128 8161 -42894 57451 -180764 -138955 41910 90051 -32532 58102 21614 -76791 -122913 -55227 -86764 48937 -38783 39071 -7838 -116646 61710 -27652 100001 -51459 84865 49906 -11523 -50262 -124329 -58317 -41614 -33635 -144914 48296 24530 15016 14393 -169215 58201 -209986 -44757 -46620 17582 218345 -48645 31680 -95287 18640 -22493 36535 7090 55443 45242 57604 88145 14602 37798 -15528 -5314 -71136 244609 118152 -61242 133163 205189 87050 -175859 113521 134952 10721 -123864 66502 -44507 -75477 -12714 36267 -243125 -99845 -22931 27246 23645 10797 74742 -6840 -185193 -129353 108719 18639 153670 -7663 85760 -27364 -133053 38279 -35623 -21234 -93891 21571 -41743 -119934 -26528 -33839 68243 -115439 -124547 -6607 -90380 -45430 -138685 15948 151738 285247 -227417 6100 5992 140922 -31555 -159856 -112276 -82410 -87771 -1705 43668 124582 -114723 32126 -27953 -74151 47582 1198 165790 36962 192178 -52115 28732 38190 188633 -50434 211517 -73405 -48872 22780 -224561 -33840 -155563 67459 17247 91076 -48207 90320 80615 52126 109380 134211 -168624 -128435 15676 -73960 9119 50809 41733 -210077 -14081 -113105 44995 -14316 -1316 -48469 92510 -69810 -183089 14168 -59434 129475 107662 1846 -6514 -122716 60574 61339 22967 -31715 -15361 59265 103157 8369 -8953 50255 -118828 82111 -19554 218653 -145679 -105118 -127722 33404 -20314 1902 -27747 143263 53172 -58109 165451 58038 -63174 -2048 -80803 37120 99168 6398 192955 -120088 -80025 -84534 107220 29808 45258 -90604 -101487 -43528 107676 -60768 -26478 -37982 49632 -72079 -56962 60316 -21065 -60565 -22799 -55258 -33744 109705 -9544 
+-69035 -139341 -37215 12375 -26645 41465 131315 100164 -97584 21600 16768 -41476 53543 -180027 -199657 21212 48736 -89188 31630 47907 -100812 -69662 -49050 -101203 44201 -65856 -27612 -11246 -86779 176449 -111664 79031 -29796 67708 75956 163955 -46343 -87498 -58708 -53422 26907 -126334 -29517 -4877 9135 -3611 -39174 61219 -219926 -19870 -19154 143056 195905 -42503 47954 -20768 -107487 4087 43096 34267 44072 47922 -88310 22365 27919 4403 3180 80449 -66338 117445 105845 -110081 -14523 150981 82618 -172299 138610 131302 58484 -46839 43983 -95607 -46196 8886 52813 -200297 -154352 -25808 15878 17152 49324 76114 -6869 -150949 -63036 100251 3584 37059 -4243 -20978 -8452 -148980 49445 27749 22176 -86874 3443 81440 -244229 -39232 -6822 78594 -224495 -117232 -25108 -99781 -41980 -83120 -69826 4991 285496 -199237 21363 45348 79849 -81972 -141833 -110888 -173371 10466 -75611 49180 97727 -130944 64053 -38169 12456 -5391 4169 179691 35598 241311 21754 -6375 64810 145823 -211210 41896 69758 -44949 71662 -205852 -35756 31755 80086 15868 47490 -57224 89052 155051 29652 91003 42697 -202124 -158701 101851 -163600 12725 120591 36109 -174562 58374 29989 -6439 -13589 -431 -47587 72997 -68793 -177477 35248 -55980 41868 30901 -3241 35347 -98801 -50811 74107 39462 -40644 -11491 -2396 48345 41019 7742 -2255 -147202 25661 18073 237422 -129811 -77156 -175282 175479 51036 -48400 -126337 46972 102176 -135329 96835 81609 -36404 36962 -62267 53477 160788 25691 231073 -211969 -41686 -71848 -39828 50373 95725 -116228 -82833 -20965 106119 4934 -33304 -10491 38452 -105291 -51882 29803 -55461 23372 -44263 -33584 -108391 68433 -32592 
+-91261 -33645 -32570 157106 -106430 52451 12096 117537 75486 14124 27805 -41422 50155 -119841 -231619 -32300 5756 -138616 43538 74611 -142170 -53993 -28024 -93267 47998 -70642 -95248 -35167 -56844 245145 -177354 35690 12022 38723 72869 156474 -53806 -133389 -19664 4461 -50549 -78068 -82262 18650 -32159 4722 43269 41665 -263049 -22090 26190 214355 116574 -23991 59197 38718 -199044 4943 42451 19947 37259 47422 -179911 12810 45158 -812 104038 -15736 -68053 -57037 71733 -138350 -118685 24242 54965 -7932 75842 61375 71069 22363 15104 -113172 48304 22245 53963 -19173 -136384 -39608 -9342 34451 38816 78028 -5459 -110370 5297 107493 -43331 -71400 -46058 -155563 -6009 -136083 61309 109053 62970 -57306 -13944 120641 -186635 25936 21429 84510 -88225 -91830 9245 -130053 -35323 -38384 -26312 -62706 200080 -216871 24722 97819 -68770 -65072 -115973 -91585 -112945 87146 -94297 54895 62978 -144778 43854 -52720 142682 -21255 4399 113814 32805 212168 30148 -87383 82639 83616 -169051 -135538 157526 -80261 49531 -195587 -25004 112908 71997 -44093 4169 -72706 97131 201243 -9843 107038 -7814 -206113 -128339 81917 -228516 16809 147824 55303 -152205 91807 94228 -75574 23865 -24015 -56101 35765 -73177 -127123 3218 -79274 -65411 -140182 17263 31961 -42366 -55084 77800 57155 107842 -509 -59408 95856 98337 3053 -65052 -146494 60707 76586 255113 -69718 -62847 -175019 219386 19915 -60025 -176643 52344 105661 -156241 18229 67582 -36650 67858 -55149 4234 212598 22906 216503 -128487 -39644 -43324 -139413 39886 157040 -26903 61951 -22353 98276 -78787 17769 -11596 9780 -8665 -90049 -43296 -111920 18458 -33785 -88201 -18862 -25081 -34448 
+-75542 155 -33180 172850 -164810 67604 -143672 145021 113919 43491 -286 -53854 45377 -16849 -274677 -81728 -31079 -185695 23030 34767 -175515 -27188 3242 -100669 45196 -92061 1831 -17800 21695 260261 -220865 -24152 -16310 30745 70821 59315 -58440 -119099 -21350 7648 -177531 -43154 -89746 61601 -86232 27974 -8543 55793 -195739 600 50613 127832 29487 -38132 5876 12454 -213560 831 50636 -19361 14188 50988 -141562 67000 63564 53489 156875 -189319 -72656 -68070 41838 -129414 -147523 -30451 47668 164527 74490 -2672 110125 93353 -12990 -43407 151001 20789 58969 88500 -8284 -61095 -20875 38557 -3547 81067 -12502 -67961 72598 110194 -88112 -85306 -65361 -238670 -24216 -66980 58192 136911 90935 -27042 -30458 56306 -2225 123459 53912 42910 72383 -49541 -21346 -73227 -36752 3517 109915 12475 133480 -188747 2532 134530 -68276 -37678 -93635 -62978 -56178 122587 -68600 70819 23277 -159115 94663 -36108 227900 -72188 -16607 78807 30990 140123 117210 -151033 89797 26672 4486 -186061 199237 -112437 -9437 -179808 -9531 46315 69334 -70060 -50159 -71483 101308 130795 -50879 115547 29018 -64271 -97648 -15718 -256073 36210 136012 95235 -122021 85204 93812 -98588 13134 -48098 -44542 -35477 -70253 -83765 -30595 -44975 -101553 -195254 -1124 -14867 -767 -25782 86028 70791 196923 -9158 -66004 64460 155048 6229 -140632 -169802 41514 129490 249038 -38725 -57596 -189942 161934 73937 -102009 -67562 32148 86854 -117734 -93914 9396 -28216 85700 -64200 39943 240811 25051 175075 60900 -44159 10763 -38176 41005 134881 28217 204268 -11268 85032 -165665 4657 29970 56090 8922 -115848 -44250 -179444 26670 -44957 -95301 58155 -87060 -34838 
+-68594 -67587 -2466 75825 -169148 59634 -138325 132772 -110914 52819 3507 -58547 47230 90204 -280711 -144008 -41138 -216739 20151 19451 -199835 24496 16445 -73848 40795 -74656 58928 -2733 66357 190622 -227881 -81829 -55321 84 81858 -53825 -62641 -114621 54890 -2107 -198002 -28043 -12341 134389 -112868 34353 -145103 -6214 -161790 23213 105360 -41734 -61574 -42507 -65847 -36443 -120908 -5967 55029 -46335 11756 31044 -15913 125751 72651 72372 107477 -200803 -79056 99112 -23283 -86170 -68453 34096 47001 53748 47651 -63338 121304 143910 -57264 -48942 171895 1852 38361 17051 78560 -48041 -40647 23427 -50117 83411 -4415 -27365 64692 109402 -105719 5935 -111356 -253522 6765 -46327 61949 97321 99349 17513 -46075 -66666 121049 192935 60619 51673 53189 4558 161 -89771 -35496 23062 131286 161432 37854 -133268 8328 191205 64176 -3024 -64635 -73545 -56596 77325 -14370 63550 -19667 -165955 70024 -78988 239966 -32786 -20604 35190 31429 49614 157313 -174286 79441 -38777 119191 -10293 66781 -126925 -81591 -168623 21684 -147214 84860 -85789 -51847 -81667 61146 55422 -84204 130602 118216 -11510 -145662 -68161 -245651 -34504 82224 128912 -62899 80165 49350 -46665 65810 -33353 -44291 -115365 -59018 -24029 -4353 -38834 -86137 -60007 -17894 -16195 28395 77594 59965 53164 177873 -3668 -74097 50316 148029 6401 -154219 -179574 82577 171782 233625 -43048 -33160 -170550 34926 66300 -121976 46132 8753 14585 -28916 -167791 -63566 -23653 88534 -82883 28308 247458 4767 113108 133771 -64705 74338 19386 82454 137204 41209 227511 -14163 72151 -158791 3104 78677 12054 4430 -131107 10398 -193849 51327 -36076 -72028 74309 -70798 -41574 
+-105419 -140582 -15064 -54483 -75486 57169 32873 144282 -238670 -14689 -9790 -62741 49504 167136 -243197 -189608 5621 -221906 37519 10710 -209844 51865 55467 -51069 42603 -70432 114796 -10924 125972 73620 -203082 -7451 -57764 -21663 68344 -135262 -80476 -130783 76271 -9751 -91876 -53646 66686 176761 -64190 15088 -171863 -29880 -81343 38640 114284 -102053 -140230 -67706 -111037 -88567 6990 -3335 59196 -44239 22231 54091 115703 141059 69664 41135 9033 -46069 -86074 225583 5734 -32454 76179 154050 76745 -168339 75066 -93829 88130 166497 -110978 11664 111717 -19033 50690 -167647 102305 -53057 -993 28286 -42222 82956 -5836 27557 -3486 121038 -105574 130434 -141800 -189331 -11141 -58117 68868 6483 86663 60886 -60576 -159376 56859 189628 69523 50934 -142360 61360 -24984 -120110 -26939 16653 29690 223248 -33276 -103663 56994 236390 141328 -13929 -47774 -77452 -114454 -14208 41037 65933 -61729 -170891 52259 -60063 196621 6759 -26638 37441 34059 -28104 223263 -126493 57844 -84295 15537 196811 -17694 -114577 -168949 -119282 43019 -222659 82150 -72475 -58587 -79427 23641 4808 -93890 150615 174098 -6740 -117834 -4450 -203033 -29413 4397 133975 -14414 21542 -85632 37227 87447 -18895 -39507 -192344 -52356 19152 13628 -40066 -10004 66660 -14637 -11831 -33034 115978 70595 42921 35584 -9049 -69221 5811 84732 25976 -102891 -170475 65368 214788 187165 -4870 -8213 -140779 -43617 109912 -109968 28617 -42050 -23552 99284 -202229 -97792 -55476 75073 -91152 44756 230754 16293 26979 49539 -98768 113762 171570 29144 109285 -50024 116300 -9218 63647 -106470 2692 71807 45769 -104728 -92631 66269 -255200 55585 -30663 -63563 -14865 26632 -85139 
+-79652 -136027 -26772 -48592 -16037 59262 127195 121790 -236196 19363 -6803 -46423 55147 178369 -221854 -197851 60871 -223020 37218 36490 -200432 69281 53342 -102710 41106 -53977 50154 -5442 125344 -28386 -141028 59772 -37808 -40423 64998 -60603 -84381 -103566 62166 -26579 2199 -55550 135878 189867 -8802 -3418 -109368 -16070 28153 62087 179675 927 -158521 -54944 -183447 -125487 99012 -26077 63803 -4267 39126 52850 146695 95795 53315 4645 -7321 77968 -94798 140465 19708 21813 187807 206670 70061 -196834 44083 -98102 88566 172564 -138700 30346 20239 -42171 49283 -256203 36603 -30571 3630 21705 27157 82409 -6365 72047 -95961 149074 -76020 189795 -98032 -76501 -13586 -104774 65750 -32314 54457 105690 -73846 -137402 -128004 145330 77496 40751 -211547 122322 10116 -113248 -27744 -15177 -72218 157818 -83359 -49564 2988 220769 70155 -5250 -17609 -89440 -157169 -105635 106451 74842 -101196 -179932 69932 -71108 84516 53364 -2724 52998 36471 -54649 197517 -77967 32736 -105184 -163265 101158 -120971 -111454 -202498 -112809 58619 -146992 78136 -20030 -35524 -97415 24244 -15059 -72216 143997 141635 -20851 -82020 94157 -133920 -4823 -76022 107190 27965 -26339 -168601 44930 88043 -47024 -51268 -223279 -45796 19560 -10573 -50734 102586 66315 -30110 15069 -72099 120176 72425 18000 -60853 479 -10546 20551 24913 40422 -20571 -179504 33683 236993 176186 15889 48142 -56845 21822 110493 -105834 -85578 -74694 -49617 123962 -122266 -126570 -67579 47895 -82351 17805 188240 47039 -42928 -119005 -132761 151719 174678 56166 90420 -69524 -21453 -2164 62123 -10763 18353 99375 51752 -131185 -62703 21374 -279185 55598 -21662 -7562 -104341 103532 -64065 
+-76107 -62646 5430 56475 4679 40435 37009 97055 -71154 34900 29949 -39078 55249 105294 -135087 -227168 101642 -209389 26726 20079 -192119 94062 23849 -107345 36923 -32737 -52494 -28471 156150 -93884 -63249 79506 14542 -68902 82839 91642 -93712 -136190 15109 -59426 5670 -83369 179210 159662 15481 4128 9508 -49398 59165 94095 123867 141638 -153765 -45791 -233156 -113336 52117 7633 61619 27333 52040 62928 35317 20746 33997 -56111 51520 3556 -103424 -51437 41666 52765 232758 145577 79337 -46706 82401 -35619 65401 154541 -166306 13441 -65787 -56280 -5264 -139940 -73655 -50420 36221 34539 23026 81055 2408 69333 -130227 135650 -73856 137447 -100792 37374 4487 -140832 84581 13248 13706 140065 -85064 -25039 -246771 59376 37362 67480 -96326 167089 -31167 -102786 -18587 -59765 -22624 39164 -88211 -11606 34214 275655 -45795 21995 -7757 -67733 -138668 -152692 131613 73534 -133714 -164941 40727 -55436 -27450 66259 -9225 62501 36887 -9446 202635 -5880 10939 -118982 -215616 -84833 9205 -59015 -184338 -79012 84200 59395 80663 -2351 27051 -86760 43396 63549 -27570 153092 49056 -117919 -83594 96945 -41305 -6181 -138729 61441 54996 -113552 -192353 -1474 154345 -2372 -42205 -202833 -23464 -9521 6791 -69301 176178 -63513 -36825 42645 -141845 -7047 101536 -6347 20539 -5664 36076 -10329 6086 49754 34012 -186172 95057 224321 140868 16208 79655 38965 149349 160662 -97680 -188387 -91023 -36111 135338 -53479 -91813 -57015 11235 -64792 46046 132046 -2436 -84898 -199516 -157893 147194 144340 135748 33399 -97461 -127219 -4740 67641 -41041 19495 143472 -10383 -105954 -47923 -31539 -285161 12933 -12344 -51301 -81375 95465 -48959 
+-77208 -567 6761 147287 -113843 27322 -139120 73186 56559 28930 20625 -39385 57147 9303 -91418 -229555 125498 -171527 33550 51490 -161126 110157 -24304 -99939 32557 -4620 -79324 -26339 165383 -84303 30945 52045 25344 -95572 83561 197199 -88966 -121016 -6394 -52127 -107252 -127924 166519 108983 -33797 27406 28335 -14835 86904 105998 91485 176329 -84187 -65295 -252754 -23555 -40805 -21011 74275 25664 59398 34595 -105722 16521 18902 23708 167316 -159520 -118604 -68360 93973 43432 164060 24564 61700 106259 69244 31521 20382 112529 -198615 -28660 -69503 -44773 8688 34776 -120390 -51675 17231 12607 31631 79814 -658 103346 -93300 152066 -28979 14359 -41712 109027 2011 -152294 82093 103533 -28692 186957 -94963 93441 -178973 -13911 8998 61286 94832 194671 -25406 -96631 -23424 -110427 107016 -58121 -57062 27822 3669 284406 -88802 -11887 11063 -101950 -94906 -113133 123844 63017 -158865 -161600 58301 -53591 -49805 37294 20131 88246 34950 71109 188291 25565 1476 -104019 -57137 -184151 91221 -48810 -144422 -76289 84861 143630 77923 -555 55611 -85783 33888 125822 15160 151465 -10583 -187414 -40494 15517 40596 -53586 -167637 32530 56203 -157440 -77842 -89476 156896 -31043 -42569 -146894 -8431 -22583 6331 -48983 204466 -209471 -47750 -10537 -121452 -66479 66960 -25057 168960 -3127 54175 20534 9876 50403 7483 -201496 82407 200045 102043 16755 84880 100254 219935 128245 -60662 -140380 -125868 23776 -7434 123045 -41568 -61459 -29650 -54712 58980 69254 9066 -95779 -108081 -156204 151792 4196 118040 92750 26960 -88585 4747 78946 -121877 27297 165219 14282 37935 -79533 -53153 -281050 6767 -44195 -98930 44495 25435 -58454 
+-82492 -60795 -24006 160704 -172864 24274 -131874 54755 107788 14983 5273 -46716 55826 -101200 -20622 -204546 116106 -136789 43186 48404 -129952 130593 -61388 -97792 42143 22548 -17654 -37270 128572 3809 70619 5681 -24828 -123209 69078 132604 -81943 -123055 -74517 -14879 -213782 -164850 92430 59887 -103115 34563 -99127 -22529 98861 149613 72751 101843 -14807 -42414 -243031 33233 -160666 -10326 75628 -15728 51352 19096 -179039 65907 14664 86236 142525 -224206 -114936 65013 121460 547 20016 -23048 37739 22710 75245 100640 7843 34900 -226754 -22334 18150 -30535 75202 86787 -124552 -55110 -9937 41176 -15777 78577 2187 65788 -31474 143901 -8605 -82147 -22218 81674 -15937 -95064 84457 145344 -62024 209916 -103077 119626 8305 -34662 188 85741 85236 204191 -10604 -103032 -22498 -158067 135666 7314 -11905 90992 18553 293349 47545 6742 10903 -67759 -20358 -19251 43749 57840 -173627 -142729 55566 -31193 -27584 -9107 -3530 121984 32149 168721 120823 -38051 7765 -58127 98618 -42747 176546 -37071 -52960 -50633 86741 41904 87792 -63628 85545 -94772 64334 170710 42833 155763 20006 -217764 -48673 -64066 110508 -55550 -146659 43347 58185 -146559 49588 -90744 133137 5055 -44863 -68910 22879 -93863 2231 -44893 173007 -147701 -64017 -255 -89918 -72647 94800 -25718 195148 -8241 63760 664 72369 66179 -51013 -197045 93873 150589 38758 -36771 100677 155757 192607 91829 -10994 -7624 -151091 80064 -124274 201603 31684 -37627 -68991 -60759 17683 10725 12913 -82120 33863 -137853 72216 -70124 117531 111434 63670 61354 -1453 92457 -147925 37084 173882 3496 56721 -105826 18788 -253407 -27501 -35684 -115383 42187 -73270 -87336 
+-92302 -139927 -22120 68372 -172731 7818 20551 43299 -57105 16530 -17362 -56633 52025 -184369 37527 -171068 61229 -85076 72619 29819 -100294 141814 -67045 -75495 38272 40858 87205 -22413 88157 112191 112497 -40902 -56589 -157548 81775 2631 -72956 -114898 -110806 -44010 -159728 -129266 9123 5655 -109109 15838 -187230 -10587 68851 162730 12453 -49366 106708 -47240 -196647 9786 -218340 -8346 87072 -45061 27641 -1088 -143443 131429 24814 47355 24737 -90420 -119906 231456 124324 -57442 -105301 39116 61256 -156826 103811 125753 -48579 -38663 -228194 -75390 112122 -6884 60328 -61476 -99737 -95612 -36679 33732 -43905 78128 10252 43064 59941 147199 40665 -75951 -25938 2825 -42333 -73938 67936 98683 -75505 213999 -109666 38682 123119 21634 -64761 90269 -113559 196222 -14257 -121951 -17996 -191374 28543 159496 61823 134363 -14014 248263 136342 -35955 15702 -105963 -81746 58177 -17896 57848 -177365 -123353 58818 -64753 85189 -52355 -15431 139676 30824 229882 45506 -91009 28032 -2446 76266 147274 187667 -42962 18649 -18720 75281 -121893 88468 -37813 105800 -75497 56963 166739 58299 148880 108401 -125536 -52184 -27616 148573 -31570 -89750 59161 57249 -110634 126334 -34678 181348 7652 -44845 -4904 37620 -158800 30620 -71939 77080 33487 -74510 5607 -16819 36025 63998 -17975 50454 -8924 77837 30712 119975 46131 -119945 -172957 78164 96304 -4090 -55342 75819 150587 66141 66897 17586 56821 -131339 118639 -168108 138326 70260 -43827 -100008 -79935 26754 -31453 37263 -29557 144031 -97530 56604 -98887 152785 138262 2403 215915 -2115 103319 -160173 19251 152921 3956 -33403 -123613 61045 -252869 -31422 -36390 -67057 -11424 -109577 -48251 
+-89821 -175345 -36709 -62361 -45349 8502 128054 -1671 -215026 29790 -19535 -61450 48504 -186696 86007 -117656 15019 -24344 72712 38823 -65398 115398 -64312 -79637 35502 72348 90197 -32377 70559 207261 114098 -53134 -52375 -168210 77475 -123241 -60548 -73941 -126290 -35727 -47468 -104795 -40229 -8952 -60036 -3181 -144289 -39660 31800 148757 -1820 -36221 175592 -61630 -131225 -15666 -148458 -43824 101789 -34593 18144 44914 -6828 137502 44582 12781 -49957 61053 -120584 167733 124511 -113572 -158255 150146 64586 -168799 113397 123845 -42122 -108423 -223217 -90377 172764 8604 60346 -217579 8915 -98290 -33063 44173 -33519 73113 15200 -19188 84848 155414 29645 28162 -55245 -131967 -36852 -38259 78306 11496 -66652 213902 -114019 -83223 48586 93168 -60888 86750 -205937 169113 -9046 -93051 -13616 -194841 -68444 246705 139662 106159 11986 261375 96910 -71823 12599 -123058 -117652 111290 -67850 56152 -169998 -98394 66713 -67269 200857 -47697 -24539 136398 31962 227804 14181 -172305 53422 57991 -99246 123040 56383 -73188 75472 -43426 56853 -261938 110365 -113311 118458 -65024 100294 84070 30828 139851 172691 -32510 -33259 91824 139018 -208 -19230 99835 30977 -55399 94721 30885 145853 -39883 -43641 67734 59582 -205031 45001 -48827 -8371 110557 -83503 35302 -3063 104455 70170 1612 -72487 -14004 77068 60009 131644 44480 -130488 -196275 104694 47254 -49702 -65603 72080 117753 -46843 48995 86303 -2510 -129950 112583 -138204 125257 73278 -2858 -117886 -91903 45850 -52692 -4536 60819 52900 -65640 -21390 14192 126879 151316 -71503 235581 -3420 107350 -67178 32364 167615 22343 -105717 -104901 54999 -166291 -56578 -40135 -52552 -100075 -45189 -47112 
+-86660 -57012 -61417 -50786 27091 -15948 59585 -31524 -262564 -7562 29519 -58242 47136 -114933 134031 -72528 -21061 10408 118 45760 -21252 139095 -20116 -144615 36456 80778 41650 -37868 19158 258901 85377 24875 -30606 -190972 60945 -74527 -69101 -112682 -96454 -7772 37640 -75453 -79518 23241 287 3578 -22261 9125 -49203 139065 -51295 45698 201487 -52599 -83231 -96367 -46723 -44081 94534 -4033 2588 55021 121134 84053 63768 -29659 38262 33999 -129464 -16168 111860 -141537 -89631 210855 90681 -48697 101754 55082 -60122 -152988 -216697 -90152 143004 13795 24192 -229553 88409 -110591 -8211 17949 17522 74237 30634 -58539 24566 157717 22386 147740 -65339 -240319 -40996 -68317 60641 -29288 -38870 204218 -116306 -162153 -136709 165825 -107296 89212 -54281 116449 -7977 -102158 -15017 -184245 -27225 173659 207270 78939 8795 195409 -28668 -65590 1928 -107425 -156536 96561 -68387 46959 -151829 -95284 63900 -58076 238422 -16004 -8692 184101 34744 161266 -18636 -204238 77570 119261 -216935 -21424 -61327 -120317 22391 9995 34873 -155529 97868 -72529 47395 -57376 68557 13338 -6505 115201 146548 18121 -15846 144041 84696 25679 66768 133512 -13530 16814 16623 35565 163837 -54577 -41764 103461 80393 -188039 8685 -79002 -20703 14947 -91647 14107 -11616 130264 68549 23581 -27873 -14551 41976 11801 130825 59430 -99746 -195231 101096 2147 -62997 -99858 70706 73310 -17531 52611 78451 -146719 -111089 82724 -74644 2687 55496 -35484 -120921 -84171 51843 -46801 15864 131029 -110306 -33278 -43059 90346 102867 120267 -97546 144738 -12248 104540 -8968 28856 172407 23870 -87285 -78229 -27453 -151279 -42616 -18775 -37083 -85788 61846 -70969 
+-89606 -22767 -3969 18252 5111 -17839 -124304 -10556 -69609 52776 40581 -45924 48635 -15453 98290 -25734 -22256 33858 906 44114 17725 111686 11275 -126128 30609 99364 -64224 19747 -53839 227840 37209 102291 460 -198331 63976 34472 -50715 -92613 -68967 21466 -38767 -39859 -51206 78600 12138 26851 25974 37947 -141154 130610 -73259 174676 215738 -40180 5787 -134854 60862 -15063 112462 29009 20853 49023 139486 17420 72866 -855 126200 -130290 -117971 -44076 55204 -133142 38223 147897 77051 88569 119437 -3094 850 -167499 -181617 -76420 50244 22975 57642 -58074 108069 -141513 2409 24598 45113 73815 34872 -83429 -67916 173276 842 188911 -107614 -254049 -827 -116862 59726 7928 1150 174470 -116760 -124565 -247640 206404 -163889 74180 117345 60857 -17066 -97779 -11090 -143102 102168 45275 268966 78078 -2045 203947 -88969 -73079 -12597 -99389 -107769 20990 -89873 49079 -124295 -69543 46474 -68601 196100 20203 -17283 165334 36838 71565 -68706 -135773 88907 175119 -134178 -191308 -69558 -103035 2820 17819 9464 23161 108910 -66153 31034 -77274 100486 -40569 -50487 95302 58741 -26200 -7579 41887 25954 3243 120393 135802 -58361 75283 -104130 -49635 200712 -26625 -34321 82352 83344 -148468 1555 -73812 -95359 -165506 -101895 22492 -52113 81388 32732 46929 121295 -3705 -21707 38074 78472 71220 -2229 -161321 58945 -44217 -92228 -109903 53610 26387 120537 15361 142621 -162590 -105962 30036 7965 -123965 -15669 -31582 -108427 -66193 36936 -16800 15403 189169 -214134 -33208 -121078 195100 132447 119750 -36381 16744 -17587 94822 -51728 25047 143287 25331 -6778 -46805 -40869 -110236 -24257 -29894 -90875 41225 114325 -73753 
+-88338 -35910 -4903 139114 -121332 -21273 -156831 -13788 94479 17237 29301 -44005 54125 80427 26712 15911 10018 65920 6958 71773 45886 113450 49687 -76742 29518 103341 -91922 -10378 -72725 140585 -53506 58514 -40920 -206091 97628 180482 -39552 -105452 4390 -38859 -178222 -63226 12484 148804 -36268 34789 -61420 45519 -203626 100737 -33598 209556 172672 -57150 38900 -112237 66134 -18587 115482 33536 38897 37075 29714 22377 70212 30049 163363 -225846 -108200 75083 13630 -91245 145257 32463 59485 99512 95939 -66459 47692 -169511 -162727 -25405 -41218 6866 -1496 77807 19605 -138039 22841 14308 -7504 73649 27641 -128425 -129061 168290 -28879 118887 -113802 -206611 603 -149481 37609 99009 44035 121587 -114944 -8249 -171695 160518 -162443 64864 53862 3776 -2198 -136826 -15286 -98581 136987 -60021 274526 32460 -3822 128339 17529 -28455 -33949 -180623 -41238 -78061 22007 62709 -89089 -51027 61786 -48952 153176 52733 -13178 109717 36621 -12742 -57064 -56610 85294 205253 50039 -126487 1485 -135310 -68959 10382 -12648 122230 106418 -28465 -17917 -78331 87611 46702 -86582 129857 -5755 -103734 -876 -86618 -58670 34081 148645 100417 -109464 96512 -196444 -110937 224550 -29347 -39098 27903 88555 -86536 -13470 -71561 -39404 -192919 -104197 -5955 -78947 -26771 34763 65918 187010 -14503 -23292 35847 54247 85654 45090 -120081 86042 -35461 -70916 -126908 32647 -69460 225636 4136 196572 -59156 -66213 -44489 160746 -183397 -69360 -44303 -81449 -52728 71176 35308 23478 222615 -122051 -50654 -100978 151485 160671 92074 33694 -113764 -16531 81393 -148400 43231 127517 22829 19388 -53661 -1866 -36925 28779 -56371 -80785 57704 86992 -37899 
+-89873 -119707 -27169 157390 -145023 -23818 804 -6798 69975 22866 -5037 -44156 53371 164335 11 71168 75776 68230 18905 31185 70184 58447 67552 -94084 30375 97439 1507 -8510 -89833 31647 -129832 9778 -41109 -212349 83611 172009 -54887 -109786 33347 -27192 -201737 -65407 91193 185116 -89218 16559 -183471 68574 -232790 88795 -67621 64262 88178 -44424 48757 -40638 -5096 236 118478 203 48661 8582 -109834 57634 54101 50582 58376 -123068 -107459 207425 14659 -29696 226972 -33060 40842 -79259 61070 -103694 74209 -141817 -126681 10070 -89933 -18507 27423 46431 -91162 -161167 8603 -22007 -2484 73951 41714 -163601 -124203 164971 -44280 -6855 -105337 -81970 -25397 -136210 45034 132258 80701 71918 -111500 103854 15900 79334 -173757 44295 -135488 -54182 5460 -93354 -19524 -47549 31629 -17037 266767 10354 26159 86159 117212 -9623 -53694 -127530 -63465 -104860 58209 60928 -48657 -20477 53802 -61259 10042 62539 -2140 72168 34323 -50806 -60597 22643 67693 224431 117922 116358 141214 -115846 -140181 29890 -41814 29739 100265 -9632 -38101 -66951 76663 129472 -91488 88854 16605 -194173 -13362 -64953 -138807 18293 128572 61537 -148208 92929 -146571 -89746 222892 -36438 -39383 -65900 97228 -30617 17269 -68221 45807 -76057 -122796 4949 -139868 -50404 23209 63853 126594 9630 -96865 65007 8519 83481 13927 -79550 92492 -28243 -120955 -112109 -3930 -146701 208779 42434 209991 40477 -10270 -74750 152523 -193532 -115593 -57529 -44705 -60409 48937 94625 31961 224902 40136 -91551 -104774 51684 145383 63561 39883 -85488 -18964 69705 -178566 7634 96669 7942 16173 -90293 81935 14129 54701 -22071 -115404 14329 -24796 -23489 
+-86658 -145804 -11787 55264 -133813 -13003 120277 7315 -45408 3412 -10177 -52342 57871 176872 -50762 66906 122922 53529 13977 16897 90745 53547 21890 -93053 28547 88555 90577 22742 -126165 -61944 -207936 -69237 -82532 -218242 62666 29997 -59466 -63546 68941 -41119 -84713 -94574 156867 184701 -100269 -2942 -162886 44067 -252196 66150 -22126 -69604 -5765 -69017 31049 11123 -118255 -31298 123239 -36513 57564 22011 -184085 143824 34605 25417 -11797 43774 -104700 151400 6923 21103 192608 35836 58136 -179574 72903 -75765 91473 -98387 -88780 79823 -26409 -36276 60149 -135830 -136057 -134155 -21174 42181 -45237 77486 44718 -164182 -65805 179803 -38421 -89391 -70560 57451 10350 -80926 41049 99088 97889 27870 -105999 116450 122769 -1069 -169720 54196 -200088 -98866 -33896 -84112 -27554 -2743 -66439 122076 201845 13816 -5136 37989 112640 -7349 -83672 -112884 -117941 -113722 122383 72433 -6028 -25956 30425 -26297 -61614 12992 6853 45415 31590 -27473 -1092 21667 42689 210771 -29564 157614 187095 -93606 -197044 36979 -40411 -112534 123204 -6590 -76591 -68944 56095 178507 -73171 85467 101239 -196692 -40783 38622 -210547 35101 68274 28994 -181007 46749 -40266 6035 238200 -14998 -43863 -118783 97645 -13502 7437 -25984 139188 91424 -135594 -9881 -119214 -21410 20654 57458 -56712 -9375 -83132 82945 25678 76831 -31943 -81543 78433 9052 -57399 -114783 -20488 -149165 96656 81901 208146 40919 -14153 -26457 46093 -103216 -110930 -45443 -3587 -79804 23892 157942 5564 179102 140077 -133565 -87429 -78502 131163 47617 -27154 63025 -23053 62236 -66535 16371 68702 11713 -98767 -117690 58015 63081 37418 -27903 -70995 -111449 -90673 -28180 
+-82234 -98241 -20004 -48099 -60827 -19063 38700 49819 -248011 -24718 -5493 -57352 57673 115127 -152321 102885 115614 22464 30563 19073 88800 4543 4154 -82450 25160 57908 79777 -2907 -114167 -101591 -224056 -70117 -26521 -197840 56960 -109383 -78750 -47159 48843 -25916 -103 -130610 174828 160961 -30252 3006 -60357 40766 -167730 53332 44743 -34308 -81772 -69709 3691 17831 -204820 -25262 127497 -41975 48007 23176 -131318 131941 20100 -17286 7346 57867 -86323 -9523 28106 51007 76322 149170 73123 -96544 65794 -42296 128907 -34779 -40316 67731 70367 -48879 83277 -250469 -118894 -159635 -19454 19753 -45517 79719 53092 -204822 30245 171600 -87627 -63307 -39914 111511 -37702 -52750 45492 22300 95628 -10192 -98636 26120 41055 -37228 -160729 40828 -68900 -119137 2536 -108294 -21433 17466 -32305 230057 120458 -53169 8786 -13493 -12226 8000 -109426 -154179 -143814 -62842 145131 67670 36069 -19512 88842 -44847 -57972 -13187 -20513 28186 30947 51157 60752 -13032 17312 178104 -193694 -2377 180476 -50230 -178423 42483 -42286 -216688 114093 -16982 -32841 -70897 23937 162507 -37074 54126 173871 -174494 -51374 126066 -246543 76371 -11452 31157 -220564 -14248 65880 87980 234304 -5913 -37747 -204916 99336 46237 8635 -64973 162082 78945 -130453 37644 -94841 71463 12719 36469 -60068 -5507 -60332 125541 72447 89736 -125339 -85069 112433 56159 -32647 -71921 -64032 -210720 -13656 107947 191553 -59463 35042 13558 -49492 -40089 -72473 -19489 35197 -90236 19553 208513 23064 104530 44343 -157271 -31200 -81807 133476 105589 -103275 207563 -37923 62511 -9996 3387 29681 13706 -117790 -115556 -10993 58801 64996 -57719 -42776 -76587 -86064 -18947 
+-81430 -14205 -14493 -84874 -6919 -3181 -123846 77228 -234663 6447 15343 -59061 53128 12275 -189689 83345 118734 -9258 55310 47336 85908 -15737 -45605 -95625 21997 29585 27422 25796 -90034 -46591 -213131 -1878 25445 -203648 59373 -119909 -86789 -43805 44901 -36505 19614 -147071 139662 96681 16809 26231 45450 67569 -120030 30423 55606 74929 -125697 -46443 -37898 -20024 -191705 20339 124716 -16348 35625 44531 18259 97303 16017 508 88364 -92683 -83029 -99120 65848 43144 -76099 210221 105305 69331 11950 51736 124085 52571 -2874 21117 163083 -46034 71596 -163197 -48605 -140137 -22503 -10690 13843 82194 47944 -168688 63303 174611 -91465 50705 -55702 104788 8474 -45837 48442 -29382 71763 -47949 -89101 -98225 -145808 -8483 -113002 31486 119787 -126318 -4855 -64820 -26246 17439 100009 208733 43967 -85563 50199 -33856 -75401 23858 -127810 -139513 -116057 41602 98291 49422 75064 -14958 79244 -13234 58505 -55868 -5469 50997 32613 144166 83398 -77202 3626 123759 -196136 -194153 9635 -30941 -116181 10104 -32775 -108325 123934 -52071 -3915 -80152 -11275 92500 12998 28255 149809 -89519 -50229 47686 -249633 82265 -95868 63116 -224512 -79242 134529 2952 217494 -336 -39805 -218558 80062 -2273 -19514 -70278 182103 -83872 -134429 49618 -41563 111182 -12557 13912 52554 -10531 -46862 122260 124948 95883 -150951 -61325 61826 116504 14639 -69974 -62660 -179957 -34732 137915 170570 -166706 87898 44362 -139955 64447 -23398 -43546 66741 -83039 50270 242173 12890 29217 -112346 -158316 36786 -5985 100452 105647 -109026 254828 -36359 69999 -40035 -20865 13897 -14599 -77309 -94335 -36959 91902 43391 -37368 -75334 33428 -19239 -6784 
+-99089 -33894 -43019 44483 -3839 1291 -148526 81659 -117327 -25541 37138 -56013 48877 -98159 -259456 70145 76964 -62889 102026 66389 70428 -64851 -64370 -107260 31964 2707 -99298 19817 -36772 45265 -173178 112728 -42489 -192918 56580 -8540 -92359 -66681 26247 -38081 -118427 -160043 71895 24372 13250 34955 5440 19512 -46630 -1440 105719 186584 -155933 -57849 -121400 -95800 -85506 -21475 127835 17285 14903 26055 133112 25637 25335 32807 165216 -225131 -69810 88058 83670 3925 -155083 146778 69750 85171 65117 110473 106599 123779 26960 -33638 167035 -22117 60089 20778 37247 -161062 -10274 -17287 28623 83518 66024 -136013 45783 172727 -86623 162457 -14719 9505 -30470 -96681 34264 16581 33886 -78996 -78075 -168531 -249263 70384 -94996 78778 57837 -108782 -12850 -75997 -28277 -9553 138793 62386 -21338 -157686 -8392 -36527 -22079 -261 -149447 -85078 -60724 98595 12430 55646 107479 403 69846 -42636 140901 -41812 -11482 64545 35347 216976 142989 -139891 3781 65136 -11525 -145943 -78998 -39894 -53966 2593 -7147 42139 100464 -69205 35154 -59233 22054 34499 47210 34781 69514 5337 -64133 -14305 -212033 105199 -149060 102613 -216046 -144772 62143 -71423 228274 15710 -44674 -196361 65537 -59306 5323 -94587 132233 -207739 -135974 20098 15745 106733 8304 -6429 205858 -15365 9806 98046 142465 90208 -118152 -22637 106886 161616 56418 -19037 -86037 -153804 68334 119164 175431 -144009 90672 106499 -211632 135414 33194 -9906 85080 -67374 45726 247571 39895 -39830 -197826 -141943 86550 133255 125809 128652 -3572 137095 -46551 82433 -150999 -9425 -33697 4456 -35506 -59255 1416 101488 28534 -51127 -93782 107231 86888 -10840 
+-79129 -105058 -49660 172681 -91310 34881 -12482 116088 81719 24598 20600 -47715 48333 -172547 -325748 29137 6715 -111389 62796 60892 64861 -100255 -79463 -84540 21730 -26284 -82573 22927 1529 159942 -111557 61417 -58433 -173731 82161 159017 -92147 -11226 -25614 -20379 -204686 -154341 -32389 3916 -38428 17223 -128163 20049 54317 -16788 128758 161191 -123600 -73886 -198339 -128098 25554 -16563 130872 36782 13767 34800 120058 8226 42089 51456 106902 -163637 -62952 201661 117547 -57788 -136881 23764 66718 -63129 110507 142616 88124 162800 46473 -85852 93008 -2888 49267 85889 86186 -177431 3055 -24803 30205 84000 71177 -86597 -23877 188758 -60367 184254 -2498 -113774 16218 -131979 32368 95876 -9819 -90327 -65673 -120682 -161726 156265 -93201 76803 -128686 -72755 -7873 -64843 -31725 -53139 27071 -63269 -75616 -181697 14187 -101953 117770 -15519 -170726 -99365 -54935 94941 -50952 54349 131559 14124 58513 -44792 229715 5638 4220 145171 36980 232082 153122 -165484 18912 3224 111185 40412 -61727 -77593 10920 -1935 12493 91875 106441 -69026 68542 -54397 20816 -24828 55990 6788 -4606 -4910 -92867 -77433 -140812 111505 -170040 129506 -205880 -152054 -23816 -130506 243602 -3202 -33598 -143877 30554 -98315 11806 -59428 46923 -140798 -138835 8575 17315 1424 21537 -22946 187480 -9920 74334 91154 109188 114881 -24046 -23988 67102 207423 97113 28449 -104437 -86582 199152 116099 137478 -11683 120938 121093 -110611 152115 81040 -25579 88398 -53634 17227 230259 37174 -89972 -129376 -122469 105051 215955 125062 127086 40647 -13504 -53527 95857 -178647 -6335 -69010 -28659 85887 -49292 70022 95399 23258 -64047 -114860 -12398 104420 28466 
+-82864 -153277 -60142 172083 -154240 48627 119383 138440 82575 3797 -5983 -36864 45675 -183893 -318614 -20935 -34893 -156025 82752 39244 25728 -135257 -22758 -109747 16514 -59260 -11972 22793 49156 244653 -19103 19660 -46323 -148319 74441 219327 -93426 -13154 -108207 -42841 -169556 -104801 -72551 14661 -111978 -2668 -196932 -13045 82844 -36217 120850 7780 -35712 -29649 -246342 -107889 86263 -12427 134076 8782 22904 24042 11931 61726 63336 50313 3653 18844 -58210 151507 127421 -112644 -18291 -29749 50253 -187771 97189 104769 54870 169041 71373 -94094 -11952 16745 15144 -32187 62765 -121196 28398 -12823 -17705 80648 75258 -36710 -105675 187564 -11222 98346 -78058 -239817 -20913 -140318 59984 133943 -48201 -101456 -52034 4117 22829 199323 -32403 74262 -176798 -23204 -7501 -76726 -38113 -103052 -76017 -15918 -97972 -203468 21894 -99461 153900 -12767 -184405 -57281 -121882 55637 -69834 47677 145462 969 35176 -41929 220782 33019 19049 139567 36252 188283 199922 -131976 43564 -53399 44961 172516 48240 -89950 61060 -8087 42466 57640 112480 -72704 87428 -74882 61292 50013 34798 -14561 13267 -77915 -105232 1620 -54545 129922 -140530 133161 -177411 -130758 -126445 -80229 252853 -59503 -31973 -59006 20864 -159693 18884 -28847 -30562 23832 -141659 -15790 -54125 -59696 20770 -24265 34808 -11866 98030 118232 63083 111607 14589 11142 69401 237773 137276 -7867 -89781 28131 232624 103597 85221 54093 136461 91187 -11269 93964 72703 -54443 75941 -59907 34762 193779 4743 -102255 38293 -70430 137353 169368 116271 90106 44192 -113767 -49931 105468 -132494 -20536 -78550 -16942 -43062 -67999 68583 50853 8009 -51380 -99289 -87252 55414 21351 
+-69820 -108547 -19311 70575 -132411 67552 68206 137635 -49172 1946 -21367 -42753 49506 -127910 -262399 -68471 -19860 -199607 43772 10445 -4079 -170182 -1806 -83760 23368 -72212 75101 43836 94205 258613 48643 -42927 -31745 -142053 106924 105236 -79427 -12357 -105664 -48918 -42195 -51431 -72487 40002 -91500 2472 -102904 -35313 113249 -48999 91045 -68407 22712 -60311 -252652 -52075 45942 -15402 130099 -24398 43320 32844 -127886 114501 73373 9668 -6494 73468 -70850 951 128791 -142573 128082 32703 60063 -128837 103866 49661 1426 176852 73498 -119082 -85271 24887 33053 -203810 -49585 -129167 14234 -7876 -54235 81879 83948 421 -125576 195556 -4704 -27096 -109464 -263248 -44176 -110192 61264 100899 -71940 -75396 -36893 107708 122174 172168 3740 108614 -94148 34556 -7091 -33711 -37425 -161641 -30590 97316 -71073 -225660 -4259 -91699 7822 -9147 -188666 -49515 -177666 -43162 -94486 60506 147781 -2285 40905 -13147 179353 62867 -11884 162377 33555 102590 182539 -76600 69085 -95504 -151215 105710 208621 -113001 35184 -32185 59636 -108429 113004 -14745 107897 -90443 60076 124684 -6159 -48881 95199 -155614 -107308 88294 8795 117815 -78734 95024 -150422 -86331 -174093 35412 270149 -51372 -39173 18846 -5932 -175376 -3069 -61243 -84097 107122 -153996 6732 -97379 -31413 7297 -16433 -56359 -10006 120357 135792 28923 100519 44745 43669 28502 233384 184766 19992 -75867 61036 128924 49516 16158 -37167 104887 35274 73755 8676 28822 -62028 49557 -80177 26834 132733 9112 -74873 136995 -34503 174868 88723 78593 87265 -14005 -92094 -53927 107260 -56502 -12751 -87580 -15695 -105296 -107330 -16721 13884 -16916 -29989 -46476 -89844 -51717 21038 
+-75914 -33690 -12636 -62085 -38681 63864 -97503 133522 -248067 23915 12599 -55316 54555 -33026 -216276 -119935 10483 -215794 -28044 25907 -46374 -154927 46683 -74278 20353 -84882 121417 27290 147115 196909 98515 -53964 -27388 -98636 72195 -55715 -73196 -13791 -102777 -37195 39633 -41108 -34189 98989 -57299 25664 2905 -27686 94711 -49671 107620 -9802 134102 -57043 -226140 4385 -57117 -34999 128569 -47448 50086 34287 -188097 150151 70797 -10572 77155 -58686 -73653 -75484 91692 -129256 223631 154181 60099 57908 97632 -10668 -5286 137360 75233 -67614 -57815 11662 47867 -251792 -100530 -108686 -14184 -7110 -45384 79451 87150 41040 -101147 207816 24270 -93456 -112927 -230875 12997 -77381 46966 19226 -73125 -66203 -20573 110630 34524 93606 28738 91085 93120 90183 -12412 -24257 -43495 -183849 98828 216822 -36045 -253531 20608 -77462 -93071 -60437 -193998 -63952 -127203 -117515 -58541 48519 139496 -1746 36230 -38632 38043 28770 -11885 172507 31258 2622 172996 -1114 85884 -110546 -215800 -150455 181123 -119158 15089 -17074 75902 -170986 105787 -30241 108802 -71966 111264 162183 -46986 -59622 169091 -201504 -128487 82131 90311 84630 -76 58863 -82880 -40242 -134532 57592 207601 -50016 -41080 83630 -10725 -181179 -27270 -80468 -94833 13211 -153117 44959 -125624 4948 -15067 4244 -9709 3458 92862 117627 10694 109965 -17456 57809 48592 213967 214194 -10582 -61522 103449 -4569 53516 -19945 -151952 154826 -49099 138465 -106731 -24830 -52425 12845 -90802 33959 70400 27675 -16360 58363 -38119 113330 -44684 108568 49592 -105934 28021 -52393 102578 17720 -12213 -108666 -6186 -92361 -125599 -40177 -39803 -57597 -19183 -61245 24673 -100802 47317 
+-101515 -37282 14234 -53186 -5093 71584 -164670 137940 -273219 18038 27074 -61409 56848 81040 -128989 -168339 50058 -226410 -2239 52746 -91494 -180760 72382 -94918 18311 -80706 63 25844 155747 88131 117216 -23418 1553 -72924 74201 -138167 -64218 -33924 -97263 -36537 -39602 -27207 41744 157290 23112 35103 12848 -38707 51588 -37027 53176 114786 184588 -60498 -177102 32621 -174014 -23368 120855 -29404 52882 32497 -103504 84812 56564 4100 154814 -214302 -82872 50051 62392 -95680 210118 211714 91769 102346 95828 -89286 -34510 80283 57408 -58066 38621 -1461 56188 -85624 -127988 -82056 -30330 -28985 27532 76498 91446 81313 -4843 190872 28661 -48338 -86900 -109736 1162 -40080 58049 -29786 -54398 -20348 -3175 14140 -154558 19083 64119 86414 82480 146390 -11755 -72927 -39406 -195284 142421 230967 56090 -226638 -780 -47243 -26075 -72177 -189248 -30463 -46011 -119221 58653 52768 120439 -16499 51252 -42368 -37194 -9560 -21110 137089 31157 -49483 133098 16273 88873 -111264 -92384 -184061 107848 -132649 -79856 -48225 75535 -140464 99663 -32226 18919 -87708 42000 197058 -84502 -78042 159540 -183409 -130471 -24517 145592 102374 74046 34336 -35261 38320 -4978 -34485 232602 -65505 -34508 89501 -37358 -186430 -3827 -63773 -54110 -164572 -139076 58821 -145183 85760 -17484 24676 127969 -959 13230 111117 44680 92627 -102080 68572 55129 171099 248616 13752 -56161 127763 -28692 46679 -49989 -188517 128030 -51781 143244 -196287 -95745 -57920 -27908 -86704 49674 10722 13502 63844 -118156 -39676 55574 -125252 85767 12920 -60579 194049 -54218 91505 -90936 2755 -115575 -49222 23723 -112897 -2337 -95655 -52497 -26973 -66365 66202 -54045 49290 
+-87701 -80463 1748 30618 -23291 54071 -35870 100476 -91319 23122 13175 -59444 56628 158124 -95549 -213746 95668 -218440 10351 57002 -126940 -165031 45323 -90247 20559 -74239 -90717 24654 162378 -24315 113728 90036 -18167 -53497 62227 -68611 -57615 -20451 -32397 -4054 -171780 -41290 130035 178161 30096 17948 -100958 -29490 -11171 -38580 27366 201563 223909 -84969 -117481 -27565 -206011 -5174 123501 12657 42682 44497 30054 10854 35501 63516 119019 -186844 -84414 194592 27782 -29987 111796 153293 72912 -14092 91366 -94286 -39326 20828 25645 6859 140651 -26656 30931 74315 -107819 -73448 -12686 -18951 18088 75031 103972 75194 61567 185945 42014 72969 -93944 25073 -10074 -78799 60908 -2932 -18508 14094 14311 -109328 -249807 -29625 74934 87858 -95079 185009 -12954 -59043 -44755 -183578 25771 92112 144909 -193834 24103 3085 104514 -62316 -175955 -50640 -37736 -57609 97685 48905 91998 -26929 69641 -50918 -66737 -39256 -2224 87190 33283 -37523 80149 1552 76765 -91955 91113 -16842 -32429 -106626 -153152 -64487 79781 48369 88536 -43705 -54087 -66824 111363 96093 -93517 -101436 64958 -89151 -113686 -29883 141897 94621 131958 41710 5506 65417 104982 -115625 212475 5649 -33505 57532 -50867 -113619 20560 -57725 101417 -186604 -136539 12422 -99759 130380 -13807 46646 173479 -5948 -34203 113185 54185 112245 -155423 82230 61092 120805 256287 -64722 -26173 154149 38661 23361 -89106 -63725 113477 -44054 16716 -235544 -123436 -21274 -67047 -65893 33126 -26421 31474 132676 -205769 -73251 63523 -49399 35543 82416 -11006 269180 -52089 77831 -182237 7800 -110691 -34391 43369 -71953 46340 -125481 -35217 -37896 -64163 -5626 43180 54463 
+-93562 -153057 7405 148182 -102949 26780 112165 64951 81579 -37254 7789 -51184 55635 173596 4083 -217550 131801 -194638 42536 51655 -165018 -158354 6317 -75406 15574 -70834 -86862 25371 163756 -95007 56369 108561 -52434 -31390 60508 91584 -52443 27171 -12925 -28176 -193528 -65020 183889 188119 -63260 -2430 -186161 -55727 -104955 -18276 -8035 156314 208650 -59778 -37487 -89438 -148415 17857 114916 31128 23185 19792 133931 11050 20548 42132 20552 -17354 -99978 168829 2268 22040 -23420 25524 75834 -164291 112229 -84114 -63241 -63564 -1721 26846 176782 -40237 50191 45891 -12541 -83286 -22566 -11775 6237 73457 101263 68553 68729 193527 10133 174231 -47533 101214 8405 -120172 81038 95957 24327 56081 32766 -168063 -153590 -20446 65400 71320 -187358 205580 711 -42934 -47086 -153134 -73059 -47258 239560 -204279 28395 14629 129169 -48659 -161881 -57126 -88596 9645 149138 66940 56434 -48953 71692 -53630 -2244 -45287 2457 64016 36071 27846 23702 -95917 53540 -38544 102557 142835 -97180 -69046 -204839 -103563 78391 98751 87885 -31627 -22034 -76926 89267 23951 -78167 -111908 -6772 -11660 -109279 -7699 104716 112530 140264 67621 38278 102120 138184 -119690 161575 -24633 -30681 10150 -56940 -70828 15793 -56441 162136 -60578 -131684 -22847 -48776 48266 3364 60497 79873 9588 -52322 78768 125414 86929 -107226 107979 56782 57392 239558 -105435 10670 121380 170155 -2868 -106013 40292 61968 4437 -113960 -128585 -116451 -22392 -98672 -53211 -5209 -54180 34965 196210 -128116 -109432 -43951 52374 62250 111508 47400 186625 -49552 67176 -155219 -3640 -118588 -26347 -3300 -47988 63933 -189911 -14647 -30936 -87663 -94550 121508 92940 
+-81502 -134225 -31169 158991 -167339 26304 70376 57066 112556 5088 -9464 -42614 51512 123004 -2186 -232138 117135 -156555 66831 26727 -185655 -164829 -25525 -74137 20168 -37313 16348 16517 121311 -95453 -7742 69013 -15691 -9566 85071 181243 -50347 -21713 58273 6288 -99751 -138053 178729 141103 -109062 1957 -149258 14671 -172180 -8394 -58469 8927 150709 -57411 -15863 -128804 -25515 -65542 103362 17898 12376 28720 126390 62807 15658 29101 -22122 89109 -105844 -12785 -17221 48165 -145596 -33150 43712 -147650 94840 -20613 -11412 -119624 -51554 28884 129535 -47167 41057 -110336 73922 -71609 1567 -16170 -58704 71184 118910 69322 1483 183481 -33505 176064 -24189 101441 -24745 -152970 77665 143504 64948 106937 51587 -102565 32511 44786 80654 51854 -62298 211767 -18355 -72839 -40510 -103249 -36290 -69282 256923 -127578 33273 77612 51284 -42340 -145769 -69519 -116079 85171 95628 69133 16015 -77872 43317 -69852 103911 -13252 -8734 41036 37060 120861 -18958 -148424 28334 17861 -77404 127141 -18801 -61656 -149972 -101931 56959 56222 83321 -89472 -67461 -72057 36726 -32735 -40389 -125509 6525 18370 -121634 111765 56947 110093 114294 111625 51936 100231 37103 -40360 194996 -35283 -34376 -84741 -72423 -20843 -2047 -48752 187477 84181 -124938 -10245 -8583 -7458 -7997 67693 -50918 -12163 -82384 67687 140573 89314 -56775 116123 27323 23759 230458 -80224 32733 45563 226352 32916 -124543 38383 33547 79105 -162865 -94424 -77275 -26317 -117774 -57173 -10105 -45582 39994 226035 39301 -143124 -82092 160938 69201 146739 9960 6455 -32943 61999 -70836 -1580 -75957 -17385 -87415 -55441 -8529 -264791 16366 -56575 -60566 -117425 85294 86555 
+-77471 -48631 -48637 70066 -143899 39313 -86082 26769 -42850 -4169 -9612 -38050 48129 28190 80682 -227139 85830 -115736 43690 23922 -189590 -124606 -47621 -72556 17229 -16432 101273 28210 53033 -19849 -83586 -11517 7839 17073 99951 166715 -52747 13319 77450 -27137 14032 -160481 122282 78236 -102756 25022 -19558 36893 -206482 6501 -13312 -77938 49880 -55115 19468 -125458 60864 6728 100644 -21532 18055 31313 1147 126547 23933 -13446 43179 -22433 -116038 -73220 29826 44409 -138153 32848 46164 39208 42026 29079 31327 -153908 -84514 13800 29269 -43223 57537 -244865 99110 -51112 35909 3719 -60633 73977 122959 37286 -84227 184715 -42210 76727 2322 18706 -30676 -138141 88372 101540 91555 148072 70023 22172 123234 134316 46986 49411 106234 185253 -24836 -47332 -39697 -51001 86761 84100 271318 -123879 18066 116262 -73576 -10215 -122350 -87038 -146984 129365 75639 65594 -27129 -89553 40759 -69049 208371 19979 4844 17773 35636 209593 -43370 -181132 8031 74958 -215903 -106736 99771 -23166 -137863 -128060 30010 -81700 86688 -82757 -39193 -62770 33222 -1222 12770 -114923 96742 -52356 -107799 104713 -41379 102382 62072 129254 71001 16258 -103384 31865 175785 25648 -36398 -141538 -72377 26648 -13454 -56071 157327 70405 -116184 -13570 -15551 -75132 2105 60219 -55999 -8530 -96601 51491 117418 73845 -2002 82799 33822 -26063 202949 -164046 49839 -67019 163107 79706 -93298 -99640 -1078 119350 -155264 90875 9313 -28787 -121264 -80116 -7538 -21232 45625 211909 135881 -161209 -101275 227656 20847 139325 -57774 -113319 -42100 63678 -33055 7819 -59887 -51717 -114670 -89691 -41411 -277434 22017 -38535 -57604 -2642 -9972 90241 
+-83381 -8721 -9027 -63423 -18019 5357 -171927 -6159 -224631 12873 25674 -39408 47331 -83478 124138 -200544 25696 -56568 61019 27143 -191749 -129022 -56331 -98944 9217 11137 109276 18893 5090 104831 -146734 -65819 -35826 51994 74380 -11699 -64008 -13017 78490 -20395 14952 -123232 50672 25486 -50587 35242 56085 17223 -242375 44551 -63527 -26093 -48742 -29358 60998 -34095 81809 -6602 95327 -46154 17264 92549 -139043 153670 41935 -2143 108489 -196724 -122550 54123 42122 -4698 -43154 154594 56058 138044 36426 117020 64732 -188861 -132006 -31666 -59186 -28344 34922 -180974 50608 -53820 12701 4401 -18886 75234 128376 -3949 -124706 197076 -83260 -45136 -27318 -92242 15967 -89150 84418 22043 98831 187252 88565 108534 25532 197597 16215 50640 74170 147825 -8482 -23197 -39452 -4781 139324 196989 250099 -70313 32439 175484 -43914 -4037 -93188 -99120 -87649 64753 -20967 64062 -69089 -116127 59383 -64295 264577 53882 -27476 33117 32844 241452 -65811 -158276 1298 140050 -153014 -219329 185134 -65559 -62782 -136375 17535 -240482 96792 -82536 -19170 -80185 6430 115967 46394 -167643 167081 -133379 -106055 9706 -117505 73915 -27563 127079 53377 -41624 -149634 49222 157957 -13295 -32567 -212098 -72124 -5975 -10418 -90357 122975 -79590 -110649 33267 -45924 -52093 13959 35888 74550 -5261 -21349 53841 72001 87557 78682 102401 45738 -40894 165640 -121841 63323 -114697 23509 101006 -97431 -170696 -8338 124265 -85669 178819 35189 -69185 -108966 -90700 62048 30783 51973 169282 54177 -155965 -117271 110671 14718 95727 -105296 -67174 -31940 72464 -42437 18519 -22325 -48109 -29707 -115675 -11283 -312454 63390 -52520 -43282 75432 -79887 62218 
+-82523 -84366 -9516 -84762 -3601 -1985 -39871 -17851 -287427 18359 36437 -58838 49859 -163895 102072 -145264 -23899 -2512 58723 62978 -196083 -104064 -30334 -96834 10646 40972 -501 32846 -58162 201848 -219417 -30519 -18465 66640 77409 -124105 -81062 -6417 32044 -9442 -108174 -118211 -30343 -4341 -6021 18665 -18481 38443 -197613 76414 -50481 130647 -113263 -44336 49083 9796 -615 -17670 89403 -41449 45058 47156 -174669 90525 61096 38121 142889 -213035 -123779 210719 111137 -63254 80491 209151 81003 22472 90443 137724 93924 -179325 -159253 -67387 -67220 -3648 35959 -1478 -50325 -30256 -21262 10198 16555 76532 142264 -50647 -122740 201896 -89194 -93399 -53332 -216663 17665 -55275 85663 -26930 84925 201001 106880 103065 -162140 189650 -14612 46923 -123731 86406 -5345 -3013 -37582 13473 42655 231225 208296 -12394 -9514 177464 65360 -6926 -73540 -82609 -62687 -4930 -55581 51282 -107039 -135065 55232 -81522 195747 58817 14586 66051 30971 207861 -54507 -66463 11358 186240 29346 -117963 157144 -84824 2503 -154778 -7082 -114704 83414 -34172 11095 -76667 11932 153212 58092 -189208 159140 -188990 -107036 -37619 -201274 61448 -105609 102860 32571 -93456 -173865 -72249 129135 -24669 -34211 -241479 -78720 -23730 11507 -80816 40420 -195765 -89588 51502 -112895 29535 6112 13195 186409 3225 -11740 25310 12532 82076 10529 77351 15358 -36109 147402 -150127 82026 -182750 -43740 126421 -100762 -122629 -64380 61338 48837 130939 77495 -44002 -82844 -84061 31213 93847 28689 98329 -100693 -125137 -119824 12537 6823 95374 -42094 4756 -29427 85329 -120792 33289 -23207 -41055 3830 -125803 45116 -299412 76473 -28007 -76418 -9432 -90004 69762 
+-87076 -141297 -17585 33520 -32110 -1128 100785 -23194 -126238 -25230 16540 -62417 53790 -187881 42635 -114263 -25788 19101 45428 67022 -175617 -45381 5530 -93782 12157 56883 -86578 -18608 -80456 251983 -217060 67870 -23177 76452 44983 -110039 -84606 25564 -21920 -51917 -188948 -95382 -86714 8730 2351 -2103 -168424 57674 -191657 75611 -788 227266 -153151 -34650 8055 30506 -132911 -12655 82182 -6197 56291 18836 -94718 26353 73687 68235 78104 -47979 -128270 187236 118947 -113776 196764 144609 78386 -179156 44602 100180 92369 -139347 -191040 -96561 -5220 18784 66728 95842 -121977 -40493 -38008 6278 58431 79750 139511 -88548 -41189 208499 -111799 -30354 -89718 -253059 -7340 -56090 86613 -2556 53120 213593 124568 -4279 -249609 119139 -44625 61245 -216580 32244 -13756 -19232 -37251 14682 -63911 83862 137230 50761 16998 262136 166843 341 -48809 -93789 -56801 -102468 -103835 58909 -138892 -133160 34307 -55078 117007 23937 -16827 76009 31466 121419 -45931 -5142 33238 217891 119470 147486 58923 -116105 56588 -172701 -25622 42182 75073 -31562 68073 -113781 30590 174341 39314 -207322 74182 -182685 -89528 -26952 -252786 67887 -163796 60347 10848 -137568 -77977 -120446 101148 -44886 -30823 -194857 -57868 -65750 39320 -74400 -62123 -133897 -83083 6199 -119606 82848 9077 -7019 177155 4809 41715 36442 1290 77211 -86134 77004 36916 -6429 100457 -75896 91069 -210493 8689 188377 -27982 -6225 -81432 14644 93746 113653 73466 -50540 -46598 -69294 29425 154515 18275 19895 -209440 -84668 -81805 -66783 14854 60160 11783 173437 -13599 98740 -179752 32092 22265 -50333 -3711 -95520 67215 -280853 63762 -19776 -64792 -102898 -31061 68162 
+-91597 -141846 -22133 132020 -111896 -27167 106399 -21472 68640 -16120 -8689 -54889 55684 -131693 27284 -44333 -7207 69645 38155 39034 -163119 -19960 27915 -90282 11557 93465 -90280 12183 -89208 246184 -201438 79757 -54862 98734 55522 46510 -97639 18214 -50980 -46790 -169011 -49861 -73756 58035 -62229 1431 -177227 69450 -67216 123084 91547 121380 -155480 -60441 -86119 -2770 -215481 -26380 75600 34259 62539 46977 55128 17874 70368 43215 3219 71001 -125715 104 165682 -137523 234336 25168 64980 -199925 37523 58988 134875 -67757 -213774 -88299 111468 15897 67119 -792 -154285 -30900 -24100 -1276 19101 83654 140810 -144714 53821 200977 -77998 94944 -124292 -229210 -5879 -105939 69990 84171 10124 214544 141570 -124940 -148001 32499 -109103 60412 -51999 -22319 -4562 -14850 -29840 -10044 -40032 -23455 60075 60340 -6649 265798 82870 -42511 -24942 -93545 -109468 -147409 -87567 59060 -162009 -160445 56476 -39511 29725 -8219 -18517 111588 34018 22106 7449 8770 58754 222951 1778 176645 -54620 -118735 60310 -217338 -32612 133891 77844 -12732 83087 -82349 62280 113136 -2998 -208843 -232 -107701 -29426 46055 -244951 46091 -164749 41002 -26512 -140872 30950 -84180 90050 -43108 -31100 -125559 -51413 -108039 25629 -64965 -44132 22671 -63773 -22907 -120366 114301 16287 -26799 15481 -857 61487 29085 29340 63221 -149881 66964 73927 38684 42902 -87828 100499 -233699 133944 144343 13485 62228 -79934 -34387 147376 19089 16241 -63974 -5631 -54004 555 204889 17551 -51663 -117815 -55175 -36276 -119028 -12770 76378 53800 253100 -20368 106185 -131489 10725 47565 -56860 -58020 -67481 1109 -271290 42755 -54775 -126077 -92131 55852 75038 
+-77944 -72219 -14992 186128 -180036 -19109 -75045 -13844 103174 19204 -20240 -51163 58303 -39781 -71769 -18405 54156 72000 -23973 16631 -118961 15505 46272 -94792 6215 96247 -2165 -16712 -134439 156505 -165223 80482 -6794 98180 82770 181966 -92972 28099 -85616 -35098 -49777 -67408 -6054 128546 -87954 24375 -83495 52962 -33445 108401 58949 -50141 -97088 -48129 -124901 -79801 -178825 -28647 67307 23576 54481 -2385 146373 71627 57820 -16263 6139 6969 -126029 -67489 105417 -133831 154012 -32484 54787 8907 87721 -23404 124831 -22073 -224274 -68049 177151 11339 31644 -178219 -62538 -34612 -7123 -2710 -37491 83078 136850 -175188 71896 199163 -67764 183050 -120801 -114832 -26729 -153019 71180 135199 -31512 190568 157395 -164200 41313 -23736 -122934 92556 107267 -73450 -16609 -12849 -29629 -52269 95316 -55385 -15505 78414 5017 275417 -64739 -69285 -12174 -146276 -183647 -140333 -21012 47646 -174891 -159302 64675 -67789 -71937 -50866 -3522 144194 36503 -37134 72879 31052 80204 199708 -191686 11299 -81008 -146336 -10476 -196513 -34891 44054 71250 -14854 89450 -57814 66802 52818 -52747 -225215 2206 -63798 -46968 121216 -221108 51312 -137117 37619 -65027 -153328 84729 28740 18863 -60071 -32039 -37158 -34491 -168537 -13558 -72790 -64816 101368 -74384 -25581 -66091 54422 -7663 -26212 -64006 -13405 82846 21546 87231 67503 -120686 61040 79615 91341 14490 -73023 77567 -166931 233117 161737 42629 -5156 -98273 -67027 70227 -78445 -50163 -38541 33603 -58621 21016 237647 13238 -95725 31805 -36463 44594 17940 -36896 80287 -16880 203877 -8374 107233 -45090 43343 88703 -30298 -126107 -52638 -44348 -242850 19150 -54191 -68775 744 100926 57876 
+-98491 -15898 -18601 84908 -107033 -8199 -170338 -2133 -73058 -18530 -360 -40291 58054 75713 -174044 54977 102968 71290 17302 8734 -82761 41127 30105 -73336 5475 90183 106622 -29601 -121124 46314 -86782 -16480 -18756 112113 78890 189391 -91690 13056 -127243 -7457 27835 -24740 63919 181620 -93616 35358 22386 52907 72077 154258 148241 -60546 -47771 -50562 -194950 -120503 -81919 -22647 65291 -8190 28510 60506 107401 140936 37894 -6502 142236 -164464 -115882 49975 89499 -91177 12854 29000 53292 134035 126493 -90322 65654 67304 -229223 -29879 152436 -11386 9128 -249748 11845 -59247 10325 14010 -67230 82017 144604 -169446 43274 193763 -37674 164927 -101758 12901 -18901 -152530 62683 108504 -62626 160251 172307 -90474 122514 -31127 -129644 79091 54815 -110648 -2894 -35655 -26758 -96837 148413 63488 -68317 84218 33070 293311 -81780 -70016 5621 -133313 -117956 -34924 53852 47421 -176785 -192867 43993 -57751 -36448 -48876 -30876 173012 36970 -42395 107079 -79470 89414 161102 -208442 -178131 -14763 -117154 -64937 -219104 -33461 -156737 100717 -39263 102678 -72643 86786 7385 -86115 -228183 84695 17006 -39237 66462 -159444 -8914 -67368 69322 -103063 -59668 102449 43084 42353 -22406 -28971 20878 -10539 -182950 -1956 -80469 7460 31506 -42554 -5962 215 -13845 39207 -17031 9678 -14499 76796 12529 146184 41233 -79701 54145 84523 140592 -50803 -26618 54616 -126815 188503 75289 100146 -157332 -137233 -42492 17907 -179196 -97459 -73745 65171 -75905 20802 251862 31252 -111023 120369 -32231 57222 104251 -36436 138449 -85313 50320 -433 100441 -44187 35076 95613 -64732 -90348 -66480 -39439 -175510 -31283 -57113 -65840 83679 57027 73359 
+-82758 -51732 -9047 -51070 -12861 -27650 -58011 34644 -225073 4137 15089 -40928 54038 153169 -188082 74801 145081 42266 14121 28925 -44299 77264 18778 -125206 4014 92402 97357 -14283 -105734 -61893 -9532 -74768 -5924 111555 92346 40666 -68132 39451 -104806 3431 -34742 -65813 146114 192914 -27408 19341 6113 48753 84499 146498 83664 30106 58111 -49943 -236112 -117553 32560 14580 53906 -39812 18202 46431 -26699 136285 20912 20298 160545 -223014 -103732 203466 28072 -29002 -118623 149007 64286 44710 112107 -98920 28232 97334 -233061 -4902 64450 -37694 4317 -117552 56658 -85792 9097 19585 -34912 84983 150919 -170673 -51310 176155 -15018 54468 -60704 101292 13075 -125159 60028 31149 -74212 120563 185354 29735 18827 32371 -141082 81887 -141874 -123827 -19645 -9014 -17597 -137384 45836 216192 -101025 67196 56623 281631 26057 -36435 12360 -129670 -59131 42602 110315 56708 -167593 -175893 80428 -66346 56663 -10984 -6167 126804 34991 4574 182475 -143903 82644 118309 -35989 -134784 161958 -82065 -147812 -204183 -12891 -229203 83558 -51733 51802 -49784 83749 898 -92043 -222501 164867 -39579 -22215 -53996 -85843 -14940 13806 116923 -162471 -293 -11866 9401 46636 327 -29615 96515 7035 -163625 18311 -78090 96575 -167207 -49311 60700 -3130 -48723 24907 -2104 139896 -9504 23847 38571 138947 56034 16690 27761 73427 197809 -80078 -2350 22247 -23612 66612 70273 112017 -155981 -126240 38136 -135915 -205052 -124020 -2565 84988 -90978 33824 232323 28003 -64651 55336 -62216 124977 224586 -48757 147932 -109885 -92271 -434 88111 -93921 33513 143133 -46770 4411 -107160 39533 -133330 -1610 -42322 -55884 28248 -29639 37312 
+-58728 -142373 16911 -77178 21103 -4679 104752 70390 -256748 -45244 25229 -48785 49373 180722 -254139 89464 128724 18921 27702 70274 -7241 101492 -860 -88523 6523 82176 11374 -27405 -42812 -98101 54586 -23563 -29290 101454 77411 -122191 -68689 37449 -59169 -41273 -161092 -99390 194799 169510 26787 -1757 -123103 -6992 116823 147349 108557 177215 128273 -57893 -233200 -40528 92900 -28843 56887 -43211 22062 71386 -150468 93528 14592 80655 95764 -83374 -99300 192193 20517 26653 -164388 206437 74856 -135122 144090 -73363 -4712 163778 -197959 66131 -32295 -44478 52579 58783 96012 -46031 13663 -12241 24350 82628 157998 -156407 -119594 168187 45426 -60867 -41721 114381 -9348 -54536 25177 -23019 -64732 75308 197776 122209 -169796 103541 -160216 87696 -232331 -121757 -17595 -54628 -19639 -176101 -69896 226901 -67918 51894 28244 244702 170339 -57011 15256 -129791 -41823 124330 144548 49198 -147511 -175987 50985 -39122 127720 35817 -24123 123591 32173 103378 209179 -181264 63633 50010 107634 84459 177593 -71795 -174833 -184403 16816 -145268 95743 -102197 18999 -55475 98838 56728 -74798 -234287 164971 -109755 3155 -45014 -2357 -4768 93967 134152 -189527 41298 -135971 -98804 8870 7550 -30625 78913 15749 -139167 -13601 -133835 172948 -193190 -40604 32310 -20397 722 51946 24786 197276 -8235 7711 19444 107416 33861 34024 -3771 115990 217818 -105616 22063 1703 23156 -24700 28675 161600 -82470 -126228 73143 -199770 -156205 -98787 -79541 88849 -86756 35551 193991 35649 -4917 -109648 -95149 145612 128944 -40283 119936 -13833 -89612 2325 75327 -179850 16642 157735 -26786 16235 -136565 62082 -67163 -39251 -39691 -92463 -111153 -98922 19035 
+-92805 -140874 -17687 30393 -31089 8296 98002 100039 -123601 272 5544 -57786 48394 139472 -288886 88777 74452 -30875 20198 52971 42071 101902 -41256 -61459 2128 57973 -124061 -14166 -11299 -56704 107433 17270 -76924 96831 73416 -148554 -51225 18106 -20313 -21168 -199510 -125047 161738 110092 4472 941 -198439 -13332 125409 129783 125915 183915 197140 -46210 -243644 4010 45996 1178 46492 -13090 13636 34563 -178242 25112 23395 63085 -9371 62473 -87040 14000 1411 53035 -97030 151404 91037 -158774 120155 -19614 -35941 173588 -160154 17542 -77496 -57200 61055 70251 23260 -82195 -20855 -9203 34859 77317 153172 -125036 -116717 187325 52962 -90329 -4709 36367 -11731 -48421 56486 -15128 -37486 19831 208156 94077 -249337 181055 -162254 67044 -82630 -96029 -16927 -40898 -17344 -196440 -40989 124505 -28104 24484 -9431 255340 86057 -13720 10255 -114555 -71122 81521 101786 69443 -118323 -164386 41917 -35462 234400 51264 -8678 43898 30844 189206 192644 -151819 37457 -15741 67907 199699 165153 -46694 -151061 -197669 28594 22556 89245 -84340 -27510 -77756 102641 138602 -40291 -250161 81512 -208748 -42037 66598 74824 6528 137343 128426 -221690 98569 -160398 -111228 -58420 20135 -33514 58496 43083 -60676 26848 -65183 184124 -55116 -23103 5162 -53245 78148 71851 55445 41236 -9982 2319 41758 54088 17990 18192 25059 126520 233490 -86885 23888 -34912 126277 619 9634 200433 47716 -95237 119648 -153028 -64745 -59831 -47354 76789 -67009 123 136703 11678 72161 -198727 -124377 136681 57383 -68375 105752 29896 -9995 -3909 65892 -172464 17156 153499 -84425 23176 -122475 4721 -24626 -47047 -48118 -107436 -134610 -94969 29951 
+-86252 -86705 -71618 153036 -124408 20129 -52734 116354 70789 -13283 -10263 -62136 49796 34688 -269491 73566 15079 -77551 65117 36379 63691 137248 -72491 -82425 6138 46858 -58997 -20271 55140 37467 130015 67601 -74799 85113 51837 -30082 -36978 -19352 22384 -55292 -108123 -119424 84316 56079 -61794 23742 -133669 -38489 6563 128958 59262 106328 219859 -67252 -181554 28411 -80353 -24759 45239 29731 39001 25675 -91434 -4643 41391 19499 -8283 44464 -85057 -70462 -3242 43982 56391 22298 75129 -67931 80269 57500 -54366 174063 -132251 18022 -34057 -37880 77608 -85255 -74786 -99995 -33621 17811 12166 78211 150804 -82444 -66202 189904 29491 -10496 -10185 -93995 -6086 -72294 40083 64801 4533 -7629 216727 -26794 -137194 200398 -179382 70963 95211 -56206 -4457 -58166 -12241 -183013 85381 -26053 48349 47430 22383 194479 -33545 -6195 1207 -101129 -172055 49005 31502 62537 -82398 -148983 38583 -54060 224043 46196 6601 25955 31952 238593 189090 -79473 13983 -70497 -123593 45973 -9555 -29226 -137396 -178527 59076 134445 92241 -69047 -79356 -88946 66943 195447 17673 -242230 2986 -206381 -26879 96579 129844 -3873 131617 80977 -221650 133187 -154589 -50393 2529 4997 -31010 -12478 61558 -29964 -17778 -111939 172688 81853 -7228 -20180 -92353 181266 59288 65971 -45639 -11941 -86932 25884 20256 16408 -78088 -25656 101721 227994 -99747 -4194 -53963 122557 111782 33478 219354 24230 -94954 104602 -45911 10313 20720 -61064 50827 -56239 -7465 75460 19343 143618 -124281 -158124 100344 -103123 -84086 118700 6755 185383 7462 62073 -60616 22969 148967 -61327 -82985 -83565 -36154 12443 -34130 -43927 -121778 -51772 23790 8863 
+-94452 -20632 -32628 167600 -190959 40682 -169402 131868 129040 -35601 4446 -57289 49658 -78808 -261914 60251 -41392 -135704 43066 9068 74838 156375 -34703 -45406 -754 9528 10173 -26726 108505 158925 91498 91015 23020 71575 51169 138446 -49687 59662 42001 -50605 14977 -145239 15287 14177 -125992 35436 -6277 -36554 -27041 92427 41062 -70495 181912 -48586 -99903 -20846 -189764 -24725 42711 30428 59320 9872 58520 67130 61513 -19586 68115 -138976 -76025 49729 6995 3757 176218 -27865 64594 122565 107961 123207 -58057 137699 -86271 -70055 73592 -18254 70401 -237010 -124045 -109024 -55185 51613 -40657 76483 164711 -27884 19271 178013 17845 115409 -49975 -200822 913 -112876 38372 131366 47714 -47202 223314 -131592 48627 139654 -133012 61785 55005 2580 -13406 -30685 -13226 -150500 139934 -52509 127572 12355 30132 142286 -73929 -14607 -8218 -95166 -125814 -70322 -65212 64132 -41290 -138694 79783 -35251 152497 -2776 6655 12274 34658 215336 173841 1061 2446 -108986 -225533 -178499 -90806 -63298 -41991 -192566 75442 51544 93679 -22167 -43801 -82931 46011 149230 45513 -229646 2199 -161611 5214 101209 150238 -27847 109543 56347 -219212 64123 -61819 32092 -66107 -33654 -36284 -84291 74792 13787 5934 -93103 74846 68472 -16070 -21649 -131068 99470 41879 65846 -21788 -757 -78368 71816 19464 10793 -141027 -60215 84893 195340 -65883 -32493 -83540 141101 229656 23586 204152 -102838 -57605 73286 99995 130466 59715 -69952 14415 -60421 22459 13762 27363 195759 31568 -160673 83694 -116360 -110125 53368 -31996 231320 2129 65390 -17747 -1619 172788 -77089 -117563 -47677 -4415 84969 -44831 -31615 -69440 51241 105200 14747 
+-64888 -40836 -28983 26817 -113758 33888 -72429 163090 -21403 -14516 19966 -45662 56043 -155970 -237750 4310 -26294 -172203 96256 48670 90200 127106 -29187 -105132 2464 -23322 104504 -32827 145109 244513 49821 32177 16095 36426 59357 172442 -55658 32016 49959 -56911 22687 -119332 -57367 5108 -88355 20045 29521 -10120 -107276 76470 -2951 -82853 110072 -32716 -28732 -71028 -208419 -18569 36711 8316 51269 71527 134430 137550 71200 11608 166887 -234310 -69334 202409 71519 -58345 230772 34286 47643 102324 33154 123260 -29387 67414 -46510 -84312 149352 3512 57906 -219400 -125706 -142213 -16364 -4093 -56494 73858 156246 24759 74439 179532 -22339 188165 -74212 -271706 -16283 -165022 47306 116868 80950 -76911 228305 -157558 121586 62724 -119041 55049 -115441 64046 -9470 -39817 -13621 -105093 56046 40484 217113 -52068 22204 85909 9794 -16475 -32865 -97800 -66700 -142540 -93860 60540 1623 -116099 62047 -44386 48652 -27111 -10344 27531 36798 143492 94789 41222 6248 -116900 -114689 -165992 -63076 -102934 32871 -183700 88395 -133070 98706 -9478 -44783 -92737 41478 31346 55266 -239933 80466 -45708 -6040 -8015 107696 -28468 31802 33190 -194581 9856 68539 43602 -64254 -62921 -28305 -152656 85263 36478 5335 -80838 23666 -79963 -8766 13055 -106690 46849 53315 50336 110697 -1386 -120850 67619 51235 2864 -141733 -51672 103448 133347 -36592 -69892 -94355 115158 217990 66776 198881 -165358 -45265 39969 186961 174816 85587 -58059 -26203 -77428 32510 -28428 20001 226092 138859 -142608 -1037 -40828 -88594 50274 -113290 208340 1269 76521 -59912 7640 167262 -88405 -101649 -52586 36400 108611 26367 -30236 -59659 36308 109895 -4065 
+-68367 -128330 -16949 -72944 -30503 64724 100537 144292 -194485 -3869 23130 -41897 56435 -183838 -176078 -46196 12517 -204958 43500 42311 101597 112460 11385 -85376 3132 -47377 75575 -25869 149730 266733 -5597 -51964 -19846 18644 62942 101358 -64534 -2020 75429 -36037 -115898 -83202 -89117 32079 -37979 -1363 -58283 -35793 -156104 60685 -27782 79999 18555 -48797 2769 -135083 -125818 12826 36403 -32774 50100 57303 100782 149352 71537 86796 142818 -130577 -64776 153998 69530 -112651 185326 155164 73561 -127832 36696 99983 -22425 -577 -12292 -106591 171692 20828 21545 -55432 -37837 -150646 9202 -2913 -33132 70534 166751 44932 57350 185816 -45845 150488 -103110 -226791 -27023 -139361 47904 42068 98786 -100497 231559 -80114 8662 -17521 -69979 60996 -215093 123836 -4493 -91237 -24285 -60375 -62970 200115 240132 -78984 2929 67376 126688 12349 -48146 -81519 -35589 -148619 -123574 51320 43385 -104536 54720 -16672 -58298 -57821 -45727 29666 36704 48586 47253 26985 23588 -107055 76428 -4352 28111 -103369 67985 -147829 83341 -232871 107587 -8694 -5173 -75138 35027 8331 37635 -221652 162053 16325 -33117 -69464 61944 -19367 -47080 40492 -151516 -49554 133267 -23378 -72805 -41788 -25888 -204640 89936 -6722 20470 -87905 -60686 -208224 -10544 47664 -30170 -39843 88287 38017 201352 -7036 -65591 76088 74251 -13207 -75069 -87650 83113 87330 -14686 -75764 -107608 34490 105779 87110 191848 -128772 7923 -34447 161723 152352 59237 -9056 -65368 -90486 64240 -48731 -17055 213333 53610 -106876 -33958 126357 -77482 76491 -66872 73247 -8598 88663 -130276 6081 157615 -49316 43235 -87228 71668 92855 24135 -25352 -44594 -91091 20962 -2555 
+-81586 -159600 -13199 -69247 19267 51772 104827 122342 -272614 2972 41066 -41506 58000 -146262 -76009 -99707 58168 -221041 27768 53868 86541 108556 17762 -54955 218 -66364 -15052 -18975 165782 207675 -111380 -66501 -67353 -6753 79072 -51253 -81732 5785 22134 -39502 -203270 -55561 -64487 85737 8578 491 -167799 -11198 -203855 39453 -97996 206275 -69546 -10218 53956 -122718 -5238 -1180 35602 -49495 31705 21248 -44412 86033 58328 86888 22373 35278 -71936 7639 146104 -141759 52411 211631 79735 -235780 48912 35420 62646 -74860 9247 -80088 95813 20464 27996 83576 44731 -133270 15458 29475 11895 74345 157763 55261 -4942 192037 -74132 31872 -104789 -129179 -17624 -89498 52173 -20351 94287 -101204 232283 47069 -175453 -41742 -73885 42317 -118103 173365 -8852 -35658 -19796 -13668 -40277 257735 285019 -102796 26159 -2963 94519 2325 -75395 -55838 -94177 -63508 -50298 60342 80895 -86925 60773 -42895 -54074 -47036 -3000 115216 34341 -30761 -5450 -56020 48598 -74016 107502 160999 153038 -140195 51746 -156540 71690 -144710 102328 -10728 52652 -55375 45996 -8118 1294 -238839 161595 -19678 -31360 -32516 -19264 -29402 -123490 77249 -127241 -105508 57613 -114255 -101612 -38461 -22655 -221812 100113 -27249 5436 -89219 -64496 -128965 7146 15456 -16596 -46945 93204 6595 142576 2124 -7775 82695 114988 -7657 -20065 -127870 79826 27995 58322 -128447 -98149 8135 -21036 124007 143683 2431 24406 -72897 27734 58714 6801 -24289 -97304 -87888 21141 -49437 7931 168734 -104689 -63252 -77841 163978 -93390 100129 -14441 -69831 -17995 100344 -165408 -30954 131895 -105617 41126 -106324 29629 102540 64351 -17604 -79591 -115466 -60691 -24618 
+-105351 -81783 9743 36440 -82182 64656 -49922 121535 -133506 18276 -5895 -49061 54182 -54476 -21716 -152351 95979 -221420 17732 58982 75790 80744 57253 -135759 733 -81471 -88411 -5958 157714 105375 -172179 6466 -33681 -28511 74028 -136782 -90796 26146 -58383 2761 -175448 -33143 16498 150057 -3883 23070 -162616 -13036 -233891 8357 -26765 144734 -126750 -34831 70572 -42767 73305 -26998 39845 -24039 22673 17061 -165623 35053 38953 47179 -11206 61366 -72727 -56854 130215 -133279 -71632 142947 101711 -101800 54801 -38704 81909 -149402 57569 -67570 -3740 3975 28836 20551 79211 -170336 649 26718 31665 74682 151489 69331 -103297 172092 -99605 -74115 -109134 -6761 -49218 -62763 29191 -5015 69736 -91540 231230 120156 -246975 12310 -26042 53068 100569 195203 -19593 -52728 -21943 15718 85682 128985 239136 -160041 10946 -29178 -24808 -21723 -104652 -78025 -164707 17385 -10734 48761 111799 -70070 68559 -43647 -16088 12157 7840 127379 31720 -51517 -56996 -160456 73021 -24073 -43032 86342 171760 -144059 -13605 -117994 53262 41208 98447 -59922 80178 -73725 43815 67107 -50643 -212157 93019 -56074 -38398 103805 -97838 2140 -158546 116438 -66719 -152945 -25913 -104869 -69756 -44855 -22798 -185321 91524 -90974 -6442 -52871 -63577 42629 22394 12935 5746 14268 88021 -10443 -19530 10198 33466 85778 128309 82 16387 -103984 67822 -2604 88884 -141234 -85670 -59527 -34017 159472 92556 68564 68726 -54343 -99329 -67882 -63132 -58934 -116964 -69211 13860 -21672 12935 91393 -209898 -35019 -103585 171856 -93019 148193 61885 -111028 -18596 106843 -114018 -3581 108297 -78664 -80287 -126525 -53968 68296 61375 -37890 -94654 5211 -110859 -45645 
+-92263 -15543 20775 171720 -136580 77306 -152681 80080 63322 10165 -9312 -56895 52113 77441 42324 -177563 137772 -213904 9660 26417 26686 37030 30689 -103180 1638 -85200 -81898 -14712 114314 -16393 -205910 97252 -27634 -52667 80754 -69466 -97044 -3857 -77037 -625 -34215 -73731 103671 189295 -46556 35532 -53690 -3225 -193563 -27238 -10198 42694 -174471 -38777 29252 12567 80088 -7928 36441 16424 11638 9358 -176074 4699 22058 -22950 46034 -102531 -83275 904 124191 -88296 -165613 28167 67413 83455 89254 -89470 101811 -188108 69629 -30942 -72489 -12098 32987 -167047 91883 -147592 -19967 38381 6924 76438 158626 51795 -135290 171797 -84620 -83266 -75867 75985 -11928 -57564 49483 66032 32152 -57017 228487 87458 -129318 97774 10901 92289 72219 210483 -11102 -67413 -21274 21495 140967 -15521 203103 -201000 -9124 -70991 -93501 -32442 -125739 -35213 -125657 115870 97579 52609 134143 -43823 94785 -34904 142862 42016 -2143 166776 30943 -12984 -56360 -175717 87175 29392 -207306 -139095 91458 -106182 -96672 -117707 38296 120967 109932 -63872 108249 -52236 54350 120467 -82912 -206025 7593 -144266 -70541 70760 -174952 2690 -155320 134158 -18841 -136408 -121103 -17174 -90407 -21833 -25483 -124289 79337 -182641 -1150 -75407 17940 112505 9824 -26832 221 105531 78575 -26252 -51300 5414 88380 83715 99698 -21583 33153 -120909 115402 -38737 165444 -121976 -60778 -144816 66424 173046 73062 -27236 108850 9634 -185824 -155261 -115672 -25117 -121564 -56910 43812 26941 16750 10705 -142688 -30221 -125130 75699 -107471 111807 7627 -6943 -26706 106487 6022 -26113 79525 -91457 -140150 -103660 -46896 42461 42496 -22059 -98848 26489 -43759 -47416 
+-85124 -27441 -3935 136589 -185296 46726 -83237 47746 81607 -20966 17966 -63522 48506 155592 89214 -215460 127009 -187079 45340 20021 13045 9507 10040 -107253 -753 -77861 20466 6590 53093 -80687 -214899 87556 -11553 -98173 62676 115489 -106493 -23651 -103932 -13210 32890 -77800 181290 182946 -110628 20743 35726 -1192 -159193 -27349 -18471 -74230 -158151 -58446 -3620 13455 -23646 -13964 48194 36982 12595 48506 -65657 90757 15440 -6959 149209 -228890 -93503 185872 89484 -25289 -115509 -33748 59667 121822 92258 -108758 97166 -188254 76875 27474 -57480 -28548 81222 -251398 -3409 -179987 -33465 41842 -45592 78107 152941 61350 -97457 162785 -75134 10693 -24576 116276 -43775 -87399 62758 136579 -13498 -33204 223454 -34347 54791 170452 47851 84407 -73758 199637 -3691 -89694 -26485 -1193 52490 -60672 137409 -199781 31542 -57728 3890 -55859 -147709 -65518 -96945 113045 126470 49911 146672 -24446 56004 -40597 214144 51992 14156 129293 32541 74632 -55275 -161893 87566 95265 -175756 -179029 -29850 -84787 -150575 -90187 11141 40158 113209 -61938 104914 -70279 57949 155450 -93078 -209477 -5562 -228991 -73944 3505 -234693 7918 -123940 126680 14912 -114770 -190257 57423 -119640 -10004 -30286 -28061 80131 -210937 27474 -55921 108448 13057 16732 -15515 -70324 142681 87711 -26080 30821 -4658 90739 107460 39354 -16546 -45695 -128681 22344 -45655 188776 -98188 -50744 -200068 191403 140436 23559 -167686 95529 66583 -201049 -195571 -113101 -63570 -109845 -56179 37894 91360 4352 -50105 40573 -51558 -121618 -58322 -112400 112899 -81057 143897 -28905 97797 -25821 -30275 55895 -88711 -100293 -72107 15538 -16760 33927 -26961 -65939 54786 55056 -76654 
+-86565 -109695 -19684 79039 -98966 34046 78325 36206 -2791 -14340 24466 -52272 47432 177890 148247 -232259 69805 -134072 61887 29908 -25235 -32204 -30194 -66697 -4949 -66415 110216 5251 7354 -93305 -203998 17464 -24022 -106197 72635 191312 -97245 7190 -132354 -38947 -42107 -106112 185553 159522 -112950 -1018 7805 66745 -92669 -48219 16334 -34274 -97450 -55114 -91435 -3241 -143985 1826 49790 10046 47155 48806 78853 145868 22371 38681 140465 -157044 -96372 210597 72299 23205 -4181 37843 58596 -97616 112634 -68837 121033 -166801 67554 41407 31731 -41094 59947 -155424 -112045 -171356 -31571 33295 -65757 79996 159686 19940 -21774 151230 -74534 134361 -21784 48795 -17833 -155597 55087 114493 -49404 25869 216529 -139545 120604 201593 69825 105068 -194945 167148 571 -71957 -29482 -36005 -72278 17966 44880 -201714 35309 -68957 132725 -39859 -164629 -53208 -60956 57011 147221 56389 147285 -12555 38687 -31594 249547 32874 -10405 155835 35331 163044 -21565 -84040 72508 150789 12199 -74907 -100085 -68643 -188984 -77808 -8195 -100873 110842 -87923 87520 -82430 75463 147916 -79836 -191299 72085 -156154 -105576 -73700 -250271 -8574 -53346 90026 53449 -53746 -112476 14045 -141866 22960 -28169 49073 62592 -178020 19275 -70858 171405 -153138 8211 6361 -104081 77031 92320 -14030 162439 -10553 57795 123337 19439 -44238 -126455 -140160 67050 -12547 202495 -123856 -21392 -200498 229932 102181 -18524 -180475 125511 127628 -118265 -145375 -97373 -53354 -84313 -75612 30577 150757 15017 -97834 133504 -80253 -60119 -85001 -94391 75400 -93424 272076 -32189 85859 -125926 14514 12992 -121372 809 -51176 72740 -59937 15909 -14666 -54962 -45250 119726 -54076 
+-67543 -172705 -14616 -64517 4980 41610 121611 8169 -229198 28490 26857 -43227 48061 131442 115365 -228399 38466 -87886 11115 49097 -57882 -56376 -59207 -108629 532 -55650 63557 7415 -37148 -24310 -141773 -27331 -64241 -130963 66720 144916 -76416 -24494 -122400 -36400 -152997 -146477 143404 93245 -23426 36 -135654 37500 -5137 -51780 89732 98844 -4201 -35765 -153409 -64087 -210638 1636 52598 -28983 56663 50252 148212 143752 40270 60922 68755 20902 -112761 20315 15068 52143 160411 158599 63368 -199715 111449 10935 106788 -138774 60874 41163 129587 -46175 50919 31908 -138286 -155934 -9629 37651 -472 81273 146990 -19146 61790 152347 -66783 189912 -31663 -65202 36088 -161315 89094 37563 -70984 65447 207904 -160304 -890 165885 45533 96044 -112823 119444 -4059 -63045 -33334 -90652 -44501 194945 -20652 -239094 31191 -76230 149460 -79170 -182141 1515 -76987 -16406 76991 70784 137117 -24179 50133 -43105 195762 1313 -24259 132233 37072 228624 -24228 -8266 47638 186626 122385 149025 -80498 -49734 -175056 -52860 -29053 -227233 111899 -63978 48049 -91810 108031 56005 -39701 -167303 155272 -65147 -116015 -20302 -241662 48872 29604 51284 56474 23029 18260 -53487 -125435 -10564 -25234 70859 42795 -146389 6925 -112498 208517 -199802 2992 49185 -141449 -26045 76618 1821 169541 1775 39554 148493 29678 -30949 -151233 -188049 42367 11425 230554 -88113 17122 -202837 135099 98834 -69099 -64176 151108 121211 -2386 -78018 -25280 -35133 -48471 -89287 10914 201516 42814 -99011 58330 -120682 -40924 -56154 -76287 44562 -57785 209475 -48944 72056 -175832 -1684 2915 -85897 33565 -62083 20793 -89807 -41174 -21089 -26845 -138648 86249 -64355 
+-69975 -102100 -9885 -72131 17001 -6322 -37045 -11710 -268716 -14101 14158 -35601 50485 40574 87180 -209514 -24952 -37019 25128 58828 -101620 -87117 -42038 -116728 -2882 -28579 -12000 18394 -73760 81891 -59008 -68720 -64858 -159845 79653 -19866 -73024 -6784 -56679 -38532 -198651 -134185 69201 40736 29976 22422 -199980 43773 62845 -61627 95390 198979 74701 -43976 -206384 -124684 -167799 -31298 57290 -47392 57871 5859 85389 85430 59748 53871 -8639 68456 -116399 -84238 -5412 39960 225825 211155 77355 -102889 99087 57382 66833 -46785 41661 11613 181499 -25730 10648 86050 -104057 -134459 5954 28016 10535 82141 142676 -67852 82262 147515 -1638 133515 -37578 -181737 -26473 -106687 67982 -24064 -73232 119077 197347 -66965 -184973 78500 70886 93561 87106 66893 2504 -76509 -35768 -137744 76774 240506 -61938 -190446 21268 -80593 18687 -51325 -193779 -46773 -137032 -130236 17567 50747 116578 5295 15078 -44962 114523 -41109 -22092 83589 36171 226603 46216 41635 22134 221599 23482 160995 77151 -68701 -148846 -35813 -40789 -161050 115537 -11460 -18318 -74019 102623 26768 5954 -154910 171763 13344 -156110 101879 -167451 37384 108628 30904 64113 76696 114698 -126790 -132728 -18520 -28608 105311 29633 -105203 -16952 -99896 154946 -61366 -870 31964 -118456 -55561 56190 28010 32430 2582 -8854 143832 53720 -37392 -117943 -185407 79808 67705 234559 -50727 44090 -142637 -6642 61539 -92114 43662 134713 73203 138060 44002 27311 -42228 -7417 -85589 34419 241423 27718 -67859 -106381 -156846 51478 65331 -100474 52933 27148 64828 -42165 63141 -139123 -7352 -59163 -106041 -40313 -98919 -35303 -143640 -24873 -15159 -91249 -40061 -23755 -57810 
+-90510 -37302 -51448 6368 -32070 3590 -156304 -9052 -125807 2403 -22562 -46627 56567 -72535 1665 -179272 -27138 1693 76656 57209 -131430 -109372 -36941 -66820 -5199 463 -90571 31296 -105593 187914 17566 -837 -23568 -176493 103538 -134059 -60191 -55722 4536 -10043 -105924 -128586 -3955 8285 -15319 35530 -110907 33360 108086 -60954 157010 165182 165123 -69449 -247615 -111031 -67584 -7548 61730 -32279 42972 34862 -64370 20252 72247 5352 -3528 -68956 -123782 33337 6080 -3105 206135 148443 100643 92246 116332 106718 19824 30890 6353 -29968 134898 -13515 10130 -45476 -28797 -128105 11302 27183 26625 83836 139824 -104286 18201 161395 -1506 9879 -71411 -259760 -15534 -74373 76456 -11629 -51808 147845 185279 59517 -245696 -8489 44559 65472 93010 4077 -17958 -123386 -42468 -180806 144095 155852 -80000 -151574 5233 -67090 -110010 3953 -192663 -78339 -159693 -156616 -46385 62110 86189 2967 31993 -63361 -23742 -55781 -12010 77491 33679 170339 115420 -5319 5820 217589 -162980 -32090 198135 -88787 -60900 -1240 -45982 46129 114013 -13884 -59533 -81172 70941 -12542 45317 -128183 93359 -344 -104012 103109 -94932 26858 148614 46287 64483 107978 132835 -74474 -143733 -41527 -20677 41970 -1754 -67415 -10479 -83099 60948 99371 2023 -32268 -53389 -21853 72074 52133 -64921 4621 -57716 126508 88582 -48016 -34155 -204335 76508 115117 245541 -38869 73716 -82509 -42958 33430 -137079 43286 120213 11110 141042 158856 67065 -12480 31745 -71406 18970 252547 36078 -10457 -196073 -157302 121177 172798 -71062 76899 52270 -61674 -50591 61335 -33705 20119 -68189 -80499 -122019 -126375 -34466 -211259 -43869 -41714 -138885 74915 -93145 -76260 
+-74441 -14912 17680 160893 -152863 -3042 -98904 -20482 44103 -24070 -20057 -49761 56945 -162426 -91765 -114936 15383 35235 29609 23923 -170380 -140049 5510 -96079 -4451 26313 -92030 17063 -116638 263597 83947 58668 12915 -193612 81493 -103098 -56425 -33682 65040 4373 10401 -96818 -77878 -468 -58258 21384 32700 37031 154244 -34956 99285 -328 214123 -52196 -248992 -42147 49632 -22874 72612 6849 31313 42622 -170535 20033 72899 -11336 115864 -215676 -122956 194976 20871 -60084 104854 28726 62969 88656 78105 129031 -9329 86290 -31323 -99082 29630 2573 35172 -211342 75988 -92802 9031 706 -7061 81151 128306 -158038 -59451 122155 18012 -83945 -79435 -253953 -37128 -42468 94719 62510 -16379 183430 171758 127132 -120885 -35393 35640 78314 -79212 -57707 -12370 -114736 -40038 -193593 58332 11371 -82924 -116991 19545 -20958 -17119 -10121 -190204 -98558 -109573 -116252 -96586 63189 49352 12260 58209 -50420 -53973 -26235 6679 46349 31225 75852 156580 -67644 3024 191835 -217558 -212401 177174 -104673 8038 22782 -30440 142317 91514 -23731 -57528 -82373 70490 18285 54793 -120235 11211 -58069 -139459 24923 -24724 102602 136715 85586 43873 99849 49583 18025 -123066 -69002 -23525 -25291 -28117 12582 -7201 -95705 -5246 69767 -20721 -20115 -4670 55774 71957 62601 -9722 -4516 -61917 76290 114979 -61871 11201 -193441 41440 170976 248090 5332 75286 25429 35400 5297 -119847 -92362 101028 -41998 92578 183603 84258 -25502 64358 -53319 53358 235101 -3835 72370 -140039 -148000 160927 166599 -85934 119927 -36234 -121957 -47135 68005 7977 27450 -82692 -115601 -106934 -108857 16579 -261995 -46360 -29266 -97876 49121 -92636 -76236 
+-94053 -98637 1166 175214 -141043 -25462 85783 -3241 113775 4402 11838 -62863 55709 -186418 -134849 -78403 46716 60616 29443 33987 -176127 -150228 44334 -69384 -1826 62026 25446 31362 -122234 246926 124942 103755 -20183 -196800 61699 57387 -45019 -70846 68676 18837 16786 -46643 -92776 53211 -110187 -639 14656 56937 97267 -38355 146174 -76338 229731 -36949 -236773 24518 90134 -26795 79226 34950 12869 53617 -169017 67090 59509 14830 162791 -182843 -125975 219689 68993 -116017 -33482 -28956 67492 -84207 67436 102318 -39381 146105 -70519 -74144 -61927 15089 29033 -228510 77655 -78883 -11566 5924 -41353 79775 129238 -171943 -119944 135237 53386 -73588 -105859 -142806 -18659 -56998 67175 132657 25861 222385 156927 71346 62312 4675 -1397 74650 -192254 -98059 -35541 -76278 -39814 -185892 -54352 -69147 -21670 -140977 21517 -1348 110292 1174 -175951 -86930 -48993 11387 -70020 57160 8278 6830 77548 -60064 -30486 22694 24661 56719 31050 -9776 202056 -140815 14257 145586 -67605 -120088 103308 -130787 58234 20586 -3650 75436 90119 -47959 -54538 -63898 37755 98576 37899 -118420 -720 -131093 -146112 -80945 68244 86685 94296 116267 -6463 49792 -54314 22041 -130953 -34827 -28107 -96596 -35982 25447 13924 -98137 -88613 -76017 -14044 -1740 18518 158021 72668 65364 142801 -6380 -95664 85393 127522 -53707 28977 -209142 23496 211927 223113 4177 84132 83297 173091 18704 -117985 -173136 63368 -66941 -1977 151036 35894 -14521 84156 -57348 28687 192848 41294 147283 35877 -117188 169749 116179 -75979 100631 -100857 -11103 -56080 78124 -59406 18150 -82558 -72876 -63820 -82792 65541 -308965 -38222 -22301 -77662 -33915 -10522 -66126 
+-103455 -158320 15826 87947 -91302 -18761 111988 10478 -37509 -13017 27409 -61798 51295 -145232 -212738 -11120 69045 74895 50940 49254 -204877 -181811 49824 -81922 -10937 73797 88979 41744 -103007 166219 122644 63698 -62680 -202834 54814 161696 -56334 -94030 66808 -25211 -101318 -46344 -39689 100858 -93006 -410 -104097 6018 24975 4159 78580 -4621 178882 -40359 -164370 53083 43533 -27673 78585 20821 11989 47854 -48905 139667 38962 45909 54947 -7671 -124649 38884 107598 -139893 -150879 32072 57108 -185605 69608 21552 -70087 165027 -111628 -84061 -69857 16470 59978 -76177 66871 -79388 -31203 21211 -44243 77234 128452 -174899 -116346 143983 36886 32863 -115606 -39758 -58664 -124905 79808 118308 65976 211071 141052 -54141 119649 78460 -14729 48453 -94104 -123713 -29413 -65683 -43147 -167723 -50829 13745 48283 -70304 32994 50024 149805 -7473 -166475 -90206 -49929 90822 -50425 60278 -34486 -289 65191 -59348 70688 57858 5365 65370 33261 -50679 173269 -174765 37404 89147 100221 114167 -65743 -130072 50031 24896 12421 -108847 107160 -71931 -33809 -81798 63138 175771 -1583 -89459 66564 -211435 -125984 -43762 132885 68933 19061 131435 -46157 -6401 -172614 409 -125420 -46078 -19490 -195500 -50441 18428 48721 -80577 -37818 -207259 -27676 34888 -666 94005 70710 53417 192199 -15093 -82271 90739 87146 -41904 -57295 -168440 44904 227098 177287 30998 88905 140468 231901 30657 -90300 -131475 66649 -34340 -106445 67246 -15012 -39076 89086 -73127 38804 139850 28299 204346 136001 -83126 143748 -61040 -56191 121901 -91526 149463 -58870 92874 -136214 49174 -102233 -86993 26390 -53067 55258 -299880 -27440 -35453 -49993 -135474 108033 -38154 
+-78385 -129756 -10852 -61043 -28986 -16020 -3006 31425 -210601 -14931 25881 -53189 48175 -54875 -254967 35214 114946 52054 9753 57403 -213151 -178809 38539 -107566 -7059 97529 54188 39286 -50491 48858 95857 -5337 -66671 -214714 67121 158522 -64736 -53635 30090 -7075 -200762 -8612 44765 160990 -24611 21754 -179168 -35515 -57777 29949 45181 83102 73422 -44524 -97173 -8105 -93956 -39843 85940 -15723 20904 31998 94977 151599 22754 59130 693 78874 -121122 -86453 101123 -125396 -153956 157615 53430 -137987 30063 -42989 -19245 193411 -153559 -66317 -6641 1874 78638 70587 -36367 -53902 -35888 22888 -40747 75779 116814 -168468 -42586 115567 -15964 151150 -82983 88425 739 -138013 66752 49334 93034 207917 124135 -150533 -9273 164357 -56153 59534 110758 -127967 -9053 -81382 -45028 -108076 77042 142863 121973 -10899 -992 101593 66344 -17363 -151390 -146754 -99403 110388 29081 60659 -75945 -26809 48611 -73927 181087 56624 1351 85594 36007 -29295 194879 -163809 63453 33085 81850 181738 -92062 -121271 2581 16410 30882 -215774 90219 -68394 52552 -39980 1790 184790 -44146 -69125 156545 -194809 -143799 86644 140741 107924 -63009 121227 -95045 -82777 -149618 -77110 -105914 -37204 -25012 -204814 -61911 -5402 663 -62607 -2469 -141132 -45493 -15304 -89031 47682 55225 30935 93985 -9720 -54328 52635 28314 -43384 -98188 -184129 45885 227697 135723 23710 84580 144945 156466 44379 -57144 6230 14616 10450 -179605 -23124 -77068 -68171 77878 -88552 46794 75012 18515 230128 67871 -52042 125088 -96879 -20700 125647 -25134 269510 -58271 102857 -214299 9310 -88598 -95952 -8175 -51874 -5689 -292980 -6263 -35027 -81086 -48309 106187 -57604 
+-94013 -38723 -18734 -91047 18451 -10216 -159556 66253 -266702 -2331 6235 -38449 46002 57968 -273760 64361 125284 31350 23308 57996 -182936 -159526 27444 -53262 -9470 96795 -3120 30836 -10048 -49365 16738 -65365 -62641 -217608 72370 54542 -78388 -87938 -19179 -48817 -176887 -48368 136866 193281 29414 35561 -167595 -9970 -115707 74469 16486 199751 -5039 -74718 -30039 -57345 -190902 -33692 96648 -48410 37681 55170 150474 89282 15926 22835 7894 -27473 -103651 46989 121842 -86894 -26505 207735 65305 34020 79351 -76878 -19460 159815 -193545 -13618 98861 -18535 36219 51940 -117195 -58353 -12312 -3338 32230 75994 118554 -146439 38702 121986 -37115 188134 -39644 115246 -21351 -133306 72011 -17320 98505 181008 106440 -148579 -191909 197676 -86688 41718 58690 -108146 8557 -143840 -44892 -64549 141116 244607 182868 -10210 -5865 159257 -63536 -23804 -124310 -129258 -144414 94945 104586 52346 -113303 -24351 59494 -89826 233262 18100 -34546 112150 37092 49911 163924 -106595 82749 -26840 -99882 15645 -12083 -83109 -68289 41261 48010 -127015 92559 -70829 89756 -72757 56946 94276 -83799 -44514 171956 -135256 -76423 110739 115284 121167 -128807 87261 -138679 -122249 -85774 -136010 -93941 10010 -26519 -219654 -80535 -120732 -27230 -50602 55519 22025 -46774 747 -131767 -23189 60515 9681 -63694 -18436 -12319 62849 24270 -49781 -143484 -187042 60745 201714 79226 -28893 88863 137930 31111 90913 -26503 42002 -21987 76584 -168040 -135042 -112929 -98466 52554 -88525 40583 17092 27427 216699 -100626 -31490 78690 -76414 -46399 64916 48437 207187 -54114 107588 -102750 30584 -126141 -113582 -65333 -78475 -58461 -272718 44620 -32955 -74828 47536 45575 -52401 
+-75830 -20604 -82382 12157 -28400 8886 -98821 99689 -140993 -30580 -11200 -40462 48665 146303 -280076 93724 76828 -19261 -338 47906 -168478 -167763 -9108 -63792 -6017 97601 -100055 60300 51777 -101009 -47736 -35787 -17740 -212721 88749 -93665 -89187 -104379 -42962 -35883 -50408 -91665 182281 181718 12118 22075 -7886 -45408 -181277 89849 -40520 108737 -76552 -70514 50913 -118054 -206886 10732 107226 -38806 64516 24872 74130 4938 22262 -11303 106619 -193458 -104052 195772 116944 -29235 98461 146736 95515 154189 97050 -90653 27259 122518 -215987 -7268 170934 -41635 29939 -118077 -126013 -31301 27414 22874 26527 72402 105150 -109571 80740 111624 -79961 114491 -14806 62378 -13576 -97749 45741 -11213 83935 150283 87904 -52305 -243765 180785 -115668 59914 -74056 -74625 -16041 -99110 -42733 -14175 56966 175247 258184 56523 42022 195453 -67169 -38611 -101169 -109803 -111645 16353 164738 51370 -143640 -42634 55685 -66564 244094 -14031 -21501 161703 35688 140903 137811 -10605 89094 -74268 -222732 -168364 114724 -65388 -145433 -3117 77283 25967 79021 -14004 103252 -78797 71146 -3142 -95099 -4134 98704 -37233 -65526 18252 73069 121117 -163760 55855 -165825 -129675 53767 -46726 -99695 -3232 -19716 -167084 -93176 -125045 1173 -93131 114202 105895 -60781 -20212 -134013 -76437 46869 -13609 -47531 -11184 30093 20703 13803 -62123 -137054 -157537 72010 160859 48179 -62557 57284 123627 -32139 160451 26947 -45553 -41860 123478 -24914 -206789 -115579 -7642 16788 -71466 46282 -29522 32361 163562 -200817 -43498 5678 30182 -21430 40994 10626 61429 -50849 104417 -57665 18377 -80620 -115675 -158367 -117253 -14453 -240013 73882 -55124 -110803 46036 -58478 -39786 
+-89525 -61562 -52212 138320 -143520 -4331 68185 124250 50463 5604 -7600 -41865 50610 178288 -309252 88643 39347 -57677 3555 18410 -153641 -154848 -21575 -75995 -6378 83782 -94627 41275 113593 -67611 -134292 44504 -30543 -193083 66441 -123771 -95921 -136987 -86997 -29635 31439 -116769 180459 136792 -90480 -187 38934 -40193 -218620 117720 -90875 -718 -141517 -42022 32950 -110764 -111356 24755 108547 1640 56772 53593 -80018 16158 37737 -7845 160442 -205617 -98418 184985 112000 23886 202340 25922 79858 1156 87316 -53967 53324 40929 -225257 34440 159807 -47331 32129 -258946 -76553 -48485 8466 1265 -7026 71727 99977 -71902 40754 113691 -89110 -11507 -19032 -61094 -50944 -55718 59756 62658 50166 126488 69635 72502 -111319 97388 -184344 83233 -218407 -25581 -23214 -102236 -43411 11386 -52552 35656 274467 80648 14895 220045 101295 -30255 -77243 -101114 -59329 -90376 117546 49154 -165193 -69310 66173 -52712 156211 -51799 595 161921 32970 217144 73845 32240 80095 -113835 -136364 -142900 184578 -56575 -206009 28847 78121 157772 92757 -36359 115166 -62313 36593 -15323 -72728 -8557 12303 22463 -92777 -29541 -3204 122087 -162918 33421 -202469 -130870 111012 36583 -48607 -2662 -20543 -91379 -85281 -201462 15978 -92113 200871 -9602 -71000 -11075 -110066 14997 45039 -23654 84203 -15599 65000 12851 59848 -55709 -48907 -151783 80142 110324 3154 -57637 19506 39702 2981 127296 55321 -141191 -94443 141579 33891 -174927 -87245 -34954 -24265 -54839 57029 -51079 33824 77411 -139683 -65806 -59451 160785 -28876 64942 -33425 -66891 -41092 94981 -24800 26356 -50327 -120007 -81696 -125850 67756 -195085 72149 -68683 -71534 -48430 -111186 -43266 
+-81080 -142521 -19749 172059 -141856 -10031 125702 130875 152912 -26053 10114 -55073 56686 142442 -246872 79566 -7035 -95825 86658 17639 -104968 -114355 -57718 -78550 -10148 73170 36784 44666 133665 22518 -185717 100387 -36793 -179663 78751 -15859 -99271 -119721 -138874 -39371 -37757 -142572 118056 84626 -102734 -825 -55298 -48154 -227815 118103 -83325 -81184 -156422 -60640 39502 -70113 14740 260 114783 36408 37472 55749 -178296 72768 58010 55866 134153 -44434 -87286 42231 73939 49060 217199 -31661 69361 -168251 93970 9313 102792 -32883 -230393 28604 72383 -50496 -3527 -179793 63009 -32735 -4926 15103 -29461 72374 98498 -13368 -34493 105871 -99051 -90734 -21425 -184999 -43328 -43418 32725 126662 7785 59203 50931 119215 69215 4429 -154598 80258 -99114 31042 -34641 -123318 -38340 24457 -57968 -62316 284422 88691 17254 251391 143404 -69320 -51668 -122995 -42481 -136010 36356 61668 -176219 -78171 62139 -83324 26618 -44611 18223 164590 30909 233727 3334 10476 58689 -117174 47977 68071 136778 -47835 -188558 -2700 96519 41967 79096 -42601 97435 -77673 75977 22914 -39962 27429 -7856 -34975 -60513 -23432 -88405 125762 -112479 47272 -215395 -77354 114972 34207 -59677 -24512 -21174 -18854 -78843 -191239 44858 -108434 206134 -165357 -76141 31346 -82974 123514 39111 -24555 200133 -8897 88715 20819 129125 -61806 16342 -145135 79670 44772 -28876 -111425 1307 -45825 144320 132269 117325 -160748 -104205 85029 126105 -102294 -11537 -39009 -63716 -55417 7907 -49859 36305 15750 32632 -108032 -110478 180683 -2738 58968 -106473 -139094 -37931 81541 -160154 10993 -39646 -138962 -50136 -109602 43858 -170309 71558 -23611 -56966 -120825 -61537 -20016 
+-74683 -155168 -26559 58290 -103676 38425 -12456 146590 -17955 -10290 20886 -62892 58661 48682 -182534 44653 -18475 -156344 90161 41275 -65448 -107359 -57923 -45616 -9899 42332 79155 23417 168181 125537 -226784 73200 -56903 -150776 50798 155307 -80947 -110263 -90444 7481 -164283 -129206 34990 29460 -96489 21068 -180333 -35904 -201521 122980 -41426 -3670 -133853 -74585 29394 26128 93717 -44341 122005 28603 22848 64817 -147508 130785 71273 70995 37993 71853 -68686 -70156 29456 36335 152349 30844 62341 -160390 117388 75857 124171 -102416 -218811 -6838 -30143 -28490 37697 10878 72788 -1120 -8142 -1812 -76057 76183 90123 18257 -107925 99461 -94810 -60331 -90920 -248365 -16317 -83536 41093 127353 -33657 23572 32295 63348 116038 -36936 -155653 82685 83734 91127 2554 -104395 -36837 -244 76579 -20153 219105 98710 -19094 282874 72380 -50876 -28496 -89619 -97310 -139177 -39893 60100 -175749 -119620 31636 -68944 -40287 1801 4463 124501 31522 193150 -34825 -64599 32244 -107348 125047 177039 52644 -79030 -143729 6261 78713 -88089 70000 -5756 47428 -88733 114124 103825 7946 43430 55893 -119290 -30410 69948 -164905 106956 -37191 81193 -221196 -22512 -18797 -58715 -28647 -23876 -18061 51537 -66303 -174662 3844 -76632 78648 -182428 -83042 34042 6526 150202 18936 -11885 186715 5863 68713 36243 135343 -66684 38818 -101694 80041 -1682 -74522 -141218 -30888 -93338 224087 112006 127046 -54663 -100321 37555 128864 2107 49824 -63318 -96051 -74293 49237 -19538 63645 -55305 133648 -144845 -87516 128293 6432 101873 -83482 -52612 -31257 69136 -183706 23359 -12288 -126329 49385 -69832 -7599 -101943 39040 -41932 -54809 -85934 21580 30499 
+-97095 -76282 -17822 -57187 -15630 37937 -155888 143510 -216602 -12146 22230 -57722 58505 -63103 -94115 10560 -4175 -199563 71002 58029 -30305 -73996 -14122 -61557 -12383 18566 77962 -5258 173169 230274 -205182 7288 -35881 -138937 64863 199455 -84459 -134951 -81148 10548 -218396 -142741 -61937 -7618 -18875 35519 -196931 -33200 -143028 135942 -18785 168309 -46605 -52924 -18708 34723 71919 -56614 122489 -6383 18990 16624 -27530 148449 73605 34752 -37052 2979 -66629 5063 -9046 -1456 1099 154798 55678 13073 105789 127137 135071 -139011 -208865 -20220 -84271 -3573 41316 99239 76517 -31601 -40987 -757 2245 78512 72324 80516 -131195 97154 -71598 55443 -82647 -243359 -6380 -140283 46930 48373 -63797 -23520 13828 -64310 -17688 -9860 -184379 69769 77937 143738 -23940 -69588 -30489 -38056 141068 131194 135974 108253 7593 279153 -69747 -17081 -16584 -84941 -131863 -50552 -68128 56709 -164961 -119722 46426 -61630 -41662 39282 -2736 101922 33944 98521 -86320 -134269 10455 -66920 -23329 71238 -81194 -98326 -53840 -14120 60388 -214052 87406 -39126 -7534 -59634 99375 171029 44651 41306 147391 -202118 -53004 101768 -232068 77586 53245 115909 -210772 53605 -117721 -128526 -53433 -35261 -23931 90284 -44581 -117612 -2175 -85548 20956 -55538 -100879 1923 12096 86492 -12598 10324 21164 -8062 41878 -14031 132993 -40206 -2274 -96383 52285 -25557 -94076 -122916 -59291 -147012 188274 104675 179450 62977 -118830 -35029 59527 101165 76992 -52002 -116461 -90068 32541 27047 40409 -93338 86675 -161076 -106222 12693 21726 122633 585 94805 -28151 61414 -167899 26793 21171 -106784 -27369 -54276 -56042 -50917 -12828 -40734 -58576 63872 111316 7568 
+-94297 -11161 -8909 -82726 -59398 30533 -118273 146148 -249322 -10740 -10611 -47752 53833 -158658 5148 -14261 25568 -207129 52731 57221 19324 -46150 26690 -88845 -10911 -4315 -22805 27178 146256 260034 -172121 -41270 -38665 -119708 75634 127985 -77470 -137450 -35565 -21985 -112411 -100517 -87458 12046 13139 22784 -78412 -28081 -78054 129298 7147 201470 37031 -49371 -108412 -24743 -50498 -63884 124267 -44694 14083 10645 104481 72551 60941 5505 51772 -169608 -66004 177945 2010 -60606 -127848 207796 78593 120062 120702 126007 133438 -193086 -179154 -68172 -45164 16486 57392 -9418 -1315 -25849 -24968 7306 27279 81577 74696 78428 -73399 96962 -62003 165079 -133955 -162160 -9845 -154049 39633 -18640 -74354 -57566 -3890 -157120 -198152 60967 -176399 90260 -93504 181098 -23725 -89526 -29255 -86393 64185 237584 50684 52194 22867 271774 -86910 -49743 1298 -117069 -135268 60660 -72263 57014 -142883 -141714 60473 -40026 34015 48963 -16450 44049 36413 9159 -77406 -178268 1588 -16435 -198553 -167294 -62987 -115074 18795 -34614 36131 -167331 84340 -71221 -65395 -78177 109335 188971 52614 75815 173337 -215401 -35968 72924 -248841 86899 119816 134147 -185349 84723 -175219 -97602 -7294 -36463 -22378 83816 -43877 -70663 -11619 -86506 -60412 87817 -100250 27357 -38010 24212 14534 30643 -61141 -13647 -16880 4638 79997 -37215 -84673 -22637 50826 -38762 -84280 -145033 -67067 -208642 75938 27174 201303 21936 -143125 -33581 -25982 156765 73971 -83277 -121567 -86656 23115 89700 26035 -97300 -80684 -155348 -69002 -92290 53638 158778 49075 252317 -17792 62651 -39631 -1344 49733 -127596 -139933 -59876 -15803 6403 -28859 -47503 -116562 61331 87647 13667 
+-63407 -49376 -21209 25071 -83216 49105 54198 116805 -167420 -14754 -10616 -42613 48967 -187914 18202 -66014 93104 -231770 51120 22567 49858 5023 47632 -83730 -9438 -55462 -62957 -14426 113534 217364 -109042 -40364 -24873 -102840 100285 -67476 -56186 -142226 5725 -6449 2511 -23660 -82612 64227 -22705 251 31885 8384 22842 154190 42566 96272 130534 -38126 -163902 -96799 -173087 -41676 127411 -40797 28146 29017 140971 29723 42581 -37306 151650 -230269 -71650 223862 31890 -116946 -165830 144195 73021 22889 103362 94946 91964 -178706 -146800 -95326 62851 19424 72288 -194695 -77977 -19778 -10122 6919 25040 83343 77936 83892 7040 93217 -11429 182656 -110208 -37084 -24933 -133414 40677 -14720 -65119 -78427 -21407 -140801 -238750 147793 -130607 92307 -211154 204891 -17399 -55254 -24947 -136152 -58215 198582 -29958 45969 6567 254527 57675 -16553 9712 -58272 -56505 112196 -63849 61308 -112950 -147251 73048 -42461 135905 48673 -30844 37410 36910 -47593 -67591 -135095 6765 49567 -195510 -185253 859 -147294 69783 -34254 17274 43781 69113 -97432 -66709 -75005 56517 101581 40237 84207 110166 -152863 6638 -40645 -241746 69541 142563 116947 -145288 124263 -156278 6661 11809 -35468 -21542 35372 -6018 -22749 25394 -104976 -93321 55356 -115643 20588 -83175 -72997 9423 51263 4469 -2364 -41150 23753 20321 -49036 -137667 -47605 104607 -33453 -70850 -106773 -84892 -207138 -23335 4732 217672 -102385 -137030 -45973 -130259 146323 31851 -49405 -110814 -71667 27279 151102 41243 -69205 -185184 -128170 -11057 -107973 73189 122794 7536 241545 -14314 70057 -6064 21371 87172 -107871 -112929 -93740 55951 60577 -63568 -45976 -126877 -50399 18113 30066 
+-74213 -141439 -14249 139878 -177303 88308 124621 85062 28054 20099 2448 -43243 47390 -147633 74537 -123249 128526 -223263 19757 33784 70953 35329 38729 -89934 -15069 -58892 -70600 -550 91356 114060 -24001 20895 6367 -64253 81869 -121530 -55388 -120947 61320 -25359 -1670 -38478 -11236 122262 -71592 -1208 -7340 41676 86709 131755 86320 -29250 195600 -44863 -214662 -108003 -214823 -37033 129454 -3928 41177 48802 54707 24628 22717 27071 145843 -87102 -65614 57932 73641 -142159 -80603 31404 76228 -157444 114209 21325 44711 -144256 -109168 -94632 151446 23876 55835 -258854 -159398 -68522 25070 -556 -13348 82969 62648 69422 68255 58977 1260 93739 -94188 65843 12824 -63493 38911 63989 -35052 -97617 -37349 -34437 -102803 199468 -137094 76053 -91311 199663 -10110 -77456 -26571 -176025 -53604 55058 -65581 17600 18652 258596 157347 20593 17703 -59484 -51735 87041 4524 54886 -75375 -175046 65390 -48204 198607 444 282 -7565 35166 -38072 -29622 -82395 28005 107021 -24791 18652 164323 -135197 66883 -54982 -10960 94613 78890 -66964 -62812 -69662 45344 26659 3269 100283 17860 -63883 -40389 -48536 -193302 101085 133540 85377 -117683 61096 -43482 43788 44458 -17830 -25268 -33926 7088 20966 27898 -126595 -29375 -85590 -126856 18904 -84035 -62946 3108 67220 175425 -4414 -75641 27690 20475 -52208 -138512 -17833 56587 3829 -74751 -102202 -91187 -158772 -9446 10926 201312 -172589 -117512 18688 -210642 76114 -19575 -61695 -85807 -55464 4463 204528 14837 -38 -136092 -95818 3721 -22358 80115 87636 -51854 104760 -2839 82945 -47097 -15845 123477 -115636 -38008 -128696 47195 64113 -66549 -49907 -56928 -122144 -89567 20362 
+-70890 -150088 -18683 172705 -163525 53577 11850 74448 110009 7740 33472 -49628 44648 -65179 132595 -162918 132367 -199827 -22553 23934 86593 67705 46394 -104870 -18391 -67526 32351 -9014 48896 2769 33642 109452 -27943 -46828 86718 -48377 -44888 -109041 74796 -32245 -93397 -65780 82941 177968 -104572 20380 -145448 41261 100902 98016 135102 -81283 226979 -40248 -242014 -110592 -165311 -38602 130838 30685 58386 34229 -82487 77229 14891 70824 70625 64534 -74182 -88237 90261 -126762 75100 -30617 59681 -185409 89598 -54361 7721 -84743 -68635 -53654 172937 -4799 26138 -104642 -111815 -74626 23285 -40832 -67501 82937 56152 48307 82444 78822 42586 -31169 -69733 118951 -11933 -53613 15849 129715 6132 -108138 -52512 84138 76228 194893 -87350 79948 108800 182148 -3699 -69651 -19929 -201981 59629 -36109 -92979 -56314 10353 195613 77287 -9906 21484 -76666 -72864 21515 59961 51144 -33551 -159377 31007 -14222 251802 -38881 5628 59446 32346 25923 20066 5826 54960 169322 116180 183655 205702 -95984 -5009 -87240 -22795 68235 85466 -62664 -26564 -78805 35543 -16882 -48321 116410 -12576 28869 -27047 18705 -106525 27248 85056 38541 -62526 22189 76404 6101 68977 -1851 -21996 -118797 28470 23612 715 -82131 -15991 -200092 -127500 32274 -130337 63868 -7474 65656 164181 3112 -74335 35818 33283 -44357 -71609 -6088 99712 48092 -45546 -60523 -109925 -123630 106004 11853 223441 -127714 -103324 91368 -125662 -19719 -88117 -55464 -50158 -54360 11544 239971 32330 83066 34168 -59880 70511 137618 74549 56175 -108565 -30989 -12835 96197 -168062 -18691 140972 -122285 43081 -118780 -419 98725 -63362 -15694 -21582 -67170 -91704 33523 
+-82699 -95131 -22458 76112 -95159 44802 -139968 35311 1537 4101 53567 -62692 47448 56737 74329 -217598 84181 -163429 2407 45627 102849 68236 4815 -63826 -8236 -80996 97797 -24084 -45237 -78385 93572 87579 -67924 -17551 109309 113447 -50371 -85908 70097 -22018 -194295 -53646 155625 179584 -70933 35469 -202498 25820 100882 88674 127080 57952 190735 -44140 -252174 -74307 -38026 -24964 126387 34087 60696 58879 -185067 132116 20840 75451 -10569 27602 -83010 -15673 138640 -82805 167836 31821 61296 -28339 87119 -96924 -22648 -17177 -27266 -18104 104410 -17757 10307 63246 -56999 -91275 14857 -3026 -50375 82693 47261 11350 -4400 59444 41607 -93701 -25680 72740 6695 -69005 50169 133756 49104 -74937 -66324 119167 113881 111611 -24820 51174 76327 144940 -2613 -45241 -21248 -195651 140832 -36235 -75815 -85615 -9719 174551 -53406 -15941 5794 -39469 -122577 -71635 104887 47048 8925 -161086 59039 -39870 193592 -57069 -8639 76699 30853 118967 73179 15553 76722 202594 47116 145730 158138 -76778 -73497 -80264 -34744 -127502 105732 -18703 17694 -88829 56591 -15003 -88921 115389 54817 -33527 -2530 84193 -17236 -15 7354 34509 -9394 -30451 124923 -97862 38701 10983 -20322 -191179 51659 -19257 -9694 -82133 129710 -127009 -138961 8738 -111232 105013 -9400 52435 69221 -8026 -66329 17438 57209 -30091 14497 12129 88843 102011 11774 -28176 -93735 -32410 226494 53854 158048 -1430 -65918 124899 -8662 -114883 -132241 -24781 -9545 -72967 33738 249500 26689 149383 121811 -31633 133949 187435 80609 79563 -69652 -128674 -1109 105441 -166761 3729 151754 -105746 8645 -85412 -55146 99656 -44106 -30279 -17394 32581 -13505 43878 
+-93625 -13870 -58836 -60730 -10549 35279 -124460 15264 -190216 -31964 18886 -65269 51918 146657 31699 -219568 25435 -123852 36419 72527 84001 96353 -32167 -106778 -12296 -81959 72817 -28920 -44344 -89604 128491 31821 -38425 6221 87992 180137 -61495 -138020 26538 -25479 -158797 -99290 193225 171655 -36012 23428 -90634 60852 82500 65956 137524 151815 147996 -47035 -197859 -12598 64381 -35114 130206 -1127 40620 22005 -139715 152307 37936 -7873 4679 -134362 -83670 179429 118606 -27068 240893 154682 51474 163347 25935 -103896 -45622 56291 3733 16368 -581 -35508 22945 63939 65321 -73317 -16297 -35782 3449 81590 46487 -42185 -90476 62822 5457 -44401 -19797 -38963 -6937 -114128 53099 58817 83205 -54710 -78898 51217 -27780 33805 -15247 34463 -105685 93365 -22445 -43907 -19952 -166759 68490 112611 -43319 -88550 -16704 120913 -105095 -21429 -7596 -97952 -155664 -124003 115534 45161 50259 -163435 42938 -39549 93470 -19282 -19375 118146 32006 196862 135473 -5423 88395 216729 -147572 -109195 16717 -53538 -160837 -109564 -36494 -210001 101992 9516 58596 -89396 18834 55417 -95806 130269 141747 -71136 -35633 72097 51436 19256 -83995 52076 32309 -118280 82659 -125390 87232 18275 -17917 -219124 72522 -72324 -12573 -105685 188837 30668 -139669 -16819 -45976 107432 -13856 32838 -40807 13002 -45316 60871 110736 -27865 2883 41290 73698 147289 35815 -6880 -66150 55728 203824 39431 106137 39050 -5821 130990 92284 -176305 -106296 -27147 30088 -89014 2777 235328 46743 206084 83517 -43754 143302 155183 92346 91341 3941 -40797 3184 106920 -91333 -14814 168069 -134074 -55692 -52723 -24511 76422 -26029 -28723 -69327 28304 69584 59574 
+-86373 -24641 -38211 -66735 5166 14612 41421 -20492 -268964 1628 -10368 -52735 56841 183943 20007 -227447 -29700 -72248 25315 40562 83567 120474 -27803 -112065 -11141 -64622 -23349 -29523 -98088 -35643 108645 -39160 -25583 37399 69111 146281 -68485 -127312 -24327 -9174 -57941 -106157 171392 132690 29338 692 9846 37707 18383 36952 129012 206419 74196 -43274 -150291 22676 97391 -13169 127299 -30844 25693 20758 -15830 88616 57544 -26167 108162 -229979 -102761 216335 131193 26637 177891 209017 71717 45950 54341 -35888 -45417 108008 37256 17781 -73529 -44317 43005 -89162 83373 -114686 -49479 -24351 46396 78341 46867 -82962 -128230 59348 -17210 77733 -18964 -173794 -1997 -143423 44859 -17115 99212 -17139 -89613 -80012 -204080 -36266 3186 43633 -181565 37403 -16234 -17615 -18527 -123284 -55175 234632 47913 -166151 46308 67890 23263 -64695 -23845 -72056 -98167 -135087 118711 46847 87012 -163838 47046 -38894 -17381 13425 -43326 143257 34606 235025 179623 -58480 86245 212693 -220612 -178734 -112121 -51541 -184448 -141145 -21320 -172799 101799 -6936 57983 -105744 40856 133717 -77754 145468 173042 -201581 -48357 -17578 111993 31353 -141761 87052 48503 -137925 -37583 -69465 107929 3726 -18033 -216093 77086 -134443 2484 -105011 192803 97574 -135828 19629 -17522 46231 10058 9824 -11229 -4046 26848 89857 133487 -19348 17606 28886 56390 202026 87071 -17138 -45419 87289 105218 100274 88276 -40467 19072 90784 166677 -196990 -58345 -63468 63014 -87762 30896 199227 17717 217953 -82750 -52710 153220 30555 141387 109495 61649 89315 -1102 103292 -19838 -25679 187543 -93292 -172606 -45245 54290 58174 32254 -50186 -86925 -48987 102948 99777 
+-81737 -116769 -40095 27700 -79031 2819 129989 959 -124351 -12523 7626 -41928 57329 147285 -60700 -210787 -32935 -18448 43344 34648 58478 123423 -67056 -80296 -9978 -49502 -84662 -49885 -118698 68787 82894 -29132 -24454 53131 66008 -30693 -84152 -126387 -55822 22591 37741 -161343 100934 49614 -36753 -1571 38410 33774 -58667 1185 83809 88542 -27509 -24341 -81689 16877 3654 -8962 123472 -49669 19139 20201 115533 16243 70353 25115 156732 -121471 -117445 72261 93109 45984 33955 147968 90950 -145301 70730 22163 -59412 161720 53088 35644 -68763 -37403 81151 -242354 43748 -138502 -27884 -36948 18033 75200 36619 -133608 -116036 56675 -35503 176627 -53742 -243947 -41769 -143344 54995 -23061 93949 28529 -98685 -164980 -237146 -37465 48907 54677 -65479 -27178 -6874 -27176 -21372 -71695 -72557 205722 113387 -214718 20078 30030 152330 -44001 -46362 -66185 -39614 -87967 30128 50488 116683 -159113 71858 -46645 -43397 32994 -5060 161590 36773 207170 207588 -129219 67616 183502 -91783 -88725 -58744 -50365 -197727 -160296 -20608 20657 109931 -39503 110652 -75051 43699 180112 -38787 132299 114318 -206386 -75796 -44281 140734 9286 -167976 123752 61794 -134891 -161815 14202 112748 -4882 -18481 -158557 94334 -182094 33188 -111920 172885 -5541 -153977 16192 15011 -33798 -15506 -11760 96843 -14042 86323 78583 125453 -28461 -70609 36949 67173 222232 136771 6966 -15540 138731 -16774 110939 39320 -150292 60665 22971 136907 -138437 -9205 -26778 83272 -74101 29789 142033 33981 202228 -203178 -95450 101356 -48340 59605 133512 -15491 232074 811 91449 -31525 -11914 170379 -109214 -70825 -77452 71514 50589 44969 -55577 -102819 -93736 73539 68562 
+-89035 -168401 -12966 166402 -139276 -2140 23887 -20255 31872 2545 29977 -40244 56791 61403 -135767 -199240 -6593 21275 73013 33045 41546 147593 -10986 -90434 -9586 -42160 -76223 -38068 -136996 187748 4176 -5112 -50743 68694 88007 -123855 -82573 -100497 -110070 -15859 -28264 -131652 9915 21242 -97783 19704 -80355 32173 -137709 -15229 39935 -93745 -110920 -60557 -10327 -67505 -114045 -14653 116609 -23977 13633 40986 133582 26582 73454 72321 88957 49864 -118433 -58292 86547 40787 -88371 27165 84235 -147274 78494 84858 -21812 187220 74543 -20304 25155 -26885 92478 -189694 -42000 -139999 -14003 -6532 -5373 74140 25622 -158583 -27618 43403 -69534 173946 -100829 -239807 -28159 -112634 64507 55133 68787 67255 -106108 -140839 -92439 40889 69778 56654 86863 -75462 -23400 -44071 -20322 -24657 54761 67656 192878 -257194 22959 -17440 111057 -53037 -70824 -85142 -52968 27496 -58244 50676 137509 -142945 43239 -54346 -37046 49783 -18522 138450 36751 124863 194563 -190079 43603 137084 85213 124530 63122 -100328 -122030 -198056 6546 111836 101241 -60086 88490 -56066 63696 116020 6156 150402 22814 -141912 -61340 -15349 124607 -21489 -152580 133536 77356 -108984 -174940 58875 151310 -4683 -10632 -87688 96717 -168660 6827 -137625 131205 -165455 -145584 21874 -20291 -72149 -18337 -24901 206672 4375 101235 79422 75592 -8749 -112292 46485 65290 236723 190487 4633 -7053 133197 -29243 152795 21890 -169127 73234 -30514 11928 -10161 47991 -65259 89129 -54365 17961 77423 14592 156776 -143396 -131911 87817 -79443 106475 119887 -96800 233661 -3131 77999 -111730 -9535 154418 -128137 16664 -114920 -3934 909 86352 -36557 -57142 -127623 -36260 62471 
+-79419 -116822 -17775 172218 -171149 13317 -137783 7891 121875 -9105 21536 -41219 53181 -56274 -172871 -131403 13180 53039 60360 36771 1623 126016 -44 -101650 -11381 -2126 39753 -21076 -113513 253412 -72020 83715 -95358 85867 81429 -89023 -89741 -93436 -124512 -35309 -152922 -135988 -77019 -7062 -113787 35415 -190943 5173 -199819 -12645 -25167 -40506 -160059 -39001 38180 -113848 -199359 -30514 116943 16980 25373 60684 40129 82457 61038 72338 -8707 58751 -139109 -323 17021 -2230 -150921 -28952 73685 -60098 97591 125184 32286 164196 71607 -40182 127334 698 76565 -23947 -119581 -154501 35486 -5766 -37530 71829 24701 -176997 47258 35415 -90047 71983 -112690 -175230 4511 -68549 73823 125857 28394 102146 -111747 -17210 82874 128855 63998 70070 105418 -105302 -21178 -29833 -20250 5949 146393 -58596 255015 -229319 24226 -67670 -41933 -35053 -99512 -80351 -113746 101167 -85216 56610 147027 -129037 17532 -36145 65559 17029 -5058 127186 34442 30679 211604 -163274 18184 74961 97256 151191 175354 -110458 -81821 -187224 23969 56875 114287 -65718 75088 -67252 93652 59584 39165 142809 -11452 -88427 -114270 105959 89949 -19304 -94098 114957 46625 -58527 -80024 -23977 167495 -16064 -20818 4269 98560 -149171 8630 -88344 11968 -201385 -144986 35436 -74115 12073 -9499 -23011 147779 -2910 70189 113436 37620 -1087 -145445 78113 46582 217796 238045 -75845 44562 127264 83571 141606 -42733 -66489 114095 -54288 -104858 104852 76140 -62018 78525 -55794 27494 17801 10753 83730 30533 -151832 62573 -33687 116695 145367 -99813 82474 -4252 67125 -184937 -7663 153008 -97782 -2989 -126929 -59382 -74073 41884 -25846 -38613 23287 -101616 75736 
+-94726 -10638 -22505 69168 -63023 -20304 -136508 -2038 -9422 31496 26940 -52141 47020 -143809 -277227 -96862 95209 66827 53063 62661 -41325 106298 37120 -105331 -12837 16843 95906 -26411 -68112 241632 -134926 110483 -29706 97553 83496 39262 -101411 -136709 -100800 -59199 -209549 -65725 -95358 20874 -97451 24075 -181681 -13970 -234405 -42766 -90878 101080 -173069 -48977 66573 -119436 -206135 -66161 108094 39965 50370 18288 -98823 134472 41713 10896 27967 -93054 -128522 164249 -1144 -59646 -115394 38248 49271 139764 88949 127573 63916 137435 60314 -84160 172980 13541 48182 99958 -133658 -150772 28736 -17889 -49023 73206 7288 -194773 80152 23019 -94410 -48862 -123140 -45212 -31117 -41750 74909 133670 -15042 148651 -114973 95300 111019 202641 68840 87547 -93389 -122111 -25910 -83327 -17888 20332 62040 -60426 275531 -185237 10076 -82822 -121520 -47295 -117106 -115166 -152050 96927 -100326 63447 146324 -101222 50716 -36716 201241 -17468 -5508 81908 31744 -35970 113426 -93438 4125 12752 -71191 -71884 130280 -130068 2233 -222025 58305 -106304 108772 -78916 14813 -60047 79479 -20662 55786 172641 46934 5587 -100563 106128 11947 -29801 -15325 72322 30507 12667 -10496 -127407 185267 -36962 -20025 66566 100041 -113521 -4156 -106946 -37098 -47165 -132209 41967 -104865 97554 4555 -9948 -6569 -8317 72083 113647 18437 8257 -78999 83814 58133 179025 246114 -56734 72288 85389 200244 135454 -89035 62665 122921 -55458 -189029 149018 64962 -73068 53984 -73283 14501 -25880 18132 -462 120667 -156878 -27234 84031 131672 92389 -43140 -15618 -8189 61891 -139155 -2398 128814 -122791 -39823 -104137 -12778 -134612 64079 -47696 -55636 60247 -81764 56110 
+-97563 -37509 -5618 -59102 -5173 -33431 25484 31094 -213704 -5185 -25674 -60758 48427 -190519 -303190 -39734 106812 63105 47697 32805 -83577 84158 47103 -104163 -11538 36813 65436 -15139 -18354 183079 -195016 62551 -28777 95974 62260 173772 -92114 -124633 -83173 -53207 -114706 -29689 -61360 75912 -3785 1181 -34766 -41188 -219581 -41451 -30484 197216 -115004 -71502 48245 -66263 -96414 -31025 103752 11489 45921 12142 -180193 120065 24536 -27106 73322 -233171 -136117 216762 -14307 -110749 3649 148334 49223 47067 94153 73472 94046 106584 56193 -77334 140037 21699 30054 11371 -86394 -132931 -4460 -2852 6936 74604 20857 -164277 22316 31724 -86478 -93095 -88134 79930 2506 -91197 67036 57349 -50645 192808 -116412 118061 -36943 196942 17570 68814 -199997 -120708 -14215 376 -25960 8678 -48088 103120 263420 -221246 13998 -97308 -7931 -3133 -139876 -111344 -120730 81301 -44475 61145 133985 -117167 67709 -47609 232283 -55114 8611 66373 30913 -42450 90829 -39376 3508 -48360 -212490 -211096 90664 -152802 42397 -218335 66613 -242156 111223 -94135 -26994 -71077 103079 -9479 32288 121754 135925 11430 -99237 317 -80756 -32066 65777 41151 -16385 58465 110099 -110660 179814 -39375 -16958 106730 86961 -103939 15956 -108821 -68875 97819 -150658 8602 -114053 151711 5758 9575 -57218 -7197 11105 106569 21312 5522 -298 97635 55277 135335 258312 -73055 65129 -12376 217387 122396 -114609 15836 113357 13324 -172886 161018 5707 -42861 18306 -88700 24486 -50095 3346 -70602 63969 -149438 -11635 176249 140253 88056 49339 -116299 -7435 63533 -53794 14113 92768 -129449 -115060 -67846 49809 -174606 30444 -56763 -74444 -34577 -4690 73854 
+-90563 -94464 -15790 -47645 -7385 -47569 134626 50256 -263075 33581 -11431 -60614 45860 -158145 -272659 21514 118852 57444 15180 4192 -108328 68249 23315 -120314 -13316 62802 -32674 -5445 30873 62021 -225078 -39404 -6857 97846 63687 150797 -74536 -101459 -25389 -60717 5475 -51140 23828 145562 40789 -1911 34601 -46969 -217183 -57077 -51500 174322 -28844 -41487 8251 24761 24914 -25437 95535 -28917 56693 27564 -131772 87619 15134 -4723 144691 -153362 -121484 79927 21827 -138352 145698 206334 68649 -138015 128196 3735 130323 27582 21768 -82923 39808 10436 37614 -177851 15461 -168781 -34775 -1071 34268 76231 12898 -141610 -61180 33106 -64020 -26203 -54026 112917 -20127 -120290 81257 -12109 -71941 203948 -116361 28599 -210214 135370 7201 86830 -108735 -97156 6594 -19523 -25238 -21001 -57076 217426 202981 -208230 16266 -96674 148244 -14590 -162373 -123181 -80997 -14576 19573 58747 111369 -88909 89637 -58388 223502 -39882 -15084 26686 32440 3833 44283 43106 19425 -93768 -163887 -127192 -65916 -116930 64702 -214325 85403 -158928 109289 -68889 -66970 -80250 60813 36410 -667 143820 173730 -70304 -99147 -52114 -159907 -30502 126780 29692 -38925 94108 107236 -16570 195286 -1441 -20643 80313 72081 -28561 38917 -130393 -68917 64981 -130939 -30318 -89975 90718 -29032 31709 57586 -11756 -1158 120499 70812 27597 45778 78360 45991 77383 266494 -121472 82018 -72634 137979 78188 -119669 -92393 137139 75050 -91953 128015 -51283 -31072 -22250 -89647 18969 -47673 -10333 -98532 -98032 -111244 -140998 181579 125101 61220 40723 -59172 -16379 73000 18059 30785 61012 -116330 -109583 -50190 62245 -219195 9380 -13518 -119812 -104621 99613 58437 
+-98417 -159872 -16634 17614 -101392 -19120 54289 87004 -150689 17818 -11808 -52652 50358 -67377 -248379 50627 100015 10726 24845 6838 -162635 46083 25342 -76908 -10171 94151 -99770 -36045 94668 -39226 -215598 -79457 -58441 106059 59909 39282 -67271 -78222 20815 -33226 22174 -57212 100941 169669 334 19006 -27174 -53014 -102960 -59151 -31242 20432 62665 -83161 -38678 41095 77787 -10463 89003 -46586 44172 12908 5346 22530 20272 17626 136364 14330 -110145 -85862 54918 -130209 236202 148886 71906 -191728 101144 -67468 130863 -55709 -11503 -68913 -53076 -3375 13747 -247585 49641 -169807 -50057 13041 32713 78703 876 -101036 -125404 9428 -39850 99415 -31404 77680 -11522 -165540 70076 -24557 -72265 211096 -113699 -85268 -233824 46220 -8845 71013 65546 -54303 1690 -17598 -27033 -75985 47398 231459 141309 -161770 10656 -103039 135587 12134 -178652 -133556 -55799 -96753 108004 61302 80364 -59815 76148 -51825 93379 -8590 -23408 5849 35375 93945 -20666 22220 43761 -118165 23151 84805 -52112 -74720 19842 -209454 89999 45144 98653 -36701 -68776 -83255 80041 155312 -40374 147319 121484 -157096 -110617 -40304 -214922 -80445 145283 44841 -107025 91466 49700 43095 210865 31820 -11727 26733 55062 10135 10457 -130387 21935 -79930 -130622 13703 -32175 21534 -9850 55102 189731 -4261 -66699 133479 125392 24161 7810 100229 36016 22468 233282 -142930 96964 -161241 6057 41531 -116613 -176770 133478 108317 22374 -26516 -100758 -36940 -62258 -71317 32423 -22169 53230 -103418 -195954 -71165 -102882 85365 148602 77188 -28473 92671 -27457 85495 -51113 23636 50483 -111185 -46506 -59258 13701 -243565 -12306 -44742 -98359 -113839 95156 65962 
+-86843 -119913 -8900 158192 -165267 -14904 -127984 111868 -22427 -20705 34751 -45354 53187 35921 -212732 78009 35709 -23198 46857 17499 -173466 8560 -22694 -81303 -11875 98176 -23232 -36884 106942 -88084 -162514 12010 -6845 96206 66970 -113978 -56615 -88639 69723 1076 -86220 -75890 170514 182637 -73560 35322 -162382 -30914 -40677 -31410 -28193 -64119 137473 -23724 -124290 -321 55716 -34503 78206 -34042 21559 79657 128388 -7000 35738 66023 52924 80368 -113420 -7644 101990 -80417 226584 25649 86603 -66884 100873 -101298 73438 -112075 -62197 -18074 -71131 -29112 46610 -134838 83480 -145490 -12257 14825 -9952 79002 -5161 -63420 -119676 -1344 -13361 184586 -12616 -33362 -24858 -120420 61161 50049 -51309 203967 -109384 -167265 -82422 -12488 -34038 69774 53172 4131 -8271 -35307 -28766 -132894 144670 86860 66957 -100651 1336 -80579 -3951 -9169 -189213 -156789 -100383 -149046 119232 50561 42744 -36586 72830 -73090 34169 35430 -2629 74267 36969 180526 -54527 -67728 68128 -109519 116957 165717 19603 -72297 -83473 -210222 71288 140963 98045 -4478 -47341 -79251 25517 194808 -78607 119418 27632 -224957 -136325 79509 -239744 -1305 128919 88913 -148771 84362 -92519 36596 234094 -10445 -17752 -42597 45960 -4467 -13304 -102694 139782 -193212 -120441 10371 -14300 -35549 13122 66625 160641 -352 -98319 112111 138917 23359 -47552 50722 36761 -10376 199694 -102490 81361 -177789 -37645 7319 -81128 -121916 111247 106844 137044 -103407 -112231 -30303 -94706 -57463 17626 26692 1716 -62633 -153319 -40925 -95180 -24099 143226 108752 -86957 239029 -36279 98846 -169598 14095 31379 -92131 58499 -90665 -46693 -291882 -53298 -42130 -68631 -16871 29336 53896 
+-89476 -14226 7306 154316 -150738 -5219 -143621 132833 126658 14068 43355 -38805 57666 137533 -185474 80576 -1476 -63951 20248 40628 -183610 -9795 -33637 -53454 -10130 96613 46696 -26811 143933 -69464 -90552 61705 -95047 88871 80359 -135820 -49655 -75385 39836 -6896 -196091 -126032 173748 162885 -99847 24715 -205346 -50776 15383 -17939 56400 -12162 201193 -71333 -183684 -41718 -62588 -55021 76865 7399 9375 46018 134639 55041 56801 54158 -29067 -63677 -100579 169885 122191 -28568 93810 -33930 80219 87827 120687 -74772 52854 -163448 -94384 28063 -21735 -39404 35815 54335 36085 -155882 -1933 -4787 -45377 80877 -266 -22542 -56739 14504 51119 162064 -37534 -150837 -315 -71391 68888 122273 -13842 186201 -102850 -122496 88220 -32528 -97025 63633 -121001 59939 -22581 -34259 -30343 -169280 73295 -44389 -19020 -78757 -4480 -54315 -103481 -37790 -190795 -122836 -132439 -88874 144886 43264 904 -12956 74918 -66070 -38022 60296 -7622 81654 36254 229441 -96837 -120411 86209 -90385 -887 41166 116507 -45890 -131802 -200266 59557 78478 110501 2837 -29892 -78852 371 161907 -99462 130870 -10136 -174605 -153016 105242 -241106 -27937 60071 131337 -201354 -30342 -181603 -46568 234146 14916 -15387 -132727 24250 -21935 -1008 -74808 204860 -128692 -120467 27379 11167 -67095 -7227 66225 61250 -23471 -109981 99851 112750 52591 -110697 52868 56587 -31129 165502 -131030 84206 -213284 47598 3345 -84694 20302 114633 83905 179935 -187355 -101333 -44551 -115824 -54014 21270 85175 -28879 7831 16913 -38776 -100072 -99244 154250 125898 -82343 248108 -38836 106049 -156743 22738 -1490 -137393 -34353 -124828 -26069 -279317 -51146 -32570 -56467 83507 -51416 27993 
+-78959 -23026 -44014 79305 -65529 -1894 8005 121864 -32190 11019 22596 -46109 57457 175902 -85556 96772 -26531 -129397 46837 61412 -203942 -72599 -55746 -95275 -10051 85614 110755 -12305 158706 11337 -13659 78738 -51657 62611 59572 -30357 -63369 -91587 49032 -19130 -179763 -142981 161013 107036 -73829 1704 -101457 -9653 91636 4800 74206 115627 220510 -61550 -238481 -104938 -189534 -63105 67925 32488 15483 35796 31257 128918 70091 -25351 33868 -214189 -86940 209777 112393 26396 -60902 31899 65800 85240 36560 -42910 56445 -191791 -137791 26332 98632 -45607 52033 84094 -83310 -113448 23089 30421 -47071 83962 -1625 42312 24582 -9360 35462 49561 -45961 -247106 10976 -43118 59657 129902 29215 156367 -94533 -2648 106193 15609 -106262 61425 -192309 117297 -16008 -82674 -36454 -193913 -55793 -44589 -70670 -61960 3323 -9614 -3687 -14214 -185014 -127102 -165478 -13593 71101 59980 -42106 -9365 34238 -57996 -41749 47679 5515 126882 33673 215696 -77029 -185335 88333 -52597 -181185 -200289 190749 -47067 -190061 -212933 31941 -120867 119868 -44013 27647 -70139 31900 72551 -82609 104695 39252 -112563 -157202 46217 -202661 -18310 -9596 139301 -210904 -64819 -150816 -129096 217979 -13659 -10837 -211165 -6783 -24574 955 -115736 203964 42123 -103700 10689 -39424 29282 28088 51430 -58407 -10172 -62282 91265 55392 42547 -120348 59009 34359 -43901 130508 -111070 57910 -187422 167588 -4208 -47759 48083 76558 14599 132225 -168157 -49669 -44796 -121695 -70500 40961 147277 -7753 81276 129393 -45137 -67020 -106610 151696 152495 -5457 162659 -43866 106741 -59951 26509 -28774 -94978 -93541 -114667 33752 -270977 -35643 -28739 -25270 -26139 -103797 27644 
+-86766 -76917 24130 -73665 -1847 35181 143313 135656 -170693 40681 -12679 -54658 53353 145748 -22657 66009 -41033 -170133 64410 71652 -189028 -90731 -34546 -105459 -16150 78007 56399 -43041 129357 113371 63199 83801 728 47478 67405 120548 -56211 -63673 4778 -66464 -52281 -148454 56993 43039 -12576 -2244 14464 -5467 120430 20000 38853 177719 181069 -75455 -217682 -98819 -209465 -16861 65676 23030 20681 2676 -110749 137134 73225 -19104 161384 -186588 -81225 56630 141714 51972 -153881 149499 42843 -37637 36793 35932 8877 -170490 -183485 36193 168756 -38935 -11715 -55943 -154548 -93036 13315 -8139 -3004 85667 -4931 49172 73108 -482 40453 -63966 -74138 -250570 -25837 -46897 64922 66446 69230 115896 -84887 105608 -46919 107623 -134375 48044 -82775 168281 -13881 -55894 -42815 -197771 -63874 48970 -96164 -33046 -13087 31990 132027 -11898 -182235 -128451 -79424 91067 -91 49369 -82837 8661 49959 -75838 31802 19450 -42976 148385 31320 151464 -57742 -183900 76394 1688 -205442 -168121 209452 -81859 -191005 -186522 13577 -228688 105933 -46923 66465 -91180 40383 -11993 -38597 89698 132566 -3446 -99863 -34475 -135283 -21482 -95017 109233 -224907 -123388 -77600 -113426 226157 -24177 -12535 -205502 -19301 -78541 38084 -105746 147183 97761 -92458 -7635 -98179 107969 40249 25660 -7421 -11986 -6118 54290 33362 52365 -97947 45124 20956 -8421 105464 -73842 34328 -150360 235779 40469 -19007 -26234 38165 -25667 -22478 -150041 15912 -71941 -111680 -88098 39855 202596 20348 157131 75582 -80409 43727 18534 139740 122861 60944 -28944 -41151 100150 2249 14095 -74672 -121434 -142686 -94531 77289 -257208 -22718 -48364 -107862 -120835 -61977 24039 
+-106629 -141827 -3715 -81788 11403 32825 50438 144610 -256359 4233 -29000 -62019 49572 64559 45133 30258 22475 -206955 67809 29026 -185775 -116954 -4039 -89129 -13141 54843 -41672 34670 127875 219811 102739 24758 -6534 37241 66612 171414 -61205 -71907 -42124 -44198 32704 -127608 -15591 8599 32895 18298 16841 48388 116010 40925 137660 117430 111198 -63073 -232877 -75582 -143498 -63810 56750 -16690 45438 19920 -186733 69347 61554 34936 150761 -19322 -76121 -84621 87453 38904 -134219 212331 72651 -213358 59080 87533 -36759 -127207 -209536 1900 157499 -20734 53216 -227407 -123714 -75810 -5821 16664 35131 84089 -5712 75820 50881 -22117 20604 -89213 -117624 -186545 3475 -109285 39812 -5268 93769 65946 -73279 111422 -215604 182280 -165497 40277 103937 197242 -5184 -7862 -43314 -170664 65845 187865 -83893 41170 14409 91850 150277 -51450 -170622 -133546 -52259 115638 -62346 60466 -118812 -19097 66248 -57383 124368 -28240 -16657 151068 31094 52942 20250 -97354 53833 68485 -46308 70592 59992 -122032 -146511 -171781 -3383 -170206 105485 -71313 118582 -73777 24804 -4453 6422 103775 174629 31695 -88421 -56943 -39969 -20126 -144888 69136 -232956 -158667 45434 9813 254855 -28112 -19538 -203969 -39148 -140908 843 -99010 62963 -13949 -75568 6656 -116480 103597 17077 5516 160246 -7815 31605 49129 9149 64961 -45850 10099 92542 16411 66459 -37719 1497 -72793 168503 55479 48540 -149124 13692 -49733 -115287 -20204 61808 -59182 -87213 -89729 74182 237025 15856 212167 -79287 -116655 83968 168823 129668 102633 6589 -106378 -47510 88278 -39315 30159 -81789 -110033 -46390 -56353 36804 -236943 -23128 -54357 -108039 -105921 47101 -12878 
+-89274 -138258 -23493 37968 -87483 70421 -122571 102778 -159844 28739 15321 -58923 45654 -44260 92799 -1255 80684 -227162 74865 25958 -153724 -132435 35228 -90714 -12689 36035 -81810 24197 82663 251526 119361 -41521 -26442 7330 75399 106324 -74576 -62380 -66137 -22643 -29822 -103903 -82353 11887 -26774 35220 -104441 30136 63277 64647 178449 -8879 19869 -71883 -196511 23317 -29253 -57931 57362 -54068 60038 21254 -114450 28262 44444 55271 62821 82846 -76202 -19316 54011 -9814 -20281 142484 78545 -127220 62662 106519 -30239 -71740 -204863 -56322 71194 -2785 -24682 -219406 -62207 -70910 -20080 44661 32930 81436 -12057 93658 -10599 -1251 -12476 -6348 -105514 -68069 -3165 -139055 19162 -23451 98294 15014 -60066 23111 -230622 200011 -174608 31173 100504 206264 -8607 -19192 -41696 -129091 135655 243124 -34408 98428 18724 153804 16552 -58777 -154506 -95260 -85201 88603 -95745 61932 -148051 7152 37318 -77675 241939 -48394 -11878 146691 33154 -24854 57286 -28150 27693 131878 113229 148569 -77721 -113262 -41572 -160540 -17476 -1893 94020 -86104 107827 -76657 48103 47195 40399 46671 128164 -21771 -89666 49657 38486 -3139 -174124 36483 -208533 -166487 108909 49713 234254 -38701 -15726 -151012 -59427 -185412 2584 -113451 9916 -165056 -68345 20903 -121857 48537 33000 -15076 216708 -2660 78863 73678 39685 76088 39031 34957 52249 78927 -11179 -66326 -18684 20516 45404 129970 116091 -137618 -30232 -45314 -179049 125165 80215 -26394 -51776 -74228 26736 247674 22479 223611 -194071 -150610 154334 200639 149513 67663 -59802 -98077 -55573 74406 -138290 29445 -84669 -125148 15045 -54721 -50478 -201396 12628 -33305 -74951 19543 97381 -1426 
+-93224 -55028 17492 140853 -140351 72678 -142063 92150 24548 22100 47683 -48707 46965 -146241 108991 -58678 108692 -229736 60819 40978 -137273 -171226 17147 -95075 -10588 -2316 -35730 13527 6661 222317 107002 -10149 -22605 -21443 79452 -43781 -85155 -31940 -73293 8723 -156161 -66565 -75139 45963 -85537 25326 -202230 65278 -33072 98488 134843 -107778 -65983 -53433 -128324 42118 70775 -27775 48608 -44291 46199 42798 23444 -1385 25999 97605 -31590 -19411 -62828 153693 1601 -64930 110509 28834 82567 70420 82259 132681 -57304 1586 -220271 -72075 -28076 20682 40033 -53463 28120 -77829 -50566 23372 -41828 77261 -5538 79893 -99625 -10039 -48638 119766 -103170 54394 -10649 -154310 44508 37568 81849 -15620 -45637 -100718 -74172 153817 -179832 58981 -83947 199913 -4800 -44703 -44212 -79747 88459 92394 25561 107291 1028 179227 -92186 -39614 -133167 -111386 -115767 24232 -87877 74392 -167682 7047 61212 -68912 264211 -28393 -8177 108229 35761 -54085 115071 34932 8094 179397 67409 72519 -94575 -143058 5281 -138453 -38320 161543 85385 -71841 75486 -79727 78977 135822 51250 70186 30520 -135263 -100370 107128 103984 57344 -137482 26690 -175428 -105360 116851 16538 235789 -31731 -14387 -61865 -69183 -200951 -22972 -137106 -103251 -192192 -48383 45923 -82487 -29225 56822 -23986 92952 -12792 91906 49915 85572 78859 32533 -6238 60208 138349 -37037 11976 -58942 108112 -42737 124501 109679 -56910 -56307 17936 -150632 178426 55262 -28757 -11400 -58948 6863 235557 6867 194112 -142965 -159639 134219 130038 83226 48592 -92236 75959 -55934 64200 -186952 34517 -108433 -107987 16967 -79053 -46100 -126070 37559 -24458 -72811 45009 87525 -13226 
+-76023 2313 -31631 176491 -159003 50718 1377 72995 103414 20195 13789 -40757 50459 -185163 106550 -100914 134865 -219700 13131 43317 -92616 -156415 58543 -109355 -8658 -21768 24944 53236 -38509 131784 36306 38114 -35553 -45052 83419 -155339 -105788 -17170 -140734 -1348 -198353 -37705 -26854 103663 -103185 2195 -127380 46043 -80167 122104 76409 -18508 -138789 -40553 -59983 18017 85047 -25932 44877 -1052 43044 59576 130764 74575 16104 47350 22637 -193677 -71760 207171 -11486 -116902 212539 -29407 71714 136990 99566 73784 -62979 55258 -229867 -86439 -79074 21007 59657 83825 87061 -56783 -33964 33150 -49475 75452 -7435 36373 -128770 -30074 -73005 188795 -80929 105252 13887 -105095 43691 114323 49392 -55983 -29912 -165292 92422 70804 -145218 84261 -204921 164550 -10087 -22324 -47029 -32705 -40340 -26817 125825 94642 20462 234662 -40410 -56024 -106323 -55447 -143779 -107599 -15295 73237 -176674 -114 49458 -69354 162734 -534 1815 76802 37045 -16082 181550 13951 1766 214786 -112696 -137702 38374 -143229 54011 -108320 -38458 95509 77751 -37025 54823 -99445 76181 156599 44600 17827 -11799 -189103 -83445 57578 140597 54990 -72111 60031 -143968 -59909 3536 -85582 263098 -9777 -14681 31030 -78308 -161471 286 -130506 -68032 -21900 -37798 33802 -27457 -101918 56611 -20818 -13569 -11384 79795 29554 119233 85976 -43031 -71746 52372 176498 -56701 23620 -72586 127213 18078 160527 159369 34580 -83788 79870 1546 182336 3293 -27002 28564 -56935 59054 197696 21353 150018 -786 -154526 153751 5497 98189 25971 -62731 213165 -47145 61522 -123405 18642 -132916 -120828 -70386 -114448 33398 -89812 61839 -10531 -31575 -11891 1429 -42285 
+-80022 -71392 -33793 77339 -69110 84285 125617 31294 -12765 6128 34 -37927 53449 -160468 24255 -146614 88110 -190083 -5900 67609 -65549 -166793 27714 -70807 -14973 -55125 86698 35675 -57845 25161 -7590 111518 -8058 -60829 80904 -79963 -92858 -48285 -112877 -24476 -126651 -19472 53516 161214 -52112 -2554 -9569 21552 -154282 134939 73789 158155 -172893 -54230 5583 -50637 3108 -23483 40098 29338 29308 36230 127805 135017 19046 -2093 104719 -210627 -72247 124876 -13056 -137693 231829 36548 49879 -45321 122935 10246 -8050 111521 -210148 -65230 -45688 7227 94374 45511 61819 -58560 -8209 41444 -19624 74099 -9589 808 -95929 -35409 -92804 146897 -26024 91857 21242 -64626 36829 131012 6257 -87394 -13482 -113745 103441 -10740 -142927 70886 -109647 123394 -3792 -62481 -42914 9155 -66674 -66884 199478 115750 -1272 252719 81452 -10854 -80174 -72822 -116286 -120900 55105 59227 -175136 -21205 79095 -70618 78928 47785 12603 66103 35769 69331 193147 -35161 11519 222483 -223678 -197643 146687 -99264 44069 -87027 -32708 -132139 81138 -29887 -8995 -73083 95928 153859 2092 8329 31456 -182155 -40616 -48533 135463 77427 -7370 93991 -91790 16596 -132057 -118131 229693 12944 -17961 83333 -76476 -78825 35903 -103486 -26436 94029 -39714 22279 -1949 -1146 53577 -11348 -54254 -14302 31694 26944 149830 73111 -91977 -18434 75856 217227 -81134 26901 -105349 153717 124583 84268 187091 4239 -111779 131951 72889 116497 -62110 -35767 61809 -70814 34251 150896 16885 79740 129880 -120600 122686 -97584 107092 73587 29571 236556 -47699 65361 -28797 19817 -98855 -78471 -113332 -124257 77361 -13619 67919 -13937 -55365 -127371 -100055 -16757 
+-81252 -153741 -5194 -56604 -11442 42516 58785 7850 -195625 14587 -15196 -49180 58539 -86228 3430 -205189 49148 -153962 23230 47624 -6132 -180833 -17901 -55727 -11092 -69656 52172 46008 -106814 -78344 -106980 81961 -25672 -93257 73676 31430 -88211 -27350 -41142 27204 5598 -56134 119328 203467 -9703 17584 37300 26499 -180635 130307 10516 205060 -146371 -58346 43698 -117288 -138349 -54701 39291 29409 16276 24390 7912 138571 35096 -20456 172265 -50910 -76614 -69284 10176 -128668 126277 160502 55987 -154107 102896 -75009 58168 169602 -186838 -76913 57945 -9072 53953 -143010 9086 -46129 42002 39849 22252 72473 -10229 -51583 -6545 -40224 -106180 26803 -13605 -11198 -24026 -50510 33565 72772 -35048 -97977 4308 14016 -55342 -42535 -111578 96324 60483 62152 -8472 -41011 -40750 24119 54547 46313 251021 76443 13586 243933 154151 9926 -54552 -48519 -61593 -125627 120748 73846 -161737 -24430 67653 -40186 -30595 63262 2422 42103 33071 164758 200895 -110260 32824 202466 -119860 -15219 219451 -81776 5173 -73709 -17714 -227062 84472 -25397 -42869 -75989 91704 81873 -43448 15967 129578 -140184 2761 -67690 88438 42755 82213 131320 -40906 83255 -168681 -48663 240641 12380 -20398 78543 -74054 -62422 15636 -113668 59494 60798 -30394 -9291 16364 112593 66908 10839 54072 7428 12904 5337 91136 97671 -121506 -54359 65485 231251 -95803 -24303 -92572 130427 224509 145741 224772 -96369 -118210 120604 140613 -3614 -102094 -48599 83049 -87249 70021 80604 51886 -2004 74826 -87259 82718 -93473 92499 112140 57146 158993 -53176 76055 6550 22025 -85312 -87326 -103762 -116759 12413 24734 62911 -25039 -102069 -118868 -79443 -45933 
+-105344 -146516 5446 -69630 12427 58895 -101372 -8206 -290725 65114 -10468 -58888 56680 26763 -67540 -232114 -5295 -98511 14444 35344 15054 -155501 -50169 -103898 -10608 -87349 -33540 27016 -108078 -102438 -176723 15799 -21349 -114271 62843 192855 -84895 -21106 19152 -22921 22775 -83282 175860 179518 25478 35066 -72897 23197 -271817 134702 -11738 122827 -66097 -54605 54338 -135066 -206484 -27192 38708 -9196 14065 29960 -130244 81356 53794 66173 101815 70492 -93594 -30527 23106 -85807 -29395 206747 66212 -175055 84354 -97822 80750 187316 -160088 -3319 142516 -28631 71734 -256821 -93638 -50487 18385 3562 36367 73421 -9129 -98872 69106 -38377 -85333 -76589 -38254 -133549 5509 -54268 33759 -4109 -64280 -93446 22394 109349 -220250 -3094 -104715 94958 71884 6310 -6831 -14534 -44625 11354 141619 184956 278499 28348 -7147 289211 47446 -9490 -36482 -60545 -74028 -42850 118060 57461 -138679 -35200 58419 -33120 -51132 41411 -23626 35552 31030 229253 191492 -158181 58602 170098 69284 170358 140381 -39198 -56924 -80441 -4040 -147752 72980 -50877 -57475 -64588 87425 20997 -80700 -37966 175780 -41430 -25886 11213 32095 70501 132295 128950 -17004 89723 -129852 31303 232399 -2563 -17425 71055 -71350 -32796 23654 -94786 141557 -88984 -25600 2526 -74434 108545 64330 32731 194842 -13629 -39370 151 42006 79619 -112608 -65566 66619 226751 -65677 -51263 -102531 58339 193546 53697 187640 -188010 -134503 78786 192874 -108511 -119099 -48279 89330 -89459 55546 24467 16785 -70113 -73204 -47168 10281 559 115642 141172 -22208 -2790 -43736 89122 -115333 8210 -70458 -93057 -50955 -77018 -63757 28550 41904 -20645 -93188 -11152 -9890 -45749 
+-88513 -58083 -37098 25710 -94941 34850 -162147 -22482 -177806 13458 32253 -64365 55960 133059 -115682 -238503 -45158 -51231 35976 22936 51033 -147777 -37984 -119795 -11249 -75871 -99666 48037 -99458 -44777 -223573 -15536 -42339 -148901 60721 181154 -65592 13006 51996 -38677 -98930 -149263 170044 133361 -18223 25937 -177176 -6196 -250319 137325 -55024 -46185 14637 -55892 46808 -72644 -172994 -45641 37368 -42329 31021 27698 -180732 10545 70374 58869 -28271 12314 -92728 129708 94130 -21198 -143177 153122 83812 7201 101224 -91130 89617 177110 -129557 1269 172302 -45875 16801 -153837 -126577 -45741 -10603 63935 46631 72953 -4543 -142160 81630 -67544 -80106 -81660 -30049 -230182 -9740 -134190 50368 -25935 -73629 -72561 40969 112429 -226854 88283 -79178 80043 -104168 -53384 -20008 -41160 -38325 -28276 88418 237499 243000 -25968 4147 301612 -70029 8681 -16570 -48694 -109808 45844 111291 51538 -106571 -75400 40443 -34325 -28132 -72 -40248 53461 31293 228290 171021 -189110 80820 122093 114275 103078 13190 -45975 -153978 -68645 31610 24277 83376 -66145 -39589 -46521 53247 -26433 -97315 -61419 129557 17571 4587 115240 -54874 104667 145293 104924 14056 73491 -32105 42275 225774 -16856 -13974 16606 -59523 7813 -37116 -156766 195569 -190704 -13553 37174 -88991 111094 87685 59196 179671 16384 -60551 7183 10386 99610 -27602 -97510 64483 203542 -65243 -82473 -87386 6544 66461 50918 219830 -103657 -117948 4606 26067 -183927 -96465 -65532 79913 -75155 42630 -27170 60679 -101706 -196191 -31567 -26994 152249 59324 156196 -96922 -121134 -41671 100682 -149569 -681 -39660 -109617 29537 -52423 -49620 100185 -7303 -39938 -91711 30346 81745 -64816 
+-97212 -11726 16669 164585 -153919 19665 -2754 -14771 15916 3461 3636 -55270 54502 187450 -188236 -215496 -29642 3073 57884 21004 72320 -125935 -40638 -100339 -11095 -80980 -67018 9800 -119719 49517 -224586 -45949 -66016 -168897 76174 9016 -52010 22449 34147 -21935 -204017 -148887 115355 68159 -99201 2722 -182667 -6832 -188458 138400 -65419 -103395 93397 -56687 4277 310 -75338 -52381 43171 -48609 43774 56275 -101156 16428 73415 23925 11495 -152925 -110002 177890 122987 27092 -138546 29651 91360 87096 113023 -35192 104750 123780 -77757 45624 115584 -44355 30281 26720 -114652 -40100 -32748 22658 -42683 73825 -1179 -164184 21673 -43810 -51203 15382 -73429 -249371 -39499 -155347 66207 40186 -63261 -53823 59575 3478 -65435 159249 -30682 66163 -238574 -94742 -3045 -59681 -34287 -63951 -36262 147377 220648 -15665 13164 283507 -55075 -37545 4409 -65519 -136815 107916 39025 45381 -68346 -73197 39055 -48278 107751 -47006 -11758 88527 33872 169460 98137 -93564 90132 59241 -37674 -68893 -98977 -73251 -177080 -11458 41142 99940 70328 -60337 -28032 -69854 37258 46550 -86030 -56204 39311 -4974 -31308 100632 -133932 104658 111393 65831 78288 22085 71746 -35634 235076 -22585 -17480 -66949 -49573 9824 8431 -92866 176687 -129840 -589 54154 -165510 48972 87241 63944 54722 -8450 -103681 1002 -799 92683 13280 -100606 93357 147851 -32810 -68919 -67812 -44157 -38340 34826 165808 18284 -132619 -63613 -54134 -194200 -29362 -42687 55371 -57473 31886 -53270 27127 -94835 -150689 -41340 -73800 236487 54930 90171 -99187 -82330 -41773 107745 -174266 -567 -5985 -97817 4622 -59528 24839 106966 -30604 -28363 -51821 8169 115588 -58672 
+-112761 -49947 -14535 157462 -155236 6797 121374 -11515 100193 26483 42063 -43733 48701 160084 -252068 -202599 8010 30439 29239 62205 89682 -87760 -36028 -110205 -12085 -67941 82945 38890 -66221 162633 -172754 31921 -4704 -175786 70891 -113452 -58966 -4080 51387 -38367 -184960 -169286 36896 20675 -125502 -2816 -48768 -58442 -106821 120595 -75755 15170 167788 -68679 -69175 21444 27714 -29979 41531 -12521 54683 43300 43145 62410 63511 3148 85142 -222625 -118069 102190 125899 47918 -59669 -24356 66519 1556 62756 44223 104900 65581 -43637 14402 10693 -34940 16176 101356 -29541 -43485 -39949 30580 -53977 77898 6055 -190250 -78229 -57152 -1627 138214 -110853 -184884 -1304 -140998 68120 116113 -34078 -7312 78100 -117144 100046 204250 18249 66114 -105618 -120104 -2157 -90971 -26299 -121927 -65673 -5681 153347 -43405 43220 238925 65594 -47319 15555 -79216 -123405 81522 -35703 42008 -26303 -87234 55788 -45533 207235 -56667 -4983 108932 36401 76894 62999 -29811 83072 -3454 -208740 -200388 -59235 -86805 -174616 24630 78510 23528 91418 -85207 -4733 -56661 23946 121237 -42150 -88667 -5902 -118600 -24521 -1286 -212383 105179 55816 41543 56399 -15363 122570 -97219 180521 -59283 -22208 -144003 -19066 7188 29640 -113818 132491 21405 13201 5252 -120539 -59828 81761 62539 -80144 -3388 -105704 40105 38646 98389 44303 -138576 88338 96573 -7308 -108312 -48346 -114910 -16070 8318 147055 56643 -105527 -48523 -193227 -141856 27774 -50935 20232 -54045 40501 -46798 44679 -57926 6622 -58938 -115100 140626 49244 56572 -50379 66890 -24248 105098 -96075 -22720 30389 -111508 -133222 -90677 61710 119318 -58411 -17833 -38676 -119655 40287 -72722 
+-89530 -140802 4921 82249 -57174 -6699 62283 -11982 4475 26684 -6223 -37666 44731 72142 -280322 -164743 47460 61032 9615 57121 93235 -70342 22444 -80801 -10418 -47250 101478 47671 -34367 239842 -155967 62832 -31332 -194664 96005 -108575 -47247 11876 38207 -19585 -51742 -150195 -52413 6693 -45383 16882 29499 -27838 -17805 97839 -22153 178560 215730 -30805 -117380 3364 80871 -71852 45840 21357 58422 23129 150740 140182 44641 15128 151441 -96792 -128681 -52592 125135 35635 73627 33256 74818 -172843 65449 109165 102699 -3366 -4480 3951 -74350 -9647 63695 -23163 57866 -43527 -11925 53740 -36121 78116 6671 -200479 -124784 -79242 8533 189787 -122961 -78013 6389 -84835 64329 139980 8412 20603 96692 -166559 97837 161989 62916 62240 72984 -128952 -27528 -94883 -27805 -171402 53326 -51300 50498 -119245 6795 228145 131445 -20432 15989 -116114 -56415 42105 -113240 41586 16374 -109142 29573 -29503 271981 -18718 -4151 148324 36925 -2935 -15301 5621 63490 -64680 -185388 -95397 84601 -124259 -132253 39170 84518 -122106 70367 -50067 54787 -60223 -3156 164625 2083 -113511 31917 -194710 -5878 -87936 -241800 96516 -34889 35551 64462 -100852 68122 -83031 173412 -38114 -23945 -208336 -2874 -62327 27764 -117923 80495 96937 1582 19446 -61881 -39691 90822 51867 2447 -11254 -46207 68340 93195 105792 -8751 -173455 72135 39983 37012 -141111 -7233 -181310 102738 6470 100328 -27560 -88306 -31683 -167707 -66725 79922 -51324 -20369 -68380 37467 -24505 38342 10491 132121 -106428 -117389 37462 29179 71548 38549 208175 -24252 97909 -3607 -14559 37866 -77926 -118470 -118026 46473 68167 -56582 -46239 -79912 -135636 -60273 -71728 
+-72433 -140808 -12059 -39179 6268 -8133 -102452 30642 -165370 30253 -10675 -36936 49323 -39601 -273556 -133644 114325 69004 41717 36768 87823 -21513 58213 -104058 -14459 -20648 37727 14215 56710 253698 -69111 74451 -10841 -217826 64921 11144 -56889 9804 -45263 -7835 14955 -84668 -82735 13109 -5093 34900 114 -74196 55225 69437 14041 210732 212201 -68993 -187394 -51017 31064 -32128 47144 33169 34187 34788 120398 133801 25679 51076 132885 69514 -132328 -32383 129826 -8723 215768 152927 50987 -183166 30444 142565 44552 -80153 28906 -52834 -63846 8950 38981 -208068 108545 -66074 -4275 -25731 8444 80801 1899 -168158 -114928 -66056 32056 129595 -99241 30075 16890 -42099 48797 84402 51139 84354 114580 -99133 -65583 87956 61120 56822 86104 -110614 -25576 -46674 -24072 -190791 143513 29733 5705 -131499 18770 171826 80491 -61658 17969 -109720 -66087 -53131 -86949 48156 57149 -132743 106574 -18388 213664 18670 -3082 141889 35189 -47180 -55093 20836 37578 -100445 1752 151876 173055 -147580 -48679 10771 84185 -208253 73346 -59914 110045 -66715 39630 175769 36050 -112683 118954 -201089 -676 17161 -250581 111699 -112620 60691 47731 -126525 -50330 -11730 160695 -57195 -19996 -222603 6464 -133879 712 -122127 -64921 -8250 -1894 -9564 -26094 23670 80710 26768 160738 -7137 -16482 25731 118128 90569 -100906 -154352 52149 4235 111898 -110704 71 -227919 215786 12923 75984 -152303 -33351 7735 -130938 70671 73439 -26597 -60198 -87218 16538 20605 37211 82912 90670 -141652 -118114 -74881 772 49216 33293 225379 -25745 84664 -58626 -2524 71289 -71402 -58899 -124408 -44574 63592 -48277 -42840 -96790 -4968 -89346 -65014 
+-82998 -78739 3839 -57341 -15788 11563 -165026 59855 -289090 21632 14475 -47795 50917 -133094 -293344 -55474 125709 54177 25108 17231 86561 7944 46888 -64385 -12998 1215 -31749 12321 95021 190034 -2144 59506 -55766 -207165 66052 167744 -66824 17605 -56589 -40690 -38857 -65239 -72370 67929 16665 26546 -120987 -48872 98611 28027 26792 91821 177284 -53928 -237649 -107939 -82671 -40689 49857 3712 31843 16666 -4832 79801 14368 81935 10596 41527 -133856 144201 45310 -67677 242207 212849 51178 13278 17957 133053 51722 -136490 57949 -67062 9472 22008 59131 -230055 61262 -61808 22840 21356 40892 81819 22762 -103808 -36400 -57111 30243 4992 -67471 123972 -16911 -63516 58741 -4737 84701 115123 131882 25765 -226117 -4112 76884 39912 -59432 -74720 -9907 -45939 -25465 -189320 81854 183857 -77297 -187774 3051 123759 -69932 -42050 5791 -120651 -95418 -109471 -51249 63077 92787 -144385 80709 -39681 137248 50720 -28714 139004 32452 -32727 -70793 -65490 13623 -110796 118525 143194 166163 -122555 -8638 29477 79273 -154234 83329 -13795 119846 -97758 52893 97613 54789 -144533 173951 -158629 -20846 95414 -210292 126389 -160967 99777 10750 -130547 -162047 46601 146769 -15891 -16648 -192027 41182 -175892 -7068 -114622 -59530 -159347 10963 5701 19348 126127 89188 2546 181824 -11535 30957 93608 126074 99788 -155621 -175558 37625 -36159 129883 -133665 37519 -230927 219965 55715 15494 -171328 -15695 70356 25620 124204 46137 -39406 -93676 -88555 26737 82553 28979 163800 -66267 -156738 -84831 -71561 26744 92142 -45991 160401 -10580 72110 -133457 3837 118800 -74365 31743 -91600 -68798 25836 -41166 -20320 -112490 75793 -65473 -46248 
+-92120 4560 -16339 16496 -131763 -44165 -35627 83558 -148156 13960 41371 -56175 55261 -187076 -230900 -6606 83344 26857 51826 28519 56679 45586 32774 -108457 -13640 45733 -79531 23285 123776 84764 70622 -27599 -53618 -214618 52557 198655 -86024 28621 -135962 -11709 -151471 -32167 -1826 122729 -36781 3303 -218755 -21373 133303 24493 79339 -51542 78032 -52881 -248962 -118400 -188929 -36339 58235 -33499 16828 46458 -136911 16498 18253 55742 -42109 -131997 -128138 210532 11505 -112313 164407 144963 78445 160923 74753 53633 -29250 -182404 66374 -92119 117835 20907 32968 -95494 -15236 -64033 12033 18129 33373 84023 14148 -84700 48899 -48918 12294 -85412 -45953 99727 30892 -84750 67740 -30136 98655 163634 148393 111930 -220501 -41663 90050 52850 -215464 -27859 -25595 -68156 -23918 -177605 -51991 249796 -83073 -221648 4740 86459 -86564 -50183 -1118 -106826 -145600 -154707 -6555 57966 121189 -154294 44195 -47027 -997 53641 -23099 130404 30845 44099 -73984 -111558 2326 -110353 29999 -20018 113336 -121151 64287 57462 66413 33830 82459 -39030 84359 -98887 53504 41800 39673 -157334 138609 -64888 -37306 96019 -156204 105170 -160920 127457 -20149 -116901 -174190 18998 48759 -7059 -16524 -118372 56971 -138857 -14407 -155396 -65352 -192495 19329 21148 -25966 161835 61703 -15924 98892 -14842 51249 63855 82393 122230 -124340 -141917 94308 -45828 191121 -98166 62834 -175671 111929 75401 -10894 -46623 31094 131569 119605 156451 -20211 -28389 -115369 -77201 14017 143902 53742 216098 -196941 -154188 -15916 -56351 -25267 128311 -107740 -1913 -12529 63146 -181648 -188 132158 -82974 51875 -52701 -575 -41521 -11668 -16947 -78464 17892 28550 -72745 
+-89359 -33947 -25540 150361 -173119 -31581 109838 112972 24494 45489 17352 -61560 57383 -164700 -167038 47062 50515 -9823 27237 36484 24579 54479 12525 -130705 -9998 49198 -32972 10920 166615 -17706 111318 -49186 -26296 -206943 41811 88533 -83239 21688 -127099 -56433 -206579 -38443 66317 173464 -105902 -3099 -91086 -11077 100991 -1115 136506 -64787 5749 -63509 -233526 -65824 -209837 -22274 64961 -51066 21330 50866 -180208 13598 34422 12058 60877 -230915 -121557 98375 -1381 -142395 19481 27589 85760 59793 52509 -22857 -61138 -172260 77045 -77719 181917 10847 47659 65808 -120285 -100036 3596 15244 -41906 82925 25746 -42993 70664 -57321 -9675 -70752 425 -229 -12124 -148935 84877 27203 92611 198263 164020 97222 -54194 -17072 28210 71614 -95713 33581 -17090 -108833 -21485 -136482 -73181 142803 -75075 -216027 -24776 29294 49849 22906 -22279 -131025 -127686 -77218 71626 58630 139857 -180908 49789 -41401 -47931 26491 -27279 102435 31847 137826 -46692 -191651 5699 -89050 -168137 -172435 -18012 -94740 40168 49515 49525 117857 93841 -19291 46721 -81185 116266 -40773 6987 -185501 46214 5508 -62708 31677 -65695 92144 -125665 127448 -68540 -72897 -105366 -82201 79906 1044 -22750 -38126 76141 -174395 3890 -120637 -20873 -55707 9884 10300 -42548 59734 57217 -26989 -49489 -15027 83833 58073 30714 109637 -44081 -190434 115940 -27050 208545 -107706 80999 -131306 -7996 112323 -49703 64066 40852 128378 131743 102374 -79526 -64800 -121768 -59335 53204 198785 67163 235851 -147154 -130957 -7374 108830 -26395 144232 -90040 -71589 -9329 61619 -105000 16735 148789 -68853 -64606 -48615 73068 -84120 23159 -26262 -58947 -94599 99419 -33008 
+-92240 -130167 -3269 178430 -136287 -12548 79146 136155 124762 28166 -3026 -51866 58399 -85184 -108764 66763 314 -42388 -11327 35916 -15411 87542 -25020 -115525 -4949 89380 54831 9165 160095 -94158 117545 4441 -32802 -204970 89734 -73993 -103006 38814 -141066 -45945 -121474 -74939 147572 191804 -125509 16193 20597 24266 18436 -19199 130069 59213 -86219 -48741 -201576 -21434 -141420 -8629 70803 -17797 29112 29461 -82808 89744 53943 -3978 147646 -122926 -116769 -55456 4813 -125532 -106993 -26832 75948 -154115 86391 -72236 -55254 -157778 79367 -37786 147766 -13239 31027 51647 -144432 -89920 -17372 48050 -71194 82909 31033 7427 39224 -55126 -47531 37794 -13280 -129494 43222 -157468 82165 112353 66305 205270 177975 -12610 102291 65529 6393 69075 71199 90811 -22451 -95083 -17111 -81874 53422 3596 -20298 -254254 21385 -5729 135791 -12096 -41697 -113605 -71589 30745 129748 60338 147900 -162413 69670 -49732 -43977 -12425 -29224 77512 34555 215781 -2453 -164760 23188 -42735 -218332 -150914 -118833 -61639 15061 30194 18489 59574 102289 -50518 29534 -54969 94387 -11954 -44346 -194884 -7163 23171 -76196 -82062 12397 80651 -56061 107723 -121771 -29781 12718 -134350 40842 11745 -12065 40106 83062 -123568 23244 -135778 65289 103443 12246 28429 -96991 -30246 73224 -26320 -30951 -7760 85739 77751 7719 92155 26238 -191324 49866 7285 239646 -41534 100681 -16503 -35861 150249 -83815 8901 83381 84521 112097 60093 -119314 -59780 -112472 -57060 38184 235820 53999 206632 13454 -102018 75837 207237 -35169 120797 -30213 -103515 683 67987 -8208 -13981 166180 -105684 -148878 -65531 40371 -136808 52037 -48378 -21350 -115769 99097 -29262 
+-96142 -171397 -7833 72840 -27424 -20295 -83566 150297 -10702 57843 -6046 -42370 52901 27191 -52593 87106 -26374 -87455 14213 44776 -60139 132695 -50966 -88285 -8004 102867 88701 -5573 155851 -80015 81161 90536 -26731 -193018 58992 -114383 -95912 11886 -59896 -50618 6470 -111188 185127 167402 -59848 34684 31027 29157 -38101 -20762 144924 188920 -171751 -62660 -120691 34074 -17643 -34883 77056 15749 44204 63526 48966 139726 70056 32794 139239 44200 -102413 -32012 11094 -85945 -165937 31118 59036 -200689 111290 -92615 -26635 -113733 53498 -36653 46551 -35555 41521 -100026 -105470 -107291 -34395 7350 -44495 78593 30916 34871 -44266 -64908 -66356 154510 -31695 -222856 22448 -103446 65106 134508 26600 219501 190991 -133863 92260 133728 -11388 65370 93910 142787 -23144 -142161 -18810 -32228 139590 -58872 38001 -197910 11596 -38775 95222 -24391 -67752 -146072 -74793 91520 134793 69011 144733 -171166 65789 -46226 54066 -53177 23868 56004 36736 234907 51152 -110252 48397 27425 -72107 85199 -33558 -41579 -61258 22706 -5768 -85181 89536 -94222 -14319 -94803 99474 79532 -76858 -225207 23705 -59126 -86389 -32669 89618 79328 18203 68254 -176000 58047 99673 -66908 60488 12351 -15554 87484 96643 -75084 -13159 -150041 144968 67099 9967 -8990 -108892 -80194 63646 -6698 107513 -9333 8440 112772 21719 88383 58932 -179334 36577 53249 248358 -19332 84017 75963 77080 126260 -112789 -109501 98452 26645 16865 -66833 -110543 -65787 -88767 -69909 25820 250809 18086 150527 135585 -63133 111482 167237 -55151 116472 58478 30799 -3605 79817 -35890 14247 172232 -70995 -68776 -108396 -27362 -187727 48086 -23159 -112383 -33119 20230 -52856 
+-77651 -98686 -28329 -15102 40409 6370 -166381 114081 -158531 1244 -2039 -37933 50328 125043 53172 93749 -20624 -141938 -4327 36445 -94335 135701 -40633 -96627 -8457 91274 67358 3483 104396 -5390 30805 106525 -39600 -169891 74603 -17947 -99605 -18744 -43281 -19448 24071 -138449 157063 110360 6577 27143 -109782 52480 -96866 -22190 135615 178661 -157923 -67166 -32423 -696 76944 -28627 82429 32902 57334 24752 140959 149866 73377 79483 41304 60467 -108827 95180 67531 -23732 -83531 154981 61427 -73828 125199 -72311 -19229 -71342 27761 17959 -44688 -42905 60302 -247883 -13611 -99810 -23821 24076 37990 76896 42132 67082 -118778 -56219 -74290 187365 -69690 -257881 11506 -59994 70086 86055 -16696 206788 202293 -160364 -74380 200013 -30754 79890 -133384 179130 -27358 -109301 -17671 7734 87447 8136 124401 -215473 12513 -87971 -35182 8337 -90045 -130648 -78588 101420 98784 54556 130454 -176090 56278 -49053 134002 -43416 -6335 36934 36762 187996 129498 -26003 72870 88757 98411 168588 122608 -39994 -146673 8178 -25149 -200700 99534 -82673 -56594 -93360 102308 175881 -92943 -207710 114148 -149341 -97462 89470 134339 93526 92089 40599 -192765 88218 101917 23525 15975 -11964 -17382 84302 93285 -30589 -23648 -106178 214664 -116989 -2491 -25956 -128374 -32530 65431 10349 190902 -22476 -13216 119804 50354 82903 -4056 -188827 57515 113121 242055 -14596 92183 97296 206734 118653 -123422 -178215 148186 -39137 -152094 -168520 -74084 -37372 -53772 -85997 51084 239895 26990 77424 82254 -32298 159318 106057 -41063 85114 -4460 179159 4087 93017 -114464 25016 179441 -94049 -26897 -129344 -63749 -242581 81227 -36651 -73177 92072 -81777 -18843 
+-86812 -29820 17577 -72757 -2513 21284 -47240 136143 -258755 28599 24346 -40081 47028 180722 104834 80264 5110 -194544 41546 29750 -127515 150429 -42954 -76175 -2104 86247 -36633 -38989 80672 107328 -40527 45530 -53689 -148337 60765 142662 -88336 31946 -599 -33899 -86171 -142116 85362 63433 11834 3844 -194096 56513 -184904 -48644 38414 64171 -128804 -70432 8673 -54019 73811 -2325 88884 13077 60705 32602 106288 69765 63546 74530 -22392 -92008 -90747 237822 76785 32427 40830 207664 60154 102931 108101 -19044 17613 23459 -9556 89139 -85427 -49076 63125 -203336 61976 -134387 -18832 -2129 36864 76223 47626 57426 -125822 -75694 -82717 110097 -95518 -193943 26777 -57148 52304 -5812 -51870 186288 211933 -86705 -229401 188548 -43004 80149 -243139 201416 -14702 -99204 -14752 21387 -47776 172587 193897 -185361 18822 -91234 -73761 -2556 -113708 -119875 -152503 64342 4054 51241 106416 -158388 67956 -41140 251424 -187 5533 4301 34542 100359 153807 39679 87163 146091 87011 27038 175414 -65571 -207250 741 -41590 -143393 101113 -72320 -82738 -65457 65841 177511 -85571 -234336 173905 -218494 -113708 111762 136793 48636 133256 40883 -214595 109329 8013 51393 531 -63857 -17428 66047 99912 40224 39421 -153448 177485 -208087 -16938 14683 -72339 67962 65126 43312 129175 -25 -47848 127272 97432 82560 -78154 -148792 55545 166794 245032 19602 61859 138171 219068 98691 -119564 -127369 102498 -69307 -183723 -204835 -6233 -27201 -13317 -91956 18030 201526 -5147 -1898 -81653 -33514 152404 -39982 -62657 52720 -53358 262314 1443 103624 -174248 12281 184944 -62462 67075 -105580 -5026 -260796 48458 -46750 -114045 35976 -90966 -25639 
+-71307 -27441 -4813 35738 -108667 22893 109723 133850 -166847 43587 39678 -58708 46689 163520 83569 53490 97911 -214605 41353 13203 -159620 117682 -6527 -86512 -2559 80724 -105359 -11190 22058 203185 -129665 -16557 -26518 -137810 57946 190244 -69665 9199 49068 32680 -196124 -135607 -5179 9151 -37810 -3325 -162238 52499 -218683 -49120 60970 -84556 -56547 -53746 29225 -126246 -17534 -10291 94056 -21404 36368 66355 -25897 7687 46109 20496 19639 -224554 -80558 116203 142388 51531 156276 145587 67392 55152 118430 55120 22510 75286 -40535 20825 -24975 -36055 49577 -19538 82408 -135792 18311 -1950 14911 72469 49775 76235 -72510 -68011 -75168 -15854 -139324 -103642 -11035 -61535 49409 -29237 -72648 150914 219887 38345 -214740 112300 -51194 92098 -81350 206454 -16771 -80993 -15278 11740 -67878 248378 253439 -153673 190 -101676 19464 20861 -139692 -115372 -140180 -19017 -62856 52120 73888 -131782 72636 -52378 233381 27982 8135 51714 31833 14992 186344 1252 86838 194244 -90441 -150458 163747 -86660 -165895 -22388 -39694 19959 111859 -31095 -48393 -85750 37787 122966 -47131 -238428 143481 -161013 -134384 44116 111002 42383 137062 62217 -225549 66301 -101500 -10662 11880 -45605 -23224 5777 85074 10509 34907 -87686 107516 -141198 -10380 28850 1015 116913 57458 58130 -16700 -847 -107257 146006 123249 82668 -152281 -139905 76296 200895 217457 -255 64105 145105 134011 66982 -116869 1031 153654 -48180 -166060 -155742 44201 -39170 26583 -75964 14298 144215 35903 -62468 -196921 -50135 94641 -115331 -57435 45395 -80385 173525 2536 107782 -156892 17005 158893 -46105 -21709 -74345 52699 -295103 45426 -68799 -84097 -70197 -39051 -1248 
+-70512 -96762 -45637 134736 -169807 36299 97373 97340 -12662 69475 15271 -60640 49164 83632 95782 6492 108468 -227045 46926 45850 -188241 131154 38715 -85685 -7367 62330 -49111 -1625 -20484 249938 -191175 -51023 6195 -119410 68464 112938 -64566 43858 71099 -8534 -171057 -109806 -64322 -2329 -104117 15438 -13543 54113 -250829 -32618 -19015 -57614 37346 -55584 60537 -122526 -139033 -4294 104814 -52234 27344 34445 -152869 15488 26934 -19925 113683 -156968 -71593 -57549 129417 42870 216689 25017 78868 -116071 115196 111536 63954 127000 -92036 -2034 83964 -12577 41152 98406 19669 -154000 12861 20327 -28659 74551 58380 56668 18397 -50557 -82436 -91590 -107897 20934 -50706 -120532 56046 28599 -71298 109504 225685 123156 -45450 30039 -136439 84754 90598 180343 -32573 -119550 -23660 -23926 43014 173337 282177 -82344 24859 -82394 138631 -24304 -154636 -94746 -90788 -117616 -87699 46963 35587 -140371 61388 -43796 185266 59250 -20684 94789 30854 -41045 198377 -45532 72625 218884 -216835 -174316 41650 -123474 -126667 -31693 -36095 132708 101655 -18818 -958 -93794 56649 50980 -2287 -236754 51565 -90025 -136247 -45401 54554 11545 109123 104487 -215375 18365 -170370 -80854 -41575 -34279 -21535 -88339 83953 -41133 23450 -118657 3079 45536 -22833 39596 14540 131388 62925 67206 -60120 -5839 -103980 102884 121747 74724 -118433 -164936 57627 225750 190433 -299 23435 88594 4792 45228 -53003 50972 116957 28610 -40572 -84991 83005 -46441 60521 -60438 -7410 84510 44633 -106790 -156573 -88524 50506 -64848 -71452 102331 -53978 27470 -3274 103873 -63391 19285 138050 -62335 -114096 -48732 58113 -274071 15436 -40886 -17188 -153465 77434 14584 
+-88004 -153523 -45489 151549 -111257 49750 -78339 75942 112753 12124 -7131 -59064 51828 -29177 80896 -31659 126426 -231550 67912 53200 -193185 119881 38330 -92106 -6576 42354 70748 14757 -78114 241537 -216862 -34611 13912 -89870 55722 -61274 -60297 -3781 60804 -30734 -54179 -73873 -89271 37850 -107064 34464 27694 33981 -187253 -20301 -71101 118561 135084 -59420 19929 -122848 -208297 -3281 106900 -34032 9148 36030 -179732 76412 15578 -6919 167945 16367 -65114 -31323 116742 118 189144 -32879 72939 -188326 82710 122595 87529 165642 -130866 -58002 164575 3404 -23849 243 -48518 -149936 6554 -3317 -52455 73819 69588 16372 78172 -70964 -51856 -57241 -90456 116719 -14482 -142442 54994 108110 -50533 58943 229839 92790 108074 -28357 -153219 81755 72196 139536 -17606 -109443 -23771 -62393 140096 40668 265979 -36184 -19151 -76176 132716 -53022 -175698 -89198 -49070 -146659 -84426 57347 -6656 -132993 40164 -47870 35000 44325 -27632 163090 32406 -39608 196731 -122714 47980 217767 -137803 4487 -19135 -124597 -49929 -34680 -21606 79779 116946 8270 38994 -64540 11940 -21641 38822 -235640 -8126 7071 -111825 -60643 -25556 24074 31291 129256 -198029 -44727 -148910 -126062 -54641 -19765 -14475 -139496 63647 -44368 5141 -116467 -51716 102964 -23634 7503 13157 43729 17188 61682 33778 -8404 -101599 100427 87744 52353 -73752 -115762 61682 213517 136308 -30126 -590 69159 -23272 51362 -50376 -52570 94607 86911 27553 38575 68759 -28268 82649 -53965 35028 23734 21268 -87782 7309 -126366 23500 62357 -85159 143740 35397 -91545 -4291 94324 -22712 25365 118292 -75564 -142056 -51749 -15519 -259731 -17725 -27113 -30641 -47423 117607 31311 
+-88165 -115118 -3893 84425 -22103 55588 -161798 33778 17537 34348 -21765 -47576 56263 -129338 2090 -82135 97069 -216180 69011 33151 -219182 68491 61579 -78177 -3302 11517 115666 -47891 -96471 136339 -221792 19643 -27250 -48495 97938 -113743 -52899 32142 22389 -24271 37295 -16504 -37829 90213 -42340 27700 -51322 21207 -169263 -5833 -37698 203095 186035 -39995 -11260 -12133 -179071 -35478 117105 11184 6691 8357 -79556 132225 17855 42454 96381 79204 -67257 104936 99346 -68101 59084 37436 58842 -66776 32733 104028 123391 179345 -161865 -65797 162655 21474 15088 -169869 -143753 -137683 -21738 14911 -5832 75267 73544 -12805 62784 -57866 -39385 60299 -37745 105802 18802 -146264 57898 137886 -11198 5163 232213 -26477 87228 -17239 -163882 51228 -87170 92939 -12864 -160234 -30881 -118364 82876 -56322 228010 33891 5750 -63202 -1115 -48436 -188420 -79355 -41183 -99977 -42166 43887 -49388 -116488 66874 -66745 -46987 2478 6025 123463 35188 19570 161678 -197509 22366 203029 41964 152061 -73394 -129918 16041 -58620 1490 -144582 110253 -24047 72476 -75052 30804 7857 52711 -229993 21349 24676 -169263 28611 -123668 7055 -42763 129395 -160802 -107023 -43915 -29850 -47708 -10972 -19560 -214480 58430 -115080 -9919 -73822 -92016 -2886 -42363 -460 -47359 -28566 28400 46016 174878 4329 -62418 110884 44165 56572 11490 -106303 3721 208634 101908 -67536 -35012 -37103 44487 43597 -4755 -155967 87686 106531 131410 137942 35003 -64227 89129 -65188 14250 -22524 10429 -56416 136447 -154435 -37302 152255 -85332 130417 28364 -106705 -2957 81132 -110588 33469 92644 -42975 -39740 -93278 -57344 -272378 -52410 -24558 -73364 50114 76529 31408 
+-87878 -29927 -34400 -45162 -12327 48176 -60074 10011 -171829 -1671 6593 -44306 59185 -182038 -30000 -138934 67458 -171418 10384 27603 -183226 67086 10728 -89338 1398 -15993 51400 -33393 -104341 35548 -193782 50954 -35849 -38486 79314 -75005 -54696 -10264 -37178 -23736 -10558 -33276 13636 132504 21030 4440 -154345 -9096 -100065 15123 -48873 171329 231800 -46600 -66177 32984 -54600 -13080 118985 34667 30015 31260 70547 134219 33209 78609 3065 -61480 -69967 231510 21173 -116614 -63748 152846 54659 131487 52458 40454 122025 159275 -196696 -130086 93864 23045 22528 -241723 -117437 -158648 -28105 -5053 14583 76588 78775 -69495 -13756 -68635 34396 168216 -15246 23187 28669 -77872 33461 85368 31434 -20810 232486 -136131 -84232 41873 -178047 65941 -216530 30071 -22197 -132276 -25888 -158252 -23831 12305 157540 48713 53012 -638 -75349 -88337 -188626 -64109 -126755 -11268 38318 52586 -89728 -95929 43970 -65533 -59916 -32298 128 157215 36934 118348 117948 -185287 5172 163759 123009 74357 -1896 -87078 57458 -88337 17806 -219946 118441 -52757 80495 -74981 69482 71000 41920 -245886 108685 -46050 -115432 98473 -197489 -25532 -110565 103235 -113529 -127590 61486 26271 -68232 -874 -21891 -213401 31304 -150315 -1311 -138290 -62853 -171241 -52601 -16406 -101668 -85769 15806 26244 191034 948 2249 102659 8881 59898 19197 -102298 86707 163249 35948 -91738 -51308 -89496 154377 14463 58533 -158624 70469 123502 150979 184480 -32281 -52433 80289 -82281 16454 -48749 -4644 13450 89707 -165960 -86038 186617 -99713 134948 -24840 -12938 -11869 68498 -151426 19952 59888 -66077 40864 -119298 -6903 -204132 -39716 -36759 -163992 37390 -37824 29869 
+-76778 -1453 -41021 -64461 -39245 60712 100205 -7819 -281721 22870 19306 -40925 55647 -165953 -121813 -188266 -5105 -126312 -19761 27413 -158619 25272 -36273 -100579 265 -58565 -36736 -35624 -117660 -65246 -106570 95903 -59990 -367 85887 77602 -58069 -31227 -86442 -86297 -161356 -51749 121332 196334 33507 -3514 -180123 -16576 -25793 42555 -27475 20432 194167 -44519 -131914 26574 48812 9946 121416 17866 36066 44721 136729 82826 52408 36572 -9483 -206618 -79834 120371 2102 -143187 -150933 212305 55225 79016 47603 -11727 103290 107643 -212948 -77470 -19095 -6150 67205 -126993 -89373 -131235 -24640 -37241 46208 78914 84673 -116324 -81813 -62069 22704 181172 6821 -107843 -36116 -49091 40954 5901 70610 -60191 229963 -157991 -233561 136146 -173107 41057 -110565 -25139 -15805 -91877 -34821 -188439 -62498 135738 83321 62108 2854 42532 -17753 -36197 -190518 -84054 -159040 77379 107608 61797 -124761 -58492 75363 -57078 13919 -56974 -9243 117319 36399 201093 96099 -122763 2087 104557 -21427 -125391 121833 -78139 60537 -109046 55832 -172632 104288 -56619 111418 -93155 100254 161487 7914 -238651 170388 -130106 -132785 92545 -243473 -7686 -165006 63413 -88010 -147369 134453 44446 -67131 38029 -16972 -197183 10409 -203695 23938 -102610 27318 -185804 -53023 19607 -120858 -30191 3661 2974 69462 2162 33601 85839 -82 54748 -11617 -50909 37465 119290 3226 -113253 -80522 -145881 232600 48396 73986 -26256 35887 74628 62707 128531 -87870 -27536 56875 -90640 62384 -49946 8704 92183 -64163 -137371 -160510 123975 -111008 106344 -112313 176480 -26233 62473 -186238 18742 30830 -35539 -2335 -127207 54406 -172231 -44418 -30971 -87845 -72882 -104405 67292 
+-88158 -97300 -35962 18391 -114024 50060 104842 -12922 -183690 61715 19314 -41535 52968 -100639 -213651 -209197 -25202 -72473 11360 23529 -158290 14348 -20052 -81536 -712 -62288 -66889 -16789 -101574 -93376 -35860 15453 -52953 20545 93179 196663 -69414 -26427 -114705 -26535 -196037 -62815 155147 190555 -51303 14734 -65771 -54586 53264 62203 2429 -75163 149188 -40140 -214940 -45782 78034 -12865 126493 -9170 58880 41304 93835 16035 69953 -26930 113866 -180053 -80238 -32519 17351 -123816 -139106 147791 64749 -28802 59663 -87304 85680 44061 -243388 -62475 -78323 -18047 89850 66981 5792 -160791 8537 -34919 30881 81641 93820 -145123 -129821 -81193 2940 89425 -16365 -218590 -41970 -55204 32228 -28515 93795 -89914 226436 -73257 -211013 194182 -139981 43561 79358 -78277 -18781 -79207 -39998 -198290 44957 253971 -7424 72041 27168 70091 122017 -10854 -184435 -45964 -133825 125960 133715 62039 -152329 -34423 45664 -66684 88413 -26703 -21367 103190 33851 234755 -5930 -11671 14626 37093 -195118 -171130 196632 -52498 14620 -134026 69464 1571 111445 -94668 71505 -78400 55346 200437 -35563 -239966 148845 -209498 -88727 -43565 -265142 8016 -158496 36216 -23570 -138418 85205 -63158 -105093 -73 -21284 -117379 -13513 -149524 23454 -114474 147180 -28940 -75205 43825 -113950 66408 12355 -16328 -67551 1966 59746 101702 45062 27065 -104875 -60861 65315 66293 -38667 -114258 -99051 -192583 163308 60931 130122 43405 17813 21917 -45640 49535 -120675 -19843 22162 -77306 14549 -28017 7083 167360 -180348 -113803 -81144 -6167 -87639 62355 -65313 236602 -26463 62922 -90575 7112 -7247 -50354 -72835 -95686 54164 -134671 -50882 -34994 -78137 -97921 -89372 78558 
+-96822 -150038 -534 156523 -147247 27474 -68345 -10876 -1471 69339 -3248 -54865 49360 15795 -213420 -224911 -24780 -29846 62914 38631 -102086 -35278 -59868 -93381 -537 -83367 -20011 -20632 -80946 -60085 44556 -62677 -28418 40481 80548 139860 -88439 -5663 -111999 -18212 -127650 -144203 186545 159429 -96775 34245 19786 -8869 99263 86964 25848 -6948 53711 -41574 -245257 -117122 32775 -25627 127735 -44660 55116 44945 -57394 32944 73691 -19632 171301 -12132 -98144 -60674 -12026 -83957 -2385 27970 59093 -195608 122923 -93727 28689 -24689 -232328 -19183 -55052 -41159 72010 68470 74669 -92782 23173 -18346 -24405 80896 99709 -157502 -102831 -54577 28821 -35238 -76408 -256245 -26238 -92050 29604 18612 98032 -100356 220709 53424 -36667 193073 -122257 60485 98738 -111995 -19015 -114556 -36757 -181050 140926 178888 -62495 101492 30038 110541 131269 -25172 -172329 -41817 -60593 81981 116808 67331 -170064 -42034 36931 -67515 196464 13317 -12171 55598 31399 211287 -31566 45091 37894 -25621 -189029 -28272 163763 -25201 -79184 -135703 79797 147790 109541 -82857 41781 -58178 99846 173752 -72414 -236500 63203 -198625 -81516 -50367 -228681 -55478 -114878 39323 11709 -79285 -3682 -116172 -117420 -23977 -20254 -27272 -29592 -146461 12136 -131120 182061 94893 -84509 -16288 -50662 153975 5571 -25668 11029 3515 81827 37110 98931 28509 -130887 -64684 71549 9950 -52192 -159211 -94681 -191524 31601 100916 185552 16006 -16586 -33246 -141692 -39421 -108173 -8214 -18557 -58680 38928 19812 10845 209059 -175131 -76347 -110131 -86876 -114809 37844 -55898 185820 -36905 69413 -37425 50365 -41680 -76058 -111077 -59797 -9332 -45838 -7289 -27545 -15427 -53041 -18782 51259 
+-87296 -128810 -15880 185189 -132459 24369 -168556 -6910 107845 16952 -5936 -62607 47153 113631 -289304 -233193 6362 20618 49435 69034 -91925 -69653 -47032 -106910 -768 -75137 89491 -479 -18238 42879 93030 -37774 -34209 58679 73161 26644 -87276 -23086 -79376 -17298 5359 -162373 154884 95503 -107159 28276 12077 -60099 87199 114154 85620 184346 -28171 -47607 -245487 -127108 -101439 -18519 130236 -34876 47891 20602 -177305 82821 64816 28560 90767 79811 -92065 104042 -2965 -22436 141203 -27516 76695 -88451 110496 -74696 -22154 -85496 -218992 5925 44285 -52829 55976 -66726 74665 -78770 14680 -3410 -67235 83408 116303 -194925 -13968 -66307 -10528 -93840 -114486 -216531 -12207 -146926 50236 101907 80686 -94191 212970 124172 110732 137267 -116251 87327 -70119 -127502 -12443 -72980 -43452 -132987 95711 43620 -85180 87861 -9156 172269 -9876 11286 -154866 -43208 -53748 9511 75988 62239 -177293 -12445 58912 -62212 236816 54827 -15879 30944 31045 128399 -76666 14518 63731 -69362 -29644 166463 8407 -76538 -129959 -176734 89170 64939 90390 -50093 -2635 -100656 99325 68427 -94411 -214171 -6280 -116364 -70564 -2211 -163878 -47827 -52501 58178 40504 16486 -169599 -89010 -83124 -10342 -22912 50528 -49481 -88200 17477 -114061 186897 76062 -98574 9878 -5724 102342 -3155 -24064 131264 2224 61825 48619 131247 9436 -137057 -11509 82047 -20996 -81304 -120342 -96984 -205578 -41738 146640 188792 -111354 -47134 -54961 -168587 -136117 -58827 -34939 -58673 -52445 44189 79064 22345 227437 901 -46729 -33393 -82103 -86635 44013 42064 25275 -38924 82521 -17174 14078 -66862 -55880 -72800 -48131 -60567 -165 31034 -40320 -61322 67826 98886 71955 
+-96400 -44552 -21113 85870 -28351 6915 -74674 15791 9618 -953 -2398 -59307 47827 174766 -297627 -217120 30838 44671 46770 43232 -53506 -80934 -18105 -87628 2978 -90491 88864 -13093 20883 151541 125212 -6185 -35418 70434 73478 -99821 -101854 -30284 -76181 -12256 19468 -154903 70196 39158 -45633 5034 -129385 -24988 88100 116725 151288 191551 -115817 -46414 -219668 -77533 -187474 -45593 128659 -1031 25404 43098 -183162 133405 47357 63839 6067 -17961 -110979 208081 39929 26334 220530 35884 67904 68511 114818 380 -38268 -163333 -208059 37190 137503 -43091 64795 -228195 -13286 -96598 3565 20937 -19796 81756 113712 -159360 58838 -63589 -43972 -40536 -119248 -108517 -1456 -164619 30103 137437 47427 -78175 203426 81155 82703 45923 -67993 87906 -212783 -113833 -8152 -136807 -45335 -91054 -22322 -52302 -70634 77733 14164 186083 -90619 13294 -138887 -55302 -90137 -81079 -19458 60769 -173328 -17379 51794 -53424 227396 61791 2271 11519 32975 38175 -50180 -26602 83579 -103193 118006 145027 -122166 -98068 -198249 -164762 76709 -116280 93892 -32531 -47548 -90176 101418 -7731 -83056 -212045 14358 -5499 -55427 113408 -83772 -22295 32313 97859 58110 59217 -160886 -15700 -141742 -45418 -21850 84904 -53540 -35835 -32329 -119790 149060 -104044 -95961 -11357 36324 -830 -5663 -6840 204218 -18121 19125 21413 115062 14218 -79883 1906 76331 -40178 -105997 -137572 -92632 -104209 13510 119440 202585 -185706 -79564 -23607 -84504 -201699 317 -56427 -92634 -65435 45798 142907 -9720 203939 125738 -31852 1005 41556 -115410 74949 42827 -118909 -47828 94958 -142263 15915 -79676 -26896 16793 -70189 -16451 17779 27920 -31801 -79191 56154 109285 75039 
+-95889 2457 -23078 -54470 26948 10411 98165 27931 -174109 11099 32510 -50464 49919 162250 -257608 -192672 104429 63372 42995 12141 2808 -128310 16637 -106801 422 -68451 27153 -17157 79417 243649 111054 81052 -53974 91521 86995 -112648 -95496 -1228 -1975 -27608 -73307 -162345 -37943 -2597 -9711 -3700 -183758 -17944 40951 130133 127751 128405 -170561 -50515 -167915 -5739 -201171 -22382 128416 32847 13464 81654 -67930 137328 27915 62052 -8494 -190984 -109514 109239 104198 50293 219218 157820 53108 123894 119927 60783 -60332 -180489 -166938 26383 179442 -25977 50220 -207178 -92554 -63869 -19941 -8862 22779 81065 112213 -152613 90352 -62801 -79060 82723 -120217 2776 -2502 -117304 57429 101476 3568 -45410 192223 -45183 -93223 -28657 -44365 72863 -71435 -99118 -22280 -44614 -45568 -42492 -59753 -27422 -56051 44287 7813 233130 -39111 22151 -112511 -49830 -128922 -140290 -65347 59018 -158323 2784 61601 -37436 97552 37314 19517 41856 35851 -33043 -60235 -134900 89169 -120262 49979 -65409 -67400 -118679 -190724 -185585 65196 -217901 103331 -27200 -46828 -68655 86258 6662 -52111 -172287 99316 38641 -52235 116240 1998 -52583 95249 129299 54393 108525 -143527 31310 -107592 -11883 -22751 97727 -78639 -6563 33274 -129299 96234 -198582 -106926 -14958 -22677 -34810 -5246 11525 128079 -5966 -2311 30277 84445 18474 18981 5012 64024 -28416 -76196 -83165 -57885 -46197 133738 119471 207856 -105745 -102283 9725 11237 -159649 58580 -73097 -114565 -84816 46904 197279 8488 143534 88169 -46842 61359 118177 -103824 123846 -44251 -102517 -45618 104879 -158014 20939 -77975 -19522 36533 -101266 64192 68100 42258 -26154 -115375 -49081 22606 76914 
+-83093 -62052 -12722 -59649 -24481 -4598 104427 48527 -244491 54253 57649 -46077 55617 93325 -209444 -147732 115995 66508 49765 11831 16610 -147811 49065 -134098 6531 -55518 -57965 -13016 101326 256254 72516 103475 -62549 93157 102469 6268 -90911 -34722 51056 -67058 -196442 -114399 -87739 9199 23396 14043 -113936 7204 -25260 141850 131429 -23676 -145215 -73706 -99132 40594 -108047 -24290 129386 23637 17120 47222 95281 81075 15463 11312 39141 -205814 -132542 -59433 121879 40286 95331 210398 53947 -12606 95297 128262 -35447 -182109 -142899 -14813 117528 -7940 32303 -49163 -144162 -92965 -33223 -19776 25918 81066 127059 -108420 23817 -64942 -84770 178388 -113087 91515 -1662 -66970 43290 12083 -37098 -14565 179629 -152467 -238120 -35006 -1927 95561 75826 -52721 -6958 -64884 -41670 3316 37850 137122 18933 7042 24797 273660 93501 -6037 -86026 -81615 -137039 -137211 -94387 59066 -133225 13858 71503 -28289 11708 1646 5093 103511 37073 -50094 -35341 -178058 80395 -101140 -136522 -198541 43502 -138934 -144780 -202770 49381 -142871 102498 -34215 -33786 -85247 41414 44727 -1842 -169781 170566 -7299 -50179 -23848 77772 -33121 144812 129667 48349 90752 -13600 21666 -139606 -46034 -21058 44008 -88115 36102 13596 -115917 -32405 -131501 -117849 15710 -83338 -43280 -22493 41284 -36028 -12216 -47140 2246 49903 5439 32948 18101 67230 -14857 -76657 -55629 -60557 47355 230110 136125 173116 14310 -113550 93948 94177 -107128 79977 -53253 -121797 -91947 36603 235318 14469 62643 -69182 -70110 94418 184795 -78970 157224 -102598 -16825 -58212 107315 -144374 88 -115020 11189 -14370 -125698 60948 100814 53980 -32437 -55171 -151812 -79001 70067 
+-88232 -140032 -37862 31373 -131624 -7640 -38532 76700 -197465 34372 13318 -42338 55585 -19010 -191089 -90283 117236 51057 38744 29024 50853 -179403 60577 -94137 1889 -33227 -102193 -24767 165568 200876 10868 32036 -27905 103318 80670 169648 -72211 -47897 63958 -24008 -178539 -31109 -79703 41051 -49692 33971 -1950 38418 -142528 143607 151498 -89158 -113325 -58936 -21619 16316 11044 -32670 123514 -8100 25192 11660 150182 10802 16955 -12846 161708 -44654 -131285 -52686 138674 -5118 -34147 143978 86285 -175008 96985 138622 -7038 -152847 -97876 -62004 16470 19306 45691 85982 -101643 -81910 -31174 -20426 -14178 77120 130980 -45803 -63372 -42312 -95742 171600 -55443 102251 -10625 -34262 49492 -26967 -65894 34641 165637 -153095 -205160 12397 -17327 77632 107209 6866 -23137 -76657 -37958 19531 142651 223488 93759 -50256 -3125 290627 158888 -31247 -64853 -111008 -83275 -65767 -71189 52362 -99993 5189 71264 -32605 -58928 -58478 1211 101523 35882 3555 20785 -194434 58743 -75044 -223271 -94323 173529 -147849 -73398 -216825 28091 16765 80696 -40442 -28353 -81027 57597 117606 37689 -165998 149660 -118698 -27671 -50928 131232 -8831 143757 95564 35120 42772 73039 -62673 -142337 6535 -23374 -35718 -82068 -4027 14596 -118854 -89024 35346 -130055 44795 -109782 -4453 -23229 55905 -46133 -5022 -90902 5249 10583 -1429 17124 38628 71194 32884 -37964 -5053 -22057 118488 174432 91716 146587 53072 -134190 122139 157812 15993 68537 -64554 -113194 -80632 42797 245117 -4520 -8656 -191956 -117334 123327 126147 -82835 105095 -76831 163319 -48688 101687 -48264 -20094 -120994 -30779 -121268 -112048 6074 90424 59177 -20004 -51821 -34384 -98802 91649 
+-100324 -134492 -24482 149607 -162156 -45840 -167584 94967 -21845 24190 -14892 -46138 57329 -122766 -86802 -32042 59004 14767 45247 61348 77675 -180142 29018 -96590 8895 -10024 -37518 -6672 145199 98393 -76897 -32617 -1845 102166 52547 181207 -72752 -95568 63289 -41723 -64071 -48660 -31259 96844 -103738 28806 32967 14220 -177408 128856 107192 -29657 -22996 -60954 41657 -59824 78522 -13798 126158 -43410 38609 22903 83742 3358 31061 41584 128024 80544 -126778 110635 117821 -68364 -136465 25663 82089 -149209 73240 94405 5507 -96980 -52638 -82687 -65984 13474 95792 53544 -51700 -31227 17504 1505 -43359 74795 132535 -10194 -130077 -82521 -70290 67184 -44831 26669 -24110 -64419 65016 17537 -73906 79373 150074 -58907 -27090 125529 41286 93036 -76388 58876 -30583 -69858 -36522 15684 95693 208660 206973 -72883 33800 280922 29386 -44330 -43588 -120793 -65137 37741 15883 42347 -60989 -8389 62985 -43637 -38186 -62254 -5551 121086 33103 92157 68700 -128746 32325 -28382 -99946 105737 168860 -129554 21567 -224556 -3697 117410 86549 -82346 15281 -60711 41573 165578 53724 -156824 64242 -189540 -7842 -20648 135948 2354 93718 54924 -4350 -21310 130124 -113601 -119098 14986 -22173 -91838 -77395 -42483 392 -140015 -66850 115882 -139456 26810 -108024 133860 -11109 63907 75592 -10595 -106615 35456 24041 1279 -48588 62727 83908 89179 -2706 3788 17262 132236 71315 49798 129781 -51540 -128974 126099 116228 129892 12535 -40429 -90166 -61980 1174 236363 45029 -68385 -164942 -144708 144760 68546 -72777 118737 -16230 250753 -55695 90832 10391 -3107 -96496 11729 -120965 -75161 -59403 85239 35181 -42702 -9418 53562 -57893 61389 
+-94311 -53796 -59257 202983 -143485 -32188 -80727 111730 103401 38342 -25007 -55819 54409 -174122 -17039 14089 28993 -15284 16050 42336 92685 -191750 -8276 -98580 7378 18973 100925 34582 129466 -14683 -139471 -48403 -46641 101597 67403 75824 -59368 -75190 11436 -9179 23545 -38307 53080 171816 -87151 5643 -71525 67102 -237285 121910 58979 162379 60617 -43427 69121 -114541 52704 16142 120671 -43899 59351 16910 -70438 83327 50294 64700 22411 18461 -129703 214643 72512 -118135 -134712 -31497 74210 52424 95920 30803 48161 -29145 -26233 -92055 -71579 14158 79315 -157351 32000 -31458 24309 -2060 -58546 74332 136800 7725 -135441 -45607 -85494 -52322 -28107 -117333 21210 -124376 73132 92568 -62261 124359 133650 63886 111326 175748 15105 74529 -227021 119380 -20150 -101124 -42177 -13936 -36127 63466 252687 -98662 -12177 278408 -76276 -56528 -14846 -120360 -89250 101818 51602 53627 -18862 -16445 29316 -44989 52818 -18126 -8025 158805 31081 180549 90792 -36139 11516 39694 82629 168446 110502 -98427 47124 -197176 -23921 59763 76536 -82344 56497 -74396 37560 130056 45219 -156071 -4129 -204765 -18376 124042 120294 28113 15659 44545 -27289 -82511 80844 -69264 -130288 27868 -18946 -172670 -66105 -63024 19264 -82740 -38192 -27905 -141897 -22355 -101491 133839 -2307 61412 175938 -14504 -86113 38720 66381 -20272 -156169 95279 83771 143418 23922 1123 34374 172582 -23842 20597 85588 -155279 -126783 81505 10281 197083 -49432 -18626 -55310 -53586 32664 203103 34386 -108466 -4108 -163204 119901 -105444 -66629 79012 43213 213689 -59458 77378 -69585 -18080 -76500 -58413 -49280 -54446 -22512 63807 -2455 -43993 -60019 48256 44445 66022 
+-72849 -16974 -7051 80979 -27188 -29218 75398 146650 28351 34997 -464 -62184 50328 -168424 41189 50751 -31902 -68038 -749 29670 98999 -165223 -38428 -82401 9165 51487 115495 -92 126664 -75547 -218661 2975 -75163 85957 51731 -118382 -44762 -94233 8658 -1305 -11169 -33302 127928 188607 -42614 -3840 -185330 42196 -242508 99903 19890 188582 143809 -41657 47261 -102640 -47746 -11098 117607 -14248 59736 37268 -173660 133476 68130 75025 -4027 -164653 -112717 142590 56694 -139784 -56370 34896 68339 104585 106445 -43282 97159 41396 11343 -86457 5189 3070 72419 -243278 69824 -18013 7877 -21953 17638 71556 147921 45651 -49072 -53353 -46527 -92599 -23048 -208915 -14877 -155717 74410 131698 -30415 163071 116636 122709 75833 203793 69076 59339 -119212 165178 -17040 -56591 -36572 -56660 -69458 -68919 272194 -119443 8145 244751 -64628 -51692 -4201 -139368 -112041 114214 138136 55472 23805 -7454 40558 -32141 173954 28833 16633 146764 31314 235919 163417 -2531 1882 110286 102437 -19561 -9159 -67485 69463 -230436 -38858 -117207 75353 -85313 108900 -64151 53666 111918 13870 -112495 9811 -129483 -23297 123835 60495 -5690 -57083 36312 -85634 -148153 -34690 37981 -104400 8283 -22743 -201779 -57891 -129918 4906 -110844 47851 -173861 -149844 -28825 -42355 70133 -2214 45342 159927 -16063 -15373 21792 116987 -11520 -165012 61857 94247 189246 106425 22206 54227 150406 -11319 -29093 47606 -146246 -84922 5139 -87135 167088 -121925 -21323 -14823 -66191 59728 152881 15892 -99206 135242 -154636 94606 -152283 -67203 48719 22063 55125 -49904 66858 -184111 -26082 -64852 -24749 49583 -60359 49295 29757 -11600 -28369 -96690 -21911 106394 46890 
+-91575 -63062 -13913 -36546 -5536 -846 118404 154511 -138165 45040 7915 -52609 47878 -100267 61208 67483 -35320 -122885 -15289 17865 92831 -163593 -67816 -65416 10622 75317 34081 22966 80830 -88163 -230741 83776 -30801 78136 67646 -178953 -58888 -85627 -62811 10734 -141257 -88107 184957 191145 31120 13339 -182131 50010 -213165 82239 -11749 68851 209983 -55164 27245 -67461 -159297 3411 114689 22949 42570 36584 -157284 126330 73299 39528 47968 -217531 -115156 -16212 14195 -128013 92218 153803 54910 -34790 74362 -86478 118726 100641 54635 -61955 107818 -21514 50321 -148495 64555 -14543 900 5490 23981 73701 137596 85863 37302 -28083 -24880 -21840 -53133 -267021 -23948 -142593 71352 96450 10941 194171 98474 67302 -103440 146609 59155 39079 68142 190970 9619 -69719 -37647 -109762 33715 -33329 267839 -181908 -10583 240476 83308 -52446 6820 -123984 -145868 41588 118944 72829 64057 -11924 55234 -34735 229917 54392 -9660 114828 33748 221429 215446 29173 8174 161288 -69797 -197529 -119123 -54634 27294 -201662 -41825 -206937 87108 -84065 109951 -82842 31723 -4027 -39182 -113758 92215 -43374 -30389 33910 -20933 25127 -133597 77442 -127657 -157413 -175395 64728 -127723 -44595 -21709 -213466 -45247 -177710 43216 -136709 131672 -180071 -140923 -19986 -33286 -50717 5559 23485 29570 -7127 49696 47840 130884 -34155 -106339 58232 101887 231984 140933 -3552 83057 68461 111338 41791 -6788 -38218 -95746 -35233 -168315 75647 -122649 -45112 25263 -84260 14181 90341 30249 -47615 91465 -122712 65649 -55394 -38091 70507 -81083 -92849 -48218 62017 -185537 -19375 -32194 -18396 -1397 -78486 62693 -25040 -58421 -40093 -123568 -147527 88565 41531 
+-94227 -127318 -29756 -82859 -48857 17056 -27856 138279 -253093 23953 34297 -41601 47272 11062 104678 99703 28171 -171333 45468 27207 55806 -150462 -67151 -87969 9986 76962 -75945 23246 18115 -18903 -210811 118107 -37451 62956 89416 -320 -61925 -81328 -112090 -2644 -198323 -107821 169732 135688 11326 33647 -45670 99575 -138019 57124 -42389 -55216 230419 -48322 -43349 -1636 -213636 7989 105626 33554 24551 27491 -31633 70647 67416 -18225 125077 -92550 -98830 -56767 13675 -82513 214287 213439 57508 -173182 76185 -94607 151992 132975 66961 -24598 171297 -43649 29342 34136 -23602 -30544 -29381 12286 29446 73760 147670 86289 73295 -27656 32220 104095 -82895 -220010 -7864 -97770 71086 5269 53436 220272 80307 -54849 -241108 62063 62833 42936 101280 206793 -23182 -87210 -37062 -150628 130423 101277 230740 -225007 7642 179097 124029 -38867 14750 -80763 -96237 -52739 114633 68133 98026 -51799 33528 -37022 229312 43995 -4855 100865 36295 152282 189633 14980 27781 203569 -218773 -171234 -35158 -37918 -75109 -189564 -30294 -142621 65400 -42151 103280 -65506 100306 -16815 -78737 -64832 170938 9447 -2654 -42787 -103051 55051 -166155 114743 -183660 -152193 -169152 -23863 -117480 -37337 -23382 -169032 -13007 -196225 -4870 -142335 195585 -23279 -141346 2959 -1342 -76200 1748 2056 -64877 -9926 65269 51092 120908 -26599 -19829 101084 82041 237214 188908 -21932 95333 -14098 205390 17825 -20294 50011 -31862 -56553 -175919 -21489 -102775 -37500 59053 -90458 65782 27610 29526 15480 -55968 -91737 15393 129699 -39490 98076 -79567 -113589 -40574 63758 -104991 -5688 -45790 -53524 -69779 -114489 17924 -28764 -63991 -56732 -75286 -70404 -4177 27652 
+-76347 -143827 -13390 18446 -163291 10651 -167494 121797 -188965 50116 3270 -39036 47657 107338 106594 92617 66785 -198943 53412 43464 39627 -123095 -49267 -63486 13107 98325 -101976 40849 -14489 92747 -167640 62159 -12319 46788 67662 140121 -63753 -98131 -90590 -40016 -141031 -143661 124330 81651 -37604 29335 49347 45342 -22065 33873 -94645 -52722 181666 -74372 -102585 40289 -155518 -34543 95078 9522 10423 64160 84749 -3650 47607 -11383 148190 66244 -86329 76877 -5869 -24762 245740 150318 60374 -159961 38012 -61315 77943 168363 73492 2850 143026 -49622 27412 97737 -126659 -20508 -30918 47770 -23159 75745 148314 81034 53365 -39253 7862 185999 -103220 -117386 -10708 -62559 74434 -29188 85920 214284 61498 -159970 -199083 -5899 41950 72087 -63826 194081 -8536 -27211 -31778 -188719 103831 231035 165353 -206082 -8295 122096 64895 -12842 18835 -126694 -78883 -125307 30000 58905 124706 -71238 33332 -55323 149027 11621 1150 82989 37032 60565 172219 -107729 54166 217945 -162353 50251 62875 -80634 -174714 -155097 -12869 22224 80384 6817 26265 -86539 65693 15970 -90498 -62393 159086 -23661 -22321 -30051 -175244 68205 -163200 131336 -195510 -90578 -79020 -112795 -99347 -42016 -25335 -103689 -12733 -171441 -4876 -117259 193154 90046 -139103 21410 -33047 3306 5755 -16800 13274 -14148 88377 80469 79429 -45359 8087 76407 82151 213463 215727 -41885 87539 -43478 213145 45264 -48368 12959 -12879 -33778 -92812 -146713 -40160 -37801 81847 -79356 35777 -22285 9145 95045 -196061 -52440 -57837 210574 -26072 111665 -35235 -4104 -40051 72576 19707 -7715 21805 -25390 -153719 -121787 -51261 -132771 -17762 -48009 -31818 18639 -100823 15457 
+-79510 -82773 -26962 134751 -167367 25872 -102455 100432 -8061 23021 -26882 -46053 52799 170084 75137 59687 102204 -224901 52566 58176 9132 -96625 7661 -86780 11860 105858 -44804 23014 -86091 194755 -96116 -47642 -44784 29128 86286 193026 -86640 -83471 -134472 -63657 -18081 -142132 33975 21163 -122095 6247 -26887 13711 21558 444 -23246 61075 107760 -46002 -146964 14375 -43469 11331 88909 -34130 13787 37042 147577 2877 27491 41296 79227 37125 -84590 211984 16636 27392 146401 29817 79421 45060 84151 -6743 65873 176297 75595 35151 56931 -43359 59396 -47424 -152408 -64888 -18714 26915 -56451 78890 158046 38523 -24347 -38158 57595 158701 -107415 -3451 -20755 -39761 96519 10563 99262 205462 43056 -149520 -17209 -41612 16026 57976 -209533 167133 9020 -22856 -25361 -197902 -32865 208849 67372 -266834 8942 119599 -56428 12524 11607 -111877 -60709 -128347 -36602 62773 141961 -83515 39506 -50783 49651 -28614 -19707 27790 35280 -25047 164714 -151861 76824 218801 21352 173533 182884 -83415 -162298 -150186 3899 130933 68938 -22743 5020 -83644 122530 125840 -85345 -46869 72049 -107170 -22100 57295 -232866 90697 -113422 126865 -215284 -37764 16290 -110283 -39377 -49241 -28806 -12861 18037 -91540 20683 -116362 128924 68766 -136982 27580 -78798 67812 35067 -24682 157123 -9854 93802 64532 19207 -48515 12275 94771 46965 182572 263554 -77112 75141 -152531 104822 60692 -120912 -114613 2452 35106 29739 -195909 27410 -46563 89229 -60388 29529 -48818 16789 166631 -161486 -31377 -60713 160513 -15779 93853 17326 154255 -35507 86354 -19730 -4946 32621 -9221 -74255 -100039 -21007 -157758 -2848 -43292 -67678 68293 -104710 22459 
+-91784 -11840 -22279 174495 -109933 53042 64329 70989 108260 13349 -10647 -53606 56514 169645 13633 21150 143453 -230793 41075 41146 -19884 -55986 21496 -73485 13405 80879 70252 12511 -109121 253401 -13763 -32748 -49302 5590 67722 122497 -86309 -88481 -87447 -45888 15461 -111166 -37473 1545 -126273 -3977 -173811 27182 61342 -9934 -23960 199024 22001 -57221 -204717 -58023 53966 5622 82291 -49125 25100 32129 55270 88842 16150 60636 21298 -139639 -81499 148067 59664 55164 -1256 -27394 76941 109565 59879 87753 30257 144659 60110 12090 -54018 -22275 63130 -220145 -77594 -52612 -3236 10662 -24738 80124 161261 32649 -106946 -29159 22810 44627 -98866 96965 -656 -75521 73022 94122 91013 179088 24173 -47205 114901 1185 -19793 92178 -95993 126740 -13926 -73356 -29736 -182484 -67268 104419 1561 -220146 43455 62735 -80441 -14426 -3987 -109409 -141750 -112882 -97492 58420 148348 -95068 41809 -51906 -52411 -51881 -22375 6571 32451 -52979 67288 -189788 88973 192631 122025 41036 199218 -147843 -196303 -151700 26705 103483 81304 -19366 -43289 -80196 86556 196713 -48130 -13770 -4601 -196343 -56012 139933 -249365 54146 -26637 95349 -223229 32592 114140 -26130 -61579 -29631 -26201 56189 37212 -46467 -181 -112166 47407 -127282 -128345 -22859 -147699 142212 4783 -19417 197393 -12998 56256 75498 652 -40455 -44922 109453 66990 146227 263743 -114912 84863 -167187 -9090 146649 -111396 -165966 36687 90689 155952 -171980 66239 -36497 81113 -54303 27555 -52795 23292 208250 -2182 -36206 -119744 65266 -4038 149034 44153 243210 -35398 98391 -138773 1188 59919 -18473 24181 -60863 70481 -204714 -20823 -29986 -81261 -47469 -16393 31656 
+-86418 -25660 17355 96279 11728 49300 113632 51527 33907 56830 7884 -63616 58240 94431 -47696 -9994 126106 -219953 51498 35591 -68885 -35403 51450 -87198 18853 66089 49162 28048 -111897 241751 57372 -36793 -38603 -34367 56028 -48773 -100504 -131862 -22181 -22680 -72569 -68771 -94835 6066 -20312 12616 -185404 -8099 114466 -45642 -27236 171871 -64537 -49268 -256157 -77521 83866 -2705 76256 -19123 44325 38293 -91167 139893 18087 56767 -10291 -231631 -75007 -27056 70439 39593 -117019 32199 75838 17664 125378 128145 -9763 91420 42304 -19009 -85094 -1780 65908 -221366 -3357 -93778 14485 9056 -19661 82879 166567 -21844 -123986 -20553 14937 -66907 -56645 120526 -12205 -152242 65566 133741 63794 144691 6174 77111 69030 99604 -96759 81790 45646 60570 -7336 -49343 -19334 -150140 42163 -38363 -47105 -190194 23138 16601 50545 -8320 -20659 -64964 -165011 15949 -80648 52317 143248 -102922 38506 -72655 -52554 -43739 15168 17062 30949 -19769 35543 -108224 85587 138241 11559 -168206 66925 -136026 -142013 -131530 49026 -88826 88159 -61188 -76165 -56075 84497 182987 -4697 -10080 6950 -197160 -81300 33796 -234042 95723 49632 50662 -224468 92707 120229 39309 -17853 -23962 -27301 90815 64285 -9036 5614 -130959 -21133 -203717 -138660 -8211 -127688 95121 15750 -1624 98744 -597 17175 97773 11662 -51421 -74733 74196 70795 85659 237945 -157224 59838 -213448 -30440 148000 -116297 -74764 70381 124143 143362 -107167 82506 -18269 57842 -64824 62079 -28290 37314 230163 131103 -54316 -79530 -54283 32605 112602 -25196 230589 -24820 106867 -175877 -3627 82914 -10736 35191 -44988 64348 -247057 28459 -40454 -119131 -109883 70855 9995 
+-74417 -118644 -27473 -53543 26007 76934 -15040 12361 -156232 41749 33729 -60134 55353 -18947 -94235 -73716 79516 -188756 54063 6729 -121083 10864 40878 -57901 13790 46477 9656 24865 -126138 159627 97078 57312 -28418 -58473 65730 -137059 -97237 -105781 12992 -15312 -195448 -39220 -72076 57638 16832 33384 -95024 -31889 132220 -50532 41283 28158 -133565 -59076 -248137 -134003 12536 6186 72999 14954 65726 26508 -183652 131264 29671 -3257 104598 -128783 -64215 -61372 114180 -8451 -151780 152024 61131 -151804 144770 130914 -53627 18473 -2584 -68597 -35804 17410 47118 -69759 108956 -117861 23998 -577 51900 84134 158749 -86434 -83590 -24811 -43864 -87852 -41168 53725 -24172 -156315 62978 97505 24503 106107 -11458 117515 -113936 158944 -111331 84294 119135 4559 -13280 -40419 -22723 -92539 142869 -41851 -103847 -185699 5004 -34134 163463 2927 -40284 -67121 -136520 93143 -54459 52047 127264 -140931 51497 -51705 -2148 -10044 -34066 71524 31817 66756 -23604 -41540 69229 82532 -177478 -194712 -25369 -111704 -50362 -107901 72044 -223453 89776 -89835 -67666 -57988 56585 83940 30969 28326 93154 -163544 -89662 -28992 -168375 124292 117836 32125 -196157 89450 45563 17379 -19968 6645 -34339 91886 73617 26317 -14462 -97593 -120757 -114727 -130995 23028 -85703 53593 18952 14450 -28145 -9650 -48522 131457 80020 -58102 -132380 35413 57828 37413 255294 -136077 34647 -159515 63415 110984 -134195 17345 112541 106469 75760 7859 49384 -15542 23888 -84031 14936 16142 49098 197495 94625 -97119 -73948 -122190 60429 59504 -84620 74059 -14886 107037 -124320 17844 103727 -9105 -17468 -66197 28674 -307656 49243 -35284 -90393 -76464 116873 -4074 
+-94237 -150603 -37707 -64138 -19346 72825 -152860 14922 -289029 41049 30026 -49346 51768 -121080 -163465 -110421 24176 -164245 24781 39815 -143085 47807 5811 -134705 17756 22221 -62357 36569 -96555 44905 136654 101033 2761 -74129 52476 -64704 -90848 -116874 61522 -888 -171521 -38049 -312 120713 38 29841 42069 -34262 87447 -45657 101491 -89386 -156392 -36600 -201546 -94793 -114584 -66715 66461 37066 50971 58891 -146508 81897 49242 -20661 180041 40610 -66079 96960 117811 -68859 -69928 208542 48283 -207211 104138 87992 -45017 -48748 -34653 -101481 77596 13307 63774 67973 86923 -100283 -3279 8913 34636 81809 156716 -135014 5364 -10337 -77694 -1582 -11156 -78590 -14921 -108830 68670 24184 -18684 64504 -28231 55977 -243889 196652 -108136 65715 -93645 -51571 -5228 -19785 -11668 -41549 92776 99333 -95118 -144952 -6359 -65982 67679 -53889 -63174 -89997 -61019 118037 24121 40466 101512 -136888 56523 -49860 121670 38446 4883 76298 34413 160581 -44511 22740 43177 26106 -210080 -17809 -80912 -109130 26648 -94755 81749 -156829 91209 -74002 -54396 -65247 38928 21096 55539 44720 167091 -58432 -137491 -60217 -107647 124466 141472 47728 -178257 75657 -65760 -66156 -5801 6729 -32384 25434 87769 -34046 -6955 -137105 -70622 55437 -105039 39018 -34078 -52389 42034 43310 -29913 -2185 -60680 145660 110560 -46748 -133737 55023 45255 -8709 215557 -117691 -8874 -121678 208279 97227 -105275 38769 117971 65340 -11537 112966 -4792 2897 -17116 -90175 14710 75650 48061 136324 -46923 -133285 -56643 -62580 50964 58197 -104826 -74494 -5703 99365 -24653 10576 161892 41773 -160225 -107768 -47733 -298002 11258 -29303 -65656 56275 62468 -62185 
+-102294 -93538 -20117 36960 -168856 61476 -117729 -29727 -196208 40984 -7369 -42360 49587 -179828 -245329 -160228 -25148 -112727 10206 46312 -173465 78898 -13395 -117856 14907 -6099 -89260 25511 -85792 -48028 109490 84797 7152 -117572 87822 80686 -80256 -133513 78667 -25837 -63048 -43950 90859 173003 -73024 6866 12458 -25973 19031 -61150 100897 -41919 -145410 -40661 -154268 -12601 -194555 14487 59469 20339 38842 35032 -20936 22911 66743 37407 116671 67011 -67850 218399 118703 -120435 50058 148602 76502 -51697 126443 46067 -62289 -107952 -70832 -99796 161053 17846 14457 54499 14119 -96167 -29643 -9630 4527 83088 161082 -164027 77914 -9427 -56348 123844 -22132 -198753 -24545 -71401 47361 -20896 -53803 11403 -43754 -72580 -192363 166655 -135561 84039 -210419 -89669 369 -22772 -19552 22 -38028 228871 -40219 -100193 -9749 -80295 -52368 -68301 -85558 -99311 -51217 86030 80397 45962 68045 -165549 32033 -83417 233205 59724 -11452 159149 36704 224595 -45423 30025 19568 -33852 -50651 183373 -3334 -66275 55537 -119077 87588 -6150 95449 -75220 -2555 -49477 33116 -44161 48769 60748 159830 -11477 -120275 43211 -7977 96094 139351 67854 -119201 321 -162477 -121103 25784 25138 -29812 -61234 96878 -32868 -14403 -117953 417 109370 -111132 8272 21330 -50572 68576 56343 75965 -5851 -104123 108272 151360 -61459 -38070 9679 59069 -37363 181939 -90729 -29550 -92171 236151 84499 -58865 -64621 144670 3514 -134010 160329 -58391 -37843 -56848 -81697 38310 136442 22482 55966 -194252 -154201 758 68834 61593 35851 -27387 -126686 -3750 87670 -22742 22976 158281 -24888 -92744 -124166 -41376 -298029 56629 -44081 -71726 58469 -52340 -11269 
+-77072 -25127 -26571 137254 -151773 69539 65312 -21380 -9752 45519 -18800 -41342 46106 -177225 -312269 -202263 -28897 -63857 -15567 74655 -181649 92490 -64250 -104820 24303 -34982 -44029 39779 -547 -93106 50296 -26352 1179 -128047 96835 159666 -70899 -108861 31289 -16310 21613 -79831 171107 192507 -111157 -4097 -110238 -36921 -65705 -37461 129344 107654 -88374 -39550 -83659 37867 -193205 -32799 53657 -17904 24636 14623 103754 15001 74172 62640 36579 -92168 -82281 118459 108920 -138650 187738 29890 78084 114969 81708 -41178 -33690 -170611 -111860 -86152 162759 -1433 29018 -130583 -66576 -113566 -44640 14193 -30532 80341 150001 -169870 57042 -20485 -98847 189489 -58085 -263651 23829 -54075 39269 11103 -74347 -30983 -58526 -162110 -9270 87613 -166300 86122 -111729 -122712 -20955 -50920 -13767 16295 -62334 231196 21899 -48440 24548 -85439 -76736 -55947 -108267 -56319 -88681 -22484 152277 56240 28162 -168483 82172 -76159 245372 29380 -15294 160269 36783 230025 -77861 -31329 4016 -80070 103478 123312 128219 -43363 67181 -65263 81195 110010 103551 -15462 47332 -80471 38085 31766 12829 87307 78613 10186 -102005 72702 69043 104130 84380 111516 -74034 -36894 -159256 -85460 47998 11237 -27044 -109955 102523 -92894 -1879 -123274 118986 -26140 -103092 4129 30023 8001 61192 65234 204487 -3818 -89532 111615 118352 -43767 40570 19479 60603 -40078 140261 -69069 -55194 -7393 133732 63574 -16774 -153024 130467 -46314 -188587 157232 -117300 -62948 -90871 -60626 25503 194135 8469 -12618 -170557 -164977 78204 162698 61046 75485 58518 -45502 524 75082 -90735 32780 195318 -36 -1481 -112247 28327 -278215 24103 -21261 -56525 -988 -89422 -49333 
+-73365 -20312 -24939 175997 -98247 35689 125802 -5285 99902 20508 5433 -45712 51048 -103498 -325130 -219738 13863 -9484 -16264 27886 -198550 93625 -51131 -95880 20742 -64771 74445 38170 37278 -67976 -12424 -63674 -88937 -150214 91557 174470 -59857 -116374 16365 -16147 -16472 -101133 180213 172623 -90577 11946 -189910 -15996 -97797 -23631 139044 211593 7073 -29752 -9770 23861 -92121 -8197 49630 -42329 15391 43911 157068 80572 65953 64731 -5589 -220590 -75405 -17388 61884 -125800 226629 -33070 67217 37054 55318 -91038 -31318 -186695 -152944 -59238 93888 -25587 58569 -245695 -142688 -143596 -29336 28426 -55094 76899 166941 -174835 -2029 25855 -119322 143565 -88947 -229072 -16513 -64362 50385 87331 -69667 -70574 -71793 -142557 119343 -3210 -169326 70295 66281 -128704 -18063 -5928 -16551 22592 32857 103920 105299 7146 -13422 -105634 40670 -54246 -134800 -94931 -122736 -117628 122853 55668 -13870 -186146 71058 -71032 189434 8883 -13111 144886 34595 175109 -29617 -133229 3655 -113006 66889 -109971 191327 -26731 22479 -30189 68071 94822 103771 -8361 64075 -56133 17722 84795 -32891 83468 3056 -81669 -95815 48975 123059 122091 7972 134205 -10847 -74396 -70092 1673 61063 -19126 -29326 -180409 90030 -115958 28977 -151550 180528 -176135 -88091 -13776 -15991 108600 74729 64982 125500 1351 -46856 126691 58124 -46050 46105 -1297 21115 -21916 87616 -59400 -74452 53175 4535 55885 46607 -166483 127425 -55549 -128869 68386 -119309 -71954 -113817 -55230 24252 235542 32901 -71435 -5070 -143712 121999 178776 111723 119756 31566 115226 -3963 64923 -183314 28706 171256 -5766 22876 -78662 59692 -242658 5591 -26216 -81374 -113755 -84837 -56169 
+-71504 -110902 -7956 99912 -30087 47038 217 -7523 27439 57572 28419 -57224 52394 -6468 -297519 -234894 46029 26821 7746 23410 -197255 130999 -54757 -82602 23201 -65739 75932 18301 75096 18696 -87469 -19607 -62920 -176042 67094 36446 -57744 -120803 -10 -23266 -134867 -122278 155638 117302 -33729 33020 -143865 -11812 -208731 -15545 137502 149703 97443 -43769 34271 -43232 12065 -13948 43469 -31095 16870 21730 48749 141391 49602 12684 56247 -165048 -94732 -61698 15289 -81686 173385 31617 82427 -142009 92760 -91782 24657 -176613 -180106 -1212 -17011 -45914 61055 -177153 -131700 -130622 -11821 27168 -7467 76412 155264 -185256 -97095 7266 -63706 22006 -106227 -136941 -14104 -109838 55654 129678 -48425 -74225 -83784 -23571 62602 -36403 -176988 51688 69289 -116924 -15820 8267 -15552 -4453 134804 -44744 176165 38357 16442 -79413 145466 -30109 -155502 -48749 -140462 -145864 84992 70293 -57059 -174301 37696 -69650 101460 -31268 -24070 116104 31858 83637 643 -165901 17947 -109726 -109624 -216285 128146 -52114 -65763 -28301 50049 -111717 104402 23161 92084 -99622 69921 179854 -71206 105535 -530 -174352 -129768 -23445 143837 103173 -76834 119244 32808 -142107 75288 48382 66272 -51669 -33702 -212129 92110 -150589 14077 -124245 194127 -178825 -84266 -36957 -94863 111800 43297 48701 -2988 -6869 21510 89156 7384 -50349 -9695 -48099 50782 17628 48077 -7714 -84411 112239 -35458 33476 87831 -36702 104626 -50178 -23809 -8 -90292 -83140 -121767 -64077 46536 250082 31328 -102293 128936 -100270 123226 104476 84746 162940 -33398 235067 6907 60633 -178131 33358 129459 -3170 -36205 -47817 17726 -210197 -5682 -40890 -102578 -107853 23527 -56393 
+-110239 -174303 -27884 -51660 -8143 24322 -160709 32738 -154691 49147 31748 -61499 55155 104536 -231056 -226097 90036 64380 29762 11581 -183979 144971 -14271 -68419 20287 -86882 33072 22315 122963 144713 -173998 23970 -10991 -182650 58313 -93045 -50248 -153349 -78433 -47697 -207432 -146428 89689 44280 24610 30328 -18237 20813 -223645 11631 89204 12906 173273 -22874 37380 -105527 91868 -1457 42486 14906 33389 45572 -95641 125710 30840 -4090 158902 18308 -114130 95252 -22862 -20129 48664 150726 47838 -190853 33871 -53527 70355 -132302 -212638 16720 -82542 -48644 72160 4885 -67703 -136353 35233 47267 60995 73349 146218 -149796 -129358 20038 -50976 -78719 -118297 -5872 -40252 -151137 45939 115106 -9728 -88128 -93452 87626 -121930 -465 -148003 55031 -86935 -76408 -28219 -11161 -18431 -51325 103754 -43508 267218 107026 -1251 -69482 87308 -10043 -174185 -38532 -98180 -106617 12800 56872 -96012 -175958 66364 -60427 -1527 -63053 -1357 100627 30851 1175 25330 -181394 42463 -102086 -224668 -42220 18189 -81159 -164131 -7642 35276 -225739 108090 -24060 103797 -87696 37789 158707 -90764 108161 80663 -216361 -150345 -59952 121201 95755 -139799 87233 56589 -128900 107778 20997 68124 -24483 -27559 -186572 65878 -163344 -13711 -158284 148102 -32874 -69678 26173 -131771 58658 86606 23342 -45866 278 -4548 104259 19296 -48729 -94912 -52438 21455 64276 -3446 -1372 -101078 135672 32591 5411 123250 61385 107015 20998 87704 -126515 -34257 -51173 -113794 -82923 21466 239237 35347 -88159 97264 -61760 140520 -15188 103474 109702 -103421 204196 -1378 66212 -73365 34754 160293 1214 -101583 -50173 -43524 -120235 -33734 -22875 -148479 34593 98915 -69801 
+-84566 -100891 -61412 -43166 -90551 11434 -132579 61095 -284493 50356 23547 -58429 57004 171085 -168368 -194298 107302 70376 40715 31481 -172049 146052 37454 -110378 29834 -78714 -81371 -6946 164647 237020 -208452 54923 7118 -190188 61247 -101795 -57350 -162327 -131077 -43674 -134416 -125982 -7906 10984 -1157 7539 39038 17390 -224786 27838 44319 -62933 209293 -47298 68897 -137780 60126 -35576 42755 35218 38933 50817 -183979 84584 16671 -12095 138975 80141 -110642 219677 14341 27796 -104819 209332 40011 -52970 54719 26220 74660 -80582 -219691 41158 -56158 -38574 86727 100912 28815 -151841 22298 29847 34392 72633 150358 -85654 -110397 28425 -40889 -79553 -108062 83552 -29316 -122134 33500 19293 33668 -89000 -102070 121646 -245386 63820 -122425 39804 -220064 -29388 -20000 -71106 -21995 -103199 -14991 71800 267629 85967 24761 -21631 -32989 -8380 -184722 -56461 -48420 -44728 -83250 68178 -130172 -165068 54022 -48530 -41796 -29632 7639 55140 32328 -51132 96232 -114524 68270 -48431 -125479 137627 -78487 -122945 -188095 41316 3510 -172696 115081 -25369 75678 -76263 85709 111797 -82016 130419 163215 -183072 -127203 23325 80688 90748 -167398 54755 68029 -92941 126413 -73057 138463 -57236 -29136 -160102 58042 -196306 -12257 -69107 75992 96999 -67479 32273 -105491 -42757 83577 -45 17874 -5095 57817 84583 25382 -74514 -144367 -53491 61934 129184 -35039 -10457 -100375 137001 164755 2771 179324 -14906 58018 75349 130859 -186877 36398 -43430 -91195 -92981 16381 207605 18000 -43212 -67249 -34052 144736 -83414 65975 145328 -80816 109459 3720 76348 758 25524 154621 8847 -122988 -90104 -36921 -83273 -41738 -42380 -67325 17575 102359 -74954 
+-92158 -15580 -48003 23584 -133287 -11301 41706 66734 -178055 42349 -14830 -49646 58106 157530 -83273 -157641 117048 73230 67884 59197 -133229 122067 60648 -129240 23915 -80533 -89351 -10977 180424 256916 -234657 49372 -10928 -204396 69089 14932 -60719 -153702 -122474 -31865 -6322 -112599 -78945 -2081 -43820 -4167 -49760 87643 -191520 41695 -6039 -54790 198882 -59023 10084 -87034 -70448 16 40777 30008 56057 3382 -143283 24134 17309 45463 44839 -57640 -125469 142072 27745 52471 -151710 150153 63520 100539 77410 78667 124051 -6028 -232046 21289 39055 -20336 15987 -12733 90945 -150628 -3606 35180 -12857 71823 141612 -54086 -22748 29174 17876 20234 -71886 115513 1059 -90552 37025 -23366 71806 -72898 -108772 40099 -184291 157943 -90513 67618 -142007 33012 4980 -67665 -23013 -155009 -83355 211318 263175 76522 38566 -3761 -71730 -9204 -192583 -101626 -93827 57650 -135267 60149 -155944 -139709 27928 -51673 -29279 18797 15134 72026 35117 -31331 181616 -60553 84894 -7248 66125 154100 -82120 -135749 -176940 52169 -20691 4875 109556 -73170 23491 -71016 77540 43056 -52610 160837 165670 -86870 -130651 85095 -1334 56580 -150497 35374 71633 -54038 -12016 -93003 143715 -35645 -32033 -68017 39395 -132166 -10553 -140745 -9036 66874 -50161 16119 -59468 -44821 80441 -19673 174544 -295 62626 66598 82297 -37575 -141545 -75878 76637 176972 -60754 14049 -82544 110726 236391 43154 204501 -123874 36908 115397 124508 -188608 67106 -3484 -57123 -80977 28032 151543 47521 21722 -193184 -33965 101828 -56648 157068 88523 4414 -45965 3638 89108 -56061 3003 103879 36782 -33522 -121327 30097 -62537 -38852 -39904 -60039 5872 23967 -57597 
+-80266 -18833 -10898 135235 -169906 -10123 118913 107197 -36249 21571 -16497 -37090 53891 101581 -18026 -98280 99025 47988 84806 63096 -100851 95545 41179 -82490 27435 -62816 27042 -1351 157732 207295 -197527 30901 -50195 -213620 88454 166367 -68226 -127144 -95896 2107 28181 -99850 -77550 25989 -111581 11223 -189913 58274 -173838 74876 -23059 100500 160273 -81091 -41117 -26287 -176528 -11973 36707 -24023 50115 58463 -11846 17760 28570 80397 -20364 -205346 -124078 -7203 41593 35380 -113691 31075 71373 89757 67920 133291 121636 57219 -226197 -35017 129200 2873 30101 -191625 88121 -176887 -24713 41507 -28998 73131 140041 -1800 42561 29523 17326 141817 -44806 53358 7262 -71294 53033 -2757 94907 -49952 -113144 -85018 -182 203950 -50573 69991 65632 90675 -5689 -27382 -25640 -191720 24773 241427 243668 69943 8998 42352 23448 8301 -192756 -126081 -140057 100952 -76064 57540 -172121 -143144 40041 -32191 90031 51808 -38842 31419 36970 38042 215735 21885 88195 62286 108431 -44436 61482 -135277 -127797 27889 -33696 111307 115370 -64814 -22194 -54038 93240 -18892 -3859 127382 85368 -27711 -86557 119019 -79902 66534 -105761 42093 52937 -10464 -139821 -56755 113668 -18897 -28975 17980 25612 -75013 24390 -122242 -78412 -116567 -37157 -30514 -14123 -14051 80291 -22187 217358 -9934 68467 59168 124354 -39902 -32682 -86701 46289 220102 -96874 -16222 -64663 48905 165221 88678 187952 -182116 -5911 106533 51525 -166843 88268 -40104 -16939 -63039 -1567 90286 21618 94831 -164802 -56609 51981 45413 125157 57098 18248 -106820 -7731 100773 -127608 23875 89640 28696 -2160 -123361 64893 -13713 -21713 -76725 -37696 -120745 -82803 -55038 
+-83272 -98437 -25390 154542 -77282 -28994 10229 138902 102813 29948 5666 -40726 49959 -4008 50464 -41962 23754 17093 60527 40173 -63016 82470 3117 -106582 27817 -32674 95041 -1878 128653 105454 -151479 -36795 -37980 -212125 96558 167021 -90025 -75340 -59888 3772 -72872 -53981 -56424 94302 -92608 32672 -181167 57434 -45374 120729 -59456 220305 80815 -39216 -104211 27438 -209900 -20563 42629 -47289 38068 11761 109558 90624 49678 56910 29606 -184762 -129784 -63862 76599 -7070 11118 -29669 67108 -80632 86145 141039 85962 123369 -217363 -17668 176345 19346 4752 -249979 3690 -149344 -29289 21962 -50706 76441 136113 23403 66567 27425 22804 189557 -17065 -59529 -19240 -49165 60876 80453 98938 -2718 -116289 -160371 121086 170133 -8118 65728 91380 141323 -15367 -64407 -34566 -198674 131202 132382 154801 81019 6242 84030 144529 -536 -187702 -108485 -154478 100802 -17231 54939 -177564 -110269 62062 -57815 187089 50105 -29217 51130 36435 138514 200137 21684 76828 123582 -40920 -206275 155741 -107862 -54882 16613 -38726 31817 104397 -73134 -62171 -69047 94658 17719 37601 171147 1157 2111 -102544 -5084 -163060 2547 -26337 74070 37389 65855 -185461 28653 160637 4157 -25785 80584 7982 -34276 36659 -139999 -83736 -195485 -26025 6382 -21076 55679 70920 -21653 56835 -12891 34792 95783 116972 -40827 4910 -114644 61303 233981 -80916 -9684 -47820 -41637 43328 71452 214920 -75616 -35215 88508 -89046 2271 33912 -16375 23204 -51788 73203 29449 32669 177753 -10618 -86761 -22600 146159 139208 55376 16395 -47689 -6034 107162 -186469 8842 74174 9206 33961 -106851 48138 27789 -42785 -59887 -93465 -111805 -92195 -45440 
+-96539 -151033 -4800 64246 -29701 -16800 -144650 149075 57976 39888 32085 -45485 47652 -104263 91188 -7107 -14381 -42695 32317 25876 -29874 59546 13152 -88305 29636 -5210 81992 -8975 91413 3473 -76166 -66411 -20108 -211154 69777 90459 -99871 -127671 16007 -31750 -189580 -52486 12438 132554 -45079 30784 -50696 69135 30049 106993 -66956 126748 -1979 -57680 -184101 26413 -145730 -9419 41032 -31558 16283 35443 127596 147063 66283 14188 140285 -20633 -137261 83970 137834 -71072 143926 28588 70775 -223664 108630 87378 56790 159439 -170323 -86386 131352 23290 69282 -98260 -105464 -127636 -22010 17484 -22983 76762 121144 49890 32388 62460 17094 125600 -26870 -176027 20684 -89591 46703 140001 80681 32158 -116783 -132789 55148 105931 -121 88303 -60607 181105 -13983 -21387 -34198 -180912 106097 -4980 77419 34644 18924 150825 118173 113 -174764 -77224 -101336 -3262 52169 58538 -171588 -88706 41682 -55871 243505 31888 -18678 72257 33817 220475 172050 -19405 53074 171861 -199457 -113510 198008 -83285 23105 41195 -27390 -67405 103894 -42969 -58703 -77331 76527 59290 57892 156755 -3236 -39963 -86048 -78031 -228311 15672 68386 105662 -22981 89359 -161570 33822 182996 -10910 -31890 102615 -14764 -2758 1943 -106776 -42854 -112076 -21868 -10494 -3961 136932 47378 -4341 -85837 -3786 10804 25324 105153 -25414 25587 -160392 42902 223182 -86490 -36358 -19634 -100400 -44737 105122 198285 27512 -53671 11274 -142222 97986 -29016 -40000 57739 -65271 23299 -18411 9709 228646 118041 -120373 -43211 191752 140729 85490 -83638 96842 -15267 105869 -127231 7092 27912 25100 -57881 -56239 -26611 73387 17683 -36259 -98435 40669 -49327 -58284 
+-88943 -145338 -20717 -46515 -10455 -36872 -140295 163386 -150642 32147 24255 -57970 47495 -172618 126005 47289 -43008 -84561 29312 16582 21565 21950 -41380 -114509 33034 9239 25564 -5243 52790 -78979 17194 3747 4464 -200204 45170 -29128 -93750 -150048 59411 -74740 -193050 -53040 113125 185438 39429 8181 42912 24172 123662 136732 -26552 9996 -126024 -54342 -237640 -32486 -34603 -7699 50161 1373 21731 24265 27232 140200 73545 -26686 157662 83532 -126935 202581 137778 -119561 245955 152139 57064 -122014 128543 17282 42637 182843 -157969 -112169 21794 3394 22755 68206 -152253 -151890 15395 35033 29510 79081 128492 94015 -51955 50608 -27680 413 -45673 -258404 -35801 -142829 46193 105067 44763 82030 -115240 -8623 -131062 15351 33285 65408 -204987 206513 1891 -58055 -35091 -148639 -11609 -46644 17452 33099 6977 190466 12836 -45975 -161928 -114188 -54535 -67232 97173 53737 -154514 -77424 31865 -38857 201912 -15510 -31435 107350 31431 231811 170968 -91130 27357 213604 -188957 72596 95969 -50078 55062 26872 -26768 -208477 95701 -24681 -28177 -98456 80443 176716 43913 140526 74116 -123071 -60209 -30815 -248280 1668 124344 132060 -59211 95828 -14489 -35173 179228 -31208 -32230 74749 -41257 25277 3029 -128543 15120 60828 -14282 33846 -29062 116820 72116 21473 -31286 -13458 -49927 13440 52327 -53206 -9765 -183587 60110 204305 -57362 -80788 3206 -178943 1408 141279 186281 50526 -85174 -29423 -192864 138120 -82573 -50344 80947 -81995 14707 -48700 2001 223111 92310 -144972 -115128 128115 138908 107498 -111658 224771 -22307 96720 -35276 -11201 -547 34670 -126211 -46404 -55115 83722 54474 -49241 -96844 85401 43413 -84328 
+-97005 -38444 -25469 -65431 -54230 18554 31517 142967 -252341 24219 9281 -65379 50811 -175498 110227 64873 5436 -130572 2050 31822 46874 9814 -44158 -71788 32739 41679 -67945 -12979 4685 -89817 74648 74206 -44265 -190165 82015 -109593 -100212 -131317 73242 1994 -68314 -66201 172381 187950 -12082 -4249 -19370 35361 114662 145935 988 -99191 -155895 -57119 -244371 -116106 69784 30505 48309 34887 18544 11315 -101533 71372 67949 37323 75481 -16879 -120385 155599 118173 -143857 199704 206290 53878 87065 99438 -60854 8633 174541 -110391 -59241 -56489 -14171 68573 73686 -97161 -119376 25055 30269 8416 82494 126560 72324 -118659 56503 -28494 -87165 -71854 -236706 -37786 -153383 55742 33305 1356 126995 -112213 99795 -247858 -35889 44891 95510 -90194 207329 -14763 -49041 -43088 -106982 -74160 45843 -74767 -4433 -12307 210111 -64691 -38510 -140607 -111020 -52913 -136256 143328 37904 -127903 -55024 47442 -33204 123556 -46808 -2662 140707 31045 199622 128740 -162451 8407 229778 349 194790 658 -45395 34469 32638 -8964 -185705 118603 -2805 -26366 -101545 27197 169062 11771 142985 158684 -196813 -57285 58778 -244244 14320 150587 120896 -96343 48500 82452 -95072 179962 -20696 -33430 17979 -68968 -15184 3375 -152431 149222 90020 4233 2478 -107440 51704 84143 39873 93976 -2478 -76313 35064 6119 -23816 -77274 -166891 80752 169099 -52470 -110590 34351 -229955 134537 150343 169075 -42406 -119100 -64169 -90179 186173 -121317 -43961 89442 -91579 18896 -50192 -6464 189927 -51014 -162384 -128440 51212 166414 139898 -61254 237963 -29959 84241 -4612 -5409 -42847 26451 -76895 -61340 37705 84508 41915 -36203 -64522 7652 111474 -69950 
+-67252 -3604 -18994 19674 -128926 15778 132885 114294 -194194 21307 -36970 -57554 53932 -120424 74962 87257 74830 -181439 6820 62965 77467 -34333 -60144 -112894 31086 72689 -60066 -41802 -54520 -22461 126748 76415 -59909 -166187 85005 -8788 -85571 -105996 67414 -28276 27417 -101340 180730 152218 -65101 10531 -129894 -5088 101138 142718 -30814 77 -162038 -32920 -248215 -138791 91859 -10146 53963 29558 17145 48047 -176182 13090 50828 80677 -8602 -196158 -118926 -21175 60403 -125099 76309 149068 71161 113091 117071 -99185 -19575 137838 -69192 -38852 -78463 -31863 64915 -96920 -26073 -81130 17597 5915 -26412 84470 116853 63028 -116006 55655 -77071 -68362 -114148 -155909 16661 -118776 60868 -27425 -39333 169055 -106886 116773 -177621 -9887 61130 91330 55045 188313 -13563 -52363 -41289 -53135 3818 175325 -97473 -77525 8249 246696 -2529 -79017 -119031 -92761 -145091 -128692 85072 50618 -93854 -40409 53759 -50348 13553 -47673 7511 172009 32889 113751 46715 -167486 1722 206208 115733 25570 -81959 -49543 9108 -8561 23614 10671 107649 -6759 22255 -71255 12891 123859 -32787 161476 166082 -216527 -15907 68754 -193825 -29689 121503 83014 -145256 10174 140913 -111588 209704 -6673 -37733 -74803 -66222 -68009 -6978 -95551 183953 -24507 -7523 12595 -123328 -78936 88598 61092 224735 -13869 -100636 31657 1819 -19045 -117778 -180790 81317 105240 -21624 -108953 46583 -183942 228346 101991 114936 -143242 -131010 -43952 21182 98997 -120965 -44947 81705 -83383 27696 -32112 -1792 126699 -196409 -152186 -136670 -79857 140854 132655 11282 115726 -36200 72297 -101861 10950 -36231 56070 -5226 -98941 60868 84890 34228 -33154 -33125 -112594 72319 -47762 
+-86362 -93923 -25241 145281 -136260 -10907 36116 97131 -22522 24329 -18575 -44847 57550 -9307 47193 91134 102359 -203605 7435 54121 92750 -70032 -24734 -95836 35486 85122 -10518 -15718 -104742 77255 126679 48846 370 -141151 70035 90434 -79665 -120684 32281 -21417 -21482 -141822 137799 92711 -110579 32291 -173274 -26458 53604 135290 81688 154489 -120263 -45079 -225783 -109070 -3595 -14126 62131 -6663 49616 64742 -128955 8222 31750 65800 -1098 -205643 -95115 -60829 37801 -81145 -35249 25240 76543 -68620 59492 -77238 -18233 66433 -33762 -7182 -9656 -46528 46814 -240907 60812 -100443 -4471 -3560 -30789 82309 108608 36407 -72686 51233 -92206 42728 -106103 -31863 -8475 -82222 70868 3743 -65379 203892 -99591 28576 7949 50236 26011 77692 81822 148073 -28704 -88675 -40979 -8975 125326 220974 -69876 -122867 12619 298765 118820 -48669 -95290 -114504 -161598 -46343 32385 56221 -53739 -25927 26855 -52053 -48518 -17204 -2517 161206 35744 12314 10581 -132337 9723 177453 36969 -216936 -10958 -72652 -63224 -1155 44522 112319 98551 -7279 72531 -68744 28064 44948 -69594 149992 92241 -128022 -24172 45720 -119950 -49802 68738 50642 -185496 -58205 55943 -4789 213326 -30254 -39449 -140196 -73512 -110796 20563 -167110 223746 -177168 -240 16973 -113257 -48167 71608 67745 122359 -5671 -65403 7125 49080 -13529 -140604 -161141 81740 55696 24179 -144571 88354 -201174 203600 126349 67874 -129933 -107013 14374 110559 -24091 -80065 -15970 59430 -65458 20029 10392 17858 43747 -176843 -115499 -58877 -116748 121831 102558 41866 -56929 -37044 63795 -191194 -27511 -69606 5497 33651 -124494 34254 34057 67045 -37609 -88037 -100189 -21083 -40069 
+-75412 -144122 -15312 158289 -88163 35751 -141409 93593 90385 58248 22535 -40613 58592 93928 -36420 73005 147604 -220817 34800 29081 91802 -91823 15097 -93315 37280 93594 78688 -23865 -120964 196931 83095 -6242 -32588 -120446 65489 202955 -60219 -94465 14271 -16231 -129472 -124656 50049 41438 -101982 31246 -105910 -17463 -4191 117523 107877 201440 -74350 -34184 -135281 -24910 -136075 -21753 69092 -41879 59486 45643 15062 70939 18258 4456 107873 -51558 -86136 54582 12464 -19836 -163422 -31104 84271 -177055 38614 -51595 -48110 -5870 4415 43355 109458 -48988 63641 -201107 87019 -69304 -40247 7016 -41378 82735 105968 -21813 34345 73013 -89925 157550 -126174 89378 -25631 -41808 79316 71211 -74066 218490 -90814 -101621 121945 139994 42196 67034 -78613 93877 -11882 -87162 -48400 17959 103585 160398 -53904 -171806 10148 282173 143962 -22711 -73216 -129963 -129100 26481 -23014 59120 -11164 -16943 76317 -41945 -59779 19774 -25316 156701 37132 -49917 -30595 -45355 32130 129029 -156294 -149631 62062 -89183 -150857 -13818 66926 61238 98641 -71271 106486 -82547 64324 -15654 -91992 144046 4860 -58355 4696 -48988 -25585 -17928 -6582 32620 -217508 -115573 -56197 35175 235481 -59824 -34385 -188191 -78175 -181795 -17863 -129792 142477 -176606 9260 -11863 -76712 30140 66469 56270 -25286 -23509 -54509 14600 93533 244 -95083 -177726 103652 5480 87667 -122759 83098 -118811 69309 84087 42225 -22420 -138457 100239 159048 -117252 -7060 -10363 25382 -54779 61366 74429 15783 -16993 -19766 -81527 -46467 -32953 122365 87456 -12598 -123446 -43037 61627 -185077 -9138 -79841 83668 -1255 -118124 -7439 25599 35613 -24229 -98937 7337 -110858 -31820 
+-78505 -132178 -26478 82924 16958 50458 -149755 63364 28432 29924 43918 -43077 53473 159759 -135499 55724 127888 -232905 59774 9868 92190 -143684 49050 -101277 37305 94437 86855 -36456 -114385 266028 27917 -70180 2562 -96834 90098 111618 -45423 -56409 -59141 -47020 -207661 -142478 -34008 -2061 -10038 8841 9196 -8741 -82090 102705 153066 113412 25781 -38569 -69912 35318 -202217 -19528 72351 -49583 59247 57899 130454 143207 15790 -31780 167373 76200 -75665 219545 -7161 34933 -134351 32485 72284 -94634 29772 31111 -34294 -73898 24389 38379 176558 -34623 51661 -25134 56250 -56876 -29352 -8688 -3380 81192 105179 -58890 72710 93759 -115920 186259 -77813 116820 -9566 -62385 78364 132185 -61603 215286 -79979 -163005 46413 191228 32662 56105 -227380 35004 -10853 -100712 -42258 19824 -7037 3869 25020 -176879 47219 258183 33164 -1188 -43671 -115005 -45799 108090 -84652 53826 31066 1757 55953 -20695 19457 56039 -47055 118597 35940 -44167 -61706 7607 58390 64346 -214593 47882 204505 -140699 -183243 -24734 79744 -80259 99715 -97452 105416 -79416 60546 19060 -83491 144582 -5821 13543 -12702 -45667 46122 -44090 -91617 39135 -221674 -122317 -155769 44725 224025 6951 -41436 -218195 -77156 -190875 -32780 -130797 79532 -17537 10396 26642 -41999 119888 56934 42654 -36220 2392 -15109 10191 139025 246 -27803 -152895 79665 -33994 147538 -88617 95521 -13887 -48465 37114 -25940 58528 -112582 120414 108598 -158976 58640 -69050 -14684 -64079 42059 134993 6917 -76452 117874 -46122 7674 133772 149497 42835 -83879 -35375 -59533 67442 -84456 -18335 -81990 29066 -117996 -78066 -54591 -57231 36413 -48380 -132902 75370 -84336 -9975 
+-72540 -63180 -39755 -49624 15274 40905 21738 26788 -158348 28388 16604 -52518 52059 173866 -196278 12521 73934 -211493 64016 38965 70211 -144602 78472 -81028 32507 96169 9336 -15017 -99726 248690 -45092 -1510 -28619 -76971 57804 -33542 -51213 -64987 -65796 -7139 -132420 -109826 -79703 14815 17007 -4252 41269 -18693 -144441 87543 161795 -20991 114962 -41497 -6569 25902 -182793 7349 77821 -9026 39016 67337 126529 121393 28363 -4471 122811 16806 -77264 183430 868 53886 -26018 155101 64368 59032 77326 84952 -2560 -131307 47251 10794 151529 -16890 31175 93284 -49588 -79835 -19251 -6220 53381 78860 92000 -112622 43618 91534 -76312 105785 -27252 64828 6347 -92801 73049 121182 -28744 212007 -67597 -117564 -137937 186991 28142 58630 -106825 -23077 -15302 -55105 -40695 -5042 -70780 -56409 86645 -226059 66925 260917 -74219 -18507 -22571 -109968 -75091 99529 -96303 71405 70252 -10303 50442 -41506 137710 51213 10517 94476 33186 25363 -84645 22065 79687 2721 -77236 166443 168431 -133472 -168020 -63603 93119 -211392 84775 -63731 113057 -57919 74275 70955 -54996 111527 68909 -1377 20749 65076 108772 -22036 -152518 79021 -220276 -159862 -183680 -66272 214709 -32202 -36202 -209203 -76092 -187642 3512 -146199 2214 104840 9250 15052 2258 138516 70642 19558 57745 -5079 83052 7790 132980 19765 26264 -187702 81625 -38363 168692 -88318 109344 23371 -8314 46391 -58247 -7393 -82907 136167 -30298 -179193 79523 -56484 -54906 -78970 44689 194486 4083 -112145 108764 -37161 100441 180194 150087 70206 -102636 122413 -57498 79952 24453 -4478 -104699 22548 -130355 -52053 3310 -85277 -8880 -47921 -102917 -24429 1633 -1438 
+-97348 -4611 -7705 -75985 -80353 58526 126409 -3162 -293092 6240 1070 -62671 47717 112618 -252035 -39325 8881 -186551 92878 57193 57699 -144717 44598 -78677 39390 64049 -97914 -11087 -79666 166043 -130662 72235 -60264 -47467 68996 -129462 -45110 -88242 -143071 -18449 -8295 -72875 -75794 39043 -17133 9855 -73936 -33590 -230987 55265 134924 -82555 195276 -54418 20727 -18124 -79138 -19005 85420 25098 29856 53563 20516 77419 47767 50611 4277 -160367 -68803 -12766 38911 36083 109983 213062 62815 141700 42295 140660 70162 -179488 66285 -25289 56476 12851 50537 11558 -108555 -15483 7449 5876 29685 76987 74908 -145665 -22911 104154 -56761 -20217 -26581 -45992 11878 -149205 90217 38142 13602 175439 -54035 3394 -246254 128889 -50356 52509 79702 -70688 -16464 -167601 -37921 -44337 16943 23306 180168 -202127 42504 235138 -43375 -10117 -5797 -107730 -111156 28527 -42357 60376 103523 -8281 12832 -73742 235214 16496 -17324 42624 31106 110827 -45217 3764 90123 -57411 97478 61496 69234 -131747 -150364 -54207 88441 -126453 70125 -82322 56216 -66713 110455 146061 -5005 101712 155309 -97270 -33729 131521 139835 -12575 -167281 116747 -209166 -111076 -120707 -144920 246509 -21325 -39590 -137542 -69667 -165513 11817 -119768 -99910 46414 27088 51451 2090 85436 56146 -8066 178636 3072 79694 25759 85650 -5684 -25599 -189392 91644 -35502 192667 -64130 83248 108096 103132 41522 -88134 -144511 -50800 71884 -136356 -138875 76973 -75582 -89702 -92037 -7414 232894 4257 -92223 -54474 -45981 128072 153070 104404 92820 -47185 223381 -47802 92702 -68484 -8996 -107739 74831 -31018 -48417 74704 -142032 -34152 -52219 -45601 -80687 84647 -423 
+-105947 -48067 -25748 10731 -174976 80514 45427 -8468 -213316 12061 -21054 -59858 46980 13189 -284023 -87754 -20704 -142041 59044 47629 39791 -192233 4328 -54006 36233 55254 -101602 -10302 -23070 56487 -192257 98126 -37135 -19472 77989 -84551 -60552 -41975 -112076 -42536 16435 -49219 -29275 125843 -71007 31890 -194700 -34259 -249260 36325 101876 26176 219370 -63268 59882 -93193 29792 -997 90463 34926 17361 13413 -122121 9225 67110 70767 -23408 -225002 -67089 -40791 75219 -11719 221884 147629 57990 -21494 61765 132115 75700 -175011 76244 -76509 -40795 20321 67224 -163420 -119170 -48633 21480 -1939 -878 72246 80310 -162426 -97986 99917 -5428 -92586 -11095 -178613 -7971 -143046 71399 -25599 55619 149023 -39122 111866 -167688 29568 -35299 64153 97393 -110587 -21564 -100367 -35613 -99592 126301 165138 251959 -221909 19737 190757 90263 -12549 12246 -70610 -146859 -46988 15771 56387 128854 16464 37589 -21810 249715 -38704 2454 21065 31258 199058 -7445 -85786 82625 -93595 87207 -113230 -82605 -75969 -75493 -60967 67297 46234 81516 -54811 5930 -81183 84659 162672 34829 90037 169935 -186417 -41465 51542 132633 -10416 -139177 127527 -187156 -67597 35418 -90480 223286 16642 -34062 -61769 -49744 -76229 11966 -149539 -97295 -117196 17874 17878 -56335 -5150 67663 -21046 150903 -2803 95761 49936 60176 25958 -75517 -171279 67682 2200 243198 -41382 53584 150959 204745 29874 -102837 -157830 -54663 5208 -189945 -75386 35156 -35117 -113463 -83630 32004 249322 29894 -42597 -191733 -66912 154482 74914 109136 98802 41179 208416 -60282 103111 -137495 2111 -98825 27640 33866 -79186 54532 -172324 -21200 -15012 -52877 -124171 100188 6768 
+-110398 -139631 -4590 133213 -163522 31918 -121784 -25843 -1724 30412 3895 -53461 47666 -99790 -284373 -154679 -45246 -89800 32983 51821 -20779 -169114 -57262 -66091 37834 33838 -5086 2913 33169 -34757 -228162 72526 -51239 23793 78453 42925 -72915 -29615 -114627 -28299 -62035 -26182 54487 159963 -120625 31686 -124120 -15699 -201376 7652 54629 172140 186098 -51472 35850 -126657 92330 15788 99227 9299 18209 33048 -178054 19905 72669 47606 84711 -83200 -66393 45883 107074 -69400 237392 29298 73627 -193590 84395 80766 101661 -163049 58892 -95400 -83340 14327 51640 -255171 -76846 -20416 10833 -9162 -55535 73104 72214 -163396 -134595 108305 6964 -53691 -42929 -258614 17067 -97009 50481 -11894 86569 104467 -22648 108934 16776 -26764 -97754 65975 -75851 -127613 -10547 -97160 -36522 -140868 120724 219852 278897 -240097 9152 164750 133804 35308 12659 -76272 -120998 -134768 74792 51579 143729 2144 63015 -48516 174856 -53361 -9025 51309 33620 231994 61234 -157048 64135 -115147 -84165 -169772 -78673 -83376 2637 -110271 47550 110647 76268 -24951 -31399 -78982 82005 139033 53592 61684 97738 -194491 -21563 -62052 93153 15270 -81689 118762 -131217 32424 103550 168 248618 -9079 -37956 16548 -21066 -63705 12798 -149681 -42735 -191077 10501 -17720 -92418 -78061 38766 -23152 35449 4058 76378 66426 7733 28134 -110819 -150242 93364 50231 265738 19185 26135 183569 221918 54894 -124446 -87425 5463 -53246 -168241 67791 -39348 -38464 -121898 -64292 35866 243242 30427 38391 -165246 -105104 191450 -43891 109583 124629 46641 110201 -44511 108236 -183273 -2909 -76798 59063 25949 -109519 -14505 -203461 -25869 -50358 -48275 -1406 42358 55796 
+-78625 -153102 -3448 140597 -75420 48511 -152715 -29891 96426 26739 24064 -38768 52880 -183679 -279098 -187970 1884 -24480 15453 24729 -43037 -154775 -43848 -102384 38979 8453 122158 -17566 65019 -100387 -224089 -36668 -52646 35645 83355 170490 -81981 -47270 -41855 -20466 -184197 -62173 134889 193892 -88925 9523 -19834 8810 -205102 -5128 31343 215393 158341 -60053 6701 -109975 31276 -34503 102432 -34696 32946 40673 -113665 80181 68675 -15255 176409 57875 -72254 206206 118437 -118896 129835 -34494 82067 -175483 104672 5247 130997 -133975 52848 -57212 -35033 4956 67800 -131693 6432 -37925 -6188 -31042 -35334 73127 61165 -179697 -91901 119304 41795 65072 -68951 -264339 3458 -53596 45710 79763 99156 62092 -5992 11222 123850 -28796 -110439 68408 -245526 -124403 -16342 -106647 -35069 -185764 -14829 165729 279418 -164185 18985 109370 64257 -24211 15594 -37627 -81946 -158721 133602 45361 147942 -23308 60937 -45099 80646 -42953 -28377 62415 36282 209629 91040 -172911 37350 -110644 -214394 -28102 -28213 -38183 67149 -123447 19117 63019 84141 -1326 -55919 -82497 122109 54022 49356 49461 10354 -160764 -60877 -80072 21719 -24730 -4439 68828 -78856 56694 112377 64598 237362 9587 -37731 79089 -14050 6161 -11835 -127778 65957 -114032 -10293 12981 -136964 -64048 24848 -17641 -62651 -6440 48749 79984 2750 23179 -124867 -160338 82196 96292 241251 54091 -2222 148632 94938 117805 -112453 40854 22361 -65381 -48426 155083 -86872 -30857 -114954 -55235 33574 203632 3388 109768 -20846 -135871 123604 -91412 114062 150251 -32035 -36164 -46558 103641 -102038 6882 -48045 43129 -109432 -120430 -49958 -244778 -59097 -36775 -120832 63265 -57255 36924 
+-90318 -86183 -19199 75588 -6955 35073 -2047 -2948 62593 22935 11311 -39046 55142 -178636 -224751 -227131 25811 6645 8279 18961 -83592 -152314 -70122 -85767 41524 -19395 99674 -21524 109396 -75809 -190979 -60195 -11025 57072 76659 177799 -90476 -43863 6757 -63578 -176728 -81405 188422 183276 -21554 -4278 30535 50548 -119795 -18894 -17055 77328 46072 -42375 -57581 -22103 -78650 -38530 109265 -52787 47808 81044 34806 149397 52783 -4391 138912 38347 -76161 176174 131786 -142510 -647 35436 76708 28406 108588 -57693 123355 -61609 26052 -97200 65163 -15815 28165 47032 64921 -36905 -22450 -48123 -919 75995 60546 -150586 1517 112840 29319 170601 -108142 -173359 7652 -40200 47946 138039 90634 6019 12015 -113547 40152 26358 -139397 89327 -117947 -97450 -5138 -65555 -30806 -204860 -79408 -10087 225634 -181123 -10414 86942 -82879 -40039 11268 -59431 -27666 -96243 106777 51404 141437 -16911 89304 -56132 -9646 8230 -30048 107091 37036 131476 137627 -135884 14466 -87403 -149037 170660 130517 -41617 43385 -121380 5774 -117657 87499 -46802 -75682 -76445 86104 -12147 21761 31420 -8277 -71058 -49135 42991 -64182 -4857 74275 48356 -48753 91032 52478 -1566 230491 -30462 -37707 92887 4744 10637 -24874 -143917 144151 59035 1019 20706 -110493 27355 13916 -1194 -11441 455 2631 57224 45862 37041 -87213 -149930 84922 156958 239510 3261 -23839 88202 -14511 126169 -101902 45084 58561 -33170 78863 181716 -125771 -11743 -92406 -64576 44609 155800 4162 181211 127063 -159064 132627 -38814 90395 107639 -95305 -103090 -46348 94690 -16584 17899 -24091 28362 -131188 -100884 -7003 -266910 -11122 -50989 -103494 33479 -112313 47623 
+-86839 -22133 -21426 -49132 810 32924 133964 11229 -112266 23922 14078 -47325 58572 -119223 -170858 -224641 80267 36166 -8374 48067 -114588 -142406 -38079 -103746 45413 -45044 -11244 -3546 165740 9841 -127413 -49539 -21011 71249 88148 46396 -97159 -19151 45730 -1355 -74662 -133129 175448 134928 32456 9170 -49009 46129 -27031 -43941 -56571 -95695 -39619 -55207 -113087 23715 -181873 -67098 115656 -18582 54208 42705 145909 133590 32598 50236 37377 -125442 -81026 -8999 111961 -124839 -115003 158835 56861 143497 115794 -86847 93587 29615 -8101 -35197 153871 -32905 21610 88866 108029 -22004 -48627 -5857 24589 76864 51334 -131119 60059 128319 13632 179196 -97336 -26370 -19252 -70929 56772 114811 63667 -20918 30238 -161629 -147611 122862 -165605 71409 82672 -54879 4545 -103524 -24344 -180279 14670 -62777 152847 -163606 44492 34248 -71598 -24902 4384 -33394 -84743 6022 83651 59907 123412 -20649 86333 -75435 -58808 27955 13028 136462 35340 35437 153021 -46190 2383 -41717 43229 117049 203625 -75521 1497 -167406 -20629 -202468 82154 -67042 -29485 -76499 45331 -8056 -30206 13950 66983 17298 -69570 82652 -151740 1902 133654 29939 -3277 85942 -84904 -92670 210697 -44192 -40242 53631 21979 -8882 12011 -164838 178621 93067 -7355 50616 -84832 146020 37063 22274 127545 -3417 -54079 82736 94033 44045 -29632 -113953 63528 200384 200506 1122 -52389 -5701 -29258 113575 -67449 -63779 64162 17755 142660 137901 -108611 -44107 -58865 -81258 6034 93944 170 221459 118721 -155027 51300 51781 101585 66378 -91267 -71688 -38116 80251 -2259 19115 3602 86281 -104813 -67967 50055 -286569 2249 -41979 -85439 -86729 -47293 85795 
+-90199 -26992 -16464 -91360 -105123 19007 45393 52518 -269580 15803 -16594 -58830 57167 -22215 -100974 -227201 133324 70392 54475 45001 -154263 -100859 -10700 -115194 40895 -60663 -109407 12868 150327 125136 -47878 56399 -43431 81084 56414 -115972 -86377 -34442 86319 -1220 27450 -146635 105877 79855 -20983 31458 -164979 47238 28866 -55075 -77208 -84406 -136539 -49700 -195684 33085 -208778 -18076 119888 21115 51384 20390 133696 56724 18724 76711 -19391 -225385 -88897 -57978 90602 -80120 -134022 215939 64768 34849 105509 -94388 62007 82922 -51901 5828 172251 -49415 38283 -51356 31508 -37975 -20087 -23039 732 80327 46973 -74045 69290 125423 -11456 84466 -125573 77814 -49558 -109358 57068 38691 22771 -63770 48610 -107402 -248637 195121 -175056 85962 63487 1171 -7700 -105997 -27521 -157830 133260 -1743 95977 -89784 -13425 -19140 47680 -49331 -15302 -61103 -149491 93607 9321 43228 96296 -43606 52653 -72573 -9925 56860 -10494 138214 32548 -37200 191265 -2807 5476 12605 110746 -122113 194007 -90066 -84505 -195398 -34916 -171244 69959 -69590 -8033 -98011 47044 43066 -72168 -1178 146820 -1054 -95242 59908 -212917 12961 149192 49767 38302 59015 -173585 -99851 236046 -30138 -41642 6878 48066 -56905 13258 -86425 140700 -16788 -18565 25087 -28239 143497 13498 41459 194906 -13764 -76905 108768 137253 53215 36477 -69118 52594 223114 172333 -17690 -76578 -75955 68162 135599 -13519 -179345 97475 88087 114532 3206 -64335 -35128 -18903 -91969 49687 30104 23768 222658 -47284 -134162 -29804 175065 70959 73010 -22464 98442 -35470 69266 -114455 54520 28890 55933 5281 -51264 58863 -268153 31011 -43184 -19350 -109465 49235 80801 
+-79779 -116768 -4408 27998 -159561 769 -116261 59590 -234160 4552 -19462 -69969 52042 98036 -18475 -203157 109724 77764 28564 60336 -172930 -86573 56309 -90851 47388 -73571 -58378 40609 159123 229221 39940 100419 -59198 101204 65962 -100713 -88251 -13286 57547 -7479 -11901 -170013 22208 23416 -85220 32084 -203781 27034 108654 -53421 -56352 89826 -158465 -40527 -224025 -15366 -133865 -15503 122992 39169 43389 19074 1425 23357 15213 41939 24718 -127767 -121697 55568 59935 -18730 -62663 150456 58411 -146282 107215 -32055 9486 147834 -92160 32265 100463 -44698 28444 -222741 -73122 -72787 11731 -27467 -22141 78997 41741 -37118 1555 121487 9916 -39040 -83591 113958 -7906 -142511 45258 -14141 -20045 -81372 67455 19366 -162347 192695 -171232 99044 -74813 56137 -22869 -126242 -24781 -105046 115043 147063 -3842 -52186 11111 -45458 140982 -25838 -34874 -73943 -132932 115812 -40461 58609 61353 -65571 40498 -70747 93706 35117 16484 132198 30861 -46506 196706 16613 22622 75742 -16551 -197552 34495 -118013 -147739 -183771 -29831 -12112 83199 -65361 38757 -81040 10126 116239 -90042 -23669 172177 -86153 -123034 -16400 -245654 41751 118859 94706 52728 -13163 -179438 -49775 231110 -36472 -40156 -75896 60605 -91278 -7417 -166676 158321 -179934 -25241 15887 15266 41875 -9861 61403 107673 -9072 -90482 122583 149842 56223 12659 -112818 67900 230626 136769 -68129 -79763 -135862 191086 145603 -13796 -151841 138752 111304 52611 -112528 8642 -65748 21526 -82954 68110 -17480 32087 187301 -185432 -89661 -75379 175311 69338 72175 53883 218928 -34152 61218 -208466 41534 62164 44840 14506 -59605 -8981 -279766 41424 -33145 -27480 -63999 113064 67485 
+-80364 -170590 -42537 161178 -141624 -11706 -154312 86617 -63466 2529 10634 -62591 48913 170231 28876 -181407 78207 70511 70067 49167 -188148 -43468 66747 -80663 47319 -73419 -2059 11618 128226 249743 73358 79897 -51147 96865 73445 -11632 -77725 -3702 20885 -37927 -131861 -111837 -51295 9749 -107200 10202 -92111 40690 127776 -55468 -46298 174409 -147100 -59835 -238475 -111647 9020 -10354 129883 11111 17714 41175 -141488 3638 28214 16299 138054 45552 -116592 215605 1628 29870 69242 24618 77295 -172432 89667 32012 -5235 160517 -130623 61707 843 -30497 94337 -219902 -117063 -65016 23187 -6570 -55386 81502 41273 16100 -73977 143636 -60694 -93943 -56528 74320 6289 -124948 32124 -11182 -56680 -104514 86056 114198 27421 139882 -181401 80233 -231166 114686 8790 -106603 -19286 -56696 -18096 216571 -61064 -28133 12093 -98701 71465 -17267 -54807 -90187 -106048 72470 -99203 64261 20973 -78257 56490 -52632 178617 -10430 -18989 154535 31785 -4238 175463 -10106 48237 132388 -191365 -56356 -117362 -127218 -190144 -235069 -42366 115370 85723 -40669 76462 -61736 14804 178293 -88456 -33074 105292 -180042 -131329 -94298 -250570 39454 55277 123904 71752 -93920 -71213 34773 186625 -17168 -39036 -128014 75531 -128887 -22557 -144241 56178 -177981 -45370 -11253 -8024 -37687 4513 67176 -45408 2222 -75245 128385 75032 66366 -28428 -34574 46732 207251 84987 -81114 -89864 -194589 231275 90745 45548 -27427 130652 126859 -26626 -169710 52309 -90758 56150 -67285 33358 -49442 63730 124505 -179950 -60685 -113289 78141 52242 99158 21241 260364 -27595 62440 -142361 25598 103377 65580 -30876 -101682 -46926 -228868 58883 -27206 -60091 103182 98375 70174 
+-79765 -99674 -29285 158861 -91355 -5808 -16076 128465 109278 16136 25128 -50644 47659 169077 95826 -131230 18571 27112 56288 8379 -196056 -16464 56115 -97794 46030 -72802 92348 42294 82830 225491 145262 5139 -29984 104355 73406 153538 -64431 -16360 20371 -15084 -195551 -96028 -90247 17237 -90616 -4254 33256 49806 120415 -31968 -10022 179251 -108950 -40454 -222841 -130194 87432 -26170 130065 -28874 11989 78900 -185992 73736 46456 -34566 130999 68752 -119900 181873 -13631 50431 190494 -32608 66364 20523 90414 97257 -60046 178159 -164147 29489 -73865 -4734 65736 -60995 -133330 -118422 13808 -23613 -9018 83464 20349 48901 -121468 148229 -78504 -36992 -24085 -44852 -16347 -74379 35795 74119 -73019 -93393 104340 104711 124092 68103 -143445 67663 -121046 164416 7894 -131163 -18254 -10686 -78587 179369 -109689 16340 19638 -93548 -45573 -52452 -79344 -29053 -54016 -11457 -84816 59728 -21745 -99452 17245 -68971 235140 -36389 -26222 81464 34330 89816 124275 -71002 71804 180820 -191729 145330 -46480 -146515 -189588 -208636 -21051 74941 93085 -37973 100311 -43413 31962 130720 -52957 -64125 17993 -189863 -134767 3405 -201166 70695 -42887 132901 65518 -115024 71556 25588 164670 -34640 -41398 -193654 94206 -164305 1554 -132761 -79115 -26075 -54396 20143 -68661 -69779 4726 59206 -33397 -12108 -56870 145565 36705 77316 -112478 -37403 43781 180603 33413 -103656 -94695 -211801 135259 84104 96884 41780 128327 79225 -149282 -186492 89987 -58447 79835 -53607 32026 -49964 32337 39214 -22588 -38455 -116408 -18958 -2274 85618 -69400 129240 -12643 70349 -56090 18964 119806 60014 -119188 -121022 -10625 -165893 52916 -32902 -116337 -15598 -5015 73335 
+-77283 -31326 -24823 94833 -16233 -14730 118860 137668 72282 -7601 19919 -40270 47496 119534 137941 -77572 -39918 -5138 65748 10739 -183941 22441 27297 -110123 49538 -54831 85715 36699 48479 128199 117438 -59281 -5634 108368 68608 201140 -53607 18485 -57163 -54739 -135070 -65694 -68070 71348 -24193 8527 -11853 43309 48727 -21283 35332 23464 -17342 -88462 -185898 -87650 73259 -18514 127325 -53769 9670 34545 -107305 141840 63852 19787 66029 -93369 -125453 -17485 8348 35561 232602 30606 71681 129455 45466 133928 -49687 162647 -199624 -10004 -62014 3716 47740 89162 -69587 -131351 -14944 -15346 25801 85464 15074 75748 -118710 139552 -118650 87517 -28729 -156864 -26264 -47892 37878 131250 -69970 -64360 122258 -9209 31614 -21294 -102171 57419 81255 197057 -15089 -100063 -20347 12087 14112 42594 -69336 51690 25551 -90930 -103312 -1784 -102653 -100790 -99290 -110683 -22362 74903 -63684 -134218 68039 -72568 236000 -44361 -19443 78917 36640 176756 77681 -139740 86935 213848 -28251 153305 61569 -111785 -118902 -214646 -12558 -70552 95059 11672 111649 -65855 43368 65288 -16437 -67127 -3790 -165282 -101373 93936 -142907 64519 -109554 122609 57646 -139863 107521 -36056 141043 779 -50980 -229827 92353 -214282 8817 -103197 -114134 108125 -66424 60830 -116759 -2789 14613 45096 77982 -20672 9618 111286 22035 79385 -145530 -15011 62137 130810 8157 -125327 -74159 -159829 8729 53586 105337 -20887 139451 2432 -153508 -161403 61328 -64631 89260 -59845 46237 -31845 27113 -24271 115473 -35379 -99813 -96976 25009 121595 -98153 -37342 -17475 82828 -16272 43368 128597 89414 -126432 -118691 46461 -141001 48585 -34876 -85946 -66427 -87986 67197 
+-77936 -15801 -29738 -44789 -7992 -22770 58055 134392 -140898 1359 4497 -36791 50284 15613 117562 -13813 -20848 -50457 61075 53429 -182755 38734 -18946 -83725 56374 -45444 10400 20632 -8794 11878 84057 -35427 -36090 102860 67398 84252 -49039 15193 -98558 6867 -28129 -42355 -7313 117386 35975 31036 -132100 25463 -11958 -20023 65146 -95031 70601 -51890 -138945 -44947 -27550 -37012 130228 -32774 29903 28998 53134 128939 73077 47610 -24634 -215594 -130812 -96443 40537 -2770 170809 150602 81314 79915 64577 114420 -48611 110762 -225243 -96555 20555 9793 68582 34176 34728 -84530 -44123 -14188 32459 82660 17861 95130 -36412 153593 -97001 180564 -49046 -244681 -18753 -51489 36658 123050 -45687 -49327 139102 -122612 -154600 -29315 -72751 43210 102394 208242 -8560 -73674 -15792 16701 114972 -57044 -69333 121554 63750 -104484 38961 -1859 -128866 -116697 -134805 -150073 38564 63242 -102493 -125732 58707 -76192 125211 -11310 3761 68169 36818 229572 39106 -186292 88161 220089 109994 -31644 125732 -95185 -53709 -209363 17843 -210140 86251 -12334 69916 -82670 52958 -2519 30542 -90550 55454 -73733 -93131 93637 -50385 82247 -155627 70882 12361 -137566 93708 -112623 87919 13105 -37558 -191415 80184 -165617 38854 -116060 -55694 67142 -67218 19033 -113364 94036 -35047 12860 213037 -15904 66073 105904 12356 75940 -90609 37316 33187 75736 -40277 -154579 -62074 -130511 -38891 -1601 181058 -117456 128875 -26372 -105555 -89853 9033 -23512 82582 -80158 60958 16763 46714 -88951 104482 -52045 -26520 -99448 -18757 137432 -44822 -111029 -6529 95366 -63542 14628 148237 82619 -59876 -89706 64684 -81983 15241 -46103 -51618 -150999 -99802 73223 
+-89763 -114063 587 -79974 -116478 -20229 -107889 138901 -266429 -2470 -22578 -45148 56304 -97724 56837 24724 -17665 -102373 2408 55144 -149994 83867 -43991 -94192 50075 -20239 -80972 35483 -77784 -79965 7268 23638 -61697 85352 77055 -68034 -54060 -6223 -106305 -43634 24325 -64160 80988 186848 -24721 32465 -211562 12092 -84447 14429 140763 -47916 153380 -56520 -60443 23767 -144696 -27819 127183 8457 54636 2911 138652 76405 68542 98362 -4756 -161337 -135301 57876 61964 -68084 32075 211442 42612 -155017 42529 76194 -22476 53858 -232155 -90081 125444 13122 14664 -139109 78485 -153502 -31982 -14603 21703 80579 13747 92912 48247 138114 -87367 169069 -61130 -256436 26160 -115547 65654 51669 -7371 805 155261 -162386 -250085 23187 -50278 51700 -76476 203137 -28628 -104275 -17359 -6844 122155 -17128 10970 92669 30506 -42127 152233 4434 -153507 -108630 -120806 -110713 117628 52763 -135314 -156338 42966 -82939 21659 8303 3921 54061 34574 224304 8889 -149986 72435 205743 58879 -205971 202836 -62131 9589 -227180 47875 -173358 109612 -15245 37537 -73344 95862 423 55045 -110345 143751 -24348 -135516 -6338 29418 74153 -167397 47539 -19471 -105050 10023 -89853 128030 -2249 -41650 -136836 88010 -127756 34622 -120733 -39840 -123315 -76740 -1491 -116792 160123 -16097 -5178 144177 -5017 44882 99745 63538 103429 -23817 23396 39818 10894 -79074 -141209 -29001 -74822 38465 -10840 200174 -195980 67527 -51828 10768 71248 -58514 -4595 60338 -91016 31963 71337 58984 -98942 -40147 -84963 6560 48676 2119 123341 18107 -99877 -8205 105491 -146931 21062 156438 83728 17536 -50665 13234 -25983 -24555 -49962 -52414 -50363 -16979 57360 
+-90749 -145610 -24689 25082 -162767 -16340 -161014 130993 -217838 2283 15874 -61558 55897 -178056 40751 59299 27737 -152659 16209 31615 -116460 117281 -70215 -70414 51257 14705 -100910 47426 -86481 -93783 -66318 41142 -65315 74490 65289 -146906 -56729 21858 -105035 13872 -65763 -58757 154992 185024 -76666 10883 -109358 -29624 -183252 46641 131947 84621 201943 -54580 5025 23474 -212104 622 127622 36849 58914 37685 114832 10450 54517 21409 112337 7861 -123285 228516 107734 -121816 -117684 146586 43700 -200232 62039 -842 13532 -26202 -220083 -90561 165296 -4230 42814 -250247 100952 -143704 -14082 -2889 -25055 77746 8057 35452 83652 157409 -62796 62384 -75505 -186719 28334 -135514 58446 -25129 36596 48717 170258 -89174 -153796 96395 -37430 51727 -199868 170751 -6198 -96858 -20458 -39883 3386 140436 99187 110344 5530 -9659 78949 9497 -165062 -99729 -103774 -18761 141321 47292 -159674 -169171 52495 -45676 -65584 59884 81 40771 31914 157581 -67406 -96859 48430 161223 -128102 -123385 104807 -38044 66445 -225503 62978 -17966 105399 -51878 -27933 -61560 85709 38779 44419 -138237 174964 -2772 -126687 -72489 98719 87791 -136255 28477 -81939 -34629 -125309 -19463 97694 -9450 -44321 -36945 91151 -56529 -6232 -133701 46485 -202719 -88889 -25653 -36936 113899 -11509 -21942 -9090 -18919 70978 114144 110816 87131 11482 53974 48996 -18467 -94558 -115998 -21467 -3724 166852 16454 216138 -112260 36005 -26219 103610 168892 -101177 -30433 27349 -84672 59059 131827 38386 -79184 -195753 -125464 36851 130965 2503 60566 64265 67160 -2321 107671 -159208 3911 167713 50609 4037 -47078 -44270 30466 -9827 -33374 -73401 38355 76410 110176 
+-94994 -103222 -27026 138908 -131967 10311 -27392 94894 -24362 18298 20474 -62690 57847 -184095 -4252 80264 94938 -190239 16984 39234 -91212 126914 -33274 -101025 57329 42378 -10029 18369 -98706 -37699 -136839 89093 -8453 50818 70867 -24126 -71436 61987 -90216 -12017 -199098 -96835 184219 158295 -115660 -4194 24114 -25831 -216787 71216 135676 186433 213247 -22787 45405 -31986 -173864 -44119 125546 23949 46070 36255 -18294 28160 32656 2109 148647 79090 -125362 196701 143922 -140101 -167076 24441 59113 -33579 48988 -69661 54192 -92091 -205324 -96575 131392 -20322 53597 -172836 -25348 -169880 17961 -37165 -48840 77172 8232 38864 48162 160373 -24516 -55643 -92581 -55473 -4720 -147034 63066 -12933 73804 92443 183807 39623 34474 174847 47894 60368 -129854 125024 -21285 -105866 -18374 -86202 -69562 230951 176373 80339 1950 -28706 -25852 -41582 -180991 -105082 -67443 70464 120641 47985 -174118 -187833 35744 -55472 -40395 55391 -18821 74790 30831 62322 -60539 6387 23252 110389 -220659 76610 20046 -29710 58316 -210362 77503 106078 107116 -88944 -48472 -79356 73894 88949 11259 -137190 108618 -49745 -136350 -13799 141732 126220 -61804 51356 -120097 22082 -218285 41220 62514 -10341 -46244 43028 69270 -4252 -22262 -130970 186961 -107173 -105859 -13123 812 15391 -51033 -22817 -69195 -28663 72273 96818 148352 100711 27045 69165 71216 -30580 -105310 -75816 13482 102767 237383 38214 216341 28577 25039 27206 143673 162612 -119474 -13007 -12827 -66242 53318 187325 17499 -33017 -185953 -153878 111060 203262 -48011 72411 7269 213707 2290 102152 -106478 15909 186982 81949 -98719 -75715 -15030 72607 -50148 -22882 -99411 50443 118776 58413 
+-90194 -35834 -1085 180714 -39987 29363 128582 76056 76426 34843 28054 -58904 56568 -128343 -118999 86998 126168 -218464 -12589 29163 -52154 117327 -11746 -104669 59398 48435 106677 29906 -137316 59505 -200174 47502 447 40870 70801 104968 -73181 8047 -45928 -11169 -200240 -135694 145869 111920 -76579 7845 16491 -35112 -236665 79243 128286 169861 171871 -65699 54405 -106224 -60598 -1146 127973 -17839 36971 24299 -148610 78267 18635 -11606 126351 -57917 -100488 94 140942 -123589 -103989 -31550 62529 130047 94889 -100374 82920 -136297 -191298 -29841 33542 -39402 58665 10737 -75113 -142120 36193 9969 -17326 75904 12963 -27090 -35467 165052 -692 -92007 -104149 61165 -12501 -98239 68131 65740 95747 133839 195955 120110 123073 197800 65180 68653 70812 64568 -14217 -85853 -19241 -141407 11211 196507 238818 56536 38783 42975 -91833 -77951 -194877 -121995 -65656 108904 55174 54501 -176750 -164851 8737 -52909 28970 6797 -31191 74897 32253 -21866 -94701 24872 4544 48478 -103864 159332 -90053 -50958 8382 -171625 85744 113235 102032 -93692 -59036 -66647 78110 146965 -29368 -171193 20310 -133703 -89380 75808 132931 101732 8208 89890 -168453 102166 -140080 34155 75381 -52755 -44627 72220 59338 15852 25001 -155293 218396 69638 -117707 14192 3136 -56423 -14362 -19912 1186 -10783 35122 64032 112413 90128 -17135 21223 87742 -32252 -94538 -7958 56104 119335 166731 83125 207312 34349 -10977 90152 128591 136817 -104957 -77054 -53179 -55607 54290 231067 35547 33266 -25321 -159905 146729 111136 -63212 85581 -100332 266707 -1996 90801 -12629 19567 174450 74202 -145515 -116232 37246 88887 -30574 -29486 -100050 -52202 52197 42219 
+-76631 -6986 -22550 78385 -29394 45478 74485 59424 60985 24874 24533 -45593 53172 -31132 -167365 82420 110099 -234763 30889 19718 -15898 143929 31678 -73588 54614 81636 108208 9139 -107739 173408 -224811 -22817 -42808 5226 64386 213511 -89143 44959 16543 -29520 -76586 -131629 78486 58125 -13041 30598 -56597 -55497 -221640 111650 100563 -10054 122000 -77607 37234 -125533 57091 -41226 123001 -42503 20520 42066 -184717 151992 16152 58387 14506 -213658 -98009 -61605 140318 -76965 31586 35213 84335 65347 93133 -97098 114516 -190922 -169403 -2339 -51418 -51803 88410 91283 -165597 -158298 -2243 5443 19995 73568 -5455 -67596 -114254 153909 14544 -17854 -91852 112328 -12685 -70608 82454 136595 98196 158280 206735 97792 23425 146492 44051 69792 116889 7040 1139 -82007 -26715 -179297 125333 62144 270875 10719 -18245 67297 8519 -45474 -191932 -90466 -104875 91814 11119 49488 -169481 -174844 24352 -39734 125111 -21829 -22494 105662 35041 -56154 -54796 -12095 2093 -17913 78317 36157 -66142 -86412 -70294 -157141 79105 -97015 105384 -72004 -40345 -73929 81317 167250 -73937 -172080 -9048 -207096 -90435 80575 98873 102113 93990 128515 -197055 102026 19388 -88542 42034 -45711 -47089 98635 57922 13755 43401 -152337 206762 100461 -115855 38746 -11885 -36483 2517 -2781 187475 -3764 -8024 36359 75318 103531 -126062 64734 78669 -17510 -53724 -8161 64094 131924 25605 108526 195178 -71917 -50968 122509 -29089 33596 -44488 -78844 -88269 -61525 16453 249643 36409 113869 113468 -136627 140622 8056 -29475 68593 -103707 169562 9549 77260 -40041 10632 147907 106187 -124450 -124430 67799 78857 -33990 -8676 -80373 -148206 -39630 27216 
+-81740 -88280 -1232 -26626 -20952 58606 -85168 21809 -132151 18327 -20174 -39074 48521 88369 -240656 45496 101793 -221431 34495 38933 9775 128892 48205 -95294 58693 87286 -6674 33960 -94465 245681 -223590 -44421 -47668 -12746 91042 116395 -95704 452 51461 -39556 21494 -144369 -3793 17287 16222 32833 -193644 -1968 -148550 134980 40710 -73629 21085 -71890 -11017 -97709 93056 -31067 110270 -42353 11921 169 -98752 139045 26245 71811 -16276 -190926 -88482 47627 74255 -17977 171037 154792 77490 -86455 110448 -43800 124079 -174095 -135772 16725 -72922 -46848 45529 -29470 -114932 -129073 -7150 35067 52789 72395 -5678 -143168 -139402 179656 23685 108492 -40669 81207 -27638 -32216 88053 128489 78074 191892 215566 -23162 -165760 75602 76591 100886 -59837 -49486 -29723 -64361 -26238 -203543 111033 -55244 275913 -37782 10220 122421 138914 -34863 -188790 -130847 -143266 33768 -72205 56380 -150652 -171951 54752 -49006 240636 -54368 6554 149334 36955 -20482 13174 -105033 14407 -64756 97143 -135309 87672 -122711 -151610 -146730 66183 -217458 116504 -28954 -22357 -78659 -810 116040 -95828 -204077 47648 -205864 -89524 29232 28460 118961 131696 127841 -203895 85545 85091 -102153 73955 -15170 -48013 43915 23289 16154 12151 -145869 106479 -38103 -133428 17974 -85192 20018 21359 22242 178114 4166 -78274 32965 41549 93637 -155105 105725 75198 29302 -26714 13907 84654 170845 -48654 123122 152707 -160991 -79225 99905 -86014 -84869 31949 -59803 -112229 -78599 53676 242587 25974 182165 112961 -104297 144371 -104771 -87760 81221 -51797 21443 10536 66687 -123302 10338 122426 102952 24256 -106648 13716 92813 9149 -60466 -77761 -56658 -77543 12794 
+-79701 -129475 -11519 -86387 -114945 61531 -171518 9499 -227088 35720 1005 -42362 46658 152787 -287172 19383 7315 -214859 30790 44870 50939 122994 39078 -109553 53478 103644 -99472 26281 -24776 250455 -151960 13241 -58616 -30943 75005 -14909 -96450 45126 82673 -48261 -12080 -117608 -82630 5419 -18545 11567 -168880 -16531 -107799 138428 -17010 -37572 -72227 -69918 -69391 -17572 23560 -49244 106059 -4297 16015 -2268 55049 69352 46717 52323 56616 -20518 -82140 223598 17369 29816 225373 210123 48808 -231146 112272 38253 104639 -174652 -92873 31742 -10044 -26146 20570 -209399 -38610 -148766 -35449 26667 5775 71407 -5810 -161830 -75101 182173 42723 186913 -19889 -21720 -9021 -84168 81663 52714 42093 213726 222746 -137257 -249211 -15667 70283 74321 -192065 -94138 -7587 -88260 -33215 -189353 -187 -31497 234144 -52728 -8496 163205 131136 -78975 -177829 -124856 -125438 -73534 -68882 50581 -122470 -145607 55055 -44988 258402 -41368 -7046 155687 36382 60243 75483 -151125 37172 -100095 -58393 -184861 198784 -129774 -163906 -138611 47252 -188814 120231 -14919 17151 -50665 51179 11951 -90432 -221867 142530 -94157 -55445 -47083 -42075 90806 147366 109186 -217284 35858 101126 -65129 24778 -27910 -43575 -8509 -6217 -60363 -11854 -147508 43336 -180979 -128905 -9513 -116111 94331 -729 46243 48907 -4581 -94028 12659 23522 103119 -118469 93270 65294 70433 3051 12275 76722 102691 9675 131462 71862 -136131 -75508 76826 -160204 -143892 71496 -58324 -122125 -91191 20480 206346 22493 223331 -43404 -72222 109029 -78179 -87031 129504 35071 -116143 -3894 61751 -185360 -10920 128104 76667 50401 -73906 -57132 77920 20155 -27949 -48783 65505 -92103 4544 
+-82217 -136634 4530 42193 -184415 66241 -33326 2840 -215415 -446 22080 -51186 48629 184466 -315704 -37064 -21666 -165699 43980 48363 83738 117559 36341 -85886 59241 94152 -66643 -19601 23730 191275 -90963 82899 -17745 -62127 60454 -102470 -82880 33896 64292 -21523 -130604 -82953 -82039 24781 -82124 -4142 -24916 -1142 4266 145143 -23270 141768 -140203 -46306 -113099 14300 -105081 -74941 103499 27723 32578 51394 149719 19318 64308 3468 142215 76250 -70431 177302 23585 48552 200218 144867 47614 -83185 84133 113366 82836 -106160 -50496 14997 102093 -8227 19185 -245574 53775 -124248 -43469 32497 -24563 74252 -14004 -176626 21670 186804 -770 155876 10226 -154914 41255 -118885 77642 -16137 -315 215839 228066 -157162 -145000 -38061 50092 74865 -140414 -120084 -10166 -35978 -32692 -152937 -81348 118239 156832 -75463 29093 202273 -11976 -29616 -163685 -94160 -63698 -127341 -67829 69610 -87040 -152928 72491 -22639 193032 2220 26610 141264 33967 160977 100384 -172222 63514 -117981 -209220 30303 214722 -134303 -187845 -114075 28968 8097 129546 -7160 87910 -100306 -11840 -15790 -55529 -229380 169463 7497 -9276 -13856 -131535 83333 107406 68073 -210889 -20144 77716 26020 -5951 11512 -47541 -90099 -11916 -103778 6015 -110713 -68896 -175004 -141308 4432 -106412 84272 4944 58371 -55055 -6407 -115657 4672 17730 104729 -60231 85515 40757 139883 45296 -4264 96459 39315 142489 133150 68243 -43030 -106467 18422 -163982 -211715 80957 -25706 -115273 -85682 328 157121 77179 224107 -186098 -39643 86818 -9404 -64170 125240 43625 -106094 1959 63938 -144603 -18802 71252 95253 -41259 -47777 -21106 47997 47837 -33136 -52289 28882 9989 6101 
+-83610 -49828 -23094 153706 -138752 54236 116794 -20719 -52702 -5233 45743 -59508 53013 119198 -325808 -70217 -36968 -112514 84653 24062 98860 62140 281 -80499 59955 85419 13790 16597 78304 75527 -19918 92296 -34296 -89033 65595 -69328 -80361 38443 28623 -10514 -219026 -39402 -40909 73651 -101819 7194 42702 37679 58065 131282 -67324 218160 -155422 -38498 -208076 27986 -190839 -5673 95244 25572 46031 63830 97085 27106 73938 -21477 130108 -24559 -69057 19551 -3026 38527 90228 26999 64077 81622 122665 134957 44601 -27911 -2828 -16004 172763 12605 -36093 -93643 104509 -148627 -9078 15354 -65868 76411 -6428 -182619 71319 184970 -60609 39618 -14596 -236881 29038 -160002 89689 -17641 -40867 192603 230834 -80804 41716 -6402 22640 89093 62970 -126939 -15467 -34090 -35845 -115630 -3128 234605 60322 -151745 25388 238349 -99344 -25662 -141111 -94684 -71209 -125786 -2168 60101 -46526 -133631 78036 -39840 56057 43131 -9319 145031 31437 222467 178960 -171500 83025 -107908 -164254 176278 104647 -97379 -139430 -96143 325 121799 114193 -2651 98788 -100585 35252 28369 -10941 -233175 118177 16346 -18720 70015 -199937 83698 40903 37327 -197000 -93112 -55098 39422 -27947 -8489 -44922 -150796 -36543 -171992 2520 -161933 -110567 -8670 -134497 9667 -104706 76146 32778 61956 -25406 5989 -67047 9079 81786 106462 38733 37686 98744 182241 92403 2485 83298 -29719 224059 100391 -7075 61382 -120065 -33125 -90331 -127521 43040 -20639 -94013 -65149 -5585 96003 16825 183684 -186045 -24557 -16284 157913 -92963 107653 -35228 67636 -9329 73134 -76913 -17791 47453 59646 -112573 -63244 46424 1962 49385 -55071 -117563 -77300 103002 -13934 
+-95350 -14508 -48106 172859 -60031 50119 90650 -11559 123952 -8470 24467 -62601 55364 26535 -252934 -130491 -29829 -68462 37647 32721 108007 35768 -28533 -99918 58398 61370 116782 19538 114774 -36584 49660 45067 -36477 -111063 60744 46081 -78733 32990 -25538 -20028 -159335 -49760 30876 146078 -65238 30084 -21983 33157 108512 120312 -67799 121337 -158661 -69731 -239845 -12409 -207633 -17367 90226 -10977 55066 35375 -27721 83668 71091 27332 34135 -187495 -64090 -49322 -5291 -10307 -67374 -27926 89168 90208 25981 117507 -13180 31050 28267 -62883 160679 19479 -3296 53036 39023 -114533 -6262 5123 -42193 79267 -15073 -188733 55327 191559 -81691 -69996 -54333 -259983 -12434 -135294 87946 57323 -67486 180972 232192 48682 121875 84694 31693 69541 86262 -110278 -10360 -35245 -38478 -57945 130958 203194 4048 -159066 7650 262143 -11505 -9219 -122326 -84905 -90060 -70489 56108 56947 -3792 -106086 50746 -29704 -40571 52370 -4587 51805 31068 230461 203658 -72689 89501 -72840 20152 78995 -27285 -65478 -72346 -71610 -17626 81908 114038 -26489 106441 -73964 38392 70219 29717 -246639 27626 -3390 -22006 137795 -246137 96485 -48040 31356 -167397 -134981 -146568 -15399 -39182 30182 -54590 -206076 -62551 -165759 44477 -126605 -53053 87376 -144762 57513 -14581 -4817 16994 63760 125888 -2959 -35449 20592 125940 115711 35165 88120 94241 222986 128199 -57201 64291 -104689 193521 92593 -26377 -10058 -136275 -69436 44375 -50266 -6906 -52770 -60247 -55513 39822 34505 38847 116609 -28923 -52482 -11407 203119 -76859 112792 -95363 203836 -10189 86397 -29368 -7999 13811 95297 -145876 -96648 67738 -44941 47523 -38204 -116037 -131878 103403 -3735 
+-88932 -66890 -8922 60714 23666 45840 -85472 2499 61012 -32705 980 -56574 56474 -85329 -191563 -174648 33373 -25118 46430 32819 75304 14732 -70684 -142407 63770 31885 77089 -15636 146905 -96329 96429 4760 -52679 -143577 73161 166444 -54619 32403 -70420 -4119 -25412 -23889 114375 183881 13454 33184 -152550 49832 160748 121523 -69828 -26119 -75810 -54995 -251089 -90021 -121167 -41487 82798 -38158 44739 46247 -165899 134161 54658 66349 -22817 -210136 -72540 45126 27550 -75675 -154387 35305 84783 -86650 34772 57103 -35360 97681 51996 -95892 77482 13759 88286 67874 -70491 -105150 10274 29711 5138 79022 -8216 -155411 -8950 197511 -66971 -86299 -84310 -184888 -14489 -77339 60301 128255 -74543 149966 231483 124653 15313 159190 -18965 46233 -87463 -78571 -9654 -34455 -48939 -17813 121016 81351 -57931 -223866 14843 282171 117064 -26178 -95969 -70384 -113729 47806 137305 72750 38111 -94175 62525 -46115 -49194 39301 878 30811 32924 178266 207194 4421 80017 -24947 121647 -133366 -105526 -33487 9896 -54433 -26694 -95286 116346 -49897 91896 -85008 48364 188892 55635 -235165 -14434 -117237 -5389 42517 -251185 97423 -118895 54360 -136619 -160237 -147108 -99439 -74375 11830 -46108 -217223 -61686 -185011 17287 -121147 9701 37774 -149877 42583 4030 -49254 40947 36783 199960 917 19580 -7877 144202 119538 -4252 62942 23942 240846 171758 -60503 51698 -166749 62546 57912 -77408 -129613 -117256 -48709 112041 34945 -72282 -51261 -20765 -60446 41007 -14516 23796 50745 112741 -80657 -101090 168563 -105990 48100 -79875 245352 -23607 98882 -63345 -19369 -4050 74372 -65579 -122772 24203 -109884 20996 -26244 -115066 -39664 27730 -22186 
+-76839 -146543 -29266 -16099 -15727 21512 -168513 16430 -111634 23427 -32059 -43852 57514 -163255 -121103 -204880 90498 25546 -10668 38140 72899 -6047 -73644 -101262 62145 24051 -27880 -18897 181205 -85916 119671 -35411 -61608 -166967 86445 139599 -47278 -5048 -128262 -34982 25138 -79594 173382 182150 20691 12272 -204282 68107 91588 89583 -44315 -75279 7925 -48831 -209424 -125136 -733 -38547 75635 -41106 43770 10675 -177757 136060 33978 45047 40738 -51482 -76514 158508 72233 -120585 -129509 154994 86104 -190761 26570 -12361 -32664 163802 68881 -69287 -24475 -10063 47719 -109169 -135276 -88170 11976 -5407 54305 83104 -4808 -110266 -98590 199873 -122401 3240 -99405 -57948 25775 -38376 59900 125333 -60200 92657 228791 83579 -171562 204203 -43005 52262 -236360 -31552 -18711 -40055 -41273 10685 5444 -29378 -70604 -237683 9160 259845 96749 -26967 -75046 -80662 -129842 100654 115808 67592 76617 -73314 47214 -47092 6732 16031 -493 19684 35633 92031 180247 51589 58475 28678 10739 -209817 -33216 -25381 68157 -43523 -31948 -199461 93046 -80842 44694 -69391 120040 145840 52667 -252239 47562 -212693 -5359 -17776 -210759 50607 -166328 98638 -75792 -95627 -88115 -125305 -78338 -26735 -48804 -170312 -78720 -123165 -31680 -137704 111627 -116185 -143448 4412 -6138 -19663 32187 12737 128320 -1687 25577 26819 111848 102388 -80508 72141 78449 217372 205475 -69081 25017 -192370 -30170 2323 -86621 -191009 -115512 24263 131623 138704 -106124 -58361 19934 -76471 35563 -47671 23236 -35406 109926 -116526 -118826 54810 -90118 74031 -4687 153922 -32184 106577 -174795 -15260 -31784 60443 64131 -119356 -48159 -169216 31921 -50481 -58807 91281 -89078 -29754 
+-51279 -148471 12144 -85652 -134131 41330 -53489 36066 -271126 -27561 2997 -35492 55029 -192155 -21300 -229606 132038 50909 18529 64877 51007 -64416 -28816 -109877 64502 -25223 -93696 -13719 166337 2085 101181 -4471 2921 -182071 101510 22742 -47242 -18519 -120108 -40308 -62592 -100271 174047 167473 -30727 -4054 -116442 61847 53087 65582 3909 -4680 96416 -44893 -169466 -83686 82737 -41348 69896 -13389 19744 7759 -72175 77222 18874 -15179 120053 72666 -77987 203753 96379 -143551 -33389 213043 51932 -121181 107580 -80922 -48284 185977 76981 -73309 -79541 -16497 84741 -234812 -153946 -64370 -34850 27998 -14700 83249 -4162 -73638 -124771 182811 -90376 128088 -131562 48084 7826 -56312 56376 66316 -25332 53235 224089 -38136 -249113 161139 -127895 22381 -177295 27293 -885 -35360 -42190 16503 -72085 -18545 -69068 -244743 -5518 273025 13601 4684 -44043 -72945 -105368 121202 88486 55539 108760 -45191 68660 -46664 100583 -37733 -8047 -1827 37077 2588 141456 -25244 33640 99284 -170967 1087 125661 -54110 38489 5809 -42079 -165796 98646 -69287 -22107 -59569 109198 103542 15620 -226583 135954 -223139 -17878 -76207 -162275 -11543 -165160 129936 -30426 -53417 63726 -32933 -90142 107 -56132 -107073 -78685 -89651 -17626 -158916 180308 -189148 -154937 14595 -30891 57151 43371 -7251 -56113 -1523 80160 4816 86546 86946 -122716 39290 92256 195255 241316 -97058 5154 -188692 -26234 28979 -134496 -79623 -79555 89253 66108 143143 -122232 -81431 55213 -90170 36191 -51899 -4649 -88242 -44099 -154024 -74213 -80496 -80052 64765 45127 26138 -31724 106829 -170603 -1036 -66733 58852 46992 -90667 -34604 -207772 14678 -23650 -57034 55321 -101700 -63581 
+-80501 -49029 -15664 2067 -182547 24230 113689 62016 -203789 1129 29087 -38549 48422 -128288 38258 -238190 117185 62393 21419 39149 19171 -85357 -2425 -73317 68078 -44564 -100720 -9786 110139 116600 55815 59930 -28309 -195602 58402 -147206 -48575 -28529 -102162 -36405 -179982 -151296 131215 93524 -95988 6536 17011 40382 -27929 55715 73765 155968 167100 -32142 -106536 -38123 64051 -44464 64181 26522 14082 46952 85782 2193 16891 -34064 178468 14467 -91072 42242 109613 -127888 133495 146665 52405 64346 78853 -98572 -51814 179069 67706 -43141 -39915 -40574 2127 -199581 -106973 -59131 -38600 35574 -44315 80798 2353 -12099 -95011 193217 -75181 189679 -119075 109731 1044 -89335 56399 -17647 16136 4520 217473 -146402 -136104 92827 -131951 45450 82843 89414 -31928 -20868 -45952 10590 -5856 103582 -66337 -268899 23964 241497 -80897 -29611 -23590 -68837 -36135 60089 36745 50104 132001 -27946 41322 -39224 208703 -50683 13517 52740 35979 -50033 105382 -80311 11421 154318 -212630 166593 193250 -77222 8482 4815 -19887 31924 100811 -44316 -64847 -68838 105739 24715 -34261 -260168 174940 -140947 -31090 36121 -73330 18840 -124299 131275 -1781 11539 105826 49079 -92873 -41067 -57450 -27628 -83612 -25397 -18949 -114551 172416 -118101 -144685 6295 -99339 150643 31515 -23560 -56633 -5193 88645 21126 30284 85332 -152078 48911 69348 145908 272930 -135001 -12548 -166454 106609 -38294 -103736 27102 -49192 115665 -73689 139276 -81700 -40158 79288 -85813 25017 -31479 -4177 -97705 -188623 -164300 -96258 -90619 -94744 95330 22429 -105472 -36739 100036 -100856 -15590 -78510 89073 -43194 -58944 43507 -240897 -853 -31912 -41457 -49677 -33513 -37745 
+-91335 -3540 -32662 158225 -169174 7674 92055 95183 -35141 14687 27390 -56660 48790 -35198 51713 -198924 80440 76402 18503 23311 -25001 -110721 19305 -90047 68960 -57653 28785 -3209 88738 218662 -29709 104954 15120 -194576 72789 -129062 -71228 -5351 -38895 -37731 -192461 -152658 38769 49026 -120506 29595 26811 45488 -128649 27840 98925 207363 228217 -49596 -26144 15437 -50603 -11009 56111 35376 28552 47647 147108 10501 25313 -19723 70427 -161655 -99796 -87100 126370 -80249 222299 25908 54043 75890 32710 -84501 -15915 153858 52320 18585 54452 -50451 17242 -2000 4665 -30508 -16644 16609 -38700 79343 -1417 14821 -20245 184494 -60907 139633 -66620 98127 -54081 -154145 36451 -22143 56947 -31819 209055 -158959 50900 18520 -148690 53123 84707 142849 -26538 -27562 -43156 -28868 122706 238905 14068 -201271 17117 202593 -35716 -55188 -8283 -69776 -48851 -65517 -41061 39366 145353 -18685 65106 -35645 235635 -35664 -866 80013 33255 -34248 68533 -131538 1462 193574 -60172 132287 177333 -112201 -47254 22951 5657 151314 87969 -47587 -52635 -77323 64839 -8776 -70394 -250220 121410 -51473 -34613 138552 18707 53157 -46938 110369 49430 76499 122156 17451 -99220 -38003 -51465 29756 -78359 -19077 20646 -158701 163488 47777 -138810 -2998 -118914 115523 45561 -21725 62010 -4489 28742 58548 21043 90201 -58324 40015 97609 91265 248645 -150640 -56595 -83542 209586 38466 -115916 33885 -41238 123735 -149059 72635 -17150 -34612 89102 -65348 3506 8700 6615 -81162 -180798 -152258 -35169 -25134 -77372 120330 -73279 -95938 -39561 88574 -31067 28072 -112819 91195 -170297 -53669 67735 -266798 -30615 -31076 -94103 -153202 64684 -60487 
+-94940 -50313 2491 172289 -57485 -11130 -70269 114487 101204 2666 31209 -59656 48082 82551 102263 -192071 41945 47033 15327 5770 -58304 -158418 59885 -72756 67517 -79667 92516 -35083 37491 256523 -113315 62020 -65853 -211667 44467 24289 -74403 -5227 2509 -24276 -80537 -146326 -47735 785 -99192 33519 -92959 32593 -192747 511 131552 115961 192584 -61319 12511 16971 -163997 -34457 51188 7107 43731 25845 89792 85513 42609 50566 -17057 -222363 -105456 43155 129262 -18509 221243 -33562 85978 8905 49810 -23311 26382 101067 31828 28935 149514 -43970 7519 100041 86413 -39223 1477 8218 -39113 80254 6154 47002 52125 200757 -9860 17210 -46299 -10130 -28309 -147096 43595 46510 88141 -66999 198958 -60160 121696 -37942 -177671 67780 -56145 179872 2162 -19829 -45705 -87567 120027 227326 95107 -169838 -6770 161505 92870 -84901 8456 -76436 -90719 -113993 -65040 50425 147679 -2519 69243 -48036 227195 8810 -5826 126301 31102 33673 -29628 -181751 7528 222187 100798 -101264 35164 -155793 -133978 18604 16930 64655 85448 -25441 -63528 -79302 63052 -1815 -92324 -224070 37046 5396 -34707 60847 91083 33701 32241 69524 52801 91216 35138 -36136 -129819 -30486 -42398 75137 -64362 21387 13205 -134416 83884 106249 -141487 39124 -133460 35455 55084 -19363 194043 -4787 32732 31082 33483 75894 -18518 38249 71725 31264 233782 -122922 -82372 -35851 221337 64991 -93217 -75520 507 71302 -167934 -50996 34016 -17763 82944 -53732 56499 68606 -4663 -27559 -35400 -119249 2071 58447 -78911 148307 -95153 46985 -45845 74075 -62489 28974 -115970 69873 -98718 -76304 16492 -318926 -26216 -32725 -103708 -64435 116836 -59339 
+-102692 -143682 35534 76491 -15178 -18693 -164972 130606 68690 -44111 -5653 -64487 50019 164714 113254 -151941 -9016 22892 61650 32144 -99657 -159371 49092 -136556 68413 -85030 62283 -28051 -14268 232362 -168412 17884 -85335 -212583 45329 193407 -88795 -16061 51176 -31741 19475 -86422 -77812 10803 -13696 12969 -177168 -6648 -219339 -26725 94225 -64649 174663 -60824 39213 -16743 -205109 -31624 49859 -28934 48561 30961 -54791 144732 63153 96490 -8160 -96096 -111533 199279 89365 34094 95520 32009 79070 -201099 108802 57299 73480 34443 -5050 58183 176540 -25104 10919 6580 92648 -18732 13968 21273 26193 77570 -543 77143 80165 214824 20695 -80792 1082 -132395 -32616 -113659 52965 126164 100399 -85963 186998 58691 6337 -21941 -164100 82992 -224725 202507 -34894 -7737 -40193 -135214 2605 113177 181615 -172585 28122 132517 138583 -63907 10006 -60347 -122664 -140012 -104921 60395 139073 -14659 69283 -73416 112002 58627 -23572 142406 31260 139065 -59675 -143302 27383 223374 80297 -213782 -40261 -136340 -194463 16515 47199 -50724 85012 -70766 -26705 -55870 41931 75170 -85695 -252322 -7787 -7400 -35676 -24390 125369 -42273 109348 32592 75564 90462 -101694 -125803 -147925 4391 -55316 78122 -47106 -4561 -11400 -119500 21873 -42277 -134176 30519 -102161 -53701 62854 2480 178880 -935 -15778 80171 52820 48090 37927 62 83078 -8793 227745 -84998 -99851 32944 102235 38045 -55076 -166231 36096 5354 -142513 -136843 77327 -34536 61623 -58247 17769 131638 9614 42346 110073 -86544 79708 198796 -103178 103454 -39408 198337 -49708 65222 -130002 21438 -100915 78565 7923 -107791 -42300 -298237 -36534 -53856 -83271 52547 81581 -77110 
+-95095 -156108 12484 -51452 -37052 -16787 -70735 138145 -76851 9831 -11174 -55356 53929 179696 63752 -101589 -56929 -26290 49416 66303 -137101 -153177 -4108 -108427 71344 -88271 -39548 -40744 -43672 135885 -215029 -51720 -26189 -214786 78764 237024 -93338 -29850 75793 -1668 -663 -77135 -76166 47779 13084 -3919 -156046 -5255 -249416 -33618 169486 -66979 72718 -62366 42668 -72095 -160388 -22728 44713 -45935 52597 39041 -158952 146476 73046 55311 89415 60803 -122562 194565 60445 53305 -37650 161389 68771 -169140 140141 106954 100510 -57693 -41950 29602 105579 3553 66408 -173856 39283 -41623 8428 30488 26268 76013 13706 74698 19905 200190 37092 -77466 -7914 -230754 22386 -70640 39405 132361 89623 -99864 173600 123178 -179717 50875 -172814 82653 -99508 208266 -25793 -44719 -37977 -180474 -75363 -57603 248887 -149557 34474 77111 25920 -47354 17127 -110866 -104126 -65265 -74101 53020 119569 15866 30029 -60171 -4158 57543 -13376 195961 33525 210019 -67173 -105043 53242 189024 -113337 -118137 -80808 -138089 -190414 18012 66980 -222153 81535 -23750 17671 -116286 21359 163579 -59847 -215368 36819 -95410 -86524 -74630 139765 -5280 142356 30166 63100 43792 -173382 -80692 -147549 -816 -53081 45508 -29980 -46109 -6796 -165446 -73291 -199905 -125599 19570 -86571 -50881 66514 25744 37937 -7803 -70505 76500 127202 61895 -6272 -38007 52644 -31384 174029 -60369 -90534 111589 -17066 77189 -28935 -159204 64517 -50510 -41175 -196344 75245 -30082 28998 -76014 68496 187623 14031 121413 113778 -53450 116130 178918 -93007 109050 7561 266678 -59138 61867 -222894 35883 -89874 113193 42425 -122962 -49299 -292319 -47622 -36341 -62124 33746 -32957 -69405 
+-88680 -83549 22295 -61975 -100182 -25174 102561 145142 -244461 8263 16277 -38780 57395 141716 13081 -35268 -23122 -70232 52884 58485 -168945 -173535 -26691 -121298 68777 -64305 -63469 -56254 -65213 25587 -219097 -62982 -36161 -198316 90596 107945 -98124 -35072 44993 7472 -119026 -43327 -12679 101330 -29085 5926 -18839 -28585 -211591 -41600 89927 72273 -13192 -40052 21490 -138548 -43587 -37260 47586 -27805 53917 23771 -167026 73853 69826 -1571 160730 42135 -127782 19461 -21925 35358 -110867 214083 81359 69325 116685 139541 94975 -107641 -88078 -10304 11404 20987 60573 -249192 -64135 -45995 22212 3953 17932 74536 14000 56373 -61380 190472 40098 24987 -43594 -255702 13737 -46100 25054 62066 60567 -91127 159148 77169 -246968 140813 -153475 75318 56292 184795 1342 -36807 -36987 -197040 -3660 -85824 266843 -56962 45692 30738 -90001 -31571 12114 -93779 -40244 3540 38564 58292 90647 4677 69216 -72987 -66277 32892 -15375 123517 36216 238564 -70442 3406 76380 149271 -224767 124849 27941 -81268 -130582 28739 69963 -193841 83325 -60588 64762 -80855 23850 180316 -9639 -216065 129280 -193017 -91953 -9539 119701 -38803 139071 58677 34076 6493 -168422 11287 -125414 7374 -54204 -19931 -4226 -90141 518 -112129 -85045 -161604 -111985 455 2562 35940 44976 45999 -63807 -14531 -71253 90317 143077 60804 -82500 -43177 110569 -43226 160044 -58720 -94625 122461 -36254 128512 34218 -10046 87797 -54075 105761 -178422 29248 -33760 -11428 -91533 28902 231063 12087 183955 -37869 -35763 142981 88009 -82291 39498 36444 180993 -45944 65796 -132251 37197 -94132 89012 -36596 -105985 30587 -249951 2177 -33511 -33563 -44487 -94464 -79944 
+-79361 -8565 -34934 14727 -151356 -41560 108385 129251 -214653 -20333 40909 -38204 58245 32240 -26557 10820 32891 -120574 37658 40172 -187766 -158601 -58041 -114507 71576 -43909 -71449 -27544 -113214 -58992 -198218 39966 -37204 -181428 85961 -51223 -88716 -53484 50285 -3282 -215803 -27370 74851 165908 -113149 29072 30975 -31996 -157886 -43635 107501 166095 -98461 -46493 -36231 -111921 63576 -40707 38698 16938 32847 68605 -48356 19290 54742 -13341 91806 -129282 -133655 -49870 -19143 -11690 -141232 150803 51638 121338 101378 114956 119305 -156541 -118336 -67740 -76113 19289 64066 -116423 -151720 -46409 -43906 21698 -64437 76169 9229 41575 -131303 173122 34900 145628 -56605 -195042 -20041 -70941 42033 -17425 20108 -67150 143250 -50468 -127385 190907 -133871 84950 123181 148957 -23871 -33673 -32132 -190422 117072 74222 245008 -23491 16170 -8253 -67306 -11291 1144 -125307 -37600 87631 94346 62490 54569 11464 54883 -94224 -44049 -13732 -5501 94614 37068 200370 -65332 35087 89708 92189 -126350 195980 117989 -73639 -62958 22096 85171 152 70498 -84957 88383 -54315 17046 121850 33405 -197051 179894 -192057 -82321 105843 47809 -38355 92259 99969 14812 -50433 -72179 59530 -108773 -10016 -53502 -124092 2171 -136721 24464 -143060 -56681 -15193 -95382 -15425 -4095 93915 62650 61489 -2597 -9228 -88813 81612 100865 53656 -158740 -65273 51426 -33991 74568 -25896 -91374 160737 63983 119897 92008 67868 97752 -41195 127674 -87949 -28969 -73002 -51530 -86919 34085 251587 6692 222706 -184528 -39572 132022 -42091 -73047 45717 -9714 37614 -50113 76148 -32467 30533 -54929 57323 -129388 -69339 69028 -216787 -8114 -51439 -58260 -116215 -82145 -48222 
+-75127 -33730 -28456 161223 -129679 -7186 -61280 106322 -67019 12319 38147 -47929 54110 -74468 -110550 46246 85001 -175437 17908 17441 -206599 -164969 -44757 -76680 70387 -30628 -6895 -10662 -119762 -91506 -147103 104031 -41063 -182465 81494 -142001 -85476 -62212 -34736 -4764 -139230 -40262 139861 190267 -110699 33806 -44624 -21272 -41236 -53260 53219 182328 -152930 -48811 -86436 -36162 73729 -31629 38706 35083 18842 41343 99582 32156 34605 45322 18116 -235027 -128575 7660 7383 -72781 -44354 25590 67491 11482 107559 50096 109484 -184854 -154292 -85833 -63285 7656 19488 47276 -132362 -42520 -45064 23240 -45202 73740 25204 12743 -115100 191044 1199 189311 -113597 -89571 -11930 -119450 42998 -26015 -23374 -36834 126564 -153423 59238 181840 -99784 86200 -84688 99303 7151 -4971 -30578 -165605 121681 210981 202603 40480 -24139 -30710 72068 7547 -8227 -121582 -117131 114811 134035 67862 13713 -8359 29262 -71686 18340 -50372 -1477 85719 35423 110912 13324 35172 85589 25295 58878 -25234 240798 -51296 7061 23903 89901 115226 84032 -105177 90349 -73600 36230 40931 50614 -165691 129242 -146086 -125857 93650 -29262 4471 14285 122719 -40966 -123393 72643 431 -124365 -22665 -57610 -176715 32307 -157059 33400 -134676 28981 100037 -86451 5897 -32223 185528 65176 67333 161229 -6769 -55731 135039 63716 39072 -149371 -109351 69796 13253 76219 8652 -71777 137804 190852 146628 92690 -7194 116157 26609 129152 24298 -80423 -60890 -86812 -70745 33477 243588 14309 221679 -186337 -60394 136430 -116469 -40407 45987 -104332 -95564 -47433 89965 -21547 5658 -38362 74324 -124834 -45128 43369 -168088 24178 -57165 -111978 -96489 10017 -57149 
+-97592 -137245 7692 159065 -31186 5409 -170073 91833 90044 385 12124 -58849 49520 -158052 -174799 74136 113339 -199634 8132 30821 -202176 -155880 -38402 -86255 73176 -3933 92714 -18722 -94156 -52249 -68401 82264 -40450 -153469 75290 -65887 -73667 -38414 -51680 -26876 -16391 -78067 186367 185106 -77545 13663 -159965 -43752 7474 -43248 -21304 49025 -173463 -51308 -173304 13481 5649 -52382 34152 19448 9090 51115 147577 82276 20031 53896 -30174 -117669 -124718 196205 8923 -121972 86885 -30267 90402 -150528 58800 -11184 87613 -181128 -184939 -79884 22377 -11013 29366 73677 -44677 -44380 -29042 50355 -30976 77624 19364 -29355 -57587 191801 -28239 121359 -110352 41527 -29465 -148263 35935 49872 -57600 9444 108895 -154216 120725 119897 -45647 69925 -198052 36710 -21520 -34967 -27869 -123010 3355 241364 173771 46239 7375 -46101 149327 -8081 -26660 -131406 -145598 69505 109698 63055 -29084 -14598 63098 -67212 153284 -44414 -4553 41356 32651 20326 55729 -73040 68723 -29162 114738 -214373 158963 -31942 49478 -21765 76972 111669 76793 -72482 78551 -54586 83074 1745 46020 -180394 40602 -59206 -137355 -29363 -122239 -25636 -62067 133884 -80528 -136145 141997 -86741 -142876 -43650 -55770 -188177 45130 -194827 -3545 -164888 104238 40636 -73163 35010 -83326 81827 83038 59216 206769 5024 -30523 117494 19351 36666 -90630 -113154 42318 52022 1963 5793 -72547 101668 233783 126448 147984 -136844 131799 97483 57020 151585 -130299 -27445 -111438 -54822 13974 210672 14103 182537 -33936 -105777 96609 -91473 -60400 87536 -112011 -100356 -53328 102512 -57463 45336 -12885 73273 7996 -57982 -32212 -114851 31007 -26445 -124364 30669 96930 -67283 
+-90370 -164318 -68462 95805 5523 -622 -70868 63149 43719 1986 -2874 -64097 47589 -187757 -256800 96839 127175 -221409 11477 49700 -193785 -123545 -14611 -81308 65743 28124 52526 -13008 -63051 51156 15473 -11319 -64507 -128373 58333 113198 -65818 -88368 -88670 -38105 33797 -133003 161252 153985 21974 -3773 -183708 -17312 58231 -34663 -62187 -97894 -135662 -64861 -205812 18617 -111115 -52083 39758 -24321 18895 36167 70634 141254 16497 63034 70130 48035 -113839 211088 41664 -138766 194157 33138 86082 -158497 58106 -75934 46293 -144806 -212774 -77894 131539 -30668 7802 -80602 39615 -69460 -15898 7399 14898 77480 30605 -82011 44796 204645 -38539 -4106 -112863 99359 -20607 -148276 55734 112307 -73362 47441 90858 -53078 -2794 18820 -21179 72950 -135051 -19953 -16167 -29251 -24059 -69880 -79943 95040 99753 83448 2341 -79434 74247 -3333 -49493 -108363 -128676 2673 51454 53101 -70969 -25049 33175 -64780 249855 -14899 -5925 22210 30959 -43065 109642 -160100 43417 -72610 -36661 -124233 31416 -65573 48432 -955 56020 -105891 77722 -23586 66897 -87412 57104 -14682 14362 -137076 -7667 12533 -120176 -87478 -187283 -8257 -131710 103824 -127043 -158195 104072 -124541 -167070 -35356 -56359 -217348 67845 -129143 -26488 -114495 179462 -129233 -65961 6917 -124558 -15553 50427 37240 90041 695 14015 144479 18946 26049 -9907 -110439 46850 122229 -32485 20981 -18469 -13895 146666 68412 168742 -181544 123260 118250 -62117 147967 -122818 -5033 -121791 -58844 1685 161497 10355 119284 105361 -138017 48904 52575 -32150 124731 -48693 26717 -42241 107071 -177628 38748 21464 87077 28049 -96299 -59374 -74732 51889 -42917 -67987 75963 117943 -27230 
+-90118 -89366 -21017 -37909 -22505 7748 92504 30033 -112219 -6997 -10712 -56166 46148 -147023 -260397 95783 106809 -230430 17785 71881 -167349 -109489 41785 -116472 76816 49494 -19435 -5331 -30141 155917 81064 -40071 -40280 -98779 46098 192320 -53776 -94217 -116613 -27935 -63666 -149359 102430 82862 29806 5320 -55817 -14302 93689 -12597 -97623 -55122 -69640 -56807 -260160 3528 -205594 -21701 39879 -52375 38076 23782 -57935 133822 24468 649 136720 63287 -109696 27196 111117 -123156 241413 153941 68305 570 66612 -92966 8126 -82181 -224295 -17221 172068 -48354 18067 -227638 110727 -67338 7278 -4889 24336 78379 39038 -136073 83371 194421 -103046 -88725 -91158 99137 -11833 -93504 60325 134203 -69159 92530 72164 74598 -187007 -29675 -31075 63086 101716 -75605 -14056 -67497 -22744 -26275 -3878 -21794 17223 100981 2507 -107200 -50997 -5979 -77506 -123009 -58182 -73858 -31521 55404 -109040 -28514 58862 -83457 234365 26452 -12697 13647 31662 -44621 143452 -175115 19377 -111266 -210646 87697 -70025 -125562 15088 2355 24946 -218322 94415 -44887 35212 -47692 98854 74317 -22107 -141788 28354 -3632 -124735 -32490 -231897 -23959 -167561 69679 -179837 -105485 -28428 -66351 -112709 -28604 -58764 -162182 82761 -96675 -1430 -150826 190503 -185269 -57300 -11134 -145377 -83318 92059 13877 -38783 -12845 46590 110977 52986 22495 45157 -120361 34464 166472 -64373 -26440 16634 -89915 -4679 87914 218882 -84832 125534 108861 -143267 163829 -69739 -43441 -115939 -76448 16752 98448 16980 34706 109175 -163322 31554 173984 -36823 140933 35757 153945 -37797 106104 -155622 13340 65913 85344 -19886 -121846 18830 -5661 61640 -59532 -52997 -19600 46466 -42277 
+-93339 -23663 -3034 -65662 -136276 38509 118647 3285 -239615 -6507 30669 -48778 48137 -45879 -237607 61444 48264 -204524 26394 46516 -138736 -89048 39067 -91435 76107 78970 -90578 -9792 15292 244934 106357 -53126 -15718 -92064 77885 150706 -52860 -78820 -119638 -27161 -184591 -145133 29543 21681 -43682 28534 26909 -809 122762 11971 -56526 74192 55361 -59155 -243438 -62328 -186042 -48302 43986 -32708 50801 42417 -167426 79902 42793 -19854 133106 -102903 -97058 -63345 118680 -75669 155609 211872 69929 97078 35765 -67332 -44266 -8582 -228945 3791 141810 -41889 53195 -216916 53988 -91647 31825 21680 -1972 83303 36383 -180759 48866 185207 -102401 -65327 -51753 -935 -43502 -37172 44122 61597 -44696 130355 53494 123975 -245378 -31951 36589 48569 72284 -108409 -11804 -62959 -20826 15169 115500 -49323 -53615 85149 -917 -125527 -35186 -53870 -99670 -106142 -58101 -150050 -36196 50014 -140028 -56849 17715 -35813 180455 60802 14274 46862 34314 18494 170877 -183772 4408 -123915 -183967 184966 -69114 -100108 -43187 -32563 4935 -202308 99679 -41908 -17140 -65292 116632 168139 -65411 -84664 125894 -72652 -122533 112743 -246528 -17522 -164147 30857 -190808 -46891 -141281 41278 -70129 -40365 -54323 -92387 99907 -41458 16249 -150843 116051 -112307 -45935 -5227 -95729 -71172 64872 -11427 -47376 2880 77110 131810 79308 16909 30744 -167043 31524 212533 -92329 -32728 48550 -121265 -30542 13540 221575 36233 106609 69015 -177160 62167 -3341 -33811 -95089 -89745 21522 37211 -4279 -34584 -35115 -160091 -43528 170830 -54911 102378 26197 270871 -27730 97393 -71820 6492 70629 83091 -107594 -121613 78658 31096 48152 -38116 -26611 -115225 -72455 -45780 
+-75326 -34532 -5361 4042 -189477 21858 -32313 -8007 -229378 23734 46510 -36502 51455 63180 -277387 43640 5334 -188561 13056 50524 -121339 -22137 53967 -77494 76779 90175 -71000 8284 90155 258343 121723 39850 -23964 -63335 68435 -26907 -51192 -74826 -88634 4585 -203582 -135239 -62697 3956 -83578 34102 -21482 11714 88459 31664 -12889 199822 124160 -42244 -239353 -119961 -100305 -22653 48716 7662 57816 68599 -165327 -1010 62095 13850 28057 -225503 -88282 4705 147803 -15236 11249 146253 51729 47599 72105 -8736 -64613 61501 -224861 38917 41529 -38961 63818 -42502 -18776 -93629 9378 8455 -19733 84006 52148 -180597 -42709 188165 -100622 47455 -16437 -122335 -11895 -50770 83873 -8403 -4972 172625 34907 59325 -119707 34697 83099 61172 -69492 -129417 -20864 -53383 -13025 19391 129199 50583 -87594 113152 30043 -73544 71755 -40463 -125858 -105466 -82914 -108961 -71150 45888 -162933 -80172 48016 -48699 41232 45734 -14904 91909 36627 110478 248385 -61585 3097 -104086 -7558 44645 76968 -145371 -167403 -51594 -2895 -16163 95849 -38800 -69905 -69576 82134 189459 -93599 -92188 175969 -177173 -140662 130683 -234327 25152 -106569 34207 -235041 30020 -176220 45551 -129163 -13718 -55417 -6250 97128 7833 11281 -138272 44876 73145 -37093 11674 -58853 33983 67935 -25509 87956 2717 68613 92550 149980 4083 -82826 -180783 52972 233631 -77182 -56429 70320 -210292 34964 23693 205938 22384 102636 -5383 -72898 -73493 48929 -15671 -62322 -87552 35482 -15237 3819 -89455 -169850 -135328 -97745 119763 -26643 125178 -34683 203743 -30177 84134 -18491 13274 115297 42029 -141593 -94617 43362 69631 5031 -68830 -66862 -67495 -94396 -30669 
+-87686 -74187 -32050 139722 -122637 56105 -159014 -15754 -59032 -18210 36272 -42481 54831 158082 -249635 -14215 -32342 -144911 55205 13056 -65235 -1678 28793 -90909 74536 101072 40452 24234 98417 204497 88954 90156 -68757 -37821 77785 -136065 -60747 -103567 -14714 831 -71712 -108152 -75607 5363 -116121 14382 -160374 31890 17320 82385 -5656 181912 194389 -29846 -175509 -128941 25677 -54385 51115 39358 59342 29864 -34006 15682 73617 70085 -33723 -167237 -87224 170368 129734 31274 -99467 23697 66148 -125294 81656 53167 -20275 104672 -200258 42772 -61355 -14259 64156 94767 -93352 -120226 -18604 -14535 -68394 82591 53357 -193498 -103646 188483 -89181 160484 -37676 -224395 -21641 -103828 73048 -21898 37968 206320 16497 -68525 64343 125841 52090 54675 -218762 -126278 -12800 -79044 -20966 7949 8839 196015 -91318 58216 -501 -62803 167662 -41722 -143772 -79141 -152013 -19129 -54700 50249 -175161 -95756 26612 -59118 -41946 9655 9357 105388 36854 189417 194617 -28503 18492 -62366 115519 -158289 181405 -156317 -177502 -63035 -25478 108300 72064 -29245 -98108 -71153 77063 140273 -92497 -59156 135712 -214520 -136871 16703 -167836 19664 -29493 65232 -224062 76939 -91072 -10390 -72727 3747 -57521 46264 97356 -13473 -11174 -110391 -19337 96240 -22991 46716 4378 148630 73762 -23777 192201 5005 38311 129710 139355 -1667 -118826 -217783 37230 228974 -80219 -58453 92854 -189977 177729 15266 215592 -73080 49808 -47217 6683 -121604 84499 -42608 -22810 -69375 26459 -46952 38977 -103266 -181538 -96028 -126567 7949 14241 57678 -107744 29335 -21726 72515 -35122 21579 123862 49142 -96119 -55114 -38056 74920 -181 -43874 -121568 22404 -59197 -24814 
+-80139 -159007 -20125 165203 -26426 44872 -101444 -14878 123583 -26420 -14262 -47466 55857 174021 -162525 -74011 -22867 -97409 67177 26564 -38433 33155 -11776 -78865 82350 95016 118226 26153 132321 88483 33537 83352 -51107 -10196 59093 -83771 -75675 -165312 -7243 -19357 24631 -50873 -76553 62020 -59176 -3602 -202630 50361 -58468 89652 31371 -8430 225836 -42392 -86920 -64886 85689 -42974 58303 19731 37446 15345 98637 88785 71110 85551 55667 9686 -74974 208800 92356 50349 -163320 -28473 58856 -198612 91954 122439 -37843 156404 -173312 8133 -75496 7037 65375 42643 -132771 -127624 -37351 -20565 -22459 84759 64860 -160381 -139421 183950 -37037 185110 -35985 -267030 -10442 -151796 97825 48058 74665 200401 -1550 -157202 118954 188709 76398 55798 -123919 -96590 -5197 -57012 -15430 -27779 -75305 241751 -64888 29177 16342 -43151 85322 -67771 -167709 -86780 -98025 71739 -27692 65582 -176716 -122089 69156 -37937 -45715 -35436 -21448 152262 34759 231068 152939 35882 42929 -12530 43614 -178939 236302 -88561 -187291 -101045 -36169 79802 90656 -45817 -57287 -86980 70304 83941 -60449 -48628 40777 -185776 -115732 -45039 -89116 -2599 47963 105144 -220056 100485 -12398 -103285 -56373 8884 -51324 90080 92102 -12495 -12865 -134303 -66357 -31579 -15333 28472 -11261 108729 54837 -16981 154809 -6267 27375 103682 116055 -2419 -133929 -199889 29630 210319 -77091 -145442 83627 -213486 233269 27076 168822 -176203 22041 -60300 121910 -186994 59885 -20374 18187 -55394 11868 -52864 24747 -77245 -29355 -58956 -101297 -86156 42822 68666 -97176 -62839 -13314 63144 -138233 6501 146074 53262 50414 -50596 -55317 99038 -49068 -47450 -127223 56267 41122 -1171 
+-76035 -109671 -6215 72064 -19216 46503 82376 4102 64348 -17345 -14034 -57282 57738 134899 -82341 -127011 26036 -45842 48246 40713 -3101 56174 -32066 -92504 76129 87993 65101 28020 159074 -20835 -44061 41050 -6232 14045 54538 60674 -93586 -142566 66799 -38284 8511 -30101 7558 117001 13702 4713 -106037 31586 -105419 117066 61232 -76912 200384 -64901 -27197 11267 55534 -54938 67507 -12367 19626 25675 147723 128503 57386 28191 123048 83556 -71989 18021 13541 39637 -78598 34704 80535 -26280 118148 134416 4685 187552 -143192 -39894 -10723 12342 15094 -153089 -107996 -142374 -34487 -3680 22919 81358 66025 -121951 -77749 183368 -25410 101325 -64799 -212799 -192 -163517 63532 117137 96572 211425 -18625 -142350 -10613 197688 57592 59333 62126 -56108 -14442 -75955 -15028 -73828 -8007 132019 30624 -12280 -9127 33728 -61159 -47764 -177624 -96236 -104758 105467 79871 57059 -166710 -129395 79760 -26972 -1346 -51468 2966 200477 31988 215245 132678 -5231 68511 46696 -151202 14951 97380 -61001 -132204 -112567 -43127 -85591 112863 -78616 -23896 -64832 35926 19935 -17593 -35082 -8714 -92771 -114144 -18608 -5205 34755 112931 133095 -196720 85300 121392 -97494 -51600 5676 -61136 64870 98616 -23552 -25574 -100466 -83546 -194878 -11521 18702 -50149 25613 62188 932 6450 2250 -36028 69141 70277 -20677 -95271 -209416 70224 166837 -42088 -104491 94525 -115360 176982 51583 122330 -133148 -3001 -22840 151741 -161603 18272 -62867 53600 -57539 -6099 -34811 23489 -21635 110525 -41799 -56326 -63326 43512 83923 -10732 -105746 -12345 61850 -222585 -18965 167771 78217 19353 -70977 15157 106785 -38106 -26652 -50317 -28796 98330 3600 
+-64086 -36866 -72018 -61834 -65743 55893 119479 3244 -101134 1356 27086 -61195 54759 34889 -32979 -159019 64508 3724 20779 54192 41580 80429 -73813 -73498 82824 78195 -20780 19280 134522 -84285 -134135 -7780 -32383 39336 53221 192957 -97150 -139992 71727 -46803 -111991 -40653 100539 179003 16803 27999 7646 58822 -192972 117013 123102 -32568 147254 -33614 21288 36138 -53364 -53362 73426 -54606 11892 20994 56920 142012 36843 -19242 174678 -56071 -81810 -58196 23627 -14934 39795 155997 82663 136362 145477 94424 52855 172284 -91397 -103636 82822 12274 30650 -253651 -17935 -154136 -14217 393 23933 79634 73375 -100350 16013 183395 -15915 -24126 -102179 -94238 -7491 -127451 93651 123979 97333 194141 -35327 -33205 -194210 139534 41679 83393 100791 -287 -10156 -46061 -20635 -127813 109683 -8473 96747 -40738 25137 28964 -89711 -39547 -189511 -64835 -39521 92333 122922 70860 -146277 -133431 56367 -43545 106886 -31347 -36228 137557 30887 138472 76104 -57611 85481 113235 -218805 165271 -43422 -45960 -54675 -121687 -20921 -207107 100020 -59455 42820 -68438 59526 -22538 32903 -14113 31186 -14268 -80305 56242 65673 61496 147598 131439 -152011 44263 112390 -56904 -23475 -38408 -60757 47676 70742 -88232 17006 -123153 -7770 -182623 2655 -20268 -133313 -24574 61493 28899 -64508 -3436 -83122 76451 10217 -17498 -30257 -176971 75441 112936 6157 -150035 77423 -36833 49851 101553 67313 -9178 -56632 24773 116463 -119251 -41162 -59348 78412 -72636 40207 6155 11739 56823 118776 -40537 -61497 28781 48929 82436 68772 -12481 -7855 67348 -109556 -7599 164157 48067 -23300 -100201 75452 56987 -62657 -29324 -27313 -112615 99742 23400 
+-104408 -30038 -47469 -77952 -108215 49944 -34686 51706 -244760 -7532 21952 -55049 48955 -73668 16024 -204934 105958 30116 1124 33676 75674 92448 -58565 -57846 77516 47056 -119391 7572 148572 -83697 -171954 -50788 9615 61585 71182 181509 -104969 -98401 43312 -30897 -206811 -77677 177470 198316 -37557 34358 39033 39844 -247580 125376 132386 109286 48317 -57388 50062 -2498 -165804 -17306 75042 -41336 10496 2936 -87691 67570 20651 -10158 71532 -213148 -71748 -15182 -10307 -72503 181971 210639 68428 101883 109730 36323 78737 131525 -56095 -121702 160225 10255 12907 -156756 47570 -118072 2283 14683 -8244 77915 75481 -73619 82784 175811 37003 -92983 -112714 23605 14108 -64158 78810 67265 77076 176658 -50302 85279 -243222 37550 34636 97959 -55820 60855 -26577 -92691 -22405 -175072 129611 -57711 154046 -68240 37732 123927 24393 -38845 -189587 -74049 -81908 46274 113943 60052 -117116 -162899 46373 -22159 203593 8559 -16222 128065 32220 38599 -121 -147877 89450 167494 -83445 75717 -111702 -41495 23865 -146841 -2409 -146727 113676 -56362 75767 -40144 62171 79598 49222 17788 117549 29481 -66179 112884 128969 61267 133429 114007 -110155 501 55006 43530 -39044 -10410 -58721 -40078 45424 -131523 24178 -136111 70073 -22337 -5594 -10121 -118454 -65335 29192 49738 25856 4771 -90595 37989 784 -30965 7345 -154257 75447 63726 39672 -129301 78415 43537 -33341 103548 15817 57248 -69452 106327 -17078 12690 -96218 -33783 89026 -89846 37097 67706 8227 116965 -19916 -53165 17691 147132 70067 119082 16466 168687 -4643 79674 -21874 -25633 165440 44002 -123436 -130597 51866 69609 -45257 -31373 -25288 -69927 -10077 49873 
+-104572 -87011 -15428 -2261 -155316 40739 -149136 58321 -236160 8265 45476 -47846 46594 -161117 92774 -229831 143729 56887 19118 37962 92791 142847 -38552 -86043 71587 17658 -75019 17723 109540 -12156 -226333 -17219 -80330 80902 101498 37346 -95667 -119822 25738 -49286 -148784 -109960 178550 165447 -93808 15101 -83998 36105 -244520 142471 119868 195778 -45233 -38779 36556 -66976 -208971 -10226 84311 -1550 29400 35273 -179731 9964 15372 40547 -35257 -186133 -76010 184506 17356 -119182 245228 147087 35689 -113370 117405 -34530 92677 74975 -18716 -98839 164584 -11018 36136 22638 104318 -136421 22736 -11954 -40951 75697 83979 -10936 69643 172057 44679 -50304 -124750 104226 28099 -31737 70430 -1862 39615 133258 -64404 125588 -109288 -30046 -11979 94225 -207665 115600 -4646 -83436 -20864 -187232 6329 34144 249195 -153616 11403 149756 144407 3875 -188457 -63023 -141475 -78364 97797 63636 -80299 -146301 43315 -41176 235919 45257 18588 67888 34897 -23666 -38744 -174022 77370 209293 92589 -133079 -18339 -61486 54816 -178886 18433 -16889 111412 -47642 105555 -66863 -9748 106885 45176 31234 172765 -20503 -20889 51176 143626 97503 80818 61687 -60658 -84360 -39073 27673 19776 -43536 -64721 -131938 39030 -155402 7701 -102774 164745 101576 22452 25636 -121422 -24399 35963 65821 135120 -13470 -88665 24303 48336 -38201 12657 -149943 90768 6184 67542 -125785 64215 78921 4280 130857 -597 -937 -104382 140146 -102404 118435 -112120 -21548 83557 -86888 -3751 125281 54316 192553 -178735 -84593 53876 205838 68518 126072 -65164 244056 788 93252 -23707 13285 167864 60858 -124243 -105552 -22405 -31460 -19962 -32341 -73129 -4981 -97890 49922 
+-74431 -152358 -22344 109395 -128088 36351 -111380 103845 -40884 -19626 10495 -37030 47093 -189857 79638 -237410 110135 69616 -15485 25928 88885 134289 -3530 -84320 84684 -4550 31901 14476 25109 102242 -221163 33751 -87285 88184 76158 -101579 -87665 -132240 -13606 -11245 -27366 -113988 143604 121243 -86006 -3395 -198563 15861 -195449 146714 136316 138569 -127025 -59655 38723 -109631 -144474 -36451 88008 26143 49755 57128 -148147 9069 22443 52528 5994 -20516 -89844 238789 23166 -138433 186058 26932 71663 -203115 103014 -84815 90903 1184 11298 -67888 82063 -33523 72597 87906 44041 -128327 19038 -26951 -44098 73089 93935 49688 1621 192865 30462 70099 -78385 109523 -19834 -62284 60629 -31228 -3847 89664 -77087 46565 70923 -33004 -58599 87356 -109854 168305 -37770 -101345 -25709 -190213 -84725 196836 282740 -193975 157 210208 110026 34429 -181686 -57664 -161154 -127952 33162 65477 -39246 -175399 50040 -25420 197900 66629 8042 54005 36944 -48942 -63289 -167571 53930 222575 92342 -192408 58595 -82834 50097 -180399 39840 136742 108542 -13631 116375 -123510 76799 191039 21114 44304 142760 -132162 -35743 -36209 115656 122692 4659 38105 -26788 -133584 -150632 -45237 36467 -25312 -60000 -184328 17403 -170991 -20117 -114848 194453 43121 24588 14385 -70247 82163 50982 65436 178885 -16714 -56468 23695 89231 -46780 -26234 -174681 70529 -30670 117759 -66862 33100 134043 130558 132125 -43788 -135146 -138622 124967 -138026 161244 -106538 -60003 63227 -70426 37690 187526 22532 214774 -170462 -126664 149726 139804 113705 149376 -97704 232403 837 103155 -70009 -2932 146623 71146 564 -76166 -55710 -90533 30091 -28844 -110847 60068 -93658 47079 
+-63041 -114002 -17701 161142 -22163 31087 67042 116795 88908 -32 -17184 -41263 49736 -148226 124776 -230488 45597 66531 69364 41275 90988 146939 34669 -86905 78925 -41375 81923 60806 -21012 204626 -188436 81491 -20405 104268 80389 -119198 -79205 -114654 -92969 3082 31011 -136603 78212 57433 -69969 4139 -146298 6020 -136909 132680 77953 -3129 -163535 -67181 -53474 -117792 -18609 -24340 97364 32210 63208 3094 -11351 87643 42234 12127 109128 81830 -83580 51189 73365 -126556 61042 -34954 80178 -80854 72845 -103625 124375 -80666 40228 -13425 -30025 -48498 77790 -44404 -42188 -138895 -11846 -583 -15464 74660 98125 68939 -84485 158515 36984 172940 -70504 23662 -15347 -122552 70414 42809 -43873 48492 -88065 -83521 117357 18946 -82442 69710 82423 195883 -18796 -103112 -29459 -174830 -6963 234767 269944 -208572 -24824 225652 -16756 8003 -173384 -71413 -116630 -142069 -38476 65274 3620 -174214 68179 -35296 119771 35366 -19394 20499 36546 -4982 -109466 -90704 28121 210601 -88061 -21717 202674 -127145 11757 -201989 60768 88149 110651 -13497 96264 -75709 87469 164444 -22772 76453 49727 -178087 -3169 -67502 60152 97779 -78170 33212 13584 -147416 -191386 -113527 39348 -23460 -64592 -222375 -5787 -160347 19 -146838 204024 -138268 -87 46160 -30589 119216 26544 56689 69270 2544 2261 16075 125799 -31345 -117078 -173496 97975 -35062 186373 -28172 -9507 155038 236777 116150 -105263 -158769 -131851 73138 -165279 143364 -53906 -31099 30810 -56383 50078 229006 55841 224157 -46119 -152506 140529 42844 102791 107135 -69555 66044 6793 107674 -157454 8079 112069 44808 -8192 -45594 5708 -98896 54347 -32597 -74879 -25063 -29528 73051 
+-80793 -50474 10955 93975 20406 -3870 123646 123181 59652 24696 -3943 -53942 54927 -45918 98000 -203454 12172 30940 42714 60423 89413 113274 51096 -133942 79184 -55035 63875 40487 -28001 262487 -130825 66221 -17848 112186 64048 39352 -69346 -165395 -96220 -12407 -48941 -153061 -4741 16401 -6159 27430 -37545 -31008 -87560 123761 9555 -101647 -148982 -56891 -119051 -40730 66250 -37022 97400 -10309 49884 3768 125178 137458 61025 -10822 167434 -22070 -102298 -40974 83658 -71332 -74533 34514 87345 94998 68554 -73654 101595 -128540 65647 3054 -67526 -45416 58749 -204810 -121691 -158331 -59588 -18450 20264 72513 110960 69602 -124610 161595 -20506 177328 -24362 -105088 -4545 -141503 59425 115909 -68813 -4189 -97841 -159192 -21104 109781 -93905 63799 100121 203541 -14572 -111181 -35377 -119653 108756 155733 213178 -243455 27222 255130 -131900 -3387 -151305 -75478 -72977 -81885 -83160 52819 45203 -159296 58501 -63712 -32603 -3467 -44084 21341 34075 79357 -78093 -26222 8165 185362 -216745 168536 180976 -148148 -56631 -202891 76012 -104558 110283 -62478 19326 -82871 108184 81220 -67811 91911 -14555 -217793 4775 27846 -20450 96338 -140331 80137 46723 -117378 -84051 -77083 41207 -12799 -66458 -195418 -20910 -128126 35137 -150683 99557 -223140 400 29936 15872 69682 35295 38034 -43527 -6264 58944 -18913 122470 -65151 -142712 -153622 53370 -29971 236676 -34416 -31294 150302 202065 81157 -117579 -67235 -128859 16170 -55460 79987 3566 -46052 -8704 -54435 15594 250500 37481 171449 116698 -162455 164561 -65914 124425 55154 15184 -84175 -5125 103359 -168540 3974 100501 90835 18573 -56210 59177 -181076 30615 -65775 -66885 -119291 84055 74560 
+-87394 -5414 -18538 -58302 -57599 -17941 -10796 140977 -103528 -9487 -6741 -64747 59203 59797 46520 -152463 -36448 5197 48021 70621 57971 125318 50666 -76681 87766 -69975 -35566 44155 -88929 234271 -45415 -25397 -43668 105248 70237 127233 -46863 -129927 -93891 -38603 -174122 -107156 -62841 -2186 24428 34591 49766 -29334 16574 108335 16968 9806 -95015 -61623 -165254 15023 79394 -20672 108825 -36197 28629 53509 141824 145880 73169 -691 87883 -194962 -107364 -1681 139602 -17075 -150761 152966 89320 89606 66854 -8236 58935 -162601 82025 22355 -44828 -32627 36520 -249225 -133086 -125391 -36404 -1855 39686 74435 115464 80238 -106864 168766 -44975 79677 -19076 -220016 -17220 -136238 53909 129212 -74735 -41339 -105343 -131354 -200092 184498 -155878 57288 -89389 199975 2294 -108661 -31192 -75433 135244 8640 177231 -252042 -4210 246471 17837 -48067 -126776 -79041 -80751 16107 -104128 52422 82754 -172929 26644 -54966 -68803 -40485 -15994 44526 31543 172439 -29000 33655 1579 135206 -147726 149419 50380 -129842 -134753 -215341 93571 -197504 107659 -74863 -10081 -98369 75191 2642 -90130 95532 20515 -149884 -10193 81472 -99964 103652 -166343 98644 66222 -67909 23207 -1728 77599 6621 -64855 -156755 -42649 -71028 6251 -112489 30105 -100619 16723 -2843 400 -444 36538 13736 -13286 -2754 97694 -3349 83401 -58775 -116076 -133429 105567 -6325 229602 10260 -50747 95499 76073 67570 -127212 36514 -121412 -53412 45406 -28276 68800 -37197 -49592 -71714 28758 244149 22214 103107 112521 -146580 149595 -95730 118894 60487 40333 -105163 4050 94186 -44515 9304 68140 35148 -114601 -90521 51687 -211647 34720 -42489 -29029 -141870 113485 45273 
+-87977 -70969 -10539 -68964 -176728 10695 -160969 144196 -213080 14655 50918 -65571 58500 143188 -36213 -123418 -28861 -53152 51903 22781 28066 88467 -2482 -68265 81476 -75384 -92009 14507 -107712 154470 26262 -81207 -35821 105588 63615 201386 -61660 -61602 -100778 -28561 -192014 -66899 -81405 33592 -35521 15830 -38819 -37318 119418 83933 -27215 145756 -17821 -61902 -221579 27982 3098 -4669 114291 -48837 18873 15817 49603 62737 72257 34076 1455 -215808 -117729 167524 131631 35658 -119004 212212 62484 -54629 66697 72563 33604 -184345 78979 23962 48810 -9384 11940 -70694 -71767 -109654 -3917 13955 -6268 77100 122312 64849 -28624 156025 -82935 -42595 -56404 -268428 -5226 -104471 55481 79519 -57651 -65086 -111028 -18152 -240272 195654 -177385 51486 -175750 171889 -17412 -127472 -35223 -35562 18893 -63609 109538 -223206 -18609 291055 109362 -30210 -103888 -91034 -128637 97275 -37846 41416 113297 -148546 49989 -39182 -40377 -64090 6306 57745 30991 229588 27116 -5022 11027 76906 44461 -88968 -50197 -103788 -170535 -185311 88032 -158211 96807 -88969 -48186 -61556 109106 -31772 -89152 102899 110176 -51926 -5796 68924 -177842 88680 -153628 131857 63933 -4398 109325 39917 102426 20563 -62750 -81015 -59460 -31511 -3410 -111683 -53143 68152 -4440 -10465 -32675 -51175 15345 -10377 111316 574 70472 29026 55879 -50335 -62008 -135243 75043 29059 274761 26931 -86367 17785 -21876 31347 -109189 31970 -122430 -52738 147666 -135759 72868 -46890 -85335 -87762 58359 212935 38689 35047 -31470 -116028 88493 13692 103310 76833 12829 6554 -6317 81118 30122 18305 49288 81103 -109425 -118288 -7790 -247728 39440 -22804 -74329 -4924 68525 64559 
+-96321 -151034 -6069 -6944 -179469 -30695 -114213 140351 -274366 -27953 16844 -48785 55035 173769 -108315 -69887 18906 -93278 69740 18628 761 63063 -27682 -88189 80519 -86208 -84232 5393 -131035 38809 85292 -40416 -55881 86367 76648 111589 -57122 -131075 -88759 -55422 -91220 -64027 -46395 76028 -115815 -3184 -167210 -41696 126965 45145 -60607 203306 74818 -60462 -250111 -14178 -133590 -38091 116369 -6187 18794 42818 -98753 19104 58101 70913 -1082 -54496 -120893 207802 97217 48262 -8203 142798 59307 -210599 75026 129742 17564 -167044 64544 20762 150846 5837 36822 78428 2254 -96918 23245 5892 -41172 77635 130461 39245 44938 146375 -84697 -93826 -71561 -227059 26458 -48202 37444 -12637 -25333 -81363 -114835 96385 -100094 160936 -183970 58673 -130368 124732 -22506 -106916 -38700 909 -64987 452 11652 -207356 16398 277332 114872 -64720 -82998 -98436 -135786 137768 6811 50423 135287 -140345 94606 -34619 71138 -18825 8421 121321 32863 223456 65717 -55732 31389 14486 114098 -232664 -68383 -103356 -195561 -206334 62623 -18639 100805 -92915 -67519 -91864 107664 37862 -62795 125777 173474 -12780 7991 -8948 -231377 124867 -93271 122653 56942 32656 99827 961 105846 -25061 -66094 7350 -73778 -11398 -17157 -156725 -93521 88258 -14034 11245 -97825 -18067 15837 -23645 189583 -3088 88139 4725 6656 -59490 25172 -109267 55763 90795 251926 987 -84887 -44636 -13372 8204 -92517 -64338 -77643 -18239 114112 -177542 64090 -41877 -110957 -89396 46418 163442 53878 -41323 -171835 -74269 8606 90235 155219 81784 -101959 141327 -5991 68915 -40819 3812 22423 62329 -36503 -126817 -42565 -287608 54565 -25936 -109521 76823 -48510 62890 
+-100402 -142809 -39477 107692 -129367 -10723 55002 105636 -96788 -3147 16964 -42593 50812 141724 -185205 -15237 82124 -143595 33569 32908 -26211 26825 -51218 -75380 82159 -79556 45513 29339 -110975 -61032 121396 19411 -56735 78625 72726 -82651 -57124 -112492 -12982 -67915 30278 -32452 38551 143792 -100358 3559 -186949 9609 128088 35599 -60015 130604 148630 -49308 -257642 -67538 -208034 -45318 125994 25935 23215 29946 -182185 11393 38895 81628 78385 71304 -126803 61050 61059 31243 144578 26063 41232 -66049 73195 134149 -36246 -139609 49846 -33324 173439 17512 68416 66430 98226 -91539 20150 -2019 -31805 79801 129554 -5018 78332 149668 -90305 -32870 -110759 -105551 10800 -67919 23194 -25852 18062 -96076 -116667 116814 77908 63521 -185299 38979 88501 64031 -9734 -139230 -40965 16391 -19243 172229 -43163 -188380 3470 256541 -496 -65483 -54078 -95023 -104934 83897 77133 55059 146434 -128431 26687 -50198 168522 21632 -3245 171626 35612 162061 135434 -149941 57888 -45914 -9038 -97211 -7966 -67687 -145171 -238042 51918 103829 110734 -61407 -60703 -73111 75203 128358 -18558 118568 148149 -7508 -23645 -87929 -258061 97376 -19565 97654 25747 84558 22560 -72823 142938 -1374 -63084 61062 -79903 12669 -21968 -130022 -51490 -30222 -4632 38108 -116824 30565 -7549 -23905 138465 -10293 27439 40980 22534 -62644 18550 -64351 99833 142395 242421 8399 -93956 -136150 99395 17837 -53199 -175920 -54651 23078 39162 -214030 3273 -40909 -121488 -70795 54305 99206 31171 -85113 -175422 -40692 -1325 191655 136899 99017 -95673 243351 -11181 61495 -149883 17670 -21427 19838 20793 -97879 -23120 -286174 17450 -28520 -97771 18239 -89002 72856 
+-78196 -62038 -40530 165366 -18309 4399 136524 78087 97548 1542 -19892 -40182 47320 47443 -233089 30982 115202 -184015 21087 36932 -66706 -6815 -41553 -65640 83334 -60046 88998 7564 -104544 -89487 132047 86808 -16986 69589 75756 -151357 -67716 -124573 30426 -51858 5361 -68280 108679 173313 -28400 26858 -66808 6680 79392 15203 -48283 -31369 214164 -64128 -210577 -100938 -173151 -4116 128658 30599 37945 37534 -142825 71140 20364 25884 160727 13289 -120976 -77466 57345 -14134 225104 -27287 63236 103456 41199 98578 -40318 -62310 4146 -69590 117671 18634 88548 -119076 75805 -90301 1161 -12318 -13248 82625 132789 -80640 31980 132413 -64532 91789 -113491 14055 -21107 -90046 45894 29683 58991 -99337 -116226 32044 112243 -10676 -158700 57339 93487 8645 -12021 -121507 -40931 8271 107943 253305 -95941 -161947 20672 211149 -78620 -31014 -29946 -95171 -93336 -40637 137736 50123 147016 -111565 30411 -76964 256016 54092 21135 180845 37056 69293 154558 -183814 79289 -81100 -186502 80250 159493 -42852 -58527 -190590 34226 79888 93502 -51333 -24919 -63685 93574 161975 26066 118399 56959 -86943 -29850 -7909 -233756 97299 72447 64105 -607 88864 -95014 -109663 170425 -46601 -59764 90959 -83338 -57540 -123 -142783 24833 -183647 -3196 22470 -135588 132354 2796 -14959 -14067 -12430 -20704 32273 38064 -62115 -13902 -57322 90994 185600 228088 -42372 -95402 -139413 210181 36417 -48988 -111291 -25761 90822 -74947 -132606 -63064 -39028 -116815 -55639 29873 38219 30635 -100071 -49710 -34410 -71111 200094 128710 129591 -55084 215917 -20260 62299 -191167 28603 -49682 45192 37044 -65868 58032 -291677 -1411 -17179 -104032 -91476 -78815 78025 
+-68255 -15604 6671 98952 5881 -5450 8926 67243 96823 -5318 16516 -41063 47249 -68542 -290690 79388 142541 -212780 21100 69835 -110579 -44523 -21605 -92268 81784 -40452 47879 17202 -32547 -60376 84119 74050 -15613 51818 80419 -23070 -81981 -115360 94377 9423 -129079 -64419 175990 187131 8337 34800 48075 -1220 3334 -13613 -8491 -94863 223192 -68639 -142248 -127760 -76041 -30123 131259 11426 51089 21814 13235 146935 16473 -22955 145644 -169116 -121746 -18858 -3921 -74112 209738 35039 101338 123744 138756 28240 -36974 20458 -25229 -86730 13881 -1139 80180 -240987 47037 -83308 -23066 -11996 25527 85149 135922 -82414 -59171 129481 -75469 182107 -100701 104188 -12355 -124498 36649 113023 88058 -65867 -114027 -97525 -30355 -40696 -108534 72852 -60803 -46433 -12231 -113308 -45569 -24490 138545 167159 -92941 -122962 -20994 180071 2302 -24915 -13633 -118307 -66023 -120275 152114 66678 136120 -85943 47283 -58651 227968 50269 -5896 153639 36061 -17491 175329 -157821 89280 -109667 -208502 170923 195874 -49297 -6306 -182846 4106 -89077 102549 2780 15281 -47632 54669 163820 46168 150723 -9634 -173268 -26272 90717 -182081 84645 127864 30427 -51357 84192 -190277 -62890 151788 -44558 -66089 78714 -71267 -75648 34316 -141297 115554 -158672 -13591 29721 -47615 122475 6440 6063 -61656 -4875 -53147 66193 104583 -58589 -75945 -45556 65160 215629 175815 -59539 -71826 -215012 210850 17195 -13134 -36760 -19168 128258 -161852 1907 -112035 -32294 -96367 -58510 32136 -14904 31171 -83923 111139 -42530 -94449 90628 78778 129233 54115 55069 -26939 70084 -119631 37176 -39267 33848 -91101 -42183 59864 -263680 -35359 -43244 -75944 -141722 29488 79902 
+-75756 -39014 -13535 -22050 -60723 -19335 -158429 31646 -105194 -140 20882 -53940 48296 -155276 -260350 86779 83690 -226684 -20714 51126 -150741 -62034 -8239 -77373 82280 -15347 -24494 7693 27893 36579 17995 7849 -17096 26534 57347 97842 -82585 -126189 64686 19907 -210178 -113743 184625 165328 13344 16518 16733 27869 -107596 -32225 -12860 23856 183261 -51470 -72890 -66762 47723 -10903 135072 -32968 52591 30873 124150 136394 22809 23524 31979 -222835 -126429 178115 -20121 -120456 90893 150363 80271 -29273 93953 -48339 -35931 76767 -57973 -90560 -60939 -23811 79055 -184549 -79201 -77539 -43919 -13860 31521 81396 148260 -134630 -114410 131548 -9664 166391 -88366 114415 -6689 -160227 39417 148404 99488 -25258 -109940 -161195 -204481 -6137 -88582 68627 -193778 -93931 -10006 -111523 -46953 -66251 28394 35978 -37966 -100886 15702 147417 128759 -14132 1993 -98660 -92332 -154925 91374 61594 114891 -65289 70273 -48567 145843 25657 -5529 151087 33391 -58037 197375 -76685 83095 -120669 -30875 -7932 134878 -64622 59034 -185591 -17770 -223898 103085 12004 60100 -71192 34716 95254 50341 141811 12910 -218577 -69837 86565 -114963 112964 151824 42726 -101049 19355 -150328 15159 209393 -31892 -59001 25902 -56286 -135687 -10457 -101005 165768 2918 -27807 -421 -38311 85905 -28145 30266 48345 -11204 -121257 81317 121555 -67135 -165563 -15121 76100 229878 149127 -46851 -81376 -200870 96358 119850 52111 47623 35886 126426 -171135 98954 -122912 -31623 -64136 -74531 28556 -45421 16365 -20660 123569 -77065 -78418 -27295 134453 111358 42296 -35186 -30025 82827 -45 42076 -87620 56877 -154866 -77196 -2042 -223028 -30605 -35259 -38628 22279 104133 48679 
+-85575 -128453 16761 -93002 -152618 36860 -126597 24140 -261620 3231 28196 -64221 52399 -180220 -286364 93259 50086 -225231 -17553 15936 -183709 -77460 26513 -106258 83765 11720 -56177 -24060 69213 152758 -53521 -18738 -59820 -7691 60590 220861 -95629 -91085 35166 10753 -142139 -152009 127885 97522 -45847 -2953 -124819 43491 -142607 -46749 70207 145242 116360 -41247 -7539 2006 91943 -31488 128212 -52723 52652 25665 129514 72826 41095 34449 -33857 -81648 -114681 229983 20519 -141280 -48042 210174 78203 -214758 123945 -77724 13422 134572 -101078 -46229 -68957 -38286 51578 -362 -118742 -45414 -51592 1886 -19886 81542 144669 -170213 -125807 124284 15636 57248 -46965 28567 25187 -119794 50709 74888 88487 -2996 -103958 -123055 -237770 96979 -49249 90874 -137831 -120147 -14650 -67245 -42675 -122415 -75120 -67452 4979 -30153 -6045 97305 135641 11018 14994 -120967 -146735 -85105 15887 63072 84524 -44620 60888 -68495 64328 -16449 449 83591 31180 -22282 178016 -13228 63808 -98961 113526 -181556 20901 -120991 78473 -153688 -29507 -160705 77385 -10252 89370 -71147 11429 40559 28505 146826 106491 -168758 -82112 -7189 -20685 73154 118959 69658 -145095 -43310 -34439 41111 205287 -60022 -65657 -55840 -54823 -141861 -8220 -133166 198933 94965 -38436 21293 -141 -58024 -10015 50374 187506 55 -91515 101276 137246 -78873 -114441 -22066 51661 224730 83846 -106427 -40719 -157506 -11858 120933 78029 -9228 74830 78032 -109736 178479 -98027 -45771 -24497 -89168 58499 -51153 1481 52011 -22398 -112436 -94848 -118320 148351 64586 -31952 -97328 -43150 96103 -15694 46866 -100845 68579 -123359 -98111 -45833 -192313 -57239 -12868 -41415 32680 94321 34496 
+-102795 -137202 -34307 13964 -182673 30598 45902 -9734 -243825 -36207 19510 -57459 55641 -147073 -231291 81754 -5913 -205416 14782 6015 -187119 -110784 65322 -59449 86602 41807 -48946 -37369 120587 236317 -137065 -43067 -50679 -28102 50523 149581 -92299 -99296 3847 -43560 -6041 -163150 52734 51390 -114526 2990 -189162 62651 -225842 -61069 72635 177678 -2607 -69769 32990 53579 34857 -32719 128063 -19785 31679 26999 43509 34528 59731 85584 32469 61230 -99638 72587 23968 -122595 -151196 141690 62977 -131554 73072 -95051 24020 176278 -141866 -29622 8514 -54386 39769 114384 -124065 -18259 -2832 30145 -53239 82800 154901 -184604 -59928 118161 30323 -58996 -45023 -84249 10110 -55478 44968 3096 58490 48238 -95992 2845 -92072 172182 -27979 83663 48715 -128728 -26064 -120531 -36477 -164467 -24968 -771 95518 28097 -1066 67035 24701 5533 17158 -107060 -127815 -23541 -56418 62582 47412 -40830 89215 -64613 -51584 -52311 15870 69934 31218 60139 153175 11751 37282 -54551 59858 -149588 -90675 -121812 7421 -135074 -36156 -18946 74865 -60564 94337 -94443 12142 -9856 -22262 172967 172331 -73444 -90657 -36936 55480 38150 68259 112166 -178615 -103433 72030 -33549 231993 -35976 -62696 -142215 -44829 -194733 535 -185610 148177 22746 -65144 3865 3943 -36412 -23472 67078 179096 -6431 -72581 100973 96432 -44343 -48901 4697 43484 189158 33753 -103412 -32948 -110229 -32738 92731 141579 -116011 75396 15375 13517 152400 -39128 -64439 16304 -87749 51985 -34841 5860 138378 -188267 -147935 -79395 -61419 119933 61525 -121763 -40920 -42459 105110 -97148 32992 -127173 35160 -39049 -124275 -31804 -138024 10171 -17353 -103528 7358 18445 48858 
+-104147 -85722 -42720 126188 -101844 39322 138976 -19310 -90825 -9718 -13754 -52118 57990 -55787 -191008 61667 -22053 -173518 33577 27973 -190607 -151690 36024 -123904 83344 67440 53815 -16401 144150 253252 -183422 5985 -27139 -52101 69741 3268 -93906 -105550 -66060 -44825 17790 -97873 -42872 7038 -93797 26234 -109943 72080 -219802 -36166 104951 67876 -91146 -48232 56435 3555 -76813 -17419 128381 20931 19062 29275 -120559 22803 71180 26169 122331 45580 -98285 -67270 73835 -76105 -123104 25368 67755 71440 69297 -66181 77441 176751 -183603 24717 113223 -41120 26812 -15795 -75137 8474 17592 -1094 -57095 78192 148771 -181017 23722 129060 35998 -91037 -9814 -204150 -26685 -47688 53171 -24771 16519 99653 -86151 99509 83612 193852 -33961 76125 114364 -110058 -2026 -93408 -29252 -184627 109267 151699 175646 54118 -30758 2017 -94502 10358 14237 -121344 -103214 76945 -91853 64319 5849 -20368 54403 -78621 -80831 -40453 4395 44942 33500 148788 105130 16248 14822 7254 -126619 42269 -57475 -143783 -56692 -154009 -37276 142153 81747 -47062 73842 -88655 52978 43085 -66998 154313 149274 26443 -110462 4884 112209 40948 -12743 136523 -198332 -146886 119808 -98914 193494 -9037 -62926 -188286 -26295 -181840 10532 -145627 101739 -138907 -64376 37352 -62341 -26522 -13847 66842 65378 -4844 -37049 108030 38607 -40591 21986 31642 59564 147857 -16366 -156173 12284 -4741 73614 160371 175116 -167308 97807 -36038 83104 109220 21689 -39387 52183 -72532 45672 4191 19780 188911 -197521 -162141 8528 52749 134670 79983 -98050 105537 -42777 107635 -162937 36698 -100877 653 52244 -113442 40436 -96959 12954 -44926 -98128 -71243 -73852 33138 
+-87670 -11248 -30769 163953 3400 50727 27321 -18803 73952 -23428 -17864 -37141 57107 61725 -103715 1510 -21886 -129529 28474 41236 -208418 -152536 17066 -102665 80181 77170 102424 -27044 187110 204371 -229631 87797 -20943 -76714 86012 -137247 -65022 -67354 -89645 -42678 -49481 -91316 -86102 2950 -38882 34960 10234 23565 -238969 -46731 130147 -40570 -137574 -60721 39205 -54861 -184348 -36314 126069 36354 10738 27801 -191480 103144 73714 7431 169784 -127571 -85516 -29163 98891 -16807 -33587 -27272 73848 103806 51855 24408 123114 171851 -201285 16821 174164 -30348 58722 -186089 23860 -49082 25719 -7822 -8176 74754 151064 -164550 79543 115215 31680 -13376 -4415 -264177 -27282 -65662 56709 32690 -25214 145747 -75031 112215 110421 173542 24910 64172 -91658 -78123 -13427 -75716 -35379 -195191 133951 229190 232637 59534 -4196 -31772 -67152 -6946 8337 -105438 -50284 126206 -75867 59443 -36614 -11376 50865 -67377 18714 -8732 12075 20815 36178 216863 16683 -55766 2400 60505 -225049 181103 28757 -129563 -141606 -107029 -23202 74999 75254 -55713 69014 -71398 49908 88087 -93641 149266 62817 -4938 -106494 72148 148248 18229 -95229 131351 -205755 -147301 92698 -116034 226977 18572 -66234 -206674 7775 -137386 3458 -103275 4587 -200125 -68161 -2445 -128400 58928 -30307 54523 -48442 -6768 18774 156399 10981 -46671 77272 63915 50224 88353 -41738 -140318 37964 63637 186485 157972 193293 -71954 122491 -53058 182293 -13716 76821 -23579 77552 -56923 3512 61273 31673 229242 -52302 -157810 44024 190474 143028 94841 -1877 267451 -49709 101603 -141808 35898 -91564 26049 -9742 -74542 51500 -37547 24879 -13082 -88702 -110874 -107311 -1261 
+-78383 -36615 -15406 89777 31364 33122 -148298 -16049 69439 4084 3841 -36672 53854 148494 -4212 -39023 3175 -75803 39583 58334 -187093 -171811 -15164 -95633 81024 98378 53925 -28091 151269 107607 -215032 81615 -23861 -97077 95425 -84912 -60857 -69355 -142563 -52329 -186426 -94409 -74999 48543 14466 17238 41870 29002 -205006 -53927 143694 -83407 -163790 -30103 15375 -114632 -203182 -24265 125183 17640 19450 13814 -114455 137032 58878 -2744 71586 -229677 -69037 139391 112316 30806 112488 31043 80948 -19733 68096 81391 131668 108736 -218029 25280 141946 -6258 25013 -254953 79523 -30938 7423 35096 39265 74260 156661 -122545 67246 104967 3261 112805 -65318 -236341 18213 -111907 69004 109113 -58896 174819 -61783 21071 -39571 91486 42678 88111 -231871 -28183 -7959 -101959 -32184 -177663 17238 201081 293773 62727 -286 -66137 95366 -27234 -7937 -83738 -85421 90269 -23660 51708 -78082 114 18110 -71066 123650 27330 16855 23375 37103 233506 -37399 -149568 4746 127990 -111160 52940 167675 -103857 -188101 -110290 -9635 -74831 72590 -67594 131 -68013 96803 172878 -92239 149118 -3516 -51374 -118760 122884 128015 41389 -152791 90391 -225670 -110187 -15850 -3663 193703 14512 -66605 -195574 9952 -68342 -1117 -127172 -58059 -93013 -62169 -7992 -108873 125584 -1747 36281 -23797 -35 80506 111895 33647 -45821 2260 18067 67752 32581 -66721 -70917 65018 119378 228080 98677 197657 44164 121481 -43827 102814 -122602 86053 -27312 89075 -56206 16995 127143 9719 218289 105393 -130123 72064 164470 123360 137842 26182 215026 -51680 91640 -68386 23222 -89592 7416 -105138 -50923 29378 -11995 87834 -51463 -82081 -36683 -55358 14581 
+-91740 -133327 -37293 -29089 -74771 58072 -144340 19052 -99455 9381 51924 -46890 48288 177557 27988 -73216 38999 -29660 88979 51320 -165233 -167659 -56656 -97955 86062 89915 -25072 -15202 137136 -11552 -178882 32496 -55589 -128232 67085 61464 -59568 -78514 -105946 -25100 -191581 -52169 -8131 103352 28764 -2669 -74560 11243 -89296 -16703 112818 62007 -135786 -77647 -57717 -101591 -137331 -30302 117164 -19441 31574 45897 9577 130143 38325 43750 -16599 -127960 -65928 223221 139476 53711 216553 155547 88815 -170648 69596 116028 109723 56969 -228497 -13788 51921 15229 78619 -113307 73940 -20369 -30415 9875 53853 73946 158595 -80198 -13256 96496 -25596 187784 -79403 -120428 28713 -154032 67027 142670 -73835 198357 -47705 -111387 -212045 11176 58550 44531 -127974 28938 -3442 -99004 -22445 -132625 -78099 43117 274575 84757 4586 -57217 146584 -47407 -21793 -91111 -114099 -9367 40964 46282 -114877 -5722 53749 -62456 221779 46236 -26281 51589 35503 184687 -64917 -183804 21241 184475 78340 -128587 256058 -54452 -185440 -59445 7147 -204245 90463 -24058 -21836 -53202 97764 219060 -63434 148446 16978 -146628 -123153 -1974 80328 5266 -172616 47688 -204598 -46142 -113408 45073 290363 -12611 -61780 -141664 34678 -28144 15302 -121237 -64828 70872 -90784 -26794 -115618 111972 2101 11273 139280 1398 87006 126545 62643 -58006 -89524 49926 20599 -12586 -80597 -64344 86367 155914 146345 36819 217478 34024 146598 19492 18936 -196479 44497 -37213 83761 -70562 20244 184452 -17491 182675 130475 -93879 100786 83415 127630 130700 15082 79386 -52063 78543 20586 3315 -67622 -15925 -148294 -51913 -36253 41326 63965 -4712 -45734 97040 48232 -1686 
+-91283 -149060 -16155 -100253 -143162 53691 33802 30628 -206973 10304 5004 -56195 46147 146582 52205 -138169 95422 19642 21507 36913 -126415 -187816 -51867 -75677 81357 96509 -100008 -32312 102491 -81321 -105246 -50853 -48495 -155150 62608 186521 -55372 -97139 -104077 -33336 -84476 -53267 77433 162798 -31452 2480 -170954 -6963 -45828 17503 62422 190160 -85886 -40632 -112128 -64907 -8329 4167 114337 -49296 52605 46811 135361 61137 20718 91591 -1289 40658 -66729 86181 138580 31650 243308 211177 91819 -144561 76359 131887 101519 -18752 -226364 -52022 -46958 12279 61976 74133 -8083 -16819 -27569 5071 -22692 72612 161241 -56441 -106109 83550 -33911 152499 -114000 -2969 8576 -147900 87436 79556 -67859 219109 -32478 -165497 -232412 -37860 84734 54142 30026 95937 -29690 -42624 -24666 -80608 -30693 -53009 252863 105461 -24239 -105072 32214 -59838 -44117 -129160 -152148 -72676 113787 60227 -144851 2707 48043 -51134 266748 57735 -49596 116847 32631 92068 -75923 -181648 48048 216587 100543 -192110 125308 -31978 -144102 -34056 31371 -175191 88543 1782 -48155 -69530 115613 140121 -12626 135068 102956 -246805 -133690 -83616 4971 27266 -143905 34869 -180134 12653 -164349 21817 277173 2606 -75057 -49823 56320 -7955 -3565 -116930 -27199 101012 -107499 4866 -62185 49780 -8941 -11945 208308 5897 57324 134177 104540 -40548 -138830 87388 45745 -35475 -96376 -68194 105915 132291 14423 -1068 198414 -58339 120994 92889 -121991 -177206 -11646 -41632 64300 -90329 17667 227407 1904 106036 -18090 -54510 129947 5284 91755 119494 -50865 -62984 -51166 66641 -50899 19498 -29585 26340 -81651 -84288 -34642 89250 61693 -44070 -55832 30537 84359 -17839 
+-100130 -83884 -29416 32401 -149494 74376 121551 58994 -237693 26022 -3457 -63389 46482 60823 115791 -160917 134142 43516 45145 15773 -99762 -162971 -27081 -113453 80094 83701 -44661 -46752 45183 -90310 -27869 -87980 -23581 -174947 61029 161023 -50455 -81641 -50802 707 15609 -24644 147463 195579 -125260 25662 -175401 17150 41338 27816 32283 163967 106 -57849 -168676 6631 85234 -33306 109633 -30118 49249 30193 130390 8636 16689 65920 115126 61074 -68914 -46464 96985 -14493 155030 147320 56525 -9742 56193 79505 60122 -79638 -218835 -72980 -78812 9599 25133 79164 -128364 -64670 -19858 15479 -43926 75290 161934 24698 -132675 73585 -86687 34864 -127876 90421 -12182 -94463 88390 3377 -42586 207278 -15726 -117582 -82075 -14655 75542 43130 73122 138633 -25708 -73155 -21891 -32400 112264 -24791 154209 74304 24591 -104835 -97802 -80767 -71552 -89268 -110520 -129206 145308 60338 -165940 -385 52411 -70444 199353 15267 7649 119395 30976 3629 -79130 -116800 71881 220512 -58378 -5266 -29318 -50814 -82233 -36307 54906 -22662 81436 8783 -81632 -72362 87286 40764 28154 114210 165934 -170272 -116298 -18827 -87084 -15738 -84290 37240 -139537 76057 -106246 -76491 262330 -24451 -68915 26403 69359 26879 18031 -111630 41670 -51679 -96438 41246 -24843 -97611 3011 -22343 87030 10714 47225 103233 133813 -49005 -137538 92687 51901 -35586 -73210 -7643 91367 95635 -58055 15542 169053 -191045 91082 119693 -167289 -133709 -75422 -31858 32250 -87583 27903 246996 -7456 25072 -174698 -34210 140825 -60029 118342 114849 -114750 -113167 -49361 62559 -152167 10614 -1012 -25121 44638 -117125 25049 93519 42908 -19618 -118097 -96831 63270 -6672 
+-99183 -25365 6899 136353 -109008 42219 28869 79765 -91422 -18369 -33922 -60471 51656 -52175 101042 -206743 114256 70313 22811 52101 -49457 -153952 -15020 -96400 84849 61162 68971 -22527 -6749 -36508 49291 4316 -18880 -184559 64358 69930 -59287 -51418 32867 11480 7893 -95027 188162 189197 -99298 35118 -35872 -7925 70919 52560 11661 50877 93271 -26892 -237117 27667 75581 -6964 101046 11857 50963 10816 10571 26557 22184 7919 186945 -101018 -59536 -8495 57633 -69488 -3894 27327 56773 125208 95246 13198 5193 -158574 -189665 -83174 -18575 -1161 47908 -90170 -136124 -68766 -9749 8408 -29863 76722 154145 36973 -81103 78921 -103862 -72668 -95050 104143 -45064 -50457 76342 -26598 -3217 198038 1590 11905 88161 60631 52692 47050 -47089 186915 -10232 -19653 -22627 5981 134520 117960 111986 50801 14947 -67375 -81079 -30383 -94554 -31055 -62302 -99146 111102 63106 -176533 -1006 57816 -56144 104795 -36961 5000 169226 31646 -51550 -13226 -22426 87576 213134 -215854 173533 -86846 -48416 11123 -4723 69924 149045 76510 -27818 -45791 -75523 73616 -2335 52785 97908 158698 -98874 -130476 39346 -161518 -34748 1081 80839 -78800 92615 -16165 -118070 224043 -25579 -67095 79707 81251 11857 43612 -104004 135916 -191419 -107561 10800 -8991 -19680 8959 -27991 -45047 430 32123 67364 131595 -24807 -59889 84591 87036 -17548 -86841 -3051 83750 39149 18053 10376 146255 -151176 52480 104114 -159676 -30130 -111982 -74504 -6944 -74967 7991 245639 -1550 -46064 -194083 -40263 124431 -120908 128285 68824 -61385 -56090 -49156 63659 -176411 -14932 6783 10782 20451 -126169 63318 96611 -20728 -33530 -110875 -147032 -12363 -44730 
+-95987 -29939 3859 182251 -15561 37324 -122966 118707 92023 -348 -10312 -46778 54612 -145415 73564 -233065 59446 69744 44601 62723 -29602 -109962 43378 -79448 77974 29987 118562 -29093 -70231 90142 99669 65086 -15088 -198360 80960 -97281 -57843 -45372 29004 -23734 -117917 -115093 152711 148295 -46852 17957 50273 -51549 102883 86998 -36533 -88273 165621 -43436 -266662 -10943 -32308 -32805 92810 38468 38506 55412 -132135 85917 39211 -8912 120755 -218587 -75634 175155 11432 -121414 -129428 -31111 55056 40837 103400 -42268 -7843 -165088 -141521 -101303 88085 -23317 43294 -227788 -110261 -90110 10735 12572 6077 78149 149473 91203 -12290 67083 -118313 -84825 -66571 57060 -41896 -41757 71293 29654 39427 174913 19596 108832 105839 153820 48802 37256 -202793 204105 -11345 -61810 -19068 20674 27320 234331 19687 -5855 18818 -70257 78766 -26210 -117353 -84888 -70538 -17232 78459 66297 -175640 1374 35360 -174 -35569 -55781 -7577 181216 34148 -30740 27841 37342 87615 172404 -170929 98233 -43519 -71079 56791 2701 79845 94565 78050 -43501 -644 -77876 21418 -7264 52095 72945 65887 -18357 -134427 109724 -223981 -56668 77663 118529 -56770 95287 81144 -90331 234134 -60920 -64380 98901 86482 -24302 10647 -123986 186878 -160250 -124070 -5007 -20704 -9608 18421 -15142 -48525 1828 -24316 83968 85434 -1334 -10328 61201 70339 11370 -60105 17561 75979 -46477 175476 53845 93430 -39234 63945 50523 -72522 81353 -118127 -94170 -48004 -55678 15755 213830 -10345 -91577 -45435 -60514 80066 6338 122975 56486 14465 111033 -43192 72870 -81249 -8328 51787 -36078 -64126 -99930 28480 75358 19018 -35277 -78235 -14985 -98700 -50367 
+-83830 -108587 -15769 80943 -2956 12790 -147853 132681 98736 -858 17630 -41469 54522 -179564 30781 -234801 21944 53241 4847 53455 4470 -92048 59031 -84577 80666 2738 71854 -29755 -64173 193593 105870 80922 -30141 -208351 87809 -103065 -78924 -36070 52905 -60752 -212562 -161668 104895 72700 26326 -2412 -25346 -68910 139692 109867 -41329 -55684 229668 -49000 -243296 -74624 -148637 -13902 92809 17214 20994 36308 -181913 146002 59675 14833 -8996 -159932 -83224 193053 -7796 -140829 -159866 33290 77520 -152805 118438 -91347 -43606 -180327 -116696 -93166 162945 -37805 22094 -204761 -7425 -95020 23835 39530 41121 80762 152872 75840 75481 63814 -92012 7777 -44437 -69427 15717 -81204 65558 107781 77442 137801 38070 106279 -48159 197208 -10173 62821 -134836 205712 -9565 -40069 -13112 13945 -69438 201480 -39045 -33019 24678 -33725 140544 -3535 -140651 -37578 -102339 61692 -30425 59698 -164025 -31635 65235 -46227 -70245 -34830 -11336 126356 36551 32231 43396 17708 73056 114056 26112 -99663 109820 -109241 91251 42222 81115 -109359 88075 -92206 36694 -97871 37656 88236 16436 72883 -7864 4832 -119875 68960 -249575 -15872 133829 128258 1999 53450 141382 6708 207567 -47481 -68183 79877 96665 -89186 1010 -112803 183046 -5281 -129285 -10931 -72667 120809 41954 8796 80388 -9713 -51744 68300 30254 -15472 25575 82702 64444 74350 3660 -12645 49760 -89733 234009 37391 50180 53704 9179 5396 49288 157086 -76436 -41611 -84039 -54706 28286 164405 -19298 -101088 83669 -99458 9899 150396 80642 107291 40549 249192 -36884 86833 -946 -8137 55982 14763 -161641 -64030 -57668 55969 -22288 -37109 -43932 64346 -91855 -48791 
+-89790 -164094 -17111 -15924 -52695 -1983 216 132245 -76081 -5256 19226 -41624 55950 -159291 -33336 -218232 -37925 22360 11742 49839 45086 -72115 66277 -94721 81945 -22805 -55810 -23143 -112856 261249 89608 68667 -55117 -199993 81751 -16111 -97858 -40182 68110 -55846 -158261 -154793 3960 22751 13911 1939 -134343 -44738 51055 126598 -32190 101595 199954 -40802 -190884 -129881 -204788 -39254 81129 -13637 10417 49156 -111365 130519 73055 93227 -8849 14510 -85020 94405 -6597 -122465 -76644 155784 81805 -199625 107624 -88329 -54606 -157374 -82259 -17177 169549 -49854 28162 -29669 64800 -92720 -12418 33237 12222 82492 160093 70118 73438 61453 -62865 132005 -6982 -201328 -1807 -135783 53202 132259 97222 89857 56841 1616 -218063 181975 -33121 79361 58788 184910 -10108 -69803 -24819 -19419 -36985 52899 -71450 -64987 11940 -6396 82605 21831 -160523 -64259 -162945 124726 -52076 65569 -141868 -47255 57041 -12928 -30085 12271 657 99427 36889 127354 142821 -66520 48316 60973 116797 -183055 195201 -164934 31052 57912 78947 -190633 98478 -64430 79252 -73647 13316 178656 -13709 51473 6282 -37190 -77211 -51327 -246622 -39636 148532 129030 34658 -18995 65386 40175 218119 -2178 -67167 9168 99800 -135689 -13773 -147615 134111 109154 -141261 27147 -130497 136076 21759 33697 197657 -5374 -72474 99179 14807 -4438 -1900 44928 58562 121956 34422 25326 39361 -120545 159189 78522 -9512 -35604 -15151 -49944 138239 148450 -15440 -30813 -109969 -70966 29157 106128 4013 -75727 121502 -136542 -28788 182245 78625 75077 -16215 216223 -32568 98853 -29412 -4609 116138 13020 -71434 -50310 -41389 10974 -36263 -40497 -46624 56606 16118 -51692 
+-82305 -132511 6504 -65317 -160814 -17361 129828 142903 -213621 -27051 1649 -50574 55550 -70473 -83329 -181299 -21535 -18462 7512 11587 75799 -43063 37237 -88925 83126 -44245 -99003 -1648 -120967 243272 51509 -24673 -40290 -217004 87350 160238 -95997 -7525 37611 -31471 -35232 -117885 -52573 -1938 -42133 25025 -185960 -48130 -8468 119170 -73501 203062 134740 -46970 -115207 -119484 -177918 -22142 76902 -45332 19493 22192 31813 76237 73148 59821 82870 85258 -101447 -50246 48061 -75380 47206 218584 81412 -24007 106061 -40831 -34679 -118079 -23042 15264 85638 -41342 82282 88601 90157 -107497 -19698 32288 -6085 83992 135765 61925 12443 59112 -28773 189969 -25390 -262111 5641 -156063 59719 96499 96765 42427 75492 -122702 -229541 102198 -67125 85476 72927 144101 4134 -7968 -16262 -57484 90805 -40811 -79349 -141667 26512 41345 -70026 -6531 -175593 -70653 -112811 102524 -82820 61953 -110883 -71608 64811 -27912 69664 48769 -26527 44057 34792 207902 162381 -131330 22709 -7002 17919 -99206 220629 -141467 -76441 52359 46323 -163159 97456 -66893 105228 -57969 65186 158511 -66569 39900 91309 -145831 -85747 -51371 -191757 -17611 116049 85885 62490 -65109 -77058 -33990 203231 30868 -71156 -58463 94241 -170015 -2483 -129741 71295 37007 -128286 26941 -134188 57057 46751 51684 128172 -20249 -83061 60174 25335 -5394 -69151 71920 71114 173215 87528 -53231 1079 -173110 46399 134062 -31325 -150721 -70184 -52227 136971 159411 39313 -33339 -121557 -87725 4035 40357 -4799 -24840 -23958 -164058 -106343 127006 50810 114938 -80364 122885 -31575 106376 -142509 -19691 111216 -25075 -4550 -68067 43313 -23815 -27170 -27871 -110477 -52740 78166 -67359 
+-94634 -3790 8434 -5394 -155597 -11376 52401 125707 -248308 16441 -19813 -61011 51718 41666 -160571 -138402 -1465 -68964 41543 27681 88350 18459 10645 -77929 82130 -61893 -46405 12219 -118378 166718 -20108 -64631 -28650 -213843 56984 149661 -99910 -12185 -7784 -25546 12445 -73018 -80447 18868 -126317 35264 -85657 -29575 -101195 139562 -11964 172705 83169 -67078 -59804 -58112 -55425 -48492 68899 -32026 37752 2208 139186 7297 60176 6036 145553 -61652 -121094 -13590 66561 -14862 191593 147863 64234 82497 78967 8665 -41124 -37156 -1233 43022 -22059 -24298 55578 15958 58323 -130228 -32752 -5956 -63805 82950 156534 16017 -71363 54199 -21628 136062 -45459 -252522 -13754 -129967 59782 12394 75284 2368 93873 -159869 -72987 24459 -111828 83678 -86393 97647 -4230 -58703 -19329 -113129 138132 -39293 -44577 -154419 10166 86325 -71653 18390 -186399 -68486 -61007 33192 -58891 52715 -73298 -94783 64517 -26869 186552 69606 -7513 31200 32053 230120 230334 -188463 5369 -59506 -174539 137883 54582 -106167 -125176 24773 26427 -9317 104384 -34225 111571 -54814 23574 134347 -90177 7044 172839 -200675 -37379 26284 -127573 -16885 46220 54758 55909 -124134 -162262 -85395 234067 17029 -62741 -165651 79253 -148705 23801 -123241 -12951 -135818 -154497 15300 -105560 -19373 29458 67018 16461 -14728 -97177 58478 67466 -13002 -130245 49853 32511 205141 126375 -49582 -27771 -210890 -41354 106994 -57838 -166885 -90664 -18156 55402 -13401 82110 -29284 -117101 -89032 8184 -10621 24955 57781 -169154 -164101 -144069 -6717 56957 138110 -87639 -43002 -24993 107006 -185802 -13390 149225 -40529 26150 -106006 72125 -76961 -65439 -14064 -108590 -133169 108332 -64589 
+-105291 736 -42122 149357 -83292 -31646 -116129 100416 -108784 24631 -21017 -58041 46709 139032 -271705 -93973 74524 -114731 64745 58546 84184 19431 -32209 -68550 83855 -86187 49488 -30939 -72332 50686 -83281 -6523 4291 -194779 61901 91947 -97535 -30601 -61462 -4982 -38900 -40897 -61480 64375 -92647 18645 30927 11407 -148988 160751 44207 -14807 -8629 -61699 4315 3749 53912 -15421 63774 -2215 50303 28074 116037 26780 40446 -18673 131936 -210105 -119787 137964 112847 33554 233741 30154 44033 62647 99260 86313 30103 34453 30529 51769 -73922 -5586 -810 -165414 -39260 -126610 -24026 -13685 -32615 81696 140930 -21629 -130285 47851 48047 12541 -81470 -148128 -11667 -75128 58325 -32245 37053 -43424 112163 -97802 93753 -30878 -114842 95589 -192917 42060 -9878 -36705 -17429 -165901 20069 105720 -13063 -208802 8265 145171 45786 -8561 -194656 -69264 -37546 -64434 16106 52610 -31785 -120100 90548 -32310 232764 23999 17877 31839 30869 199897 179236 -183760 3116 -103337 -209898 143186 -39167 -83561 -179584 37588 12573 142728 102655 -28867 72206 -61316 64025 49217 -90349 -16526 158693 -207278 -21677 128331 -39559 -25739 -33020 29232 67265 -162702 -162534 -110999 191081 14341 -64763 -194392 68877 -181462 20756 -124676 -100390 -201256 -144315 -13389 -31684 -56691 60738 63766 -68486 -24357 -32068 51746 112312 32126 -144898 61605 54105 232321 186968 -96112 -44209 -163925 5315 144250 -100171 -72437 -101665 31036 -46829 -89753 71227 -53993 -97662 -73269 15701 -43406 7279 135279 -192501 -136632 -131801 -83653 22622 137873 -1846 -133254 -9298 99603 -129901 190 170742 -24107 -21522 -125335 16905 -129235 14759 -34303 -102916 -33863 44258 -83738 
+-87514 -92711 -19557 163450 -16975 -17623 -155321 85188 60904 -7879 -4347 -53994 47132 183398 -279076 -30651 125011 -158699 62853 50627 88609 78544 -58705 -81825 79463 -82137 116511 2131 -39650 -45193 -176288 38114 -21529 -173230 80055 -46976 -77110 9174 -90772 565 -170818 -26536 7000 133790 -44159 -2083 32577 42340 -221253 129651 36211 -93929 -92125 -36452 33433 17524 84103 -30300 57024 36606 54506 35805 -10577 95068 22394 18969 31113 -188684 -129318 218353 113776 49747 171621 -27017 64493 -131336 83547 135175 68937 87545 47082 -3251 -58074 16795 24574 -255403 -141834 -145848 1817 42953 16179 81462 146052 -71466 -123837 31750 55104 -82988 -114969 -14237 20479 -52675 46201 21745 -6502 -73638 129372 29790 102337 -19345 -161263 78418 -119091 -19146 -2769 -82904 -16296 -189046 -67310 224306 88336 -184826 26447 182001 176515 -21256 -188391 -88694 -88199 -115063 59320 52516 10929 -137376 39629 -38394 233509 -7574 -7458 36679 32034 117218 188646 -110912 13865 -121739 -56394 -41321 -45439 -62537 -181680 20640 -15265 92696 100715 -3876 24672 -72731 65492 -17586 -65712 -25151 78286 -127866 -41663 92007 52168 -17966 -106925 50447 41533 -122380 -74941 -22398 205759 -30284 -69007 -225275 44633 -91201 176 -163392 -111638 -90613 -151809 31795 7571 -37042 45786 56806 14333 -21995 25797 20889 127994 21306 -109674 51210 74237 230789 210535 -116302 -75042 -134613 133656 160590 -88324 33251 -136623 91466 -133553 -174700 32930 -42130 -65779 -55975 47108 -51722 37701 194756 -63974 -101727 -53771 -101880 -9775 103755 40073 -60836 -7640 87741 -30859 -7440 180640 -33239 -109417 -114525 -41211 -188443 -12452 -23816 -67409 51451 -64780 -72475 
+-92706 -161128 -17281 102972 5668 -12532 1599 70845 75708 30663 22603 -50532 50295 153700 -257356 19718 108423 -208852 10048 48615 65609 112599 -51056 -83080 80780 -80524 37179 -8846 16407 -97533 -218595 114200 -49993 -170753 78994 -83489 -73121 4277 -136071 -15511 -184842 -4991 113046 184268 21135 1437 -94332 52214 -217682 133940 109126 -33773 -153202 -42579 66435 -4303 30169 -46779 54238 36662 57236 60505 -139786 133051 16231 36006 -23821 -16945 -126818 114021 119491 32138 40253 30204 83784 -164219 44828 118509 113278 160108 73861 -24321 46369 24080 39485 -129571 -146524 -128580 18344 36694 51467 78290 136764 -110577 -47398 27840 4349 -74984 -107716 86786 -12494 -60492 48715 97040 -44144 -89349 145992 115813 -58861 40742 -189385 85448 40259 -74942 -3837 -47296 -18804 -200706 -31438 212050 150500 -222191 16851 203642 96422 -56301 -183861 -136462 -143627 -148174 102771 55810 52140 -132704 44535 -53418 142043 -44636 -14720 65116 34860 24915 136196 -24132 36720 -114813 96320 -220622 10237 -16488 -130497 12485 -16939 -75557 100191 -14838 -22337 -68212 87155 23174 -23994 -44985 -1197 -26355 -13814 -25754 110543 -2720 -156147 76229 16653 -80797 56317 45805 183583 -38440 -70737 -196342 35829 -35552 -17043 -156777 9338 68363 -139930 -37685 -4450 45100 85223 32334 166755 -6649 61602 36123 119126 24390 -12194 10660 79045 201527 235782 -137302 -90779 -72324 222878 116100 -119078 33756 -129976 121927 -191335 -201687 -25986 -65240 -26291 -53801 29214 -36711 25982 221351 96800 -63430 -76649 -25949 18249 77723 61334 119158 -12701 75384 -21416 18352 172021 -38893 -127249 -81395 -46535 -216916 12884 -39816 -43581 67788 -105860 -68259 
+-85041 -139950 -25804 -24061 -81909 -3115 121641 43271 -52807 1598 32951 -44933 52557 61254 -275838 62361 79649 -217032 55201 12902 62097 119442 -35081 -67673 82637 -66054 -57319 24207 51921 -65877 -215150 92415 -72763 -151038 82607 -60172 -66817 9287 -143595 -3360 -89061 -57657 165613 197766 -17642 24404 -191822 45668 -214103 97747 109146 124553 -173110 -55930 40994 -60213 -97434 -3094 46578 -3045 36582 42686 -173945 146335 21579 86461 63067 82227 -130408 -45139 132241 -14080 -80668 159613 82680 -83385 56662 85569 118342 167383 72096 -58245 141971 11786 27832 43384 -83317 -165630 34138 18835 13460 75740 137977 -161488 40628 14627 -22621 29833 -94424 116512 2944 -117793 27840 143825 -69848 -102714 161655 94521 -220475 132046 -166754 77282 93600 -107509 -20505 -48660 -28779 -181505 96435 86448 251617 -244039 27552 265991 -33173 -93727 -167390 -127138 -135418 -88750 159249 39543 88465 -152447 25979 -20140 35266 -48778 -23317 133493 36895 -39940 78826 51844 62866 -84347 73827 -145737 107065 -37626 -44506 -1225 -28730 -239040 104313 -36261 -52236 -75514 102125 80543 24632 -75068 5018 43805 -19024 -69507 141524 28318 -170463 117695 -26567 -37413 114137 50806 151914 -37674 -69000 -121102 8700 -35195 4260 -112932 80994 91176 -147335 2597 14749 120830 69479 6684 185419 -3948 86938 -6155 73690 37040 12613 -27946 90643 152202 252917 -153788 -96392 34611 211039 98233 -118144 -77427 -109125 118033 -103934 -151154 -84116 -64530 14411 -70539 11963 1537 46773 213552 117692 -41596 -22021 111954 -11543 79774 -23635 202924 -3162 64880 -62471 31988 165240 -39110 17257 -52515 13870 -255839 28034 -16509 -52639 -78730 -50840 -45965 
+-83508 -51489 -24452 -74355 -154432 -4208 63008 1264 -245003 26974 22643 -43272 56962 -40176 -215956 73627 50282 -229184 30311 68 32806 120082 -54 -99132 76902 -49469 -111205 39453 122876 23103 -202190 15218 -27874 -131158 69328 59853 -39123 35472 -74610 -18008 8178 -77946 188768 164294 -63755 35375 -161704 90812 -150342 84272 101779 223299 -135645 -39828 -5270 -112122 -203252 -9336 40035 -37381 24728 55730 -92895 66287 38381 57551 142907 -20742 -127143 -49400 77921 -75584 -158139 210481 67918 115394 47594 7833 129320 177120 71127 -124340 173568 -11572 28086 84025 4273 -120031 6742 7632 -19282 72945 127365 -174738 75078 21374 -25409 148989 -84638 58483 58079 -154658 36446 95280 -73350 -84977 176053 -18380 -225356 182873 -141042 67468 -51229 -122410 -1257 -30331 -33370 -144057 140476 -53441 270119 -212407 7980 248152 -91739 -38811 -153439 -125152 -86733 39541 101397 44379 118039 -160779 50745 -64424 -64670 -39644 -32122 140905 36550 -45023 63383 27087 83081 -40279 -101121 94482 224641 -66477 11336 -12059 -43504 -168595 96169 -87270 -63548 -100871 103721 117199 50114 -72736 87180 -12539 -11876 9940 131800 41134 -131778 133610 -60950 25953 103923 -35552 129271 -25752 -71546 -33897 -13481 -3751 24312 -136309 158738 -60357 -143025 46737 -61318 105718 75090 -12672 72821 -23331 79115 15485 35077 50939 21486 -48547 66018 112430 249680 -129689 -87705 70167 67815 29767 -103151 -185411 -110734 73044 -19328 -48441 -119664 -44778 50725 -87169 29378 59501 9454 168716 -15302 -26653 32045 178915 -30831 63265 -92626 223284 -3652 61534 -171245 33870 130787 -36937 22258 -61092 72294 -267663 54824 -51350 -74000 -140948 30382 -43689 
+-84498 -18439 3949 2849 -166152 11475 -105233 1956 -243353 -14489 -5190 -56381 56937 -143995 -187106 97791 4586 -214944 9172 14068 -15572 170459 24602 -89357 83244 -25309 -39874 34222 135523 133513 -156095 -70770 -4779 -104592 67051 242646 -34280 -2020 -19497 -45772 11559 -117523 160694 106604 -118494 19352 -20805 58092 -105561 82412 123776 142007 -59734 -65648 -76593 -120015 -201303 -3096 41633 -48304 7487 9650 59310 5867 58385 -7188 145289 -192457 -120060 131802 41264 -121764 -95536 144163 56545 82200 57414 -52641 100947 152925 48978 -83943 123985 -30178 54686 -56609 72978 -137546 -13392 3956 -45801 73124 131148 -180387 36382 2306 -68628 188391 -25596 -61587 -10199 -145269 23187 6308 -57506 -68196 189215 -127823 -63604 196147 -171960 50800 -211897 -120651 -19372 -50577 -33585 -86373 27350 -53712 276400 -200791 -7689 285452 57798 -54060 -131313 -180151 -41129 101545 41119 64972 137917 -174062 51705 -45442 -57480 31609 -34874 147980 34069 8706 -34541 -43482 89811 26933 -218304 160331 155393 -92060 63810 -54818 -26565 13809 126473 -114691 -27852 -82448 86558 175016 50217 -110635 164888 -94863 637 102199 80116 39576 -69987 118952 -117940 91653 36378 -121892 108090 -37607 -73662 11956 -31034 21325 10487 -131825 190351 -196760 -132319 18309 -115922 38171 63436 -24139 -51504 1711 39910 19446 4542 51658 -81221 -82016 99309 53871 240700 -118393 -91473 137037 -42924 10376 -38164 -120102 -114119 -7998 106501 52906 -118695 -44373 77082 -90120 37693 120734 5034 100751 -155767 -52462 123723 151694 -25536 81320 -89862 142094 195 65453 -155870 33729 149096 -45217 947 -88306 52413 -289116 46474 -33128 -99628 -60797 101804 -58014 
+-56895 -63381 25094 128450 -26351 31917 -161664 -11072 -86606 -1657 -11891 -61025 54886 -184491 -101145 102037 -25769 -191264 39599 48255 -57841 122586 47234 -98019 77308 -8470 48534 47350 186697 229081 -68322 -15276 -21520 -73534 60349 137837 -49749 6425 59344 -20947 -107759 -152603 88916 52719 -94545 -1758 39395 60102 -45481 35835 91718 -30768 39591 -70444 -140295 -80455 -119894 -34329 41669 -13055 5758 21210 148592 23906 70185 -19679 74798 -196806 -110940 252210 6788 -137875 20097 27213 52968 -78408 66938 -106928 87584 110061 33581 -99173 20528 -45510 75091 -225468 72500 -128563 -38654 23778 -40355 70992 113944 -179498 -42965 18788 -74811 117326 -27765 -186550 8484 -103519 22040 -21541 -22894 -24803 200934 -163360 98915 130999 -125628 50838 -110947 -99629 -5467 -19390 -35284 -39062 -62255 70841 256272 -160340 -3357 250029 149150 -50818 -108812 -149112 -70766 101670 -31725 61959 147638 -166356 62276 -73177 21908 55396 16290 148029 31663 101588 -42055 -138296 80720 81927 -125800 44829 52073 -126933 42570 -26610 212 135164 109409 -96271 -15152 -57732 88331 131845 27563 -129329 157421 -197988 -4096 92615 21597 50807 18479 76209 -152792 103168 -103904 -107268 89855 -7065 -60794 92067 -49548 -18460 -33003 -135399 188747 -155921 -126679 -9549 -111477 -39379 79368 -19865 -52990 -14928 30632 19796 31697 74414 -122749 -67292 81110 6749 204389 -75144 -58718 154731 -13352 15465 -11474 11334 -82987 -45040 120985 162551 -64729 -35658 88550 -74430 56790 180668 51371 14784 -201542 -88042 121973 70606 -24665 154154 -11986 -14328 5817 75333 -77423 29293 118936 -48076 -64232 -111141 -45647 -291979 35919 -42121 -114551 43831 113417 -57174 
+-84302 -155483 -6859 177202 25150 45354 -16418 -9549 70514 33758 13437 -62322 51134 -159937 -88036 74824 -22436 -154674 26472 43421 -100222 123033 16668 -99110 81751 23305 102000 31783 180897 258775 -977 28841 -38944 -52730 73837 -21476 -62795 21989 53569 -30750 -201849 -132331 -8438 8199 -38248 970 -52772 44236 87071 13395 44213 -81784 123474 -48837 -197889 -8538 -1599 4007 46048 23133 35873 28425 114432 91773 72723 22065 -13332 -53845 -101937 106198 13070 -123722 159480 -28692 55407 -190549 89216 -90675 60819 43062 -7193 -4591 -61765 -53633 79528 -218423 8714 -132958 -22509 -10190 6964 73821 116577 -152249 -123900 22479 -91622 -8866 -32738 -250635 6877 -44923 53266 6679 21092 13318 210732 -84183 97209 45052 -88571 50606 43211 -53867 -22573 -17666 -34500 3288 -28457 209967 167614 -129385 17221 271122 107587 -24876 -83147 -127334 -141088 70532 -85438 59396 146203 -170071 65584 -56999 127995 43617 2040 105538 30998 192195 -76337 -187119 59533 150209 61893 -184676 -90231 -131655 23603 -95296 17457 104666 112487 -47617 31523 -60884 58370 76505 -15245 -142776 78008 -204098 -51949 -1853 -69363 70822 93078 45072 -193501 70866 -182517 -7427 83714 -13560 -72667 95344 -54777 -81489 -374 -108514 120386 -8304 -117979 -2442 -99996 -82073 74467 -11494 97814 -11009 -50120 31011 81367 63117 -152468 -91072 109253 -31872 189979 -47842 -42821 129168 98048 14652 29035 47736 -53387 -48656 124909 163516 -15062 -22566 84829 -57400 41559 225566 13403 -48829 -54219 -121112 125701 -35543 -57797 143244 38912 -107868 2880 89976 1325 32261 98328 -23572 -180692 -129161 -34598 -271906 14712 -15879 -56406 73763 6594 -42213 
+-99359 -137348 -28927 81200 3462 57908 116391 -10647 71766 24756 22783 -54979 49144 -70931 25239 25276 972 -103342 46109 25222 -131031 122212 28630 -108403 79343 64283 30990 44231 126884 220878 68929 124482 -48403 -22272 72096 -105502 -73497 51804 75871 -5761 -157626 -119181 -88183 -3488 29036 23747 -187056 7479 82406 -11463 36028 14619 201470 -61298 -238158 58042 80655 -41735 39338 32748 48282 53683 -7557 148477 59539 74454 23085 75756 -95887 -70205 6030 -74038 234823 38612 68529 -100538 94983 -47828 -6437 -51611 -67837 -13515 -67449 -32989 38000 -65154 -82445 -90933 -14449 27694 54438 74008 111076 -98090 -136296 -16303 -102889 -89941 -43759 -245526 36042 -36990 32626 93600 60972 54882 219014 42002 -67690 -23068 -71445 88659 102169 -4138 -20922 -54552 -39698 15776 87036 231594 100516 -101742 2816 249445 -19659 -10044 -59157 -94195 -160102 -48553 -77050 67395 132956 -172836 68658 -55944 240795 11059 1307 74814 32664 230963 -74753 -171210 33733 195173 125248 -185207 -96414 -124471 -36651 -76618 43790 -59673 95981 -16556 62415 -50522 48058 -2350 -57430 -180494 -1322 -142705 -10770 -98363 -146403 89558 141875 36120 -218257 43339 -160204 68885 68879 -9428 -71973 55845 -69594 -106063 9245 -118465 27359 108354 -105509 -20084 -29580 -14547 77968 13579 210883 718 -94499 46734 113601 80990 -99492 -88315 84355 -42057 151110 -27767 -17187 69958 219992 27008 55057 -30738 -25128 -26181 28759 141769 55893 -26724 65727 -53992 21152 245735 33282 -89866 105270 -151503 140699 -108864 -72954 163807 34711 -70638 1440 102214 -29862 10574 38211 -23865 -78855 -102367 10291 -245052 36488 -29609 -12001 -56442 -90441 -24510 
+-62108 -52928 -41279 -20171 -87470 49148 70277 6048 -50259 25591 19268 -40040 45071 32454 55776 -21954 45337 -54367 54550 29846 -161639 110965 -18365 -63471 77302 78961 -44352 70280 118975 115998 112950 90948 -37267 8884 104221 -116889 -80201 26287 37463 -37328 -17527 -82483 -79817 30753 16159 35455 -172059 -1481 129935 -26827 -45047 167224 221616 -44888 -234589 7803 57663 -44413 37824 10267 57497 75930 -146453 125287 41867 57001 100864 12654 -79942 -30081 36163 -11000 199583 150462 67472 110613 162966 34188 -16865 -109487 -103371 35268 -3261 -23036 16902 81026 -135425 -77463 24040 -1965 10432 76240 97141 -48202 -76681 -3032 -98736 -62266 -51362 -157832 -30232 -80629 57041 141241 90199 116227 224997 123476 -227308 -35940 -58310 65574 -36631 55920 -19500 -45025 -39508 16196 147520 109298 17806 -24586 -34973 224648 -100341 -13365 -34319 -83209 -120689 -110785 -37060 67276 110111 -150373 61163 -48133 247110 -34018 1765 70238 35487 213036 -58496 -114888 11351 220578 -32272 23533 42929 -105916 -153143 -99409 63471 -212196 100118 -13039 112590 -80489 36518 -18830 -86870 -172251 3183 -39265 -58014 -25049 -212878 108115 148383 53913 -219508 -26355 -42841 15723 23105 -3078 -64950 -159 -75295 -170746 28823 -120561 -46941 16215 -90067 724 -3222 147564 66144 28104 134955 -9060 -107511 26582 126191 81776 -35296 -151261 68582 -27222 101571 22821 22752 53165 236617 -2957 117157 -137435 -651 58956 -92489 18669 77063 -73472 34221 -69934 28779 243759 11740 -101833 144717 -154687 92111 -85633 -82702 109091 -69163 79263 -8984 107302 -142254 47153 19799 -65155 -4744 -66028 70897 -190681 -46435 -39204 -65492 -135000 -99079 -15582 
+-89488 12454 -6630 -78755 -183652 62124 -109789 20592 -253363 24744 4531 -35538 47205 137082 93527 -71908 93847 -6561 51286 18605 -186100 87651 -37533 -90580 77806 94818 -83168 35965 28275 7728 121724 14673 -36466 17522 76307 48183 -90248 17270 15566 -13626 17969 -51579 -52117 85114 -76406 20044 -57881 -18273 80926 -33230 -82888 192383 194090 -75251 -237107 -53958 -45389 6026 43522 -35910 59240 31661 -175822 64104 23221 13178 173605 -164911 -73200 130196 69478 31850 90479 213033 87245 131136 110343 112177 -66898 -153607 -120021 39076 109957 6879 14880 43482 -130528 -59155 19328 12690 -26369 81595 97879 -2804 3047 5087 -63602 52227 -106745 -29265 13435 -131687 58145 91380 100609 138568 229306 87617 -219778 26523 -11205 78852 -181770 116955 -17299 -78611 -38177 -13825 33113 -46389 -77293 -13301 6952 159902 -26404 29443 -17751 -88649 -48097 -129810 27163 50148 78637 -148688 36756 -66812 185138 -48587 -28120 26121 37080 143591 5458 -42925 2081 221726 -199704 159724 173608 -80585 -171956 -132242 74810 -159339 107386 -19318 114060 -87872 39924 69817 -90852 -189901 78876 18572 -64137 74961 -253562 101625 104083 86749 -212576 -91708 69039 -67160 -11616 1598 -74892 -81942 -72048 -167271 42777 -145903 -89483 -145131 -95756 50657 -20333 155048 86298 50326 6392 10699 -91067 85859 108822 67703 32192 -136480 21686 -494 53384 47571 38491 -40649 108608 58338 130974 -145277 70882 88427 -175903 -73795 67889 -75417 -5320 -84333 35395 214692 53702 -71807 -7092 -149610 61875 83464 -70275 92064 -101118 201050 -17958 106289 -182748 40362 -1381 -50293 -390 -53572 33478 -122803 -25619 -10428 -83927 -32356 -19363 5257 
+-78406 -59645 -8177 1753 -173819 68066 -160373 69498 -249851 776 -30611 -47393 53141 181496 133888 -127427 115937 22856 66776 33094 -187696 40775 -65067 -147911 76284 96335 -29833 7804 6102 -83063 88038 -10548 28434 52078 63977 182194 -100325 1506 -41952 -27429 -38505 -66069 47588 157631 -130778 -1407 14197 -39794 33758 -53621 -73313 90551 134050 -30999 -185923 -116223 -158260 -48164 41355 -43085 27425 57774 -86282 8560 16147 -27914 101000 -219787 -71703 215930 108708 52193 -44637 148003 70277 -94688 113210 134992 -49181 -177954 -169258 -521 172747 20653 42573 -131323 -49198 -59314 10710 4534 -78206 81799 91478 26162 77331 -9470 -36925 163557 -114449 80049 -18440 -153313 63348 12974 87618 176504 231945 -29352 -53608 116288 17422 71843 -152648 164781 8647 -46646 -45240 -57454 -72169 -75742 -66904 42457 11506 154523 117704 5326 -722 -46839 -75660 -92622 80690 59960 40622 -133632 48859 -64792 77974 -40647 -25509 39735 36102 44604 29432 13276 7333 197392 -187236 96635 203489 -50337 -200579 -133891 83354 -7428 90646 -19872 92598 -73771 71836 143400 -64971 -194042 161316 -7198 -101023 106950 -251882 94645 35609 124143 -193331 -138054 113209 -124835 -30739 -32554 -67807 -180019 -73560 -187810 -29536 -91114 -31655 -210932 -85545 28389 -10494 65896 89734 65898 -67760 -705 -95261 85007 74412 79301 30547 -151153 73721 43458 12764 47389 58457 -122125 -9959 147566 147830 -64390 86247 118015 -154734 -153743 19018 -54284 -46522 -88742 35280 171007 25867 -18870 -159726 -121903 -24016 163345 -86166 60613 -57428 244985 -14701 97554 -111503 19491 -20878 -76518 -51108 -59833 -28733 -58753 -37023 -41502 -125902 79502 55162 12782 
+-88898 -135307 -23895 150229 -90683 60345 -32833 85514 -117145 9616 -6061 -59120 54760 142445 72929 -169613 128336 57261 69545 51293 -198926 9834 -39691 -82970 75006 91078 54855 38776 -60993 -95164 29179 -47487 -70215 71368 87108 216260 -90816 -26086 -87214 -21457 -155718 -47752 117330 192275 -77073 456 5707 -32774 -12803 -47876 -53673 -56826 47209 -64971 -103337 -105916 -208629 -878 49459 -18144 16454 1117 69768 31351 20977 22911 -3225 -94322 -73446 110759 136455 35156 -147268 28243 48922 -207977 91787 119176 -27742 -175346 -191918 -43551 157548 22883 70465 -247896 29915 -40114 -27846 2776 -32072 84474 85721 68707 74288 -14413 8962 183815 -105076 113530 -49842 -127152 73118 -20435 56629 205457 232385 -138688 104294 183620 44203 97918 58847 194646 -6943 -41636 -40845 -104887 -37493 53981 -84081 91203 20358 56650 133162 -23360 10509 -50808 -144155 -7353 140733 46533 -1311 -108244 53374 -48995 -26169 -6371 -7025 61161 33321 -23036 94241 32182 26939 154690 -11196 -118020 143087 -56378 -138641 -143313 95004 124476 82513 -27327 47060 -82884 117821 173008 -21926 -222184 163690 -79622 -84681 18725 -220096 98743 -52104 128602 -152845 -146605 54027 -48282 6426 -17281 -73288 -212949 -60154 -99402 -32027 -115108 19564 -94077 -74395 9731 -53141 4720 57432 61311 39512 -9836 -3814 101981 32826 85338 -49824 -174665 66054 96187 -50369 -18631 77835 -202811 -19611 136589 177203 34843 101989 115582 -82563 -179733 -46312 -34250 -82507 -76622 57446 104930 14559 63146 -194526 -87150 -44698 184811 -89735 51291 16682 154835 -23819 84356 -31690 19104 -67926 -84654 -118767 -102060 -54790 -19869 -30520 -24587 -73317 86710 127329 30222 
+-81476 -131727 -14085 180100 5491 40556 116194 118831 88106 26680 24958 -61928 59097 70585 643 -200038 73171 63400 7538 52666 -189659 -23819 2256 -120273 75470 77089 86680 13350 -89315 -32374 -35131 -12625 -60385 75831 67204 41835 -89881 3721 -131115 -41437 -211182 -65221 170223 189833 -11213 23081 -122902 -27650 -138613 -45264 -19918 -47774 -37182 -58037 -30885 -79538 -164488 -10740 53244 19161 10394 52829 147946 94317 38909 48772 314 61261 -72713 -53193 124971 -17633 -132924 -35023 73082 -134959 66642 54302 5208 -138176 -214361 -125548 52162 12599 66865 -175820 94850 -54968 -28532 -20227 18481 84648 70805 74775 -10006 -25006 10166 96868 -89942 57875 -9333 -85029 54067 7454 15159 224030 230861 -160423 90124 192251 42081 74645 130868 207715 -18510 -48763 -44614 -161123 96256 184099 -85084 71570 1261 11346 27151 -69253 14715 -60235 -149918 97478 132136 55545 -44062 -93228 37011 -69126 -61340 43805 16223 44304 31174 -48187 153658 -48035 52830 95710 116957 -193238 9932 -41605 -72046 -168857 70727 75062 92900 -86705 -1579 -72167 96434 154383 21276 -225718 93527 -158900 -100088 -61477 -143973 128143 -115919 120374 -115298 -117474 -23739 12728 -62717 -50966 -58913 -208501 -59644 -53479 -12398 -106519 115477 73958 -66402 -17299 -128323 -54023 65752 53744 167713 -8248 43826 93035 7568 78149 -130530 -177074 28552 162325 -79873 -6011 76697 -189966 60520 147678 194608 25426 115688 76785 63142 -176319 -92833 -14519 -108945 -59466 50376 44107 13185 143113 -61586 -48382 -58934 114640 -106881 70166 56625 -20499 -32666 71013 -16996 -10618 -86493 -76393 -104147 -125049 3832 15011 -37101 -11288 -55777 -54318 59796 36568 
+-83300 -71443 -9411 109613 -2701 30446 77731 140152 94007 13452 22732 -55640 56564 -45713 -42957 -233342 22708 72106 14989 26510 -185215 -50726 32085 -65565 77356 67798 37498 37187 -127671 72271 -134173 86663 -40023 89198 73045 -98874 -78484 6345 -121137 -43637 -88684 -90546 189183 159145 14320 35519 -169669 -32969 -159086 -36882 48200 60979 -122360 -41880 22528 4525 -37808 -52648 59688 32997 27011 47225 94517 135454 57046 55259 72436 46335 -69615 -66407 142865 -73312 -17918 28892 69274 27679 74043 -4936 46159 -87958 -216234 -99762 -40525 -8964 69220 14427 61012 -19924 -17661 -28958 25292 82510 67400 84576 -94890 -7221 6449 -28420 -43268 -38446 -27208 -45231 65346 86948 -27261 222285 226989 -69329 -77008 152839 73664 85510 -28869 195181 4357 -71703 -45796 -187062 144591 228347 1255 79568 13940 -11328 -94690 -55147 16034 -54554 -104598 121553 59131 53661 -84860 -70718 72448 -60414 -5765 64593 2791 95526 31105 -9363 155263 -117957 76028 30687 34102 3191 -82122 -89718 43429 -178524 61690 -71804 89722 -80443 -51064 -57389 128968 99631 52519 -226108 2117 -209742 -134318 -5475 -53975 92426 -163453 81662 -100775 -68769 -137815 56960 -68607 -25225 -70605 -178453 -38833 -55490 2882 -106743 184391 96037 -43636 30042 -136953 -49492 71451 28425 160788 2623 48708 115813 37452 82237 -163095 -179852 76591 202833 -92686 -86774 100170 -210824 202097 130397 220975 -78506 131397 13148 155086 -90575 -125162 -42436 -121089 -55069 60619 -11649 21304 200417 98913 -31340 -130083 -69943 -93213 111259 -15975 -113462 -28371 63566 -78357 4571 -65762 -48663 -46012 -121235 71413 57834 -1648 -42447 -30390 -142822 -34417 52869 
+-68969 -9912 -23665 -29843 -98661 19091 -93367 140176 -54111 7024 21573 -48966 54443 -139655 -108147 -240167 -43593 37103 12518 22976 -153533 -106374 48374 -130063 74413 45591 -20962 12620 -143823 184136 -190172 103799 -22057 102258 83419 -134570 -63038 1933 -104648 -25853 7908 -127056 134143 92437 -7956 20733 -117444 3588 -212685 -18503 54580 214559 -154177 -52577 52940 35027 61225 -15530 66546 18505 29424 42082 -37149 136668 71077 32695 154949 -131512 -84055 97011 63643 -120252 114923 155314 85224 104514 64953 -65841 74625 -19623 -238475 -103386 -78762 -25495 36349 87998 -7593 -6674 7170 -15776 15716 82424 63544 78977 -129869 -27411 39143 -93400 -12309 -172176 -22417 -69748 81645 139180 -59999 191187 221630 51163 -230596 59141 78714 53141 -221514 166316 -11311 -49629 -35210 -194604 38933 140938 89880 76574 45973 -70213 -30176 -53622 5743 -73098 -54086 92344 -3676 60004 -120819 -53865 35305 -43252 77346 53441 -31889 136822 33414 77099 166276 -169111 89366 -25130 -158581 152863 -46413 -111819 51541 -190376 42300 -210549 86389 -54887 -53360 -73629 113451 13246 45844 -252561 -2148 -165775 -119335 52296 26888 112600 -153630 47942 -12684 -4419 -178336 6392 -84827 -27371 -71480 -104347 -18198 5112 14803 -121460 172366 -56282 -44713 34580 -90619 29652 69973 8741 45482 -13022 60447 100616 78472 112116 -121715 -199935 72432 238462 -78842 -104815 87627 -149946 238749 113088 196827 -178492 130707 -58452 128902 23486 -109786 -40088 -117780 -67085 43987 -44918 26157 231590 131634 -38813 -141161 -114733 -96435 136167 -96172 -88950 -34005 61247 -175360 7403 -85674 -61448 34785 -82697 57270 90704 10504 -2394 -47265 -43536 -102294 27004 
+-75678 -16481 -37849 -62274 -177041 1800 -161938 137372 -254979 -2760 -11928 -41914 51472 -186117 -147115 -239896 -5742 9811 23246 23558 -107294 -108914 31929 -72817 73539 21092 -94753 12504 -116751 250547 -215363 40300 -4754 91060 72956 -20177 -55031 4563 -45708 -20966 17250 -138307 40780 40731 -82316 -1024 29141 15968 -236813 -23991 98078 179781 -158480 -55476 61997 16235 90624 -39735 68204 -21820 54347 20618 -163980 74547 73225 -7436 111973 -227814 -93919 195811 31262 -140094 216093 209862 84429 25953 40857 -92093 94977 65244 -216505 -58198 -36324 -49796 20254 -31817 -100166 -27837 17282 -8570 -36569 77338 50531 59362 -108916 -45230 21851 -46875 -16762 -262334 6512 -95334 87759 100575 -73400 158924 214025 127734 -215613 -16711 48345 53489 -98704 121644 -21008 -54239 -35534 -183235 -68599 -27228 171967 69213 10523 -91660 91775 -56415 -4594 -93610 -40754 2357 -59595 58876 -149060 -41843 62845 -20662 197327 7888 8351 157750 36100 169056 207938 -188677 85998 -71593 -221898 137491 42108 -150781 48743 -219988 16426 -143856 76740 -24397 -75232 -69235 91238 -4257 24867 -235662 71387 -59397 -171590 130120 96077 110479 -129947 32675 11495 56187 -108264 -97649 -84434 1021 -70067 -37605 1812 16800 -467 -143909 147842 -194405 -30934 33033 -62429 123198 45919 -13492 -52676 4525 65703 121451 114986 86812 -70641 -159094 62397 228424 -85716 -117839 88757 -85549 147105 82620 161033 -129522 163195 -54985 107238 121084 -48796 -47307 -98632 -85699 31693 -53253 -9467 213644 -5137 -68152 -86649 -90641 -105515 112025 -86035 84477 -45853 67331 -176915 -8682 -84721 -44734 30015 -57499 -28711 103000 6148 -22639 -94863 65371 -76954 59199 
+-96222 -102694 -21896 19134 -125141 813 -36617 140836 -246838 21602 -3058 -42177 47255 -164890 -236948 -192732 -17140 -30995 4222 32523 -75592 -157394 24088 -73432 74948 -11898 -16090 7152 -75403 246497 -217158 -53023 -52925 94987 76882 149758 -57388 3750 2060 -19334 -109111 -120746 -39463 2886 -117556 25 17406 53833 -216765 15063 163363 42781 -106328 -50349 17543 -55084 11798 -14112 74519 -49602 56211 17888 -167332 -2399 62483 19938 15811 -132402 -88620 126083 10843 -120092 203211 146073 52630 -173919 77558 -93208 114028 118866 -188918 -9402 75092 -44777 32428 -196995 -139403 -49806 14084 -13318 -44787 78816 46823 8993 -25031 -26411 -12684 74884 -36579 -250702 2916 -137066 76916 20055 -66949 123203 204897 72343 -44431 -36147 11619 49952 34698 67270 -9648 -67950 -31697 -147432 -39609 -64335 247933 54671 22793 -108293 134204 -20536 -21901 -56650 -127062 -93298 -103369 63383 -168344 -12005 21915 -25819 250068 -36201 -30738 156665 37093 231318 155897 -114069 69324 -108375 -82799 -111941 197252 -127066 9230 -187624 -5995 -52030 75652 -12234 -9670 -64204 91686 26998 -19018 -233492 158602 19000 -147658 55045 131681 81110 -48489 46168 33486 71316 -18897 -112069 -129648 -10306 -69924 52276 34916 -31447 -3848 -104448 87022 -163247 -11286 29236 27773 121387 36726 -23679 -17905 -6667 58130 123703 137124 108365 39342 -188077 25633 213378 -66290 -145678 60894 7626 8305 33081 127724 -22396 99344 -33598 -43073 176942 27092 -59562 -67519 -90359 17363 -37605 12200 159656 -166009 -112850 -30805 27262 -100965 109596 -46539 210744 -47142 79744 -89639 -236 -81542 -52965 -72885 -47325 -48339 115898 67101 -24774 -95472 55593 22769 46036 
+-72963 -155674 -52549 143480 -51489 -19680 109297 124117 -115860 -1992 13015 -56609 46895 -87220 -266031 -150686 45856 -83249 13713 52506 -42963 -169284 -8177 -132352 73695 -33643 54815 -26717 -57108 186657 -192116 -43615 -48847 102254 64292 166654 -50035 31444 30299 22088 -193948 -126520 -84374 12185 -88017 22427 -79253 14678 -154982 31770 118572 -78849 -16585 -66470 -19587 -128035 -106575 -4941 83743 -30274 44202 10899 -59773 33971 41311 42482 -35000 27275 -112818 -42278 -13535 -76609 108733 25458 63059 -144511 86556 -25744 96099 165881 -165451 43132 158068 -42191 40767 -247275 -120018 -22524 17615 364 -24584 75454 35141 -39681 59938 -46953 -70340 175173 -70137 -169787 -10887 -145729 43493 -33865 -40923 75499 194019 -45449 106775 3112 -12221 52088 115855 15347 -19796 -79633 -31440 -103804 98284 41122 281575 28111 353 -145042 13957 17042 -41953 -75103 -149357 -133075 -74731 63247 -177377 -393 46643 -26477 209267 -49417 2584 144297 35529 226365 85040 -61436 42461 -117611 93066 -203957 189083 -116967 -33997 -214209 -22190 125417 80496 -24179 21544 -60578 33374 105663 -63170 -241551 170166 21469 -134351 -42924 142025 87223 25771 82941 67200 113442 89055 -46272 -118668 5540 -72270 89042 40724 -69486 -9498 -98029 13291 8936 -6051 3229 10172 69764 33446 -23319 117900 -15357 -23577 121389 116534 99053 9922 -166590 71943 182834 -14622 -144150 8023 64555 -43697 3464 102836 42891 125480 41091 -128504 126675 73879 -56524 -28393 -74586 61857 3942 8631 89185 -195182 -145739 -1309 148761 -97656 74586 35918 240209 -42771 93182 -12042 -15516 -71045 -77768 -99319 -76614 4392 71554 54170 -38607 -65459 -37865 83642 64633 
+-83292 -99359 -15744 175412 10286 -32959 89487 96187 73187 45526 16017 -57799 49880 26299 -315681 -107491 98364 -134058 73165 51978 -7567 -166137 -32979 -112482 71122 -56802 92982 -5643 16253 66044 -117902 -14466 -64494 81814 65573 89480 -60578 -13890 72286 -18502 -159060 -71441 -71579 45523 -33667 35543 -207104 77977 -119993 65262 127074 -83206 44971 -58652 -73778 -136355 -196389 948 92665 6965 31867 32869 79871 96252 23547 60951 47442 62954 -120349 -61714 -529 -20884 -25679 -28405 45165 30164 90747 39497 121444 188934 -123240 48994 174654 -15145 46916 -107521 -23235 -53585 -32835 -13849 28724 71343 37389 -79995 73894 -63114 -93990 175176 -79790 -47167 15249 -118669 50699 1714 -770 29572 181619 -148344 87653 87505 -56349 61441 -40218 -47277 -31295 -92446 -29050 -42142 143211 188962 296136 -9570 -15777 -99773 -80843 -2993 -69557 -114729 -138388 -121046 -18761 61212 -174275 12630 51479 -60746 147205 -25781 6784 100543 32785 164762 105593 -1296 18603 -108530 93032 -74381 83326 -90816 -118503 -210346 -41703 101224 92910 -7723 70920 -57067 44286 182770 -86204 -241375 94555 -62538 -150396 -72908 104932 47115 107646 122606 58796 65866 126778 33772 -125466 -2168 -66556 79466 65395 -83550 43041 -105334 -104527 119374 8698 21074 -30677 -38366 42025 -11704 217393 -15923 -18171 99415 47897 101614 -29420 -173438 34193 128052 -18968 -144727 4397 120759 39782 14324 25547 -17109 96003 98500 -186409 50288 82320 -52109 12937 -58061 46182 57557 14446 19590 -51495 -158113 73302 196375 -100997 76746 24241 163705 -50405 103036 -49197 2574 -60231 -92448 -87937 -113585 51345 53369 63892 -26348 -39418 -150586 95771 86789 
+-111462 -28891 -45825 80417 -8303 -17486 -83492 84273 122779 33402 48457 -60606 53092 120091 -294216 -52893 149631 -178455 49166 33992 24770 -173526 -75286 -111302 69747 -68651 22312 -21606 82160 -40378 -56603 79177 -40867 70297 52358 -49856 -76294 -35337 63017 -32213 -29417 -48059 -17381 100442 18272 21425 -145171 53990 9262 71533 151707 100938 147071 -42925 -157666 -67499 -208737 -27185 100768 34853 18821 38653 148655 141104 15679 11766 144094 -87063 -125512 106239 44493 30481 -124153 30871 70973 122907 117311 97425 78860 174854 -93575 9074 101731 4595 41363 62863 58574 -62935 -30563 -13246 33740 72549 21583 -120093 27145 -54238 -102920 75123 -117931 58962 8713 -65893 66894 82809 42596 -11038 167534 -147847 -86129 168676 -78579 72898 -214166 -90938 222 -96303 -25719 -1096 37182 239144 259407 -62033 34781 -37117 -44272 1846 -88804 -91165 -94412 -43501 53013 65055 -160843 13299 33658 -11807 -1449 18870 27643 82641 30880 74642 24068 27292 4168 -75109 -80153 135472 -59577 -61341 -175174 -186660 -40788 -60868 85144 -63617 77465 -92877 35109 135014 -91840 -233858 9068 -148515 -92554 5644 37385 85390 140865 135291 54016 11694 46351 43696 -149363 -29410 -71078 48237 84042 -148672 21529 -88636 -116017 25968 6570 -11723 -70502 -65973 58273 7731 118195 -10975 -103224 131455 31512 86364 -78832 -178768 48301 75972 33660 -87581 -24095 158102 169673 10234 -22957 -123524 39048 117965 -139584 -72876 65244 -24656 49465 -51447 7352 123021 -1099 -47864 91693 -156937 109013 127857 -68381 59374 -40320 -27561 -60259 107643 -175411 -22025 -50546 -83274 14135 -126045 61820 1421 38960 -33972 -73910 -73889 20752 62455 
+-89807 -27529 35221 -28117 -119480 -20901 -162230 43466 -13769 30347 7270 -54043 55642 169031 -236699 -13314 133126 -205925 53455 32266 62690 -168142 -51168 -78560 70473 -71470 -37670 -44433 110031 -96238 23136 96371 -36547 62740 50006 -144004 -78655 -48021 23020 -58406 26222 -22407 73059 153866 -15829 -623 -36219 35927 77368 101366 46131 176909 222577 -68203 -215899 -23982 -104594 -30624 106472 19588 22989 30121 85392 129957 19694 14001 155955 -222637 -125361 214529 59582 50337 -145025 156371 71371 12088 111964 132363 28935 129434 -51609 14727 -9662 21568 47502 66662 98231 -90630 -18301 -17825 43610 73417 20186 -153552 -60721 -52744 -72027 -46424 -103366 119339 -3783 -42238 53712 133844 78558 -54484 152600 -52629 -234724 200858 -108963 68615 -167678 -118232 -26205 -80215 -16003 23365 -68983 141696 177898 -128026 19655 -73424 65529 4224 -115191 -105365 -21223 64372 112488 63491 -136768 3449 55648 -26554 -61700 67002 -2944 23085 31608 -16079 -32249 -28469 2743 -20178 -217667 149722 -74349 -40219 -167402 -194585 -32084 -210537 90868 -87824 100253 -84850 -14838 87588 -68408 -218274 -4377 -195801 -140534 110969 -43191 40384 136416 115098 41445 -59225 -63214 -52829 -94001 -34459 -67795 -27656 92604 -172131 29133 -130508 -22994 -129606 11225 21177 -112549 -5322 31969 33643 -31594 -9773 -72490 96888 25312 99272 -147595 -160779 18220 16453 99329 -50280 -42354 131361 226372 42445 -34384 -176086 9871 108306 -49445 -193167 15222 -30827 75789 -66118 20364 180349 6958 -97532 133009 -124566 133773 -6317 -41504 87741 -123108 -106916 -59447 104828 -176860 -6203 -36536 -91254 16034 -107357 -11859 -62822 15209 -25651 -82793 32955 -72718 65752 
+-92173 -103965 -26848 -93067 -163208 -5908 -59505 25768 -220067 17864 -29362 -44338 57304 159945 -189908 41028 72358 -217282 46674 31587 80125 -151043 -32182 -119194 67294 -77521 -86496 -7849 120196 -78973 80095 64634 -26056 45568 77003 -47019 -92257 -30119 5963 -16378 -39999 -66767 142219 185879 -65897 -390 41196 42157 97488 122794 41772 170112 222633 -51968 -240474 22150 14318 -12463 110134 -6150 26027 32613 -47348 62056 36661 -41518 71541 -160801 -132764 127149 112849 28462 -62021 211819 87540 -188890 126709 115932 -12352 74372 681 -42087 -72534 15009 50582 -114775 53619 -61440 -15282 -27074 -32931 73352 12369 -187999 -124136 -44770 -112349 -93512 -64782 71541 -45938 -74868 38801 102848 97888 -72582 136136 70357 -208669 165976 -159171 77406 27670 -125334 -17040 -80275 -18722 11997 -34821 -1763 110376 -123533 3720 -16128 170791 -14114 -132848 -104655 -74782 114302 151135 58910 -104569 2817 47489 -25044 -28180 64721 -25472 37995 34141 -46994 -58829 -118158 17894 37994 -152398 -23734 -9356 -43157 -179687 -181920 -6472 -202851 74913 -61733 112032 -55561 23403 15118 -26148 -220790 64277 -208277 -95554 112841 -128115 30584 91487 73942 -309 -110471 -190111 -102385 -144035 -39531 -75257 -104252 100803 -185196 -27877 -131577 49507 -195967 6395 23537 -125597 58192 6858 56619 -56145 -14781 -103404 91932 48657 92393 -103250 -132148 73725 -17346 141986 -52165 -72492 128843 173244 80514 -76587 -75699 -40788 63501 85681 -199877 -59430 -11327 88294 -86424 34463 222573 19145 -98577 -3301 -103285 160234 -84664 -63044 107849 -88889 -61123 -64228 94170 -123897 4964 12792 -78604 -34699 -70923 -55547 -100732 -57974 -31119 -97592 81620 -104385 79140 
+-93392 -154376 -44750 19239 -154206 -12246 104496 11517 -251633 48968 5787 -39134 55189 79060 -131421 75899 57251 -225948 54424 48008 86324 -143676 -1666 -97472 70550 -73465 -24710 -41844 160094 14288 117831 -5965 -60503 8172 84938 90515 -106375 -75443 -29710 2431 -165604 -98697 190908 187571 -123972 21760 -29394 46701 117519 148246 26451 20986 165439 -53207 -248090 7998 85691 -14150 116227 -38029 39778 38753 -183895 6522 55244 30757 -16692 7858 -124799 -59620 124008 -10601 82498 140243 77949 -158733 107736 64291 -50909 7541 20375 -98205 -53179 2486 28463 -249118 -40352 -113011 19462 -9465 -44316 75301 17008 -177842 -113198 -57061 -47084 -28710 -64921 -30249 -2143 -123342 38797 32560 95899 -97243 119148 121491 -33540 82362 -168152 82740 121389 -108940 -31429 -97261 -12556 -9640 85428 -79272 36573 -182328 23241 33144 73423 -1491 -157435 -113225 -139715 118007 116981 49970 -66101 -3092 46885 -30713 52256 11799 -27999 57006 36533 -22912 -83018 -169611 42244 101657 35460 -201080 93925 -81060 -76672 -149293 6615 -34814 89170 -87067 82668 -79639 56468 -30702 21919 -229087 150958 -124593 -78238 -5539 -204678 -10282 18555 44914 -18188 -143969 -161038 -112948 -168611 -63404 -68496 -170662 102589 -151108 -17145 -105377 127351 -89817 17345 -10703 -75535 145114 6178 65774 64587 -5140 -85757 71407 88282 99151 -48812 -126137 50259 -44588 156444 -12327 -99489 49336 38081 93400 -111492 40855 -47847 -8736 122406 -168734 -107788 -48981 85100 -92331 -420 248416 -1029 -66286 -158832 -59210 92707 -106480 -35666 122521 17341 29735 -44101 80811 -28877 -18402 45345 -109540 -104846 -48642 -14729 -163632 -20656 -34999 -110650 8071 -53301 57902 
+-86006 -112031 24138 122368 -48820 6990 112693 3503 -120120 40110 40279 -44075 51495 -36736 -63328 110344 -30678 -220770 13780 60938 104773 -103521 22251 -98151 76983 -47460 72175 -23837 167889 130515 122365 -47791 -71273 -10745 64068 177199 -94281 -46801 -128005 -9967 -192762 -136178 157430 142453 -88095 35539 -195384 37637 84391 141639 -11913 -88697 108411 -24217 -223254 -83954 49450 -18732 120917 -48619 53495 20476 -157443 28836 70747 64621 12825 69916 -117573 -61407 143120 -75888 211931 27304 43485 -33987 71777 -18116 -74366 -65546 54176 -107190 32777 -10509 19631 -183419 -141632 -120843 20652 10811 -4630 78886 6016 -164703 -56735 -62529 -75722 96514 -36362 -156972 -3160 -157256 43803 -17529 74153 -99713 101228 71561 111409 6114 -159647 68357 -54649 -76639 -4894 -106744 -15600 -51792 143524 10644 -24115 -175634 43901 77902 -77171 -42486 -169728 -122187 -155102 39140 67220 43526 -23936 -7370 94467 -36302 152801 -20725 790 50989 36911 54973 -69049 -191091 68347 155590 122612 -150226 193668 -100473 4042 -153422 29085 101176 78176 -37400 20109 -79353 64743 -11392 47277 -198560 168699 -5986 -35713 -79907 -237933 18334 -73497 35874 -78092 -145482 -75076 711 -136201 -31260 -68759 -211892 95767 -82176 29000 -99758 190733 70587 2823 -9 -26947 108636 -17322 60229 189414 -5959 5286 53763 137545 84340 8868 -88856 63050 -32083 221515 -11096 -77159 -18238 -50220 131284 -120337 25430 -105663 -36247 143397 -54471 -114632 -24421 66845 -79734 7318 248617 28668 -10150 -193223 -31925 104088 12104 -88580 135742 46569 196574 -42976 69217 -24385 -16653 75534 -104614 -144796 -60809 43241 -205131 -53655 -52344 -86862 -108035 56512 62914 
+-89178 -23880 -19316 193853 26468 16474 -69336 -7085 61449 33001 43750 -51927 48081 -132051 25246 95115 -28725 -178865 -38361 43347 84667 -79409 60979 -99459 64946 -20849 88743 -29308 134312 214723 69411 -48181 -67982 -37578 74880 186693 -87005 -60401 -137832 -5327 -93736 -149636 98286 69409 -29240 22078 -198468 -3223 17464 145661 -53451 -23517 9919 -46029 -173191 -132531 -42484 4989 121109 -8475 54465 6176 -31814 87890 73910 50311 108308 -57966 -113274 89758 82673 -123178 229494 -32480 38313 125060 55393 -79720 -44559 -130544 69387 -82468 141354 -31522 32829 -11406 -116658 -115201 1003 -14049 4361 82465 5349 -124476 44109 -71212 102 183680 -29995 -248081 -16083 -148828 53728 2233 36569 -89107 82859 -61322 79988 -47543 -174534 101249 -206311 -28940 -17851 -72800 -15314 -106966 50309 178872 -71795 -229777 43985 131135 -46470 -73904 -186785 -107332 -96174 -74757 -36771 41265 18252 -27212 75654 -42932 239458 -46068 -1762 63152 34891 146253 1807 -124089 86064 200751 -3733 55840 168751 -127585 58510 -142335 57113 78818 105278 -18807 -27501 -53718 99799 87535 54663 -192857 99292 34700 -40926 8583 -251194 -3141 -129168 58445 -138872 -91295 47724 47907 -119331 -37308 -69785 -204768 90847 -79517 24356 -154335 161926 80383 21451 -3847 15344 29068 -4819 50174 180826 -11136 31601 48420 124055 83438 34281 -76170 73234 -13506 247959 22971 -97792 -116384 7744 169431 -109182 -79817 -93051 -56344 44779 89 -93755 -45571 35638 -59353 9140 216757 20949 66927 -79031 -34404 35303 128759 -37674 119429 14330 272572 -31849 62350 -83008 12281 81913 -87556 -8857 -86173 63880 -250551 -49760 -27074 -37130 -72575 99951 69592 
+-94874 -4551 -27251 104155 -5728 20831 -169386 -3481 50462 70125 9150 -60201 46145 -176470 81806 89955 -8078 -135170 -7765 11780 60014 -44780 57248 -93583 66480 -8715 24648 -10979 112185 256102 13616 32648 -13123 -65497 53867 34108 -78011 -81544 -97885 -210 5767 -146613 8085 31211 19815 -206 -74259 -5342 -46056 126228 -60001 135841 -74501 -42044 -79645 -106944 -162944 637 128636 34334 47893 40980 98281 151503 63562 37197 160573 -213134 -112647 231222 56770 -146416 157550 33451 75285 51877 27390 -105511 5078 -158905 82001 -56267 167265 -52986 73673 99124 -88820 -159744 -30616 -4018 45589 85143 -2766 -114551 82470 -80592 24875 163581 -24314 -252523 -39045 -89550 29234 77364 -7756 -71323 64233 -152817 -95259 -2553 -173042 83080 -139568 29146 -21563 -91197 -14475 -156933 -67163 253599 -106523 -217066 33105 174738 66055 -71453 -190574 -121408 -62093 -127688 -89247 60826 58803 -32313 45212 -43112 238931 -39962 6185 129942 32156 215847 15520 -55512 89115 220246 -182508 174573 66002 -146556 57125 -142027 78710 -55498 90814 -8945 -71754 -80082 81741 156624 23536 -186230 15167 -43410 3659 132940 -209995 -31130 -166693 88641 -166189 -52598 124316 8253 -119634 36150 -68419 -155679 78451 -10415 6588 -132402 154663 -63732 20239 37975 -4576 -27719 -23887 26574 28107 -6495 69269 4295 120913 76328 1984 -54747 79067 23836 270119 17112 -72994 -174229 124192 127845 -111971 -188243 -123920 -44928 -72265 83906 -28625 -76159 -3301 -54364 22202 169682 35806 137267 96949 -63787 2955 194387 -29284 94371 -32825 162034 -41345 62614 -180098 4877 136781 -108036 21711 -124909 -12 -312929 5353 -31849 -49185 33682 92473 55992 
+-98309 -80756 -1787 -8952 -109860 40413 -66514 8386 -82240 21847 -3952 -60172 46311 -174404 114622 48202 33574 -87299 -8502 11907 53832 6018 -5610 -115612 66236 20151 -67884 -4859 74927 226376 -69338 91425 15557 -92773 72009 -109822 -66122 -72022 -93120 -50291 6362 -153190 -52812 -13798 2107 -808 24928 -29708 -122851 117700 -24526 211153 -135662 -59220 -20499 -76782 -206234 -4329 126836 28593 29612 41000 145649 114262 43266 -26776 80976 -195077 -103722 145850 70947 -121824 27487 147879 79099 -177542 27900 -71953 9162 -178937 66982 -66730 126962 -46012 78648 12651 29198 -128104 -36593 -3270 5265 82967 2118 -51665 46585 -80598 35676 52530 -39562 -176071 -8204 -48087 38696 146210 -47376 -30208 45239 -146321 -239353 65125 -126054 77906 45187 87464 -28601 -93055 -20507 -185065 -42696 184277 -68005 -194514 11699 204402 147885 -32706 -191645 -145101 -51099 -149822 -99532 63737 94640 -54461 4848 -51587 123978 -12567 -10289 152830 30776 232929 90152 27889 76460 219630 -204449 24284 -83208 -134730 1286 -94701 72437 -193019 93422 13679 -63765 -84080 85081 173142 -12518 -153082 -2883 -120985 13629 106499 -158357 -21172 -156339 127387 -197802 -6437 98418 -84083 -133365 28176 -69162 -96839 65739 15803 25293 -143285 76248 -185511 -5386 42282 -54410 -72344 -10732 5047 -57446 -6308 75288 25803 59520 49202 -56604 -39136 97125 79432 249001 2901 -58300 -205081 221212 123126 -69062 -113511 -115238 47534 -148977 152905 34167 -53882 -44184 -66715 -30854 112783 20823 195735 132224 -94988 -49119 151658 -23804 59090 -114048 -4053 -29098 70976 -151171 17361 138277 -101755 9319 -117876 -51740 -278865 2890 -37435 -71576 59189 -18692 15368 
+-88201 -144719 -13813 -90022 -162580 59183 88278 19894 -195326 62836 -22538 -56054 50226 -92226 99266 9341 89535 -39020 27908 27228 9459 -10423 -32635 -87368 65573 51662 -86977 -25619 -13325 124879 -133756 94068 -44759 -108116 58404 -110080 -51394 -63510 -36917 -53319 -114439 -89121 -83117 19573 -85071 21046 8841 -25649 -184314 93222 -2612 121004 -146840 -62448 29667 542 -155743 -38360 128154 936 22294 4622 79721 58207 26292 15534 10266 -17677 -90033 5046 18530 -74885 -99943 208032 78321 -192075 70691 -25463 46647 -167741 44215 43356 28983 -33943 65547 -175296 98298 -156053 -23679 33703 -43202 83765 -17544 8328 -41428 -83814 12138 -62156 -92660 -67975 -10433 -51673 28882 110669 -70922 10350 26978 -42626 -203630 154930 -103671 70124 101697 140792 -16392 -138533 -17997 -196039 83258 18734 -13221 -205927 15115 239553 73436 -43636 -184168 -123791 -139705 -61104 -75999 71875 122003 -78608 52843 -78873 83875 29616 1169 153187 32021 183075 145829 36497 54051 187964 -34332 -168291 -66255 -114711 -69330 -88924 91016 -184312 112330 -46612 -63442 -100874 82222 128398 -54872 -136046 58864 -211429 -13934 4827 -73921 -25094 -105285 132177 -233775 51611 6656 -121201 -99274 10462 -65889 -13605 38176 13970 6862 -126454 -26990 -153189 -9973 58856 -97066 -11952 -13742 -14732 14935 -4891 49162 15991 -4297 66915 -123351 -72012 76679 129446 223071 -37255 -38239 -217620 200549 73390 -45360 -4140 -130191 98015 -163418 164675 62838 -51412 -81273 -84967 21199 47008 -1835 225888 -2396 -129606 -86122 14996 -21542 83553 -47290 -109828 -21299 82147 -81284 37084 155729 -66073 -132391 -86622 -20820 -299392 18255 -50553 -95744 3106 -84327 10356 
+-83885 -121419 -18174 5423 -146134 70149 107339 59837 -251311 34119 12305 -39498 54479 12932 66197 -54669 122313 7147 28907 57406 -28076 53571 -38659 -126878 58844 72225 25228 -43016 -19712 20115 -203113 5563 -44326 -132472 83876 27625 -50807 -62771 -5447 -48934 -201206 -71593 -51700 59400 -112104 35516 -104970 -19002 -243735 70666 16497 -30779 -146204 -40058 40643 48705 -28593 -29308 132686 -37691 21071 50065 -68188 12283 14458 74822 -3354 81078 -69544 -57903 3841 -12607 -159336 144938 64801 -29890 68196 55489 78659 -132774 35752 14713 -55515 -13676 13472 -248124 76232 -151956 3819 20669 -65674 80554 -8320 39151 -105678 -72016 1224 -90137 -91000 75653 -2915 -101982 53106 35715 -74440 63529 8540 78550 -24700 196755 -69232 57722 -71561 181107 -12383 -146188 -20909 -181273 139471 -70805 95827 -162560 16270 270509 -62856 -9165 -173691 -90455 -127499 39957 33329 63072 140215 -91046 28065 -58454 -34029 46739 -31159 108461 34786 94929 188530 -31262 28186 135847 111005 -182897 73097 -73520 -145346 -60851 78838 -13632 119253 -65282 7410 -90207 68029 32716 -89533 -123621 154467 -210020 -1698 -62461 18497 -34639 -33456 111652 -222859 100857 -110104 -60301 -88789 3752 -69115 52315 21906 -26827 -12713 -85142 -74020 7130 -2759 25697 -125147 114182 -10889 -23532 179680 2554 50002 14481 5824 56039 -145375 23998 56013 185887 209651 -68489 1365 -187016 65184 38148 7621 61570 -130436 119414 -111898 36585 80475 -40871 -108284 -92513 20633 -6252 2821 211233 -160433 -152437 -119662 -72403 17188 67978 22571 -99435 -10040 96427 6958 22334 164611 -78891 -121808 -54685 42777 -264283 48760 -52231 -87454 -141186 -87591 9273 
+-83744 -56090 -21780 124948 -38351 74778 -40064 78397 -83376 31018 33505 -40774 55610 121980 39525 -105505 117669 39929 52414 47872 -72480 78967 -66913 -102243 56176 89659 81733 -18858 -113580 -68475 -208169 -30622 -65043 -163466 73093 173108 -51785 -123756 33781 -31974 -164801 -30575 11837 121485 -91621 22764 -194818 -34827 -234794 70723 84775 -101855 -79850 -61263 55642 32937 74698 -10601 128178 -43166 25169 45280 -168253 18492 18080 71190 61128 -21668 -66405 90071 13350 35132 -108811 25519 60248 103255 86759 121606 76271 -53103 -6109 12651 -76121 10647 16855 -115967 17125 -154704 25639 11423 7307 78824 -10872 75840 -131672 -70671 -21075 -8908 -126341 108595 -19598 -153499 59174 -33703 -55305 109621 -8962 121999 114479 182317 -70530 45338 -190431 203865 -23237 -105422 -22465 -145603 52524 -4054 177139 -141546 1328 274059 -65320 -4333 -157033 -66062 -101046 114446 82789 64827 148119 -114319 54354 -66991 -57281 56461 1548 107199 36854 1645 205105 -99754 8923 85162 58979 20973 134945 -45960 -180141 -27328 68117 136530 117424 -57030 48285 -95869 78403 -13301 -89427 -109270 171045 -116630 -28345 -46852 69662 -29063 52224 75465 -212154 70536 -171893 -492 -77906 -48306 -65524 95675 3238 -93593 14198 -100472 -56569 119304 -8606 -25344 -110449 134951 -38326 -21973 193926 -3527 5291 33179 37092 49265 -66676 27771 86032 223547 195023 -85695 23736 -116389 -24034 51686 235 -33040 -120456 93297 36093 -57749 40386 -51945 -121046 -79354 1779 -42321 29404 163055 -205084 -161825 -86687 -82965 8118 103865 58687 43907 -457 105194 -73953 13496 157118 -111009 -52514 -52254 64962 -255235 71240 -33402 -65702 -68033 -26469 5933 
+-62878 -11410 -15191 178186 151 48947 -174021 113018 57722 18685 31270 -48904 56233 173914 -47931 -144340 67891 62249 71164 54823 -99615 98648 -47668 -93994 61239 95216 112083 8084 -96592 -104778 -213769 -39238 -20991 -170742 64840 172875 -44421 -77141 68728 -9741 -28287 -31092 99430 176580 -8966 236 -110234 4793 -235262 27389 73321 -19285 25043 -48150 32322 -37580 77865 23385 131972 -16557 38820 41161 -146882 100076 35263 50766 182799 -185417 -70694 209206 32608 53833 39527 -26034 63600 99381 111109 141257 124470 -2786 -33224 -14757 -1502 23661 16742 53107 -76516 -176058 19052 34204 20107 76402 -10186 113322 -91285 -57679 -59643 116936 -104790 89211 24032 -142354 39340 -1335 -20515 153613 -25867 54705 73710 101086 -9546 55851 -151380 205950 -25031 -35354 -22928 -111129 -64481 148857 248092 -116271 47231 268447 48723 -12626 -132014 -67708 -45927 122448 133709 59327 144574 -123246 29028 -73470 -11864 17822 7959 52814 36602 -47685 221505 -182530 1966 19727 -136329 167694 215003 -42831 -187286 -22443 33679 105254 112487 -74237 85360 -64867 47255 13950 -67091 -77489 113435 -47932 4536 64098 123923 -42203 113781 42470 -175687 47985 -144777 47230 -83201 -43993 -66307 81025 -17284 -147989 4136 -114941 -26033 23201 -30576 -30342 -68087 77793 -9150 -13670 80870 -4911 -73915 49549 113562 48987 -4067 17886 82057 221879 144227 -123169 25070 -59749 -16420 38000 85489 -139251 -98623 45217 124190 -110382 -12839 -8291 -118501 -62248 26703 -54390 11720 92486 -78718 -139556 -79682 -33655 30522 134849 11577 178488 -9903 107976 -161988 21240 162103 -101842 22776 -77922 10433 -195414 50845 -21966 -55180 -6530 82702 -13158 
+-76526 -62269 -17167 73576 -4223 45550 -76109 138067 108022 21803 7127 -56655 56508 159063 -76894 -187474 20339 75010 24436 15988 -130363 121028 -3780 -130857 56171 95865 -8426 -17792 -142511 -33910 -174329 23689 712 -181995 62443 66041 -64410 -85729 49789 6703 31922 -76403 169654 185340 31991 -1169 19896 30093 -121080 7459 130212 134755 117118 -46617 -30336 -113384 1831 -49201 127955 23776 48032 2894 -23640 148228 55908 -7106 127809 -215213 -65226 153170 89148 33087 187279 35542 67618 -111036 96924 122582 131488 81986 -78757 -85833 98324 17878 57445 81430 -139054 -161777 6241 21572 48039 76083 -9419 70733 7065 -66029 -69031 188629 -69011 -21329 -832 -96815 68162 71502 22609 197178 -41719 -75003 -104642 12905 6411 48761 33301 189824 -5178 -91083 -29915 -50720 -45713 237468 269070 -40199 44094 264679 128754 770 -112973 -66440 -63567 64430 124806 59176 129853 -147418 38902 -81431 95288 -37639 6193 73068 34237 -36778 166748 -187706 10831 -43644 -222696 78010 107626 -57080 -168731 -10290 17370 -50151 113784 -37558 96133 -81866 9757 51247 -27761 -68389 20740 18135 10831 121852 141103 -14531 153968 42559 -152620 -5797 -31000 -24926 -58088 -5861 -76874 38896 -27102 -187254 -18691 -96226 35136 -152798 -33703 24975 -41642 2990 -32053 12100 -48029 -2504 -98786 14058 137626 31900 25176 36338 53428 224277 101985 -115595 61007 8364 107790 -5636 128922 -160194 -26557 7541 147490 -214345 -86343 -47721 -99790 -55538 55483 -39556 39823 10689 89000 -111038 -8360 78098 59534 145000 -74925 258432 -2496 102295 -189085 23850 181905 -72377 -10866 -106452 -48994 -166121 27279 -32893 -57841 113122 114027 -24859 
+-82753 -136035 820 -55275 -119362 49794 85832 144826 -65838 33648 -6520 -60872 49031 86776 -180330 -208177 -33762 61077 16930 1620 -181408 110928 46732 -84190 57775 97429 -87855 2992 -105793 58807 -107623 88927 -41340 -204958 70627 -82781 -78364 -88566 34541 -33847 -50066 -80267 189089 164721 -17194 20389 20312 -4688 -71680 -10138 159856 200600 181127 -64498 -109133 -133383 -124230 10308 121780 37750 63785 41466 105166 119307 70864 -10880 9286 -54074 -75964 -24610 85449 -16069 237675 155866 66736 -204216 102021 64612 106711 133535 -124540 -72440 172637 1089 56697 -78700 -125668 -152191 -30825 2741 -7163 72719 -17877 80668 75668 -57794 -71541 149340 -54805 -135694 -20823 -61094 68905 139980 63105 201046 -56365 -155518 -241412 -46244 23000 52612 127519 152059 -31724 -102004 -35515 -10448 77432 184810 290000 -23934 18465 219637 93036 -31062 -89655 -58289 -99335 -64410 77090 56061 105232 -163346 106159 -57802 229429 -51525 7831 39596 31612 31246 160647 -120659 31149 -83674 -114913 -111552 17014 -73286 -74350 5268 -8033 -212076 110979 -30123 64447 -89993 26529 139758 14101 -44569 -9158 -4819 -23677 12285 116443 7606 127356 56514 -104322 -61483 104775 -115502 -42583 -25523 -71507 -40589 -50707 -195958 -19830 -111028 178865 -193672 -38627 30915 28312 -83102 6696 39092 -33510 -16640 -101898 50502 147764 34071 7491 65102 68416 192954 59650 -165266 81205 89217 207272 40435 176186 -64091 -26785 -56802 105920 -193580 -120802 -103917 -69013 -67472 -11841 -4621 39081 -67558 132590 -66910 3864 229781 41925 122025 -112473 187232 1854 91002 -99610 17678 151777 -95600 -69012 -125140 -25251 -106427 -4084 -23776 -95246 22340 71471 -11436 
+-77625 -154113 -28332 -67539 -163890 8541 107161 137759 -211213 9090 -5780 -60376 49044 -27550 -221738 -239742 -58138 34218 875 44257 -180968 161060 45066 -92475 57483 75931 -94901 32100 -87730 170054 -36562 78242 -56116 -209646 63629 -127448 -91074 -117767 -26800 -33393 -154095 -157362 143030 121410 -111681 35505 -72776 50899 7043 -21772 130511 114112 223287 -52735 -170600 -82777 -204371 -17865 121014 4206 48640 -1867 148267 52299 73908 28962 -1386 78836 -74532 -61751 140552 -79690 193283 212972 92383 -72434 157413 -31539 63187 177328 -150493 -97216 153104 -18256 56027 -237974 -51545 -125776 -38081 20860 -29299 73656 -3838 16154 68147 -84600 -98161 29954 -23494 -244168 -37785 -49045 63570 115680 90380 216426 -70164 -139697 -196851 -30343 45597 87008 -37176 95267 -30344 -111087 -35829 19902 137699 43511 249745 27508 31002 217488 -18218 -35182 -60848 -65411 -134184 -103133 -488 47909 72270 -156128 66340 -66939 245609 -34861 -3955 69911 30987 119856 99686 -64447 57403 -106577 79765 -210316 -62716 -131886 -8280 10180 -22501 -190516 107840 -29873 101189 -80132 21665 168782 47881 -25511 53938 -74603 -32332 -60385 60244 -35661 83692 93673 -72973 -127735 149616 -81670 -9596 -407 -70787 -125820 -65111 -163152 3370 -131620 192059 -68147 -47328 29248 -3643 -7177 -9958 57554 99177 -11417 -110247 81538 92454 22531 -61450 73595 65691 155614 -11153 -143996 86408 160446 221591 55935 193936 32328 22506 -67896 11607 -102819 -119609 -45102 -30348 -83346 25001 55077 65378 -95817 701 -37609 38037 165785 75526 85569 -29756 39673 -434 77719 15812 45867 114334 -121890 -109728 -104947 39561 -47259 -35145 -39672 -99885 -111543 -41124 -32502 
+-99061 -93089 -24740 -4166 -134094 23828 -29240 137572 -246579 11475 16798 -46239 47346 -128165 -298270 -228417 -37980 -3214 -12656 73226 -189564 145630 36687 -120948 52504 44282 -16294 -7013 -53879 235601 47261 47958 -63697 -227777 79117 -8068 -95347 -139457 -74499 -50515 -219883 -144231 72811 50088 -98662 23419 -164524 48474 85690 -45050 98858 -41483 208697 -49567 -210342 -13195 -192318 -18833 110725 -35428 33725 31804 49450 2279 64304 60938 46410 11198 -78714 81900 119217 -122652 63411 145504 75641 108078 106824 -78515 29974 187860 -177155 -80305 65383 -41432 44001 -220073 24832 -101664 15754 22953 -59357 72951 -4879 -14809 -191 -66044 -76444 -74936 -16180 -260551 -40879 -71966 70878 32244 98731 206764 -81955 -26929 -16228 61572 65797 93468 -165690 41788 -7749 -113274 -41254 18793 65234 -53497 169853 70342 7805 193890 -114525 -61703 -41020 -53391 -137390 -137040 -44552 51351 33255 -190480 71242 -58620 179531 1047 -10294 48191 32675 204299 6921 18662 79809 -114406 104026 -53216 -95680 -125379 44956 9068 -42114 -41536 109358 -26122 36962 -73713 68885 133086 55828 34500 141874 -157166 -42294 -33607 -21560 10098 1034 128755 -13725 -137275 67978 -10537 -875 -199 -64913 -177535 -75159 -88122 35892 -102644 187543 75714 -66616 -24649 -51389 48593 7054 68591 206409 -4187 -33022 58557 64746 35070 -142666 71681 66737 99508 -61673 -125955 100285 160006 122655 84532 218506 35240 48842 -31969 -123300 11382 -67044 -17060 10980 -92786 39620 119249 69615 -95289 -144749 -36477 140468 49446 85430 59746 48281 -94222 752 66650 -10979 8823 87633 -94417 -84157 -74427 69240 -5180 -14659 -35088 -84556 -113602 -110673 -39625 
+-74367 -17120 1372 127831 -5316 -3254 -163438 129343 -109425 49133 19853 -40814 49775 -186751 -273352 -205159 48958 -46383 20700 49972 -208902 111233 26170 -101329 49470 24085 52897 20981 9797 253002 101899 -38287 -31466 -206378 94851 120858 -98569 -127260 -109238 -13386 -107969 -173276 -8772 16452 -79523 706 -150669 17353 83607 -37353 82732 -92617 152210 -37699 -256334 16852 -97116 -19194 107801 -52214 12001 15665 -89219 21480 44304 50005 154879 -154079 -92829 229644 93080 -142711 -69946 34304 57092 51953 93418 -102913 -8092 171818 -216045 -50474 -35368 -50078 56717 -46096 82959 -91185 423 34230 -19997 73539 1649 -44841 -85743 -46459 -77676 -82944 -65423 -199254 5408 -125336 66187 -22497 86566 187786 -92498 89774 116766 138467 64787 92813 -153419 -26476 -35622 -72448 -44968 -3301 -67855 -10260 122194 74429 10062 132868 23327 -59547 -15717 -71970 -91392 -104046 -83172 60807 -8674 -166616 77841 -46764 115777 38749 -16635 80621 35433 238388 -17787 966 89924 -96822 -58329 146426 98051 -139270 56238 35705 -39192 137657 86087 -42800 8248 -90029 88049 58182 35008 6426 173545 -213336 -86987 76634 -103844 44284 -79787 130568 25835 -129182 -42586 35068 3624 6720 -63903 -216323 -81708 -57898 47526 -122740 114255 91297 -67097 14325 -97887 104804 -4674 63945 155044 -9059 -21810 73400 -1265 -244 -147556 86944 45386 35431 -87158 -85914 84311 135360 -14644 108098 205220 -93949 73361 42696 -204498 157034 -20871 -18586 47955 -82253 43745 178040 13351 -66810 -191799 -48969 148994 -44988 95660 70587 28341 -97852 5171 60984 -111162 9549 67348 -84714 3000 -51820 25031 44742 -42159 -16450 -54090 -36153 -48544 -58745 
+-70815 -49749 8842 183028 -3711 -6462 -105535 98235 41603 36920 11652 -34789 52929 -175507 -295891 -172747 104278 -109315 48707 28229 -205107 110060 114 -86362 57664 3207 96619 22565 41192 187881 132722 -47732 -37894 -208002 82907 213836 -87058 -122766 -94307 -40693 7548 -106257 -78475 9653 -6121 -1591 -36910 54339 103767 -58952 11146 43897 65961 -50049 -260062 17670 33577 -8545 102900 -26190 11524 43498 -187055 95209 26574 -627 152549 -223001 -104925 114913 79043 -121703 -166019 -30285 59092 -48493 66209 -57356 -48890 127285 -219098 5555 -87693 -38959 17714 79299 72189 -98033 32329 62031 54894 74937 881 -73717 -122345 -37484 -55697 12491 -96889 -74137 46065 -164884 72600 -6574 53957 163731 -100821 117479 67521 199294 89653 83214 38537 -75226 -21889 -54877 -40419 -42371 -58103 124839 25184 107696 10973 92403 137647 -51783 -2770 -57858 -44481 -24799 -97286 60834 -51267 -168542 41755 -69396 -9804 69864 16701 166044 36981 200214 -35662 -15298 83255 -56920 -211732 132921 182877 -107166 27728 18245 -25406 76501 107242 -79313 -54082 -73269 114460 -18169 -11272 20089 115155 -167104 -92890 100252 -194871 14579 -147725 107713 53459 -100243 -116027 46103 -18120 21518 -65306 -200240 -76225 -1378 -8397 -121616 31595 -68315 -81470 13467 -145403 110833 27576 48892 18932 -13889 20464 84431 18953 7443 -105878 111741 39690 3897 -85319 -52065 75674 88431 -34341 133714 191194 -175580 111580 83818 -163253 145271 46946 -29629 74781 -62164 -332 222885 48900 -3042 -70936 -82567 94723 -110610 104170 79077 -18612 21326 -2708 64061 -186200 28078 31205 -105752 40437 -56554 -47428 47166 -22749 -32429 -27396 67272 37631 -59024 
+-81493 -123019 -16328 85817 -28375 3928 77323 71563 122784 33197 3012 -41456 57916 -99673 -237321 -118508 116889 -145526 11462 14709 -176740 86017 -60623 -75232 54826 -29655 10287 46096 102680 90673 107731 -27240 -37566 -182300 83647 74273 -81959 -127471 -84240 3914 18393 -92989 -70545 29982 18532 19690 44587 30531 81974 -48348 -18132 142669 -23802 -36763 -217111 -58492 89355 12449 93066 21966 12662 11366 -138432 161286 14029 1409 54885 -93240 -108884 -25357 53039 -71963 -125088 31359 50644 -161012 69756 -9452 -57915 47435 -224303 34305 -37173 -33663 -963 42558 -3982 -67071 11259 33278 23939 78200 -6617 -124244 -103310 -73948 27080 136022 -119289 38911 10366 -137703 74489 66952 12831 126257 -107967 36274 -113623 194572 36847 66412 106472 -110382 -13610 -85173 -43029 -93514 70192 230403 -46559 106864 23669 49359 129395 -51815 11751 -63647 -86944 92417 -33589 71648 -91394 -155952 48288 -25937 -65752 29265 -9296 151300 36131 121951 -39330 -87756 64312 2029 -173568 -27234 224907 -85229 -74208 31478 -15680 -55217 98813 -79611 -73547 -88809 115289 -10815 -59504 56731 22009 -69983 -72341 65231 -237668 42024 -163570 71360 53530 -29487 -164412 -85568 53358 -10749 -62335 -153824 -87602 33406 -12885 -132328 -30149 -191558 -87585 33124 -93506 23991 15885 28859 -54918 -14275 87687 99847 62214 -778 -15707 100284 33952 -36236 -116927 -18528 51453 -2082 51250 123768 194571 -120925 116287 108564 -47841 168807 80673 -28889 88484 -53942 31187 249055 13801 74636 90161 -118831 137712 -61431 119999 90269 -98108 185615 -2764 72890 -177675 11846 8756 -137949 -1105 -93452 -39245 104240 -2284 -32204 -67605 -42140 117192 -49723 
+-80926 -126446 6822 -32683 -125572 -29452 112341 56210 -19081 38017 -21051 -60569 57988 15788 -215202 -81465 116871 -192132 29039 35694 -143392 44063 -68756 -82552 53056 -54686 -90946 44435 141844 -28688 80370 84117 -31206 -180413 63850 -64549 -72020 -108070 -70110 -29906 -107823 -52110 -33143 73756 -2958 35404 -26023 31949 15840 -38028 -19736 192178 -96434 -37754 -125906 -104061 50792 -36257 89494 29592 44564 -1171 -12628 114695 18640 11728 -3618 67551 -115896 -49884 3434 -10327 -26613 153875 75264 -111470 56691 64735 -48238 -11921 -226049 13859 67289 -7787 20713 -151359 -98363 -52409 -18194 48887 -117 81144 4712 -159854 -18611 -70573 14900 189934 -111440 106546 -6161 -88494 85529 123843 -29306 79864 -112858 -88035 -243295 121087 15840 73272 -37043 -126570 -16471 -63392 -41219 -143791 140669 192032 -68300 74460 12838 -11006 -4214 -54252 18894 -82918 -132245 120227 13639 66360 -126069 -159012 68197 -34764 -19400 2850 2738 161917 33467 27204 -61171 -157241 38703 62576 10676 -198979 68332 -83553 -127410 14509 8929 -198941 88528 -87947 -56977 -88130 103973 51601 -86961 67253 -11641 -4951 -128330 -31097 -251332 41193 -154131 36347 78553 28709 -117763 -112095 60815 -28003 -66456 -76109 -67993 6645 18788 -103215 -78318 -155034 -102547 54810 -62673 -35985 29867 1183 39343 84 97069 110029 97200 -10164 26847 97229 44198 -41313 -102710 -10720 30583 -57732 192597 116226 156677 -9716 140599 98025 65924 57737 72275 -41151 85756 -65313 25467 244037 71320 152028 124005 -157042 95816 31108 123165 126951 -85342 228915 -6171 86259 -51320 -3177 -21960 -89706 -103245 -119665 30868 102080 -20377 -29277 -99715 -84058 101086 -53386 
+-106340 -73991 8856 -71024 -187997 -17071 -19043 29763 -219790 12800 17610 -63844 55554 109623 -138762 -29344 62016 -214865 51360 41523 -99416 18042 -64746 -93647 52903 -65085 -105128 35789 171089 -87953 -8360 86930 -48997 -161519 47782 -140401 -57273 -147424 -11064 -23909 -198896 -34049 49021 144690 -93407 24058 -138379 18207 -88504 -16640 -76162 100761 -161383 -30574 -84347 -125159 -78352 -35027 79556 23506 58179 42946 115658 53347 34518 57651 39442 45202 -126051 77680 7258 31401 148170 210138 73816 40932 55778 103611 -34907 -80051 -213737 32427 148253 14654 50646 -245363 -142084 -16205 -19724 34123 -54694 81506 628 -182198 40212 -76760 29319 131985 -100455 104553 -45290 -57253 74635 128015 -61294 40370 -115896 -161638 -190555 44049 -24951 73129 -198453 -126309 -8125 -60578 -41258 -185451 61418 56966 -68101 44087 26201 -41291 -115235 -19407 14289 -99477 -125801 68246 106395 68867 -153370 -141273 61654 -41079 64875 -38405 -14959 164916 31279 -42320 -42127 -186635 14847 124858 119550 -121980 -45514 -29409 -193237 -2505 22394 -182410 80264 -63621 -46351 -53858 44804 149678 -91777 96472 45140 10265 -132181 -62783 -234436 49921 -94240 37727 52473 74928 -9942 -78557 87158 -27163 -64819 4961 -41232 -26150 33836 -107000 -85249 9649 -102789 1313 12268 -63718 26868 -12630 184824 -11982 65439 147538 141009 -15005 10564 68549 28109 -24558 -57363 28251 -14529 -160486 237161 101717 114880 55704 146416 68908 119939 -32942 38959 -50848 68083 -82018 16837 221890 43205 202492 4095 -163212 44058 173666 106122 134844 2791 189906 -8635 97872 -19458 19320 -41855 -73973 -101220 -118308 76312 80265 -600 -32401 -87493 -120914 4167 -62035 
+-82646 -21852 -41114 19043 -89002 -25989 -159470 -4697 -266935 54089 23387 -57209 51718 161804 -46998 14543 47164 -218653 36662 51216 -77871 -4387 -34168 -108182 47600 -81048 -14114 28073 158485 -87526 -87578 75468 -38892 -136651 73193 -40052 -52648 -84404 29321 -34211 -170223 -57097 136044 189133 -118027 1201 -195854 20085 -164977 19545 -50933 -84123 -156376 -46062 -17838 -66328 -179284 -31576 79711 -22964 51722 68138 134853 -691 53336 70842 127134 -125673 -130524 229932 -18950 52176 220970 145762 79351 108130 64176 139787 30039 -154575 -177753 17968 169801 18583 110491 -149466 -112648 -37340 -24562 64884 -56253 83009 15169 -186601 71804 -45453 27827 7687 -64294 -11920 -9456 -50603 61034 43149 -74248 -23179 -116527 -131341 -6432 -30815 -49010 53293 -116826 -100457 -13317 -22351 -36604 -203333 -59041 -56154 -66737 -613 -8569 -43556 -12073 7245 11020 -103744 -75246 15030 137723 52882 -170926 -132578 48543 -44008 177653 -54769 -7694 123080 31160 -48073 6139 -130363 3581 178893 17570 129798 -117295 -58982 -197103 -25859 52680 -47244 96835 -45802 15801 -60309 63097 184034 -69462 93799 137619 -70594 -117229 -3554 -178618 93342 -16134 60347 32655 94446 111109 4249 147895 -35386 -60146 76188 -43511 -51632 31106 -97181 40965 114136 -122671 13072 12726 -3237 70673 -25512 177826 -19467 30882 129240 129115 -35534 -36486 82731 74757 8166 -47954 10581 -40188 -176856 141707 70675 66093 -52527 140554 -15172 133843 -143199 -38005 -55477 36869 -89837 57382 172581 63340 226494 -152470 -148791 -42731 224782 134108 107816 57545 49808 -17602 106570 -55108 -8401 -72809 -113917 -40099 -86597 33302 58567 31426 -29469 -100395 -13620 -83774 -77015 
+-89265 -29167 -56465 127977 -17249 18351 -114649 -5138 -115485 43584 30362 -49842 50232 168712 12450 73442 -4154 -223454 26906 48445 -30052 -50707 16573 -107148 46813 -91100 93170 10256 166976 -8935 -145679 -15206 -11509 -117837 87764 96803 -52627 -150170 87433 -17752 -43750 -54553 182571 191500 -71694 -1913 -100321 -30320 -195003 22538 -48805 -56764 -122651 -80501 32651 -34450 -209744 -32574 69780 -53142 45556 24616 50313 18415 68420 50623 169229 -220544 -138100 156382 45334 30102 215062 26390 59301 -56778 117853 93356 36365 -178906 -150847 -62442 107085 15341 74589 33284 -6456 -18094 -19635 12793 -36639 83277 5231 -182873 39077 -46632 26002 -84827 -40363 -123385 6351 -94981 55805 -26714 -67190 -54366 -115671 -9296 120297 -38511 -93729 49219 52918 -56025 -17168 -64487 -38254 -182331 -49036 -18666 -14223 -62372 17011 -71338 104616 -3384 -2527 -139141 -49308 -93016 121168 63590 -177351 -97997 73061 -38316 254138 -35761 -30702 51127 33371 8516 76049 -35719 5399 199030 -163494 179046 -24659 -55276 -152262 -2477 73323 126478 79875 16347 67837 -94048 43540 169507 -25333 111989 174884 -179085 -155120 109743 -89718 66669 68374 97368 -6492 83974 123135 35567 144249 -43847 -60991 104620 -19496 -159083 -10924 -116763 117203 31256 -134496 -40406 -11073 81107 47403 -20254 72061 -27353 -25474 117570 83092 -22963 -121874 40744 56517 59556 11934 17132 -55382 -211753 5696 16569 21332 -148653 113619 -59914 38366 -205834 -96646 -42225 -1511 -80642 36762 114751 22919 203629 -197416 -122907 -47024 136992 124942 66055 49575 -93650 -30807 106472 -176248 -23254 -80670 -124264 14949 -61377 -27806 36528 87285 -17413 -66081 80785 -101359 -78902 
+-90664 -116038 -1398 152064 126 3702 70417 -12880 30874 12034 20939 -40673 46000 90588 53503 88378 -45128 -200017 14827 58206 10510 -68628 50635 -92171 44774 -81122 102841 5823 92293 103895 -212817 -66500 -13004 -83918 94188 207341 -52440 -124087 43294 -60928 24041 -108698 170426 168148 -5127 18996 33354 -51103 -262293 65857 10087 85919 -47695 -33341 48255 39415 -140337 -31018 65641 -38122 31467 55100 -101468 94220 73328 -18504 113659 -139524 -122509 -30339 98649 -23460 99005 -23462 41181 -174921 139643 30114 92251 -181241 -113286 -103938 -4861 -2059 41411 95047 52601 -30330 8302 17758 2547 82600 15388 -127363 -55014 -58176 -18402 -72553 -19996 -226124 1853 -138287 42237 -10082 -37981 -88486 -112642 103368 61713 30377 -142577 67682 104935 -12092 3422 -66833 -35593 -161101 72921 108609 67716 -43123 2624 -81606 147431 19769 -14027 -160373 -62298 -164214 48594 59456 -172915 -94904 15624 -19829 256448 14939 8695 48812 36068 105481 112960 8302 21928 221440 -212013 -6613 106470 -105014 -79534 -16799 83244 89528 90904 -13306 103063 -71842 31054 61456 21385 125393 118892 -203050 -142149 95513 -14318 89364 127058 128033 -66030 22678 28107 17104 166262 -25492 -62975 81351 7841 -181812 15144 -126944 193442 -146742 -139006 28037 -73449 127112 40703 -8609 -67268 -17445 -66258 91128 51915 -42027 -136536 34237 26656 106955 38880 -8032 -67062 -203003 -39103 -9344 -28437 -173159 93119 -34771 -33515 -183550 -122196 -38331 -42416 -61237 56415 48882 73453 155633 -83609 -78074 -86179 -16038 120530 62547 -33720 -124695 -37893 100552 -180646 -23448 -97737 -69775 28775 -47605 -40030 -5268 71391 -53523 -28445 22889 -25087 -78142 
+-91656 -167744 -451 106742 -29829 13714 135599 -20361 128086 56994 -21736 -44857 47838 -13196 125330 88731 -9130 -152546 7366 32603 41522 -108115 46937 -111309 45445 -58892 20116 29306 67234 205732 -219007 -37927 9289 -72443 75275 157727 -65772 -118916 51705 -35363 -35853 -134242 119772 106464 28854 35292 26282 -66474 -203208 65268 33179 210631 36511 -59146 51710 1960 -18896 -35022 56453 4505 14306 17251 -181194 130620 65335 20991 -7981 42050 -120066 -89334 101486 -76405 -27187 31169 69706 -154588 96056 -41825 96541 -168482 -66936 -92300 -75872 -18645 40675 -45903 74891 -47569 22851 -5931 47094 79429 24377 -91058 -117446 -54006 -54377 34591 -19324 -261302 4878 -162346 49107 75082 2212 -97935 -107587 118305 -123288 125339 -146378 57526 -43091 54664 -4410 -44773 -28733 -114205 137620 235299 152942 -84259 -13381 -109761 24710 -24870 -35089 -123440 -108635 -122799 -27478 59151 -157305 -63702 38006 -31011 182364 63894 -1457 35515 37084 182999 135289 12589 47544 207616 -60656 -164645 204551 -115503 -7707 -49453 90427 -55450 76615 -20706 111333 -67266 36701 -6077 46283 123253 26365 -199418 -136203 -31250 64514 106122 143249 124789 -108814 -30957 -83676 -106172 160617 -17553 -66487 23701 28761 -174692 10264 -105569 221051 -182962 -128457 21909 -120562 71967 32564 17059 -7895 -10025 -90843 101483 5983 -24770 -107459 47011 54713 163956 67352 -49080 -81059 -109265 42215 23028 -42766 -48353 73258 -19969 -156449 -152586 -107897 -11658 -79654 -54299 35602 -8118 33704 86738 76824 -59959 -104595 -94243 114153 89193 -130909 8986 -36326 88196 -93856 -17395 -88192 -122465 -65560 -76068 29004 -74093 80133 -31892 -78028 -77961 87640 -59191 
+-73901 -90675 -56739 -28430 -163609 27180 -1641 -10449 -37220 46349 2765 -49034 52094 -125070 152665 93393 14128 -116016 26210 36274 60719 -169010 31828 -83138 45202 -45974 -82072 32451 23329 255105 -204317 54437 -30923 -44226 76525 -14160 -74538 -96778 -7309 -29334 -168598 -132817 34022 38155 -1367 24708 -115687 -51701 -162007 97693 46324 189548 139663 -62790 22080 -41340 78250 -50490 55444 39945 15912 15433 -130623 113472 46078 62516 -791 67111 -112468 62819 112774 -120440 -144632 153925 74036 41070 129801 -95094 120139 -116551 -18141 -82286 -62438 -45510 5576 -216717 32094 -26591 21837 15118 3069 77758 31994 -34027 -134412 -32151 -100655 152387 -46978 -210200 -18770 -111715 25285 129644 44622 -100782 -100784 24882 -245358 185632 -179082 69920 -197298 115778 -28205 -46990 -36286 -51112 66720 205616 225485 -162668 20287 -77946 -79203 -52360 -56182 -115377 -162884 -56860 -69922 46738 -131770 -55046 38075 -33386 31131 52350 -5568 24498 35613 235878 208872 -22237 71928 174326 95679 -137576 154541 -138014 46319 -78129 74171 -190296 84907 -70094 97515 -91092 26200 16501 59859 122272 -13430 -93092 -123904 -72550 138927 108993 128057 100786 -130748 -93552 -181418 -119888 181282 20035 -67806 -56249 47651 -173588 4031 -137089 155295 -63390 -133389 14285 -144284 19217 76396 37386 120637 -2676 -76338 92056 23065 -53083 -40633 19951 48812 209591 137599 -90625 -98724 -39039 166737 7561 -99662 47403 17834 41875 -142183 -1563 -50808 -23181 -107063 -61629 21901 -42680 45333 10515 142452 -39278 -116818 -106931 155508 71021 -57160 149270 -42176 74792 -12941 -17239 -97843 -139130 -117053 -109076 77360 -109397 15094 -26710 -107143 -99238 118169 -56788 
+-122249 -22548 -12315 -77280 -149818 36220 -157034 22366 -213372 56812 14311 -60848 54780 -176332 108445 70114 88650 -69023 -2214 54808 92162 -150481 -2093 -72955 43822 -18949 -66668 276 -35597 229223 -145963 86255 -65639 -5352 46900 -129879 -88384 -108076 -51993 -6678 -207430 -115691 -36922 9111 -105390 1680 -187748 -35872 -135086 106512 123340 57828 211319 -46940 -42249 -90540 75173 -52398 45913 25332 17312 9496 9057 47287 27817 86043 87211 -85352 -100008 212754 128541 -141977 -145448 211258 97588 106489 104417 -86859 114945 -46960 25649 -41444 14203 -53235 31023 -235812 -44231 -76129 -14700 11224 -50963 76052 37633 12471 -56998 -41974 -109957 187786 -68658 -86630 -7711 -58926 37034 119510 78647 -85175 -92066 -97862 -182316 197521 -175062 79728 -141445 160435 -18726 -21992 -26375 -12852 -45319 84473 263651 -166564 22110 -40177 -44155 -74569 -85890 -146744 -111457 54961 -103123 51597 -98327 -26445 90498 -57477 -33219 21129 7806 73268 32788 215096 186808 -92413 87241 120603 83935 56373 61068 -136627 72407 -75651 52981 -185926 81782 -67622 56113 -75312 78195 36229 30003 136044 40987 -20371 -117161 12860 146637 88327 65330 62707 -191650 -121569 -156892 -48426 215247 31414 -64630 -139325 66017 -147652 22066 -109116 106811 76792 -149092 5451 -103744 -46141 77149 56851 188569 398 -70581 85249 72741 -38895 -11845 172 72944 231782 169116 -109485 -107456 50 225712 20516 -119914 17159 22092 93006 -117022 78338 4781 -11494 -120899 -81870 35965 -55585 42655 -56681 13439 -43658 -30965 42729 155311 112872 12911 244759 -49729 65484 -28506 11798 -52906 -117787 -93314 -127598 21220 -166713 16833 -47854 -109884 -26132 61329 -39360 
+-100248 -30324 -2381 5635 -128627 59359 -125073 49594 -270923 39483 25993 -66158 57952 -178293 57115 19541 126998 -18970 28279 31084 85951 -159941 -38873 -83118 42476 17684 -9634 11499 -96784 141174 -81634 44934 -19777 12000 56029 -124268 -87074 -126334 -46078 4316 -110527 -90509 -81186 11847 -102028 -2233 -148632 -23598 -54639 142908 140649 -71010 206177 -65850 -117159 -121364 -11058 -11918 45401 -13220 38334 20563 126280 4716 15480 43480 164982 -223692 -97625 154614 136581 -124142 -50688 144233 90034 4638 109541 -59235 85911 21501 39257 -9194 116006 -48118 32149 -85646 -111548 -25420 -22879 14729 -57035 74281 45432 41384 20608 -14544 -97774 113036 -106303 26483 7288 -43216 38736 49185 98970 -51347 -81747 -166485 2139 146536 -192252 73461 27610 193299 -17331 -27299 -26955 14537 -58237 -73591 266393 -202932 33680 -36325 84569 -91786 -112230 -74115 -48864 119951 -54431 54732 -59012 -14279 63021 -32798 -81205 -19052 -31741 72826 30979 145760 214657 -140820 87597 69535 -104487 154469 -74292 -121791 40166 -88749 32762 -38646 66344 -68734 12247 -87885 90413 146044 -12643 153054 133616 9628 -81934 82859 116904 114559 -16177 30055 -220588 -156431 -81107 37554 212778 -8740 -57070 -188819 76981 -72151 -9288 -79003 -18865 98717 -144928 -22157 -55874 -21176 79430 63606 123291 -2457 -28402 49377 104124 -50833 47513 -409 56886 231147 213774 -153703 -89725 63209 173228 104622 -146776 -90492 -36356 135344 -921 181018 59760 -34936 -118806 -91478 25258 -39769 10668 -98763 -149684 -67349 -21058 156626 151240 125431 38711 200901 -57326 61495 -139579 17846 -56131 -116291 -1962 -116439 -41549 -210657 -16037 -42940 -64657 79070 -27189 -21952 
+-102010 -99867 -8406 134735 -7509 64025 57363 75437 -123042 3014 19288 -60184 57333 -113011 -14207 -22136 125016 31551 41582 37955 88429 -172784 -56020 -87925 43973 42104 85938 12863 -103135 30688 -16596 5714 19494 38532 75304 43025 -93846 -93465 -121945 -15167 3008 -99709 -60159 47937 -72646 18308 -33511 -8216 26090 135051 121659 -48723 192171 -62969 -187611 -96953 -148947 -28846 44099 -40646 54092 40469 143716 30041 18290 19891 121359 -165695 -80289 -28237 69998 -70472 97346 22008 42357 -166999 90516 10316 57058 87832 61704 -5083 180717 -26727 58830 77048 -146294 -85538 -37545 15288 -15504 72346 43935 90884 72531 -7146 -63669 -13403 -145529 112886 16849 -70750 26724 -27643 94794 -21416 -69840 -119513 122619 66514 -173231 96117 125293 202871 -3485 -19247 -22698 15173 66017 -47306 254113 -233055 21820 40523 137008 -68074 -131449 -87941 -62977 99347 -20564 60798 -16753 -13132 57204 -38470 13492 -58195 -2122 126574 31420 52165 173008 -180134 73349 -1217 -229959 58395 -80125 -80932 -34900 -81617 14522 105335 86395 -51761 -15297 -97768 80776 155504 -54862 152168 170279 -45356 -60827 93031 67099 75147 -99280 30732 -223430 -122863 27849 41320 252284 -29551 -61387 -221129 95749 -19783 -238 -125144 -49055 -75572 -144299 16587 5510 4264 72053 65392 -8931 2999 26346 49169 139011 -51394 -58532 -23527 60529 211611 237779 -185203 -66270 138640 51700 110255 -110171 -179743 -66343 92744 87132 146072 74451 -60269 -101080 -81437 45598 -2655 11494 -98325 -206392 -97468 63045 196689 83003 124413 -14099 46047 -57715 65503 -197099 16142 -60296 -92315 24308 -77148 -56108 -262473 -55174 -29098 -68118 24533 -90136 -5991 
+-91202 -156926 8381 177868 -10804 68492 138128 96787 45530 29549 -4565 -39572 55161 -5554 -78690 -72376 99982 49350 65265 26237 79570 -174962 -39133 -119439 39325 73562 93801 2832 -119986 -60699 54614 -44658 -24548 60571 75069 149005 -90506 -82125 -114280 -14923 17340 -57992 -606 99740 6083 35200 38853 13094 100163 139764 127821 109061 161511 -42297 -222126 -15031 -217778 -40091 44937 -38296 55687 58643 10769 108439 31592 3446 46802 11046 -67411 -41883 31125 -9268 221933 -28001 48615 -172133 92735 68488 15079 143800 81859 -5774 133885 -1574 74740 42342 -56152 -72775 -14276 20916 34376 73180 46406 91953 62144 -35868 -56734 -90940 -113457 100881 6352 -120920 23064 -7753 71777 28206 -56182 5660 55057 -25600 -127816 92218 -25912 200666 -21855 -25967 -20574 937 138171 87292 202299 -251886 1738 34168 32570 -66318 -154352 -92879 -119400 38422 64984 64260 25974 5561 42645 -47480 132305 -38756 4949 157221 34085 -27395 114348 -151033 49346 -55758 -130547 -143831 -1946 -44072 -128065 -121286 -8407 88059 86254 -69334 -69299 -70379 128424 175465 -87280 142770 128688 -144375 -44724 11795 1543 91841 -144847 61166 -215537 -85471 109467 -20353 254398 -53667 -53968 -198862 93620 -4375 -2209 -134517 -97392 -191592 -143315 27355 -9156 129415 76277 46419 -47936 -14066 53810 39216 120415 -45580 -103286 -53628 61680 170861 248541 -122818 -42694 146845 -44160 105295 -95435 -109360 -101421 53090 151219 116601 66313 -103806 -70924 -62632 73166 52624 2613 -62169 -93149 -135131 140175 122895 131352 113032 -84944 -57653 -55255 75962 -128339 -13824 -36720 -98041 3783 -44759 19367 -273408 -36971 -32138 -8413 -125786 -85194 33105 
+-78145 -115060 -37480 112784 -17844 45352 -5905 118484 96651 36273 -16363 -41333 51142 111463 -118068 -135145 24966 75644 62882 21153 58347 -167011 -33439 -92235 41533 81136 4493 -20669 -118745 -95343 114367 -42264 -30975 80318 58021 201651 -94232 -51014 -96348 -10285 -103955 -35828 75978 161770 40290 25332 -42624 1922 98940 154115 62742 212381 64130 -57624 -261218 38984 -175103 -12757 35057 4077 41289 41594 -123150 147505 51065 26665 -28597 76646 -77808 55631 30133 38149 222023 30592 64050 15651 33116 123126 -29384 184481 78948 45212 34181 19649 45610 -125230 32283 -77844 -2391 4403 47088 74180 56145 90878 -17949 -24825 -59318 -59255 -85806 12559 -47119 -133298 55622 61176 32856 53026 -41237 107878 -132378 -39465 -87083 92033 -173835 172238 -1923 -3894 -20847 -42723 68140 206491 119193 -223797 -9249 114366 -59291 -24574 -174387 -83855 -147571 -42712 130239 69712 65638 7335 30699 -60988 226373 -12921 8263 179709 36476 -49897 72498 -50288 23926 -101708 53431 -196124 115066 -42602 -192177 -135331 -14951 -54931 89808 -45377 -68400 -86289 128323 79425 -95066 171241 29665 -224966 -32422 -89922 -90946 83638 -174409 100288 -207493 -9375 111170 -126760 279397 -39454 -66292 -137317 99246 21488 17721 -110199 -18108 -169676 -139744 39716 -21333 126682 73882 21443 62259 -2755 103981 41289 85027 -50805 -131225 -60275 51647 128182 244899 -96194 -1037 153989 1402 123783 -77853 481 -86020 -10974 108803 -3724 8469 -77336 -32117 -52842 49830 116495 19791 -4560 84922 -159323 113193 46240 116757 97968 -97931 -102292 -48187 89576 -64520 29958 13519 -116393 -112532 -57650 76573 -304171 -36522 -21582 -74647 -92770 9231 -9339 
+-102947 -36840 -10267 -47582 -131202 49108 -153624 141786 -31247 53079 -3719 -41997 47530 164626 -254361 -181090 -22555 71563 84153 28004 21982 -153658 -1998 -83949 37167 104553 -86866 6620 -88402 -55145 118108 30790 -66995 82512 62014 44350 -67831 -48595 -46673 -50289 -190219 -41275 148944 188826 -13362 2203 -173715 69467 109060 129028 54047 160687 -53230 -53927 -223709 25974 -64434 -55802 42971 28705 32144 16088 -187559 125578 69281 55364 60080 -59403 -68983 201974 -5826 49206 155345 151225 77707 129312 104349 113826 -59955 191334 58340 -19374 -57574 26003 50517 -250958 80497 -91418 25011 -36276 -14865 75615 67535 67194 -103552 -8200 -964 57114 -34669 -127011 -39483 -132198 53391 127407 -10378 102965 -25360 107396 -248001 13104 -30214 73852 -131403 119795 -10822 -1228 -17788 -86605 -58871 227904 36039 -240032 16148 136466 -61409 -9476 -181172 -44378 -138782 -139402 138222 70290 99943 3242 86051 -60911 230971 26827 -1730 135833 36998 -6730 33362 2730 5522 -115085 118739 -4206 167055 -62066 -214509 -173958 -36335 -198213 96318 -25747 -42211 -74178 84319 -3839 -74842 156978 -10655 -166381 -45770 -55144 -173710 87328 -145946 130787 -180514 62370 17425 -96474 213587 -15044 -57778 -77244 98320 20352 23300 -137512 17816 8920 -130241 22127 -52220 40582 91274 3261 184627 5480 65088 24153 21581 -58325 -107750 -129722 58111 62328 222254 -62986 21599 84411 134863 146485 -12548 58362 -118846 -48434 44927 -123467 -42558 -5502 8928 -62858 56355 175039 100 82107 131923 -155190 124213 -90395 114246 66755 -38380 -24800 -55088 102741 5005 30380 36876 -107957 -89586 -98464 57265 -296617 -11863 -44859 -111516 -40557 95481 11867 
+-84416 -17780 -24241 -82386 -154241 36010 -129345 138497 -234443 28392 13588 -53677 47315 162955 -275384 -208256 -19156 39610 15262 38977 7754 -139245 20461 -91617 34338 98906 -60627 10545 -61476 41830 113084 74071 -55912 93605 53878 -73160 -69849 -63115 15441 -39245 -167183 -64295 177469 183647 -101137 -2522 -183713 52963 52136 109437 3772 -3439 -134024 -38294 -192563 -29358 49498 -23196 43889 26569 18074 29069 -117118 53070 73490 72811 133401 -210672 -72784 165299 7782 30875 17776 214764 75521 52000 78321 84964 -70233 157608 40138 -52621 -74873 11972 40207 -178836 77945 -124247 20086 -13135 -46732 78927 73575 -1083 -133147 -17006 22500 166276 2007 -223879 -23877 -93656 50810 117570 -48175 141015 -8502 9116 -175193 95892 -26072 60371 51480 73366 -12133 -47074 -17595 -141844 -56684 95153 -36326 -145371 30676 211397 41453 -342 -191079 -95046 -88348 -151606 91450 60703 126038 7406 33992 -65220 216148 50859 -9765 123127 35015 73699 -44420 32604 2474 -115192 -23287 165743 151934 -78865 -154469 -147821 -43278 -168411 79528 -30465 -17711 -62031 64040 -3814 -26222 120852 32090 -119314 -13262 77032 -230123 79114 -81186 116909 -137372 101972 -117372 -19601 222780 -69246 -53102 35167 86480 -63225 1424 -82072 102419 118954 -131216 -30820 -128756 -55865 98518 -16149 173203 -4040 79448 -19205 3599 -42241 -72909 -93200 99511 12274 217703 -47452 46056 36807 222973 136751 37301 -49253 -140892 -49786 -93905 -182242 -109970 -37544 46193 -79670 13738 223109 21067 159160 21676 -136238 156022 -115359 101561 40913 19952 138187 -44927 107121 -53821 28736 86556 -143906 -48305 -123470 -18893 -280033 -53770 -30763 -58987 35819 115911 22917 
+-95864 -93693 -7554 12066 -138758 20003 33278 123003 -301183 2769 32725 -60078 50226 97441 -289699 -219381 -4368 17889 26182 76160 -29404 -103886 55233 -88146 34938 87651 15361 -40499 -10514 160055 46944 64810 -19233 103929 51153 -141396 -56444 -58161 43496 -26873 -42406 -133151 163631 142004 -108221 17590 -64203 48986 9979 100925 -35176 -87188 -143353 -58815 -161335 -111410 90347 -33454 44516 -4544 16217 67814 22393 4871 64806 33293 161795 -195250 -73175 28536 58865 -16142 -101180 144028 90634 -166290 67218 9054 -11934 100270 8193 -93089 -13210 -4670 23356 -2394 28087 -110902 -19228 -7129 -47923 80808 71061 -18075 -94667 -21921 32734 182167 -24940 -259304 -6518 -38830 66796 49349 -71685 189612 9365 -113232 10134 179332 10912 43563 98439 8723 -23674 -28082 -19483 -174543 52686 -24732 -97950 -150794 11983 244291 137223 -2471 -188723 -81906 -54400 -57270 22549 56464 142180 -13101 43300 -74032 94489 48292 -41258 73199 32148 167622 -80089 -4527 14200 -85460 -198441 120098 -12179 -103576 -81001 -197840 -26670 -22290 98661 -36733 42199 -72275 40167 23933 15697 124116 128282 -56902 -18340 112525 -257737 45357 5167 102183 -97251 101350 -208221 57949 260564 -39084 -58284 80707 72364 -116368 -1317 -123091 194160 20179 -126145 -43353 -130100 -98533 55016 -30451 41391 -7629 -16808 -27369 22213 -56201 -978 -132018 102319 -22313 202199 10457 65120 -24367 208453 89560 62450 -159731 -128179 -31101 -164842 -199241 -115123 -26698 74144 -90197 34410 245639 9960 216008 -150614 -101662 114287 -37005 92652 68297 47630 261790 -48334 107303 -155085 13837 72786 -137477 66891 -119376 -66131 -243152 16724 -29278 -51149 81478 25421 38133 
+-104066 -154320 -41468 127542 -15233 25500 125194 116580 -91320 36256 5017 -55668 52050 -12849 -292393 -238901 35858 -18092 -9237 30581 -77357 -89320 35288 -68291 31080 74292 110667 -39577 64030 244694 -38413 9337 -2530 104068 79903 -19136 -50962 -43918 75514 -45473 29627 -160923 100132 82516 -55389 35052 25151 31435 -99483 76090 -51025 -12093 -149347 -52346 -79625 -125835 36726 -23978 44501 -38279 27534 27091 138714 22345 47364 -9550 71956 -15409 -72215 -73398 81752 -82871 -150620 24735 60499 -150928 112127 -52586 -40360 45771 -12915 -113285 91440 -31050 56244 90774 -82033 -143515 -28811 2457 359 81666 78133 -61107 -3025 15491 31512 91965 -30932 -213004 -8059 -50490 40366 -22385 -73600 212061 27540 -165438 123004 208387 37030 57397 -37800 -41224 -7147 -44861 -19108 -194962 148463 -50798 -86796 -121550 -5126 261146 46210 -24867 -187838 -19026 -84972 36170 -46274 54940 148321 -15141 52477 -66438 -5872 12628 -28351 36058 30870 226407 -68177 -92473 36951 -42806 -194480 -106972 -93881 -129764 4108 -216046 -16584 121767 99475 -102647 84087 -92329 67642 89700 44368 91900 172748 13693 5912 60817 -239253 30278 76392 59882 -42899 46753 -127212 10013 237492 12018 -58316 105317 56284 -179690 9615 -111102 208829 -160118 -113948 2414 -91208 -4611 56250 -22632 -78526 -18 -14585 27329 62523 -44678 15053 -162459 93332 -35387 130066 -937 88179 -118172 86027 89154 106482 -155471 -116642 47708 -151661 -126008 -105625 -47578 87962 -82137 -225 244749 751 229912 -198096 -64558 70701 88905 87151 116163 -24695 218350 -40531 97373 -179338 44299 143414 -143961 43565 -89353 6430 -184834 28299 -57628 -70807 -66566 -77657 43188 
+-103066 -118983 -33605 169458 11577 7231 20210 104678 32901 47623 -19013 -50698 56126 -109866 -270934 -210216 60870 -75525 -23417 22565 -115764 -50119 15767 -122051 32475 63847 80053 -26503 94534 260935 -105371 -40787 -29375 100780 78261 111075 -53064 -54803 96438 -28756 -31621 -147799 20065 23889 29826 25941 -6420 75641 -184967 42135 -45157 137519 -113843 -43445 -3641 -94575 -83734 -25510 47976 -50595 40517 40161 125371 102311 28196 9421 -23489 83324 -87954 69959 117313 -123911 -71262 -28456 76114 -14547 100576 -108972 38953 -34803 -64180 -75833 164819 -48366 50882 -10930 -141101 -181977 -39846 -6877 42799 84802 89205 -100413 75681 11117 28558 -32708 -76573 -104602 9884 -85252 79575 4941 -54057 216797 46125 -104239 45447 161157 22389 54419 -227734 -90947 -2007 -30592 -21373 -188590 69371 44288 -75357 -85779 7056 281419 -74409 -24628 -179536 -92237 -139589 88791 -95263 57393 142494 -28111 56804 -79669 -56384 -30488 3926 2477 32022 224346 -60906 -156839 63241 20495 -14616 -195358 -70622 -148518 62248 -213857 2555 94182 112864 -87315 119571 -81878 25125 187274 51017 76284 131158 -30049 -15170 -72776 -192685 29391 135845 36232 503 -7673 -30729 -59879 231872 7586 -59456 66771 39440 -195767 44309 -74047 107186 -202782 -100772 16388 -33515 74455 63610 -6393 5097 -18657 -71394 -12864 114678 -31369 -11926 -148080 95426 -40514 97141 25317 108693 -149933 -20789 13586 125156 -73549 -103932 94336 -130658 6999 -49182 -59392 86145 -62877 16555 220788 6465 198297 -86784 -43153 5768 157618 78856 113955 -94541 98297 -31586 84038 -68674 12444 135609 -162561 -100825 -55399 59847 -138224 41023 -6027 -50742 -89391 -108690 45903 
+-97189 -38829 6833 98478 -63004 12837 -136787 65432 112577 28564 7914 -37177 56955 -178512 -181250 -173821 110598 -138498 -20386 32410 -143771 -9917 -25331 -93191 36469 34658 7218 -34479 109729 202597 -185259 -41699 -67444 87247 75213 188635 -62973 -34357 43456 -14717 -166790 -122155 -59455 -8038 31125 2738 -138243 11681 -207689 8061 -28685 189621 -28697 -78028 35801 -1838 -194504 -56058 51498 -12085 51525 36755 8927 142614 16251 34865 15232 -22777 -96571 211816 127386 -138330 51599 32476 87832 143306 144797 -91811 58425 -116853 -98006 -16811 159686 -50632 76945 -184617 -111627 -144987 -25009 -25547 26229 81914 96612 -140280 75031 1694 -13056 -93870 -110113 18695 1923 -133349 80191 65712 -18425 210907 64821 21385 -140787 85277 59660 43256 -155210 -124116 -12425 -51847 -21160 -166312 -57860 217601 -3366 -3149 31873 288786 -77892 -47492 -162873 -58748 -140723 125341 -79456 54553 126172 -42210 66499 -64205 -49709 -50132 -11417 43197 34669 171059 -21692 -172246 82258 76409 125727 -66089 35026 -128934 63098 -221772 36662 -22432 126615 -93537 114704 -90712 15594 161878 34060 67625 40551 -132987 3819 -67586 -112334 10049 149922 39604 10826 -35491 68827 -117098 183429 12122 -60007 975 22584 -198876 2589 -111152 50322 -70529 -87203 31869 -6271 131946 50972 16510 165191 -18612 -79716 20584 126804 -45400 -101965 -155472 87566 -3084 47516 12613 106344 -195758 -13483 -8028 187662 24849 -83452 128196 18652 83641 14622 -44407 69185 -54514 12939 175288 5245 160827 89560 -36820 -38793 173508 47625 123685 -88730 -65845 -30825 71916 -20449 19041 150312 -100767 -165239 -49893 60397 -82696 75668 -28498 -63524 -56015 -32311 52483 
+-86481 -5837 -502 -34316 -155457 -3663 -143937 58684 -28548 16105 19285 -40836 54755 -180708 -129321 -150392 140264 -163435 7860 33171 -165953 7083 -66675 -87056 28625 8948 -96917 -50386 150812 96120 -228960 -26188 -56475 82250 70958 148660 -73876 -31569 -13026 -35010 -196137 -78899 -96775 18081 -22000 -2821 -184484 22366 -245176 1767 -1449 136236 69894 -47541 60832 44398 -207807 -33220 55855 24669 53530 40453 -134975 120139 18164 82194 133199 -187329 -108960 200844 106672 -120773 178103 149746 70769 41535 108454 -60566 98214 -163556 -134559 13133 72342 -44245 41115 -251741 -63081 -164738 -9503 -22855 -9185 82893 99825 -166224 -284 2679 -41264 -42868 -148519 107155 8222 -156683 76786 129168 25112 195454 83224 106086 -249991 -12487 71431 52492 68332 -129471 -41931 -84406 -24316 -119328 -60421 243256 56013 40425 -12232 250485 56961 -54467 -145767 -80883 -85046 59403 -59336 47975 99904 -57760 62957 -36088 88205 -27697 4704 43601 36834 75620 38835 -128234 88952 140604 42578 145566 199992 -79478 16973 -205441 48435 -184777 108202 -55463 69718 -75524 61828 105470 -11091 91540 -10705 -205874 -27102 36383 -29376 53705 119488 66445 65506 -106512 140337 -75768 223399 747 -62152 -58282 7595 -147884 12232 -120265 -20593 81892 -79577 -4814 2902 118291 61494 37119 215882 -2457 -93625 23805 117633 -47706 -137719 -189491 87476 26719 -11314 1689 73061 -199505 98036 -22364 187334 38761 -56012 119628 109737 163188 59913 -38879 38926 -61498 44375 112710 47358 70032 135669 -55734 -85720 89876 42995 153750 6764 -105169 -28169 63592 -25964 25196 166742 -165784 -85416 -73191 -23751 -18077 46228 -43675 -93878 76770 54077 58884 
+-83675 -51540 14687 -96271 -177320 -27085 28646 25567 -185215 47598 45019 -49504 52129 -113012 -40729 -89184 109038 -203964 27507 56693 -192367 74397 -73383 -104283 29994 -20242 -99794 -19640 173300 -9669 -205878 48209 -50991 67689 66465 -41809 -76306 -29838 -80952 -18821 -113171 -44910 -61778 72186 -100089 16861 -93147 24216 -198379 -32795 24406 -45563 164389 -46139 55284 11428 -121340 -21360 65417 29365 45558 19091 -202649 51221 31867 36364 151839 -214065 -111925 6997 82932 -73527 218437 210368 88908 -128785 148385 19206 101379 -183143 -171210 24955 -20170 -18150 63746 -106288 49394 -160431 3389 -10264 -42624 79959 112831 -182983 -70606 19233 -68313 79597 -114910 106470 -6496 -115016 69786 127323 65079 167357 101545 107094 -168176 -37936 45170 83356 102607 -114144 -7334 -22303 -20340 -66097 55170 117380 149063 68498 13299 246247 145180 -52143 -117748 -90150 -34755 -9523 32632 49017 66005 -83615 42320 -61715 175559 13258 -16721 68912 36584 -7205 67133 -57170 80599 186102 -143821 133414 165917 -60727 -47229 -185007 67064 -171971 113586 -30098 40629 -61794 80885 39369 -52121 51463 30370 -202542 -47056 109039 60127 -9787 52607 104022 78824 -153630 88802 21137 223693 -855 -58761 -151917 -27351 -88209 -13208 -111002 -123537 90431 -77673 -23606 -14924 39867 32982 54803 90422 -3770 -48404 14303 64911 -38512 -150465 -157907 75197 84502 -39447 -26882 66121 -175520 206960 39865 213582 -114347 -15437 47754 119141 169566 88465 -55196 479 -82926 51342 50481 -4986 5373 21138 -90442 -116390 -60480 67016 73827 39468 -53443 -20346 61783 -151489 41187 167721 -91409 31264 -105246 -48320 1622 42904 -67007 -97578 62061 107314 88401 
+-78491 -133685 -20320 -14526 -119192 -40336 132819 -3786 -274114 14974 34937 -57670 48064 -3072 32067 -49600 13896 -226174 54511 51734 -196915 87165 -62608 -102319 30717 -46927 23916 -30040 146078 -87006 -177246 114376 -13483 55514 74098 -142365 -91733 -22641 -120849 -44943 13959 -30557 20798 118839 -123588 34879 -5980 -2993 -170951 -58534 69108 -76080 203898 -45148 7358 -37449 8147 -45303 71390 6786 32317 27948 -103980 6724 51434 -4999 86980 -56541 -122043 -65738 89055 -8462 195124 143810 76412 -189025 132358 82452 146324 -173808 -209516 19317 -82373 1790 30095 63125 84598 -145002 11609 -33638 -40220 79898 120384 -168930 -126972 28053 -105201 177339 -105076 20207 -25530 -70943 83999 52598 91685 115576 119760 -9648 20695 3108 29302 78362 -25666 -83642 -21390 -22196 -21066 -23631 148766 -17277 238386 49696 30767 237086 106623 -72676 -93424 -58172 -81722 -129037 61995 59620 26436 -92257 59950 -57022 273608 52166 -30010 124492 34320 -47218 117601 22937 60040 215759 -215704 -37842 127402 -37875 -132644 -205634 86220 -2584 112161 -24639 1591 -63975 85528 -26693 -85944 22322 121600 -146622 -35506 57521 125405 -12549 -29959 136895 57118 -122593 -14172 47648 178783 -63538 -61682 -198470 -46485 -29573 12199 -134055 -65941 -76369 -48681 -24193 -91262 -62476 36467 64324 -41340 -1485 -3637 50902 10806 -41959 -64118 -170304 70447 141368 -65800 -54446 52194 -94203 210028 14949 214499 -160794 21890 20012 94912 105153 54431 -34941 -40632 -89546 25192 -6140 -10037 -67973 -146751 -122935 -102438 -78867 28206 82526 10620 151399 -14605 68220 -184065 12840 175805 -101234 21890 -125278 -3266 25123 -6970 -36795 -59794 -78876 75883 69132 
+-93195 -168317 -6347 117427 -8944 -13306 44217 -10583 -127173 39239 -2517 -62833 47154 101974 82481 2043 -20020 -224755 76461 945 -187063 89032 -12485 -81561 23994 -64247 72066 -39177 130068 -94304 -133502 21268 -15952 17272 87414 -75878 -91171 -22644 -111730 -42189 5235 -54347 118397 169387 -43780 26555 44269 -40407 -102024 -59130 137027 7582 206201 -56537 -42369 -99602 72871 -46998 76806 -33104 18877 47513 47290 32195 68806 -26395 -15388 80813 -132098 50772 26050 35684 51358 25908 54949 -112286 60197 118196 102401 -139285 -225317 8486 -46878 16626 9145 77330 52629 -138615 -922 5338 -1157 76191 122657 -158332 -116949 28748 -78579 172993 -45808 -103639 -22783 -42673 64586 -13982 100015 76777 136545 -125043 123229 91755 10579 87477 -212422 -32122 -16907 -56544 -34770 9412 74396 -64027 253584 98310 3839 189843 -52316 -49375 -70440 -91542 -115928 -151212 125486 69421 -16235 -116129 91211 -53949 200355 66077 -17299 185931 31645 -29120 182276 19844 34057 222023 -92580 -184168 -10272 -59205 -209600 -205511 86123 113379 120917 -11362 -67018 -80254 106967 15131 -96270 28817 175177 -42091 -86233 -8078 139878 -36381 -107624 130893 43553 -109422 -147930 -14337 218905 -38642 -47309 -208854 -60692 -9350 18114 -98740 -22037 -195747 -43818 5188 -113771 -90885 34275 61921 -45171 -9121 48473 67112 11220 -34696 22762 -206352 48573 184876 -85102 -77111 2227 -27770 125344 114298 215477 -133478 63265 -55389 -14583 17223 -9822 -13118 -77911 -84403 5446 -38458 15695 -95454 -190685 -149386 -88553 -75857 8419 85449 -44676 251946 -9594 80312 -93544 7149 147690 -85905 -1278 -112784 75954 125265 -9031 -34194 -34543 -145197 -18747 84508 
+-92631 -64185 3449 192225 -1935 -11633 -133895 -17481 51137 7784 -20264 -58698 46745 174134 88432 44755 -40882 -199897 36301 25965 -190184 104778 47111 -85303 26005 -91310 93585 -33381 56792 -15571 -52704 -41285 -65037 8570 64704 35376 -96361 -13450 -85663 8265 -93787 -63037 165623 194711 8471 3274 -59793 -40451 -949 -36614 167208 141382 184226 -75577 -151327 -145376 61331 -31733 89671 -54201 9065 10501 140491 101183 73709 21201 14579 12941 -124108 186109 3297 54366 -101125 -33307 54059 100527 84281 114297 59737 -81830 -222756 -36764 53344 15421 66147 -83823 -33125 -121890 -37499 -6184 28810 74700 131574 -119208 -42691 30333 -67168 70056 -12599 -215791 -28924 -50481 80806 -14897 84364 27428 152808 -168994 37944 157829 3728 82669 -154010 25622 2911 -64578 -36811 25027 -48833 41501 257363 100535 -17157 163265 -74234 -46374 -45798 -119447 -144209 -90925 112672 64684 -58691 -143850 48923 -56644 141870 30833 5481 165641 30912 49714 216870 6563 11596 204695 88398 -122594 -100396 -61534 -182136 -148467 86548 121471 100290 -44449 -55135 -97135 106396 68187 -72785 -10403 136221 231 -84583 -69852 125996 2362 -164194 92349 20529 -57631 -190110 -98979 142864 -43409 -61018 -207228 -72173 18129 -6910 -96897 48067 -160392 -38361 18553 -116309 51801 30324 49717 63010 -13475 58790 49191 29251 -33042 32675 -171428 63120 225793 -90436 -114934 -12523 21048 2177 134527 155328 750 73130 -71825 -82671 -105871 -66615 -41700 -106097 -63316 18694 -54309 29380 -89982 -91625 -160136 -41578 24633 -17386 60546 -104476 218099 -2302 93295 -11532 3408 144369 -93950 -111266 -74072 43041 87704 -38675 -57054 -90084 -34255 -96214 61894 
+-89792 -6218 -18431 81065 -49839 -19303 -146637 -13011 83909 13460 11015 -49477 52387 165996 120769 77942 -19144 -185608 47488 21857 -159055 144320 58173 -68373 25388 -89094 -23348 -14339 15471 90790 14741 -73829 -54323 -12774 80524 199770 -93668 25875 -63987 -28310 -191746 -98819 194069 180002 27933 -3062 -201787 -36773 59570 -42597 109623 175043 108220 -64670 -177464 -82384 -47781 -23811 88830 -26587 25320 -6202 119870 139250 66220 67864 80377 -157535 -125728 175184 -6836 28686 -164738 33133 66251 80218 54880 79333 52736 -11927 -227823 -75341 142727 13334 79410 -233739 -132202 -105856 -26533 9789 40230 72209 134365 -84763 34095 54393 -77357 -50145 -17665 -263229 -6506 -116480 54687 49242 51835 -10682 168180 -100629 -148487 211608 -23939 79131 19403 83987 801 -92331 -39153 3862 -57580 194557 267211 95086 3034 94147 -9185 -6165 -22490 -99477 -109445 -17938 73367 76980 -97996 -139386 77754 -23274 38927 -13772 5854 147532 32557 143768 171488 -77688 2132 172109 91172 105409 -24808 -86586 -154095 -162320 64810 -34763 109841 -34443 -44504 -92087 77406 149570 -28202 -14771 42208 -5908 -105979 36094 86978 -3650 -168065 51042 -22628 6486 -100449 -109328 175710 -22393 -51330 -106174 -78446 5346 10525 -111458 157075 24921 -30960 52038 -101969 92294 25427 23297 205674 -1529 60931 86587 88074 -18975 6225 -193592 55774 243883 -104620 -140928 -41460 86891 -23638 139700 142258 85701 101453 -13560 -191717 -178973 -109330 -42486 -120516 -53981 37877 -41769 -1798 -58333 85694 -145120 -21205 158066 -4556 87493 -53431 107604 -3020 102855 -30063 -8915 132775 -102175 -85342 -51117 -21708 78966 -55679 -33937 -104915 59115 -82425 67480 
+-90484 -53438 -17093 -34657 -154876 13967 20310 265 -35811 38790 12600 -41172 54628 110029 63793 94546 20623 -145124 -2116 49186 -129552 140945 49324 -108804 25421 -83457 -82214 10630 -27276 201196 90378 4422 -62782 -42883 90597 184144 -83075 -6883 -35602 -23550 -160434 -112723 148681 109395 -17388 16184 -146775 -5788 99671 -33285 136089 84083 27442 -81356 -241801 -28044 -163077 -6277 97936 16353 54302 27078 -5493 121573 47144 43180 166853 -219891 -121724 25482 5850 -21324 -95289 157780 69262 -82311 74783 15783 10285 48887 -212376 -79825 177698 -16375 106321 -212128 -171517 -98830 -23555 -28950 -23009 73717 142496 -24951 98476 42659 -41808 -93222 -22787 -220390 5059 -150509 56559 127431 11149 -64967 181884 35841 -249573 184363 -47559 63636 99522 135034 -31884 -78222 -42060 -30757 49820 235713 196331 49500 38029 71620 123824 21805 -6484 -100359 -29367 87066 -33286 67636 -131482 -159712 51085 -50843 -38339 -50456 5094 148886 35248 217863 171659 -152137 7179 109376 -74474 189994 78924 -154710 -83879 -125624 47948 -207890 114562 -47281 -20027 -75862 107494 176788 10418 -36526 -13293 -68681 -93585 100922 4413 -19103 -133009 33198 -66261 83982 -278 -21641 116423 -16990 -51594 -41901 -77012 -4804 -12431 -124072 216347 94569 -15552 10321 -65370 175902 9425 -394 163066 -3059 89843 86157 128024 -14502 -99892 -183206 54652 221408 -73525 -128516 -48829 153109 59604 114529 82014 -34235 126756 39364 -117337 -193404 -111788 -50162 -119457 -62598 11764 -4679 11504 14273 125724 -118590 46711 189222 -1507 143633 3550 -46654 1650 108769 -107246 -574 88120 -128438 -6992 -54437 -53469 62351 -30264 -40924 -103875 51159 -13060 42225 
+-93474 -115232 -42403 -85859 -156884 40199 122740 17990 -192973 22968 36519 -41193 56919 -5675 65557 94601 67032 -107222 9088 47528 -92302 123377 15453 -97129 24195 -61820 -39517 -6557 -74548 257805 117204 59670 -2206 -62812 73143 9106 -55570 35753 44446 -14049 -38710 -167703 63143 59281 -100836 34697 -30682 -19218 114581 -34921 77801 -55927 -90479 -43735 -273498 19116 -216434 -29307 105707 34866 51139 49697 -147314 58073 28468 15955 112788 -92833 -120768 -75452 46533 -80898 20668 206691 76015 -172332 62050 -62762 -14043 115025 -189557 -104347 113935 -29787 37625 -20752 -97206 -62572 19557 -5119 -50167 74031 142620 30581 40307 39227 -12003 -24618 -55644 -109411 1910 -137168 49461 127617 -32325 -89661 194234 121347 -160399 100594 -120691 74259 -38854 181744 -13898 -104802 -41825 -82801 144101 160697 111594 50567 3178 -13436 106163 44701 8563 -139260 -39566 113638 -88336 58351 -157068 -176118 25243 -20456 -39401 -50965 -6047 90100 37066 237778 161164 -189362 26708 42486 -212266 13015 176640 -135517 4765 -115281 17652 -209934 102391 -41593 22162 -89838 41589 104906 43600 -59415 26209 -185214 -136484 79728 -71454 -30570 -65218 37846 -121161 116666 138534 44887 122507 31335 -55299 20129 -81940 -83382 3916 -85863 174152 11593 -14420 1319 -14307 64160 13825 -21011 5509 -2883 61914 114996 125326 -5715 -107267 -171576 27169 190677 -44535 -140531 -64348 162918 186840 134437 46869 -159276 115730 89021 -19075 -142558 -96342 -43523 -102317 -80204 21657 55998 32147 90358 553 -73816 91945 125386 -57042 151105 54182 -120865 4231 104183 -159301 -305 81534 -80044 41697 -93421 -16787 51130 -34332 -55903 -88641 -51619 83904 43518 
+-72098 -152090 12997 12673 -130082 41494 50800 32519 -249435 49074 15924 -49398 56887 -105714 -59897 80041 113898 -47991 19725 55779 -50875 138933 -22299 -94025 19347 -54359 22575 39907 -118907 238741 109709 103956 -7868 -102867 85924 -110693 -58279 -15616 45544 -15187 27197 -155243 -13942 14639 -74794 27129 33491 -12674 101019 -3847 47192 -93064 -156094 -85109 -229571 28868 -163888 -32943 116245 19960 54435 60768 -184986 19324 15552 -5910 2505 60392 -116284 44130 79406 -126290 153152 142645 91256 -90867 65761 -96983 -43211 168588 -162056 -60796 11468 -47550 20930 96644 -20508 -76263 7452 -24416 -37156 75126 142983 39356 -41230 54463 -2362 101066 -111107 2817 3618 -88109 47427 58942 -62360 -104965 205168 95383 28100 11338 -156116 52216 -223657 200659 -12916 -133426 -39174 -138136 75929 -16627 37972 1775 546 -46200 -23875 9972 12139 -116888 -95019 90048 -86195 59663 -172831 -191741 86048 -33501 60287 -16517 2173 18492 36186 193285 96024 -158857 53472 -8692 -160092 -174179 175282 -141413 31331 -79760 -11314 13385 93323 -109593 67580 -32991 30295 71441 57059 -79034 116400 -214606 -126962 -2352 -157518 10617 19803 68795 -155663 92492 110652 36308 136678 10101 -55435 70563 -68800 -133905 19980 -113569 93940 -147316 -1274 -303 -4798 -35454 -14283 -26695 -31104 -23823 5977 123351 99448 -16704 -137979 -135785 20495 138229 -19638 -98958 -79516 118340 229327 111812 -6096 -153107 127262 121169 69068 -56566 -35102 -60625 -72209 -90926 12147 113820 41423 162650 -142411 -48243 145047 -29120 -23235 120790 688 -51478 2935 94395 -179857 -179 28597 -115126 3654 -116177 51791 16055 -17396 -50632 -70109 -146058 101325 52191 
+-91980 -72737 -22235 111407 -9295 55095 -123934 71679 -148223 37714 -9070 -56038 53558 -177699 -59474 28548 128877 1685 56144 27830 -1123 83792 -43889 -94920 13188 -16962 88116 25251 -115600 163844 74077 65995 -33363 -120249 70086 -135190 -53909 45436 64408 -30190 -29331 -132132 -67588 14422 -82264 3841 -21026 23709 41579 11456 29476 70163 -157371 -43911 -185227 -31876 -48736 -29855 114246 -17052 39299 38721 -92408 50588 18379 -8135 -15946 49377 -103933 188412 112346 -146353 243412 26584 61638 77153 85759 -75799 -29940 176485 -132158 -33893 -67069 -52552 54623 18868 70138 -70617 26773 8154 1074 79784 146256 78564 -114793 60302 29382 185017 -142089 104066 24747 -50587 45907 -9977 -74631 -102065 214502 -24808 124068 -32596 -155766 51094 -160558 207956 -18920 -108177 -41960 -179242 -49911 -75472 -26886 -63600 -1349 -77201 -87511 -3819 16233 -138506 -148756 7980 -79352 58551 -177542 -171126 35708 -55652 135262 21251 -24846 30005 33591 96260 39384 -69922 75463 -68381 28899 -184744 90788 -78718 62582 -71487 -28226 122002 96080 -60663 101769 -67196 34901 5701 31889 -87263 174755 -152451 -120748 -48463 -216626 10464 96651 114938 -175436 41743 26714 -48352 56942 10428 -59302 101049 -54655 -175301 29443 -79023 20422 -204907 -2167 22834 -15545 -60483 -20292 -21699 66797 -2346 -33079 79240 55224 7952 -90633 -113312 56671 86087 28987 -72352 -89816 83180 137300 88097 -58798 -47832 133079 96008 129722 76615 26684 -36424 -33957 -83841 71303 174341 30199 206763 -195411 -34586 143607 -104566 -25149 96976 -84209 129466 -3145 80936 -51690 -6820 3829 -103540 -95304 -123893 64296 -34626 22889 -33533 -47896 -70998 46638 44113 
+-76338 -7468 25930 169405 -13582 76772 -138935 90526 39156 32448 -9566 -62200 49477 -185194 -156212 5543 119700 34306 14558 14165 17266 93172 -57493 -90731 18479 -2988 89445 35022 -108095 38712 36316 -3301 -47631 -141773 66464 7086 -50386 -3512 79613 -52181 -152021 -100033 -71816 29881 8919 -3300 -154206 42545 -32965 52805 10452 198698 -144315 -96331 -109469 -99566 63151 -54160 118227 -51335 25495 7980 63965 116984 29378 74413 57138 -130016 -97626 182475 116166 -116411 205460 -33055 41886 96310 114731 -42508 -23131 184980 -76175 -31712 -60058 -37904 48213 -175456 75518 -56102 -24031 11519 9524 80355 159426 95875 -144283 87467 47966 160628 -122423 115503 -14689 -59825 43485 -20402 -64565 -82821 221770 -133738 30850 -24201 -139877 56068 54808 185082 -39440 -104787 -46001 -190897 -68374 40589 -91788 -88031 7658 -81365 -12545 -39299 11168 -97922 -101235 -109433 -42250 47440 -171115 -160288 17336 -40792 229486 61148 -30834 21467 31226 2494 -17077 4695 88555 -105238 119692 44979 -46799 -74780 39414 -55328 -30940 96489 99583 -36533 124025 -40837 24903 23661 -3131 -123559 143203 -79741 -112271 -14083 -251666 37029 138993 127874 -212713 -20649 -83214 -130627 93955 -3285 -53654 51922 -43009 -156438 31212 -100110 -43490 -77412 4220 22631 -80918 -35796 -2568 -4236 175000 -8134 -98277 135567 21331 12350 -19398 -128788 56439 35799 85510 -58024 -88372 -15698 17545 34304 -81730 43895 100090 51409 131182 133758 79545 -40792 7431 -65452 29058 219196 24766 232276 -82518 -46654 137914 -65856 -63619 63317 -117097 230009 -4158 68172 7268 -295 -41307 -53187 -136884 -100406 8794 -87967 74799 -29673 -43361 40721 -61240 32020 
+-94928 -45491 32709 130165 -59392 81199 -13478 120563 96596 46086 -4811 -54248 47338 -120643 -206647 -56171 60002 62668 67720 36325 51723 53682 -53310 -82371 16467 30106 -37240 15850 -111238 -52242 -70201 -80397 -48526 -156700 63913 171897 -54484 2339 33523 -41664 -210749 -60744 -31049 79841 10133 15459 -188380 53373 -111928 68799 -19359 184121 -72786 -70098 -53923 -140458 81425 -67849 121490 -31511 14461 -18404 148152 146791 50673 63325 154664 -227676 -86540 24819 98115 -70726 106891 31474 48277 -85362 124086 12069 -11211 141592 -34814 31233 18759 -10637 13641 -259372 57351 -24007 -32717 9035 30810 83232 150536 79451 -72578 70677 23838 47508 -93013 41480 -11621 -95991 35713 48417 -36895 -58739 226885 -160475 -156745 53763 -160827 52467 95695 146159 8274 -103730 -41033 -199528 49972 179408 -92275 -130599 1602 -99019 126014 -56892 984 -104054 -78126 -152394 46306 59675 -153879 -163249 58234 -27126 247825 42762 25495 41785 31046 -44694 -55104 46181 85889 -120977 2791 180983 -120494 -52098 -34602 -64998 -36919 -59117 93264 -16792 86657 -61671 52084 84829 -54231 -118703 50471 5427 -124795 91418 -242229 13357 141615 132914 -226425 -74857 -202435 -88197 65002 -28604 -55837 -8309 -25501 -199161 -19181 -115634 -95440 97565 3965 16960 -129948 69146 -2966 18961 204281 3739 -101259 133761 1401 22078 45367 -74329 60332 -9993 149229 -17376 -87325 -62491 -48567 18614 -94513 14374 67902 -2250 24654 191511 79362 -36982 44752 -55339 -405 245069 38943 201384 74459 -75643 101854 4687 -66043 31452 -31328 214295 -2967 60958 -25596 -8873 -64551 -87086 -21686 -58812 -61788 -136965 64152 -50556 -79579 105155 -99185 22785 
+-97485 -114633 -33219 -26194 -178586 60362 133283 142129 26981 8756 32884 -43380 46971 -18899 -262572 -111509 6971 73540 67699 45737 77580 -5525 -32444 -97845 16302 40559 -75854 33768 -51837 -97299 -144450 -36136 -17487 -198092 72300 164398 -73698 8063 -37469 -23222 -119997 -13889 50081 147588 -49709 34470 -100752 59112 -168402 93435 -79667 37896 9329 -76159 -3482 -98898 13643 -6927 126240 8856 12547 16083 109706 131518 67724 15115 146444 -135633 -69366 -56235 122910 -11403 -45101 158389 76526 -212949 120284 91427 9194 74026 955 28246 125728 5853 72311 -143266 -73875 -54960 -32736 -3250 -12529 82990 160021 40565 8459 86219 13010 -65215 -54053 -91369 -4918 -131368 34875 128356 3993 -18750 230716 -81740 -249946 137217 -171573 51434 -47373 98284 -10930 -127369 -45220 -168715 143224 269809 -85690 -183628 1614 -84580 158019 -78277 -11942 -95787 -42914 -109662 123506 60217 -126724 -146458 50614 -56160 194726 9577 28249 77232 33286 -38332 -74691 -8524 68151 -105680 -181862 87648 29621 -43571 -138416 -23070 -27181 -206900 87905 -33307 46351 -84213 47053 160928 -78936 -167568 -8842 23938 -120432 125145 -204717 39367 101592 95150 -214264 -155392 -199042 8813 60602 -39824 -53839 -76939 -2030 -140462 15929 -86318 -55303 97645 22572 20684 -110911 111637 -8690 38203 46792 -2843 -82529 94202 26481 20729 19153 -50435 49900 -42325 166064 2420 -52085 -144124 18476 7315 -107112 -107905 72563 -47970 -71196 141102 50642 -10660 73180 -61631 53070 245980 5139 140226 132430 -110371 33149 156056 -77391 57651 22257 100463 -21212 62990 -103104 -9195 -64818 -47422 21991 -53262 -23354 -187191 77705 -52198 -128188 -41698 -62471 -85 
+-88484 -156966 -26663 -79661 -164819 54761 69370 141509 -200682 14189 26510 -38134 51136 96263 -297964 -160551 -7483 56290 74195 63550 89239 -5357 14905 -78596 12341 83350 -61831 58658 -13751 -59945 -198599 51905 -11576 -197501 85743 95131 -82143 10519 -69514 -27798 -7627 -33829 137331 184708 -106957 27703 31543 54122 -207942 94629 -69823 -109332 112821 -23294 41013 -14144 -114215 -41782 130102 35000 27644 36054 -29584 55691 73345 -13549 45915 35257 -63850 18369 79536 34197 -138425 210985 80665 -133389 75002 125264 65447 -4359 30783 36802 165501 23678 117672 39515 -142672 -43321 -4159 19905 -49449 85935 159884 17574 69516 89874 -29364 -88757 -39300 -206861 16399 -150696 43944 129846 47387 21501 232233 49324 -150990 193844 -208444 75348 -196779 43100 -2601 -106928 -42911 -121436 86088 157462 -15117 -196177 23065 -90093 22800 -68330 -31508 -66732 -99105 -62485 139817 71536 -91924 -137952 56410 -49297 53367 -24130 -2440 131105 35927 25659 -84877 -85341 43950 -75826 -207207 -121544 100178 -50288 -177161 -16374 -18176 -209466 84298 -25413 -2208 -56722 113810 212939 -97287 -174559 17943 -27292 -101238 17321 -123898 75988 34467 61237 -193204 -141473 -74545 58177 19526 -58409 -53106 -173257 9533 -53071 435 -104697 36584 -83889 5762 9346 -99423 118265 -318 55323 -31932 -5610 -54025 98681 91371 44757 -46791 2079 54223 -38338 224035 7161 -53804 -212079 154874 31468 -133549 -159191 22348 -66934 -172654 40972 -9845 -46523 87465 -76914 55097 223330 32456 76850 20949 -143804 50877 214830 -101175 82045 30293 -19832 -16231 70647 -179371 15174 -77828 -50748 30601 -70023 55815 -228542 65498 -41020 -98172 -102813 37434 14664 
+-86684 -95992 -7094 -3075 -77351 66209 -106217 128674 -263531 16724 4815 -45113 52741 165992 -283322 -188294 -12717 36733 53889 38889 82819 -49639 12173 -124142 13053 92813 12139 26254 61701 25462 -218081 85208 -22285 -208186 77384 -74613 -94011 41841 -100330 -9106 24228 -101161 179297 184436 -93699 4435 28036 37884 -249819 136657 -29049 -65298 199740 -56726 42990 26810 -206919 -61900 133733 28589 41041 27093 -161509 17415 68132 3505 -27846 67896 -67059 169840 -8094 55925 -116870 142657 79764 67420 122909 128862 107733 -55339 57413 -29923 148948 12939 76172 90831 -147030 -38882 9679 33932 -31524 82507 158310 -32057 60933 99355 -59512 -4439 11076 -266339 20537 -124357 31059 65186 80467 75021 231796 118903 35232 191588 -131384 73579 -138252 -19185 -3574 -98143 -38688 -72716 -42965 25159 72667 -191554 -1948 -69768 -92279 -12993 -57768 -63193 -145613 40271 102703 68715 -51593 -129486 29926 -64643 -27783 -55876 11266 139081 37139 121823 -43329 -172093 19425 -32010 -35102 -212847 160992 -84522 -193005 74 8444 -28150 59956 -73550 -37423 -77580 70781 134903 -71618 -182648 108557 -132467 -82897 -60651 -34079 24893 -46906 28769 -169808 -128633 50064 14909 -32697 -18684 -51617 -196726 32439 -41729 7464 -90292 83159 -212031 10967 33354 -10620 44372 -2224 68335 -42226 -1840 -17161 86750 134029 49092 -126951 -30753 45109 -15015 230577 -725 -10048 -225243 236774 50755 -116536 -116685 -1259 -8269 -150152 -76402 -75232 -49947 86449 -91367 16128 176192 52195 10028 -141926 -155787 -82200 133877 -111525 129444 -16104 -111940 -24371 82843 -123724 11796 -93860 -77003 -50291 -100288 64450 -284099 32137 -49437 -78405 -88196 100841 1565 
+-65653 -15169 -24966 125784 -4339 35523 -161378 127670 -147300 33675 -9706 -43504 55076 169863 -262548 -212246 31736 -3028 32854 16426 76913 -96355 29662 -94507 11639 96903 97428 41065 98672 138512 -214906 39585 -39216 -212891 56845 -151924 -88221 22017 -110280 6685 -91857 -83378 179537 157280 -35169 -3511 -102047 24556 -261861 133211 197 95617 218047 -58928 53453 18930 -201014 -47083 134111 -14833 58976 41111 -161948 31408 49382 18862 36257 -92421 -60705 186895 -16686 30954 -38706 27931 69795 90150 85901 64779 118008 -123909 71686 -57917 41603 15 36736 -44063 -64158 -30739 3615 -1091 36726 80933 150162 -78069 -4125 109772 -77629 121381 -25097 -240444 -8030 -72725 45763 -15477 97963 114542 229291 88226 121770 119692 -126223 56825 66006 -72574 -28709 -101738 -40373 -25542 -65785 -48962 138291 -264849 -4439 -19808 -45879 -26507 -78196 -65925 -128366 100319 30294 62186 -8983 -103949 35009 -73505 -59821 -35138 -11364 129831 35704 204404 2033 -189363 4092 38134 111032 -17953 155308 -140810 -147287 19213 23986 110220 91136 -97124 -52156 -76948 113177 40114 -34627 -207109 175288 -211031 -63245 -29922 45476 90829 -119059 36765 -126525 -82569 117785 -60341 -28736 -30280 -46106 -217925 45157 17179 13654 -86000 160834 -149112 -2449 27923 -99 -40592 -16288 63585 117111 -8704 19807 50156 126150 53128 -151503 6612 72836 19434 264103 -22719 21660 -175542 188388 98100 -101339 17041 -34341 32241 -117205 -141415 -128734 -60447 70045 -83306 22565 116135 79593 -61320 -203856 -149998 -92711 22516 -108322 131496 -100717 -68143 -30076 96072 -50940 2377 -81196 -95282 -160689 -130177 2038 -288784 -22028 -39816 -36778 23765 97290 -40115 
+-67265 -22892 -20166 181387 -4702 10766 -13783 118413 42336 27707 -9471 -54718 57009 112944 -203194 -221102 56413 -57635 -6 6953 72599 -126325 39006 -96508 15387 94012 95617 51355 128385 229290 -166633 14353 -56921 -195457 68177 -50811 -99247 5893 -85398 -17241 -199492 -121087 115717 103503 23215 14740 -210738 -1998 -145708 140117 24349 183538 193074 -41676 16420 -27761 -106476 -52026 131041 -43589 50748 -15458 -81697 103411 30056 87966 122478 -221251 -72567 12157 -822 -17762 108609 -30105 70209 -5140 40463 9978 113918 -174101 74982 -110985 -53562 -21126 14696 -226213 -7356 -68212 14269 18686 42340 78517 160897 -106221 -94321 97594 -92654 189182 -41233 -126329 -29212 -43134 47378 -22194 94584 154085 224949 -41512 21207 35375 -42290 103093 134191 -114604 -21327 -93218 -33229 9480 57258 16849 210508 -218958 24831 -69 103884 5111 -105232 -49358 -83040 117013 -27720 65504 33047 -84949 35159 -45625 -5414 -11662 -43567 137113 32920 241724 72049 -157058 3836 103879 63620 179907 12632 -127085 -68029 41388 46389 71168 85955 -80712 -62963 -91217 122281 -15475 13489 -229769 147335 -194194 -48427 85153 111926 55698 -160483 75644 -79339 -16846 117160 -114267 -61379 -11106 -49097 -185985 64971 8080 -16663 -87939 191422 3164 13694 18003 -7270 -53399 -2897 47795 192542 -3153 84692 68938 104173 64834 -137631 45978 60159 67860 252711 -45419 50327 -102843 45239 100620 -31651 39196 -72067 113495 13533 -215901 -117636 -85593 40407 -67135 53025 55365 36651 -97571 -98404 -135358 -112836 -102138 -117989 123042 -94154 87006 -34364 105068 -23277 28045 -82911 -83373 -116799 -114249 -55582 -312468 -30651 -28359 -106524 81848 9103 -35489 
+-102185 -94354 32537 111441 -103126 7920 131108 55771 108054 65303 27121 -63020 56970 10772 -135960 -221179 115140 -93025 -11437 42934 59160 -146716 9890 -102284 11646 92816 -18183 33212 171950 264089 -116777 -21799 -37422 -206116 80081 134734 -82359 25789 -39051 -63573 -162376 -157986 41657 37305 -1436 34245 -149124 -5099 -91986 134549 114241 164377 161974 -47394 -78479 -119567 29276 -35976 131129 -35459 39726 41116 80920 142989 17667 25479 166336 -164840 -76183 -62847 25778 -80917 212062 34892 49683 -187011 32183 -68368 98398 -181764 67014 -100134 -82185 -27364 6341 -237202 86207 -30305 -9524 43487 9818 77373 158881 -178033 -136272 101730 -81948 145493 -92096 -5018 -47388 -48148 69935 38986 69895 183936 218753 -144734 -164685 -26553 -33875 69594 -5809 -122878 -2486 -113347 -29767 20770 142606 165011 260990 -205237 6039 61942 136664 22393 -127251 -31988 -42925 48028 -71175 65684 71950 -68874 41677 -48574 81690 36456 -19446 93447 30938 204650 139276 -82145 17736 161833 -119503 150630 -79107 -137938 -2 25043 76499 -63612 76429 -39442 -38140 -76556 71825 -3452 47875 -214004 57857 -114862 -40005 92362 142221 80286 -159132 104166 -34911 34871 30173 -70697 -59926 -18826 -46849 -109787 67311 23948 -18888 -65392 176435 101132 7664 2856 -64820 24088 -6365 22763 113876 -12006 106184 26276 59074 66458 12259 42589 113043 119775 238728 -63179 54155 -46719 -28885 131078 -24433 -31315 -101528 136480 93850 -157909 -72349 -57754 2224 -55970 84781 -688 14486 -93197 70521 -90568 -116146 -99415 -92006 92047 -27824 229312 -39543 108213 -88408 26570 -84402 -79148 -16414 -84202 -30282 -289951 -23901 -39111 -142245 -1314 -94369 -50069 
+-91300 -155715 -35956 -51439 -153620 235 78940 63824 -5162 19368 17734 -52904 51836 -98457 -42876 -185721 124363 -154167 6645 80504 29646 -143587 -24763 -80231 7668 64937 -80817 58452 152545 216701 -35338 -50008 17494 -197606 77711 191606 -83840 14375 9405 -39011 -61587 -119318 -44188 2583 -45404 28262 -26559 -16285 -18998 128058 146336 24872 65133 -45316 -133775 -144352 81284 -25437 128078 -5663 34099 42150 149138 117556 16943 10007 96710 19346 -91783 22368 50996 -127840 206443 157501 70468 -141442 61436 -93038 72309 -174079 44755 -86600 -24216 -45883 11297 -56596 50569 -95883 -25960 30906 -38266 78198 147707 -169488 -111349 117046 -70890 24955 -109095 89885 -140 -117041 82163 113104 30415 209947 210305 -160283 -248284 -29015 29528 94099 -175650 -125414 13646 -99154 -17566 2145 78359 234668 280367 -208331 19591 93334 42813 -5106 -148445 -36547 -82044 -48531 -116079 59918 104914 -38837 18691 -52839 210575 63712 -25604 72333 31381 128903 162028 -13676 42696 196907 -223236 -100766 -26545 -113771 67077 34226 83270 -219288 75001 -12491 3241 -59229 87087 55479 55811 -240584 -9410 -48178 -1053 49260 143955 110533 -114710 135528 6191 76576 -131556 25813 -96323 -1431 -51812 -41675 95204 -45806 -1530 -104440 75337 -51 -9301 -11319 -79341 131114 -4362 -3582 -7432 -19233 68345 58124 12163 69643 42208 36720 64788 177269 189417 -96711 67591 -9756 207 160891 45814 -166696 -97581 89392 162167 -35747 -19058 -22881 -38925 -60617 48184 -40342 27990 -52311 132199 -48995 -53405 -34616 -95360 92138 38279 265694 -43003 103188 -213007 31424 -68394 -51629 46003 -51001 46605 -235370 -55506 -28334 -111097 -109798 -100100 -40443 
+-96521 -104701 27185 -62666 -171499 -27371 -101925 29714 -174851 32791 4407 -38896 48546 -172674 -3841 -174200 111353 -191365 32794 73968 -22029 -174521 -54748 -81836 12712 53948 -43724 21621 133928 104944 46071 6049 -17024 -184823 82147 116067 -69353 36740 43934 -51327 35663 -92419 -78779 2503 -125744 4997 43739 -48115 35196 117739 122140 -103167 -37997 -59273 -182481 -98055 46579 -10204 129347 23101 14407 30383 93541 50930 29461 -5343 10713 75245 -91047 204072 49627 -140497 124952 206511 79850 27884 50999 -95330 23573 -134084 30249 -46264 88075 -53343 54635 84858 -25596 -69300 -29487 29276 -21821 73398 153905 -184774 -18963 121563 -59882 -77194 -110576 116722 -9132 -137959 66343 137559 -12364 216448 200223 -62269 -142767 36317 893 51411 -194334 -103480 -1841 -92220 -19685 -23704 -51457 168367 257874 -169790 18759 143575 -96194 20477 -169461 -59310 -125576 -126657 -78064 50793 129486 -22448 63319 -78988 249089 38357 -6802 66035 33899 27407 237213 43116 67288 218088 -112745 -210153 23617 -92295 39957 42612 81342 -182665 84631 -12813 30207 -53719 40668 135248 33743 -233950 8971 15605 -42726 -29861 96640 90526 -45302 124697 40733 78938 -167871 56577 -125526 22228 -46042 55414 95001 -108385 40557 -89973 28226 -169255 -11277 -5635 -125781 156967 -10968 -20263 -79255 -21463 39256 46070 9811 74163 7397 82591 113225 214137 182818 -121420 89034 112287 122947 122816 78060 -163187 -112114 63191 118236 60395 39979 -36050 -76638 -79210 27118 -53846 34794 13715 30391 -39597 -11639 127801 -128958 49321 30254 128314 -58129 90976 -144009 37407 -73769 -92376 -3680 -57806 74606 -208317 -46829 -24024 -88776 -81524 -29960 -50450 
+-88245 -2733 -5677 -1999 -60436 -21980 -158749 20497 -281254 9035 4738 -36784 47214 -189076 38529 -117749 49766 -216323 33899 39808 -57002 -181165 -47139 -107624 11581 22839 57026 27661 114999 -5813 86879 67713 -45865 -172196 33501 -48230 -60035 8695 79565 -13413 -25854 -69215 -63849 38150 -110667 -3697 -72009 -35904 102929 95333 143757 -43331 -89863 -58218 -234619 -27539 -54275 -7025 117176 25012 20674 17151 -34313 7627 47116 7370 31097 -51181 -104696 159395 101145 -118533 365 142106 85706 153830 69265 -29692 3751 -85820 -4367 -3874 170024 -39640 82806 46496 -63194 -89775 -2857 22890 -24366 73397 151362 -167189 54764 128873 -6277 -80935 -116634 52117 -14143 -157570 95436 67173 -49774 217392 188839 61845 42453 134947 75057 66182 24418 -57249 -5722 -116706 -19626 -73797 -60528 18837 210674 -94795 -11336 183724 -36218 -7821 -178976 -80297 -161358 -129195 7437 56770 144497 -30695 51879 -82965 223578 -9609 -6616 55337 36391 -39313 208644 1886 85581 219319 67441 -79289 150303 -67102 31415 15549 78476 -32436 84174 12673 86692 -56078 38273 161956 -6711 -238346 104286 -32130 -347 -19273 26877 127634 32438 86783 77351 80376 -151582 -29250 -86813 -26001 -47952 99589 97865 -149014 28818 -105694 -82347 -217554 -23323 -762 -126735 105898 -376 -26137 49877 -15510 -24780 16927 51352 78575 -49630 75254 98714 234746 167268 -106630 106582 103382 241213 116197 168188 -48430 -110730 -15512 -41563 185595 81873 -22471 -105133 -90966 63336 -44746 50825 101785 -141440 -37557 10267 177529 -72965 55047 -70378 -33200 -55572 77814 -39273 31404 -18337 -86801 -93081 -81035 13930 -182282 -31005 -53012 -40633 20495 68688 -56503 
+-63204 -22383 -40105 104589 -29571 -17473 -40942 -11948 -152678 16135 -28762 -46641 47109 -126960 108077 -69506 -25351 -225080 11837 25185 -109998 -165943 -43433 -67042 7073 -3554 112665 -8984 68748 -76316 125449 106556 -44182 -154274 60457 -151763 -50095 -25978 69865 -16359 -163984 -22261 -1350 105127 -47491 14059 -196139 -63238 96049 63114 81975 129273 -151699 -62265 -254333 32862 -172750 -33136 111744 -6047 28368 36286 -180572 36307 66655 73179 97409 -207494 -116091 46746 142903 -71104 -132458 28438 66416 611 118124 18553 -25305 6506 -49224 13449 170658 -6454 62403 -127757 -168565 -109795 10123 50669 -4964 72213 144928 -129996 75471 128500 13496 17359 -71532 -72083 19021 -98405 93538 -11795 -71713 178957 175865 119191 122780 185710 57469 51758 109276 -1890 -20480 -93078 -15207 -126458 46922 -58633 131868 -80162 15965 218025 78246 -52952 -190708 -87122 -98494 -85995 83438 59374 148134 -9472 67276 -62606 134020 -41785 -17749 1699 36996 -49132 239150 -80895 89106 191290 108222 146006 222536 -52241 -45317 2085 68374 85350 90765 -40368 113698 -63301 10787 171031 -47982 -249287 170751 -125256 3500 61082 -52403 95714 105574 48297 78590 16853 -48015 -114089 -106765 -23799 -41824 102898 99314 -186314 -13345 -66989 -95697 -67318 -34739 42164 -65066 8903 -7398 -19898 186790 -8750 -12579 -959 95936 88199 -118217 100237 92658 227543 101222 -159060 87599 157853 201035 91639 172169 61365 -128554 -57220 -135267 142754 67394 -53378 -120172 -85062 55297 -6698 2215 169087 -196743 -56386 97705 185094 -95255 83464 -123085 -118466 -48542 66986 24325 25157 -11949 -76041 -131849 -121107 -46319 -112701 13967 -24274 -62647 85721 117076 -63977 
+-83044 -67791 -35706 170076 -1308 -30452 114791 -32511 25315 -29557 6062 -54068 50857 -24835 90122 -11929 -35177 -226569 55167 37810 -132321 -141884 -13276 -94797 4237 -37908 78887 -5121 37804 -92310 113475 25952 -46082 -129047 59378 -92859 -52172 -18317 25935 -3601 -193908 -28845 64835 160114 14331 33925 -160703 -25056 88479 40304 95719 214484 -161893 -32265 -227640 21837 -216145 -35988 106425 -42735 46637 22184 -175776 96582 73895 65086 164215 -197808 -127055 -72543 132990 -14080 -152811 -32594 56384 -138374 105569 97963 -74355 75211 -91714 42422 82729 6469 62972 -253328 -107689 -120874 32109 23692 16357 74126 140955 -105083 27649 137641 32795 139791 -30038 -185306 -37461 -60119 71538 -19028 -72589 157163 161419 67453 12969 200527 60189 43538 -40567 55570 -21943 -115515 -16883 -170751 141163 -6007 35740 -71732 4517 241069 161864 -53095 -195933 -95538 -51242 -6901 131355 46374 140707 14733 19900 -49705 1100 -52568 -10907 28399 35088 5611 162083 -139803 77872 151804 -47885 162330 130598 -39660 -135161 10524 49493 100983 97901 -84206 75351 -84414 17591 69710 -88796 -228456 151314 -178878 -27204 110441 -134573 93951 140881 31429 51685 -47038 84608 -129755 -127553 -24901 -54808 51064 92136 -194596 4436 -83605 -22773 88674 -40308 39564 -49477 -67517 37453 -934 161647 -7874 -68052 17530 119351 74747 -132386 106415 70132 207298 60793 -152321 61454 150734 76252 29117 201316 12056 -107913 -65098 -155421 134454 25762 -48428 -119723 -67563 20979 44023 -2024 208417 -100712 -101944 80251 51742 -100065 135597 -64926 -72403 -54338 61021 -53058 30643 36905 -48849 -46663 -126267 -17779 -66788 45964 -25595 -89642 -38712 71686 -72742 
+-78021 -148687 -20779 95457 -67131 -3469 96550 -16248 118388 -1021 23876 -60761 55514 80101 96880 31116 -14630 -205369 28333 67146 -160943 -148981 37007 -91947 2525 -51017 -39267 20281 -30129 -37125 82649 -14309 -13462 -99258 62353 66554 -65054 -27924 -6442 -15384 -122359 -62574 151336 194880 -20507 28793 -53968 -2938 54001 8362 50715 149482 -126112 -65293 -166645 -42 -152189 -4729 99977 -44028 60229 22513 -49194 142170 69142 17833 113511 -27587 -132400 22877 113679 31472 -66614 35088 45297 -169572 95388 129778 -51177 144886 -120082 54651 -17923 19743 55341 -180297 -23808 -127627 -7014 19784 13866 78321 132631 -59531 -59090 136925 52960 189873 2028 -251527 7090 -55746 76669 41057 -52710 118093 145553 -53025 -173411 140635 72884 39938 -210815 115100 -31957 -60470 -14925 -193016 76562 143280 -13209 9100 6879 246731 67626 -69054 -188999 -90220 -73991 111117 129610 51882 122386 11212 54443 -47336 -65235 -24524 -15032 82447 32319 94424 97900 -187959 53997 89252 -213691 -29395 9546 -68520 -192227 -23181 22738 -65842 95641 -92473 70282 -87762 63631 -4474 -95064 -228065 66581 -207879 -18563 81359 -206715 81376 140176 46276 49280 -114871 124293 -20409 -136989 -32785 -46732 -26949 66903 -147641 -7468 -67179 52135 69831 -38527 -10856 14407 -56874 31451 19165 29053 -10500 -110711 -11465 127444 89208 -88348 71700 92515 161176 15038 -113761 56148 73445 -19883 38107 216159 -105867 -107359 -14257 -146824 35077 -38339 -52609 -102891 -56001 51812 107188 21046 224109 72851 -139099 122335 -85587 -82546 132519 10516 80670 -48984 63615 -149892 13775 70561 -49135 16509 -103167 11655 -19633 51233 -30729 -93655 -67593 -29206 -50703 
+-89213 -126250 -27278 -40977 -165045 -15334 -94570 3600 -4998 -14612 36567 -54153 58522 158774 50366 71409 -712 -171159 70317 44971 -184036 -139888 57203 -83208 2458 -82062 -100076 -995 -55777 78729 -12407 -39428 -13917 -80383 76024 188400 -66499 -25975 -39941 -52763 -1177 -88071 179282 186870 -61424 5611 35622 8056 -55199 -7479 1329 3664 -46332 -41059 -98483 -96061 -33996 -5690 95728 -16020 62317 52095 75820 107919 50960 -12735 12364 84875 -129928 175303 59143 51758 75447 156641 61086 -27090 135021 113744 -18149 183309 -160633 -34286 -76356 10430 -12431 24546 33337 -152501 -17817 31500 -18140 78686 140659 -13281 -124216 146068 15763 128219 -22208 -232574 -14300 -88795 65991 116869 -15878 72182 128963 -150890 -247805 47254 68116 78063 -150202 158586 -6773 -68864 -13373 -199481 -47095 264565 -91535 37710 13911 291066 -74691 -55698 -174880 -103324 -97424 97357 75053 68168 94916 126 70987 -53563 -43231 12626 -11612 128359 30828 182500 56716 -143454 27984 24889 -180784 -188304 -48542 -96277 -202448 -35505 37 -193361 98570 -44051 39188 -75466 61011 -6351 -74313 -257226 -9297 -121464 -15252 -58951 -246136 129092 93234 73172 -447 -126124 72317 54868 -116165 -17120 -48888 -90881 48314 -76186 31002 -97506 98631 -72824 -61655 3751 -12589 46573 50089 39742 -78897 -6093 -77294 16242 107051 71857 -46824 73877 78590 113060 -40904 -79381 28136 12262 -877 42450 190882 -189709 -55095 39235 -72158 -70333 -97295 -68368 -73613 -58627 29347 172287 16684 197221 127312 -158629 165729 -92822 -82131 147732 38304 246529 -55942 74009 -165114 3480 109808 -58100 12273 -70139 69620 18474 63114 -38941 -97092 -73023 -84590 -27668 
+-103069 -56007 12812 -49357 -151912 8944 -170594 39538 -197390 6068 14423 -48203 56221 178114 -42693 107125 77898 -120337 5836 52335 -203657 -102078 46643 -61833 -909 -80255 -84225 -4534 -108613 197324 -93023 12174 -29688 -59185 96139 160780 -81875 4187 -122816 -34890 23012 -122464 154307 134479 -121058 -3837 2115 45663 -135534 -17794 -18921 -85740 76626 -49472 -44810 -131856 67360 -54963 85186 21650 46487 39829 149741 39139 30568 14273 -14498 -11727 -115024 222929 33656 29464 204542 211567 84145 148776 98749 71766 16911 179638 -197032 -60514 -52671 -5278 39943 95858 95754 -156163 -37609 32480 -35981 81754 133113 16142 -129742 142293 5910 3146 -60888 -147067 -27619 -135218 67668 132586 26370 14705 111549 -150899 -134894 -16239 54118 76304 22688 197678 -13334 -62633 -15023 -165844 -74139 193326 -101803 90141 25050 287603 -56537 -31045 -164708 -125626 -136877 78337 15060 62997 59405 -16143 72298 -43506 41643 55328 -4410 139743 31960 228404 2222 -72310 9044 -38260 2909 -135634 -78287 -150354 -153102 -65036 -27819 -229710 102033 -42028 -15763 -94020 61921 34028 -36477 -253813 14803 -48472 -48098 -60332 -259787 83894 12054 113598 -40634 -139348 -26819 15113 -151510 -21089 -46529 -192010 29761 -47853 6134 -100565 196937 -202147 -75648 28458 -35446 91828 71026 61525 9787 -6255 -41462 12388 34879 114074 36195 81108 94296 49448 -71300 -36262 -2040 -18241 87257 9380 209846 -129191 -28289 84515 51739 -139580 -114639 -55468 -35383 -76486 41190 219934 66263 147433 30268 -155162 149546 -14066 -67561 105449 -1499 245124 -41743 86939 -124860 36886 116771 -65011 -69324 -47932 49971 43720 43551 -32297 -77886 10013 -86018 -59353 
+-87017 -7423 -32364 -10649 -99306 20072 -44802 48461 -274591 -7913 -25574 -42128 53489 116749 -53577 89744 124252 -73380 2526 14802 -181563 -72908 -5937 -90112 4452 -80910 30247 -1290 -126444 258319 -169308 67655 -56734 -23708 98271 -11310 -90199 -39227 -135694 -57481 -84926 -114622 93173 75888 -89485 13349 -138806 23529 -175486 -57101 -79058 -4587 140607 -51452 39302 -82557 88637 -42336 81604 41004 26169 36052 86929 7115 18223 37700 59709 -189080 -122024 35163 535 -21862 219624 142643 82860 17631 90677 -2688 29545 166077 -223110 -79186 47811 -20099 28234 -21889 60738 -172302 -52812 3386 -51565 84631 124773 43964 -63490 147105 -66354 -86469 -74295 -32732 19170 -147633 78588 76608 67373 -28768 93256 -46085 51384 -29117 -14197 73570 87028 210287 -30520 -44207 -20642 -129034 45702 61998 -65859 98654 25325 267613 65442 -51316 -147310 -136595 -127526 -2875 -54503 68298 18993 7108 45681 -25655 148903 58759 11824 135063 34576 220497 -43994 26578 1247 -80642 120954 71211 59685 -128231 -78214 -80068 -33360 -50654 106545 -24408 -69042 -73084 100983 102262 12194 -233891 99664 22466 -40694 991 -210268 66019 -62370 125566 -93965 -98295 -137663 -56176 -140169 18960 -43811 -223107 14045 -21283 -11024 -105015 207173 -157282 -71682 22162 -74486 123930 24886 65266 151943 -8568 -10367 38795 18532 94395 34501 33756 86323 6841 -104444 -12794 -28871 -104507 204938 5300 186283 18774 -7023 103494 146613 -215975 -108923 -36552 5341 -89502 14346 246835 -10970 68502 -132049 -136240 95588 95946 -74261 78787 -93076 114304 -50230 98881 -5130 -18662 139687 -39810 -114620 -64020 -44255 86198 61599 -52187 -72682 60491 -13074 -79345 
+-66382 -81319 -12392 145186 -11378 43088 102475 63605 -174906 -8057 -4762 -39984 50408 19849 -148870 98018 139693 -30086 19136 20909 -191878 -35071 -28875 -105704 6662 -78531 83440 -11579 -106952 250947 -214824 85862 -41600 4230 73237 -103647 -98828 -48032 -97824 -65508 -186269 -173182 -2940 9319 -42064 33675 -173528 72846 -236716 -59755 -78016 144513 178490 -25131 44210 -38400 4079 -38714 75521 12208 11571 29660 -71200 17046 17879 54412 143656 -214313 -117637 -54729 1088 -78943 174361 26854 76574 -152383 98053 -64257 51469 121151 -220785 -109764 145493 -39254 80770 -208756 -24826 -151220 -9780 13167 41700 83187 126592 82059 29239 166071 -36576 -69851 -95415 82497 12057 -140461 39375 -1088 93591 -49264 74705 76664 121806 20368 -51726 72837 -29715 196319 -9220 -20389 -21325 -77726 145719 -64512 -23135 89613 15163 248058 146768 -18531 -122998 -98945 -61905 -115496 -77563 70377 -23613 -21984 31620 -46442 238012 20765 -32025 153709 36748 152241 -82584 23455 10406 -105643 25797 185884 171593 -102169 -4551 -56948 -41483 110768 105682 6945 -35725 -74504 122004 192206 43045 -217590 170459 -25605 -55412 105753 -145246 52575 -133414 118453 -132684 -51394 -182812 -115164 -149351 -11157 -41475 -213891 -1696 2557 -34597 -51281 169741 19156 -87780 35538 -149923 86116 65339 57689 207611 208 57843 29315 28595 101039 -25396 79151 60445 -30328 -104496 7551 -58667 -190326 218722 110795 132879 38242 42838 125675 142823 -163796 -65839 -32106 43187 -84954 61857 245554 -2337 -13922 -200916 -106217 49907 202630 -40258 67825 -87527 -23335 -32844 105897 -31204 7307 159238 -14932 -118618 -105856 -50665 94447 40234 -32079 -65654 -10435 99408 -54947 
+-70793 -162629 11798 200946 17564 50341 99456 101052 40454 25534 4215 -46886 46751 -89237 -204032 51538 104305 22524 3704 33007 -174036 2767 -52023 -84925 -1693 -47749 65357 -18319 -81966 169752 -228030 21829 -6060 62 84458 -117396 -96684 -106340 -63221 -45719 -179283 -157997 -78328 -8777 1728 29328 -119106 43696 -233636 -54785 -56012 194654 222895 -16170 50458 3791 -117977 -19766 62487 -33069 6693 27232 -166233 111622 27913 47178 151563 -61721 -109799 15518 26112 -125448 35393 -31726 50747 -205981 61423 -110662 136117 54022 -220907 -88593 171209 -58610 111626 -238473 -119020 -134290 27248 14997 38546 82676 122873 84629 71327 157125 -81159 39477 -106234 112182 -24099 -74560 71210 -34543 99400 -83767 55823 125570 5147 98478 -104099 72520 -168411 174722 7815 -85333 -27437 -29651 87806 -21587 68191 84271 -22136 212814 88234 10445 -103066 -119943 -42733 -134081 -66786 63381 -65863 -42942 51825 -43708 241059 -22999 -28611 114701 36656 56898 -95189 -11527 32397 -117139 -163128 16904 178952 -99970 69842 -109581 -41408 62768 107985 -14099 -47847 -88916 112176 164856 55094 -195524 155056 -93414 -108050 108649 -61808 63400 -163839 80052 -163213 3347 -104260 -63607 -133713 -10354 -40971 -179449 -21066 -12304 -12310 -82062 85478 89884 -90995 51555 -158138 -53830 92863 42706 106382 10824 70910 73012 45188 96283 -96060 45547 50073 -38453 -97907 12776 -80620 -206086 111859 103818 118927 -32308 42736 76090 71491 -81584 1941 -30402 71664 -68772 30405 224368 -7034 -70309 -92439 -74017 -44453 198958 -47547 78778 -58336 -95304 -25953 106950 -117888 -5967 176533 -82826 -15166 -126723 25012 103574 7770 -37432 -66609 -101060 100126 -27113 
+-97997 -144126 -40388 109760 -70085 53395 -79534 116563 119337 -1897 38931 -58828 47220 -174024 -264326 13890 63372 48146 13910 49784 -152356 49054 -65048 -113722 -929 -37416 12789 -17537 -71271 62940 -202564 -21680 -23025 48490 68253 32345 -102351 -78934 -36274 -38328 -49435 -88353 -85176 18867 -3657 6261 25046 62867 -218097 -61187 15659 112441 195074 -49445 33896 30479 -209196 -22645 59667 -47053 29623 39552 -162878 156236 47164 -3322 19797 83808 -103368 202341 66402 -146782 -97270 36443 55070 -30832 87187 -82067 115640 -16951 -221380 -64560 117288 -43104 61043 -104526 -131530 -146518 25142 -17333 155 81187 113374 71188 52314 160755 -79149 155794 -97621 60847 -49833 -56647 36904 26678 83583 -93348 37523 62369 -180965 172301 -116474 86245 -147556 121836 -17055 -44541 -29048 1884 -39140 120088 151648 94676 -4753 147347 -48094 15633 -74807 -120253 -106752 -130261 -49747 56376 -104220 -38263 72796 -21923 181766 -43301 -14344 108320 34443 -21623 -17248 -78974 58350 -100254 -217921 -169387 69233 -71750 37305 -123366 -18297 -80966 109858 -67314 -30550 -65946 81397 90164 36611 -193572 71262 -185639 -92463 -24604 23363 19410 -157949 47705 -196687 63691 18977 2673 -135526 -39247 -34324 -94338 -42174 -53686 32174 -82876 -54539 -3748 -113679 -9028 -69425 -44803 74604 12078 -29352 -2550 120236 45569 107861 105290 -138858 54521 74194 -33705 -68073 20310 -93275 -206327 -10733 140442 76955 -171847 99016 -19794 -63172 29409 61026 -35295 86966 -54757 44659 179999 22213 -104744 60915 -37930 -87047 85248 -34088 106264 27989 -78730 -18957 99636 -193606 -5337 155046 -47780 43267 -112392 64746 86796 -29657 -37290 -128282 -107454 24856 -16377 
+-88217 -54396 -44042 -41846 -144335 70044 -164042 143666 3403 -1403 24924 -64702 50978 -181417 -235531 -24270 12044 68749 45855 56318 -116197 85270 -44419 -83851 -2188 -3066 -98459 -22685 -19921 -44411 -149588 -75184 -47920 64787 83046 180067 -72179 -71452 2670 -21500 18658 -43746 -58788 66339 -76686 -3972 38789 9498 -161896 -34777 36396 -36882 115630 -57104 -31503 -16030 -188904 -49106 61332 -24772 47214 49280 -41940 125377 64283 -12064 -32294 14400 -90088 204347 73314 -116973 -131339 155144 59537 111324 59967 -18352 132934 -90063 -199282 1265 9715 -24463 54060 67687 -109382 -140278 16769 10098 -42841 76507 109511 35573 -27270 170356 -99534 186829 -71159 -61568 -13944 -43650 33724 113007 50544 -85414 19240 -72503 -245669 197235 -146772 77516 61741 72153 -374 -22549 -37314 11593 -67873 230556 216195 14218 15488 114320 -70169 21038 -50303 -113894 -176122 -19463 25802 52144 -136823 -76129 50410 -33936 61443 -49344 -10070 39000 31723 -49941 -239 -144876 79254 -66620 -63694 -166474 -52607 -55338 11196 -151957 2435 -219919 117063 -73451 14991 -90326 56401 43777 3837 -195609 -2311 -219886 -70513 -72707 95158 13086 -114970 26250 -215389 90090 132951 46245 -84766 -39746 -40645 -17716 -64214 -104371 29932 -71633 -89132 -157285 -126486 -1057 -17773 16112 91228 -965 -34773 4218 89352 64643 137838 105293 -160590 40358 70293 -1271 -27841 9125 -92947 -143842 -26771 151030 8545 -140041 128561 -45688 -120440 88689 80644 -63319 87456 -57974 12901 114937 7375 -96300 141419 -37660 -66586 -33159 -17847 126744 22737 39874 -17287 88102 -162252 -30819 156329 -33281 -13505 -84424 34318 8678 -67180 -40841 -94461 29854 -67939 -2791 
+-87615 -3397 -27769 -102307 -175473 69996 -66166 149148 -195486 7867 -12583 -52163 53425 -145991 -278526 -84976 -30020 66015 38123 47471 -73345 100548 -40572 -73516 -954 11707 -36195 -31373 36296 -92243 -80753 -25822 -32000 75385 56574 177555 -77036 -71419 70361 -22387 -31012 -36166 30322 128111 -95583 12651 -107571 21910 -72481 -38587 55037 -84016 43528 -48559 -98571 -87679 -86627 -23721 48625 14949 47671 12645 99583 44081 73893 24548 49727 -154081 -87018 47755 113485 -69355 -118267 212070 86939 71728 22659 51434 93527 -146775 -170518 18223 -68328 -6821 16270 70563 1174 -139738 -4471 -23507 -60122 76756 97119 -3264 -107825 159918 -68357 108653 -26179 -174021 32326 -98447 38501 134382 8184 -77684 934 -157244 -126026 152383 -177049 71068 124398 8782 -24220 -1041 -34906 12479 37070 210021 269428 1616 21669 74050 33226 -25507 -28984 -101386 -137348 75323 105239 55764 -160287 -80006 7734 -43922 -14378 -17646 2117 23741 30885 -20113 36371 -196900 89473 -6063 101699 13940 -97649 -43321 -47095 -173672 19699 -174584 116590 -75512 82339 -82242 47021 -38964 -47280 -167271 5961 -158697 -118045 -20962 130037 7184 -30865 38720 -232471 87024 120165 -18306 -142300 -43983 -41056 41885 -68964 -153853 32025 -90235 -73700 -204811 -127118 24844 28176 55793 69826 -22862 78321 -5328 34162 123342 118663 82962 -70742 -5583 50907 45911 10369 16256 -86360 -68068 49730 109762 -34792 -34276 115164 -72077 -190328 158577 75521 -51984 71137 -76079 17323 56674 8618 -56992 25133 -52046 -83357 -132923 27367 125127 -29867 238701 -11232 74526 -56037 1378 159309 -21544 -91903 -48862 -26921 -18711 -37444 -29965 -62242 79480 -107531 -12758 
+-91734 -42911 -34742 26185 -38536 76314 104951 151310 -273184 7417 -28754 -42830 56943 -36035 -239658 -136970 -27487 60380 35894 22965 -28746 108577 13396 -115864 -4906 48751 42337 -17609 97165 -72473 -5456 38404 -49573 98166 86053 70504 -65292 -110366 53880 -23914 -149013 -33526 113324 170155 -92578 33368 -173189 32251 23989 -13749 124784 38260 -45094 -42940 -146505 -147651 36482 -28311 50382 30757 57886 45982 134586 4882 69439 60940 114540 -228652 -74072 -72497 144347 -10920 35063 148191 80393 -90511 89400 122390 27352 -170551 -138499 42300 -79741 17942 10899 -92789 61531 -125623 -17150 -16494 -27201 74854 92158 -48340 -130035 177986 -40538 -17597 -38868 -257565 9776 -138504 39093 80523 -33723 -58727 -16150 -140954 58831 54528 -173584 42392 -52728 -42952 -19534 -73657 -35141 -19241 145794 80243 284869 -46894 30822 21067 165218 -53446 -8634 -127191 -103074 96794 122988 49134 -174416 -101420 69335 -37718 -56382 26544 10015 -1790 32421 64769 102514 -131981 83680 56874 81786 176896 -34586 -56401 -145198 -169899 43551 -38899 101671 -85971 104402 -103858 63192 33126 -80621 -141195 87647 -64589 -126446 80914 137683 9894 42612 89214 -220219 56415 68097 -74210 -92034 -31747 -39139 76468 -81267 -194742 -13082 -79347 -27724 -57748 -138382 10879 -26207 163709 72512 -27062 224688 -5348 -25384 107883 99280 103218 25255 -11315 68192 89229 37621 -92985 -74152 -35914 187972 138507 -40796 48915 118774 -21374 -113696 157477 15788 -52814 42324 -90820 23553 2660 -6048 20155 -143097 -86233 -73421 -85260 5592 106373 -95952 263196 -3565 64794 -15185 -23506 137388 -30399 -91029 -56318 -60279 -43116 -39969 -43224 -37905 1583 -50214 10855 
+-76664 -150360 -46997 132387 -22639 45131 102155 135311 -195268 -11448 379 -33940 57911 83211 -239235 -177127 4827 22145 36241 22727 -891 118266 33718 -82497 -3891 66687 102971 -28027 131331 795 70341 84376 -26977 95228 88086 -63593 -49821 -105848 55936 -31946 -205675 -65242 158078 182164 -14560 29857 -161644 -14948 63733 20090 165672 195310 -129981 -36640 -199728 -92770 86684 -12547 44738 18048 36192 26697 68102 48306 52468 43943 166280 -96845 -71644 12644 125558 39662 162358 32025 80613 -170375 101992 133629 21565 -175981 -88442 15691 7136 29522 21615 -257547 83617 -107542 -33787 -8291 28672 74674 81219 -106862 -82837 174776 -34503 -91864 -38729 -239703 -4284 -154124 33936 -106 -64063 -6454 -32641 -30516 118869 -175 -178480 46908 -182583 -91929 -8460 -42461 -40739 -66893 89332 -44401 259736 -90659 -7730 -13760 122538 -34076 2285 -93468 -54381 84133 109667 43756 -177267 -119767 80524 -36793 -14071 56497 -21983 26987 35360 159992 135346 -82828 65105 113348 -97641 93088 110946 -90911 -209231 -158440 63636 126100 110143 -94025 110085 -68144 37997 95958 -101326 -146422 164496 1222 -125278 94619 112265 -6392 118380 126953 -197626 -9192 -101163 -124519 -87942 -16670 -39578 86895 -71727 -190273 12541 -75646 45346 82951 -137907 52775 -61605 113236 82432 -14155 121631 219 -42954 117818 39209 103207 50494 -10150 49393 158801 82374 -60731 -48931 44013 235756 118334 -99972 30977 114358 47058 -24345 27720 -50904 -43933 3897 -85916 40263 -36731 17753 96443 -208676 -125919 -92173 52616 39631 101465 -90312 166797 247 61923 -87900 4028 129963 -58505 -39309 -82227 17943 -125900 -16975 -50403 -69982 -82874 59438 33287 
+-81033 -159616 24371 189315 -16498 34676 -62504 117797 14088 -28280 28863 -41609 56716 158417 -149244 -205871 56978 -19060 49814 16694 40831 141053 35080 -88080 -3149 86683 58923 -40164 148720 138143 107024 76605 -29417 100282 63315 -162165 -52043 -101711 14822 -17530 -111622 -109245 187157 166808 18356 6872 -27808 -32494 115748 40640 160843 219649 -159564 -50571 -275180 -26254 35691 -34571 41716 -16959 27295 41986 -81482 104668 32402 -2471 60816 67584 -65850 185394 88535 58216 236598 -26555 69629 -83919 127649 109646 -20566 -159609 -44527 -101 112788 16511 72430 -194558 13760 -102161 -12670 11362 24816 73751 79652 -140773 120 178930 17339 -55889 -32811 -168555 -38605 -107885 35865 -30269 -74934 28637 -48158 81915 -3674 -32916 -172996 56729 -138806 -119187 6163 -7699 -45482 -117955 -35013 -29531 211475 -105455 22344 -83558 -58838 -67016 10796 -62654 -63375 12749 73126 54852 -168773 -130918 53488 -44952 104428 48707 -10791 100468 36952 225080 193825 -19593 38610 169094 -218792 -120509 222998 -102873 -188468 -189314 80659 97871 104898 -24765 84988 -71063 12765 152384 -75973 -120433 162847 2586 -119173 25143 50066 -43607 147575 129110 -158604 -76944 -177095 -42136 -53391 -15818 -35951 39743 -75730 -147964 33857 -57087 164495 67876 -133183 -13906 -127300 -5095 72665 -3081 -8186 -8619 -74569 116146 19216 86307 10604 -20964 55479 194591 129946 -144151 -44470 119980 152710 57715 -88664 -126763 111667 88948 88412 -27231 -101270 -38082 -37031 -68714 19613 -52659 34733 163549 -110880 -153397 -22352 130049 24884 77689 -11360 5469 7336 65542 -173781 -3047 107247 8659 29103 -111902 63952 -147535 -9715 -17596 -93914 -121432 115512 31232 
+-68886 -83191 -41406 104615 -89912 36001 -157647 91783 87152 5141 34514 -52234 51347 169390 -66933 -227200 94070 -62395 31288 51798 69783 121869 31540 -114134 -3421 91856 -66795 -27668 157643 217185 117583 31328 -34776 99026 80974 -29073 -46250 -91833 -31017 -17141 14196 -126313 132517 105502 -4352 -4088 37263 -49114 99042 60910 124282 55182 -160652 -53735 -233309 15463 -60786 -20723 34206 -50420 21243 12145 -178858 140058 18690 -25420 -14291 45790 -71700 207180 69483 30243 204014 33905 59038 75907 160880 66125 -42810 -112471 -18064 -74484 179516 1831 77137 -15040 -51296 -70019 2346 -8115 -6856 73291 68815 -161512 67834 200668 42405 62135 -80622 -32860 -27315 -54164 26585 20002 -63957 62216 -62518 122974 -187970 2910 -134996 52537 11706 -130373 -9801 -23225 -45440 -167271 -72897 103725 132164 -182348 -6088 -83012 -92024 -63005 15606 -68203 -131449 -98238 -15382 60694 -149624 -149807 51200 -25189 180463 12894 -35485 103490 36307 233900 221800 24352 14792 211495 -140901 -196028 130696 -131313 -149882 -208800 86230 -57200 100226 -18417 34415 -62464 34800 192640 -36940 -96592 79355 -53351 -100181 -56033 -42324 -29287 133867 117129 -101635 -119860 -135124 37133 -60828 6700 -38799 -46250 -63682 -120896 15372 -71236 191012 -86418 -156973 -18992 -120839 -63443 69527 20593 -64908 3621 -83035 139797 1841 79879 -94172 -60179 55459 233422 184846 -149837 -10144 162705 21329 32409 -130544 -180606 97272 130320 183202 -119782 -126707 -38555 -75000 -55199 46766 -44432 31024 211942 70176 -160023 47523 240435 62884 55923 55227 -83164 3257 76375 -167732 -7944 88416 -2466 30488 -126906 50580 -199602 2829 -19906 -85851 -36412 93250 16974 
+-72140 -16014 -1175 -20833 -169241 -3474 -77509 41358 27236 -5999 17635 -58964 49002 132429 -2666 -226793 128284 -117794 16013 58776 79986 121228 3638 -83825 -4665 110176 -99659 -18084 151443 262790 112112 -28054 -49456 108338 55525 114018 -61333 -112680 -58467 9229 22261 -135569 41295 59817 -80564 11965 -34102 -58884 99841 93412 100439 -55737 -97011 -57692 -206882 32439 -183756 -13115 43109 -27862 7795 36861 -153013 125092 16833 4539 -5273 -126168 -68573 69512 30809 -16893 81351 153640 62417 86929 87694 -17208 -68362 -53194 28658 -88303 153947 -26098 37945 98459 -157060 -88843 5883 -12874 -28820 75884 62939 -208067 63569 194619 45915 169201 -111759 68425 -26040 -40666 45545 98121 -35162 121891 -75413 43119 -244559 81425 -71566 56922 100908 -114888 -35765 -16063 -42100 -187105 31019 239670 37533 -219756 28080 -55672 15841 -41602 12716 -44892 -134038 -158246 -61087 64834 -121103 -146069 57235 -63552 262505 -38911 -4186 161867 33674 173509 193094 7164 2387 223639 49587 -53307 42454 -137861 -89053 -196146 89665 -237050 102103 -2995 -18098 -65465 53796 93139 9547 -89465 -2019 -161900 -132285 -23805 -119608 -17567 81455 81766 -59425 -156471 -89085 54781 -50591 -1003 -38957 -124898 -59195 -33058 22975 -51927 170112 -214303 -137673 -14222 -85662 -58072 89504 45684 17432 -473 -87036 117730 65927 71121 -150175 -105631 47945 229666 237237 -137597 23780 152160 -36198 -6691 -124058 -109012 111908 115991 111130 -193149 -103631 -44871 -104168 -59067 14572 -4639 26937 218878 134008 -147329 98524 105823 55154 49354 22251 -83663 -5336 88801 -100063 9125 40819 8035 -56567 -106113 -25647 -234452 24311 -32327 -95484 69643 -24953 46383 
+-82890 -21298 -152 -63422 -157019 5916 93010 29715 -174195 33211 -14135 -60673 48657 26660 37975 -221268 111234 -167725 -5282 26217 101902 120857 -33966 -91906 -7874 94668 -62244 -24603 144102 224479 37880 -28088 -66285 92145 64789 184724 -71676 -85589 -100147 10801 -87026 -154321 -16139 11193 -99698 33055 -179380 -36417 1531 116522 71042 -62383 -20576 -46018 -166973 -13152 -221817 6372 34953 10975 36276 32115 -20923 58869 27949 58082 103436 -228983 -83790 -61678 2719 -81359 -66253 211848 66030 -12575 111254 -64148 -36997 28196 53954 -91392 51259 -38474 67924 -7459 -140472 -56091 4831 -8704 -73520 76289 63227 -174268 256 186227 11779 180291 -134274 116548 7186 -74434 58750 137332 6822 162982 -86769 -81425 -116711 176393 -83679 49586 -15064 -80415 -18684 -27802 -46894 -202182 145715 204236 -41693 -233018 10708 -94522 132982 -12868 6372 -64466 -116455 -107154 -109050 54556 -85242 -177453 59906 -60951 230093 -55816 16186 144415 31246 81719 169507 -59861 5404 213544 112871 154415 -72693 -84272 -18982 -224614 57054 -196932 103267 -33286 -43851 -83698 101301 16747 38773 -56514 1094 -197161 -110694 54196 -190254 -26876 6362 50203 -25918 -138795 66329 -35891 -9840 -27216 -30806 -176920 -37725 4873 -37014 -81418 114345 -127249 -156630 27610 -71532 33334 72084 62763 177031 -14550 -51752 114762 97868 54692 -152379 -81891 75539 212219 237528 -153448 41536 121762 34716 23654 -87201 19359 35653 64505 20584 -159627 -46008 -53168 -119876 -75048 44555 44591 27233 198025 34653 -122338 145819 12267 107855 92420 -48438 41075 1806 101854 -1143 -2079 11155 -9237 -103162 -72124 -38260 -279560 63145 -46554 -57981 30413 -77775 72305 
+-93911 -117771 -53679 9395 -47452 -21330 110436 425 -255451 -1344 -10721 -58592 49723 -79440 99326 -181037 76748 -192126 16028 7731 96604 90071 -47892 -87447 -9070 81221 28827 -51370 75229 124232 -27421 3790 -81979 78213 59176 113637 -83551 -121048 -118971 -2503 -201918 -116281 -75374 -8497 -79613 30338 -181304 2384 -57261 128091 12616 83424 58469 -39519 -93018 -79840 -136214 -18086 41370 39049 38377 31643 117423 955 46642 89691 154038 -130503 -87132 -9885 14291 -126197 -147986 142366 79725 -202639 122696 -95491 -23762 99289 61280 -63073 -46974 -49807 931 -180658 -54059 -37617 -20095 8702 -27344 78222 50810 -152515 -87843 185738 -25708 87302 -117829 76539 26589 -116798 47096 87262 48978 186477 -96285 -158738 65853 200068 -68152 87737 -219689 -33464 -3867 -27132 -41005 -177839 92335 93686 -92453 -226472 -29187 -103855 120358 -13330 -6492 -25093 -90762 -42157 -71671 67338 -44164 -172624 44654 -50393 142270 -34388 20364 140538 31142 -11365 103100 -125817 21590 178757 -24999 145474 -113992 -99083 61615 -174771 49967 -29948 98486 -72794 -68299 -53757 89495 -12420 55343 -51937 84984 -185431 -125844 82369 -244000 -21342 -81713 35539 37857 -95523 113058 -95818 4224 -53950 -38010 -217440 -25931 32308 22358 -86826 12212 30213 -152069 27365 -4236 121063 59101 65361 216159 -11036 46656 115752 139562 63867 -73589 -115295 80270 180522 237456 -140343 61439 77090 168832 31735 -61566 31763 24731 3409 -101340 -143987 14157 -57192 -119921 -89726 43357 104802 23777 140059 -136347 -80963 162125 -94532 124725 99496 -118962 193341 668 107657 -27198 21304 -8033 -21910 -80000 -45011 4036 -285371 58666 -31837 -53789 -87156 -107645 72242 
+-105273 -144045 -57408 124462 13891 -15560 -43729 -9302 -187860 29603 4358 -42770 53119 -164741 96210 -135993 2578 -222371 4561 43101 77355 65115 -49258 -93457 -3389 56560 117478 -1408 44504 10136 -112112 77452 -1823 64120 91386 -28371 -93838 -105218 -113150 -16023 -179701 -77493 -85606 33037 -6512 7526 -82522 -21552 -144068 142518 15719 173719 180660 -55967 -22099 -128251 -24414 -18908 46101 22945 61202 53730 144798 25743 65671 30145 121617 40067 -95112 180595 3283 -140756 -130317 23639 94250 -120588 106032 -71058 16845 143655 75547 -63192 -73740 -36880 48558 -245452 27975 -43635 -28263 -21098 2828 80983 44959 -136438 -132847 193318 -48604 -36828 -68188 -42089 12625 -144340 67675 -2572 82539 212717 -104002 -132466 117840 168834 -20346 82761 -173771 23348 -12063 -13078 -39536 -132936 -41073 -13462 -93915 -214058 -4716 -66705 -11052 176 -26899 -61805 -62518 33319 -19455 72538 -1592 -180076 78944 -47263 7656 -5925 -22079 146670 33095 -52665 90328 -204359 46854 134623 -197345 -45545 43432 -60968 66280 -204651 24270 105486 90273 -76687 -70152 -78172 125450 11168 36516 -7950 163201 -93754 -70599 48256 -250273 9804 -142557 46575 46105 -32506 83056 -115992 17501 -22271 -38940 -214912 -3109 -9718 26674 -89188 -2277 112537 -123300 53401 -28992 126147 89997 60280 46225 -6360 63977 67515 123508 60476 12233 -143285 73715 128244 252923 -92489 94794 -21975 233622 61449 -54184 -61440 -26062 -72735 -179245 6881 64143 -43725 -104170 -86383 51104 166073 29241 57018 -212505 -44562 164756 -93899 83076 136211 -84067 240835 -9597 105393 -109269 23286 -33642 -17623 15671 -67611 40910 -296912 38072 -18119 -68258 -86126 -29326 68302 
+-80871 -103726 4218 151900 -35630 -21555 -159788 -11663 22976 31370 28340 -38724 57158 -185581 100097 -95647 -10082 -215156 65649 39957 74378 51122 -31527 -103059 -8805 29802 58600 -14788 -22561 -71938 -197484 114432 -21571 36320 91647 -153516 -101027 -121496 -67076 -11014 -51995 -51729 -29584 83023 21209 -4183 45293 -6161 -180490 160624 -65301 160524 207337 -43553 41025 -88662 70349 -12644 46994 -15598 68865 2068 54298 111408 73368 -15293 630 57164 -109714 198638 36136 -120710 -16095 -32371 72363 75101 53463 -28029 61364 167351 61770 7022 -23799 -22626 32308 -120025 88907 -23465 -37494 -51516 20243 83785 44124 -93545 -113830 193088 -62957 -94011 -63982 -159965 -17093 -133122 74760 -28468 99287 217578 -110155 -13831 -13358 78859 11052 89866 52549 83914 -4139 -40713 -38652 -81921 -70328 -57434 -54306 -230176 25305 -29232 -117209 20512 -45546 -93347 -98568 84611 65772 60187 40092 -183492 84862 -50303 -58330 36119 -14598 105065 35926 -26376 -997 -164571 71677 66525 -198622 -220545 148348 -34304 20418 -185839 7411 103054 86490 -74803 -70359 -64054 74655 96920 -3965 15547 164338 -24931 -41364 -39150 -220044 20959 -176130 92231 69554 32127 -10297 -21054 34819 -37449 -38736 -160685 14105 -28366 43387 -80620 -78571 6905 -140093 -12392 -9297 57364 51294 38654 -67164 -11091 60692 98144 97567 62771 43670 -129639 63746 70778 239112 -61499 97871 -109859 168885 78983 32324 -159151 -52528 -43928 -170104 125678 76980 -45154 -75697 -70419 11949 217480 -2149 -12873 -104789 -29130 121883 -40032 112393 146476 419 148878 -6486 97985 -169915 30365 -64212 41814 20786 -99532 54148 -263996 55770 -16170 -101094 -26676 96041 71200 
+-86183 -11948 -29224 104421 -113595 -20146 -87237 -23500 101587 -15285 32591 -47975 56032 -132109 51793 -49311 -22344 -226663 68099 55610 41976 13524 -2686 -94129 -7789 522 -48318 39587 -65883 -91406 -213119 42299 -37154 20119 61309 -101703 -88822 -120262 -1944 -51083 33323 -52648 69174 133903 -1314 11256 -1031 -2247 -235992 151394 -71451 37691 231635 -75381 52307 -31025 74809 -23782 44405 -48286 41349 55475 -96218 151717 69302 -9405 7361 -89687 -121827 55867 72341 -69721 122425 31458 47899 108342 39525 58029 104213 185939 50981 30214 74002 -1726 59019 52100 57883 -28336 -20276 -15792 -4502 83846 22520 -43309 -39898 185127 -97474 -39298 -21924 -254518 -33606 -86550 57084 25937 93580 206855 -114511 97350 -195169 -8429 47072 82359 136494 142068 -7173 -10627 -32936 -39339 26193 62162 -24591 -201475 17707 -15435 8865 -6800 -76800 -123276 -171432 104632 118431 49390 78152 -164605 76410 -74916 -31807 50219 1411 57833 37079 43682 -15668 -76041 88160 10007 -17957 -95602 183015 -44079 -51980 -172089 -17913 -51810 79956 -38990 -12990 -91159 60104 150043 -45554 24578 83692 6111 -78144 -49839 -151241 -1871 -151304 121225 59673 75231 -136376 32187 83256 -49402 -39148 -88106 38299 -66104 -4856 -86490 -88668 -172944 -135327 -12279 -48626 -24997 51247 18624 -26443 -20682 70556 44160 44469 46710 -3646 -171537 13460 13825 231548 -8218 86951 -169366 36782 112632 65344 -155133 -78005 -1138 -72953 175751 57701 -22358 -38074 -55120 32696 243575 33691 -78697 64323 -41280 106855 136063 148708 105303 50279 6147 -7663 84593 -118774 34807 -86395 15049 -32757 -130492 -25804 -229541 -6234 -16846 -126057 72563 119743 94321 
+-79788 -24680 -54110 -34250 -175994 -24471 81967 -24433 44376 -1476 4578 -54719 56217 -28331 -19143 17129 -6142 -194229 43670 49645 5968 -14588 13163 -69715 -11919 -40142 -89424 29906 -110507 -36708 -233715 -27782 -46784 -12038 47543 88521 -90603 -120970 37340 -30263 -19484 -53800 144506 188378 -71664 32669 -131602 52071 -233757 135265 -85114 -74286 181462 -58566 41497 10208 -20159 9858 52823 -40941 25308 73976 -177535 133834 54709 55521 55733 -222643 -124510 -74256 133329 -9190 220822 153796 66158 -22334 20516 111466 101543 157042 42170 51158 164510 12657 96638 78104 -17134 -18880 4244 -3310 -46631 84606 27387 -3564 53286 190090 -92938 84004 -21121 -256514 -28155 -45231 76618 102194 67645 185262 -116523 116235 -242385 -35477 62517 76369 -2965 177980 -8686 -11333 -35676 1367 133847 199554 31888 -128534 -6044 33381 113384 6692 -103439 -98416 -125395 40635 129777 52665 109792 -132638 57727 -52365 41911 42641 1408 28053 35774 136235 -33958 -12462 87369 -43452 111773 120125 104776 -82012 -119356 -158689 -37075 -185591 80971 -50192 39827 -88358 25510 184722 -82696 40831 4738 -42199 -50903 35529 -78592 -26717 -96490 126709 33369 120513 -184367 22500 81620 -24520 -44178 -8064 48338 -172261 -17567 -72205 12023 -188780 -131931 -15967 -94314 -71266 55801 -6925 100647 -10154 38712 42759 3824 36714 -35108 -167422 110637 -18423 190158 23156 85131 -161886 -38883 125160 116828 -16543 -125597 44727 43219 195350 -1567 -40486 3914 -60057 42022 247008 33116 -107983 130319 -66309 70081 201823 104791 80511 6794 -97692 -20405 72444 -61031 49454 -89461 18306 -95193 -121232 -44748 -191028 -22020 -47036 -91301 7930 45178 59361 
+-83447 -115935 -29494 -69134 -133313 -18259 123288 25170 -201050 -16800 -33366 -59776 55000 76899 -88242 55586 51021 -151803 58517 39148 -44375 -37958 47422 -92104 -12357 -40853 -34643 31705 -119836 55850 -188555 -38908 -28769 -33784 68675 190516 -83242 -114632 75226 -15789 -143971 -59022 182586 192761 -110728 30781 -181447 62321 -207044 126899 -60135 -50602 95960 -62767 12593 11918 -144230 -22995 60069 -5194 19664 41501 -133694 52134 33684 88274 130367 -165104 -125532 -3421 131311 38470 217591 213585 56030 -168985 49521 136748 125338 103187 6801 8427 170515 17787 61002 -71984 -85276 -45269 29978 -21386 -56909 82936 26800 36212 83922 185774 -88942 179367 -23076 -186096 21296 -44792 73312 137052 28695 149365 -116574 28994 -107147 -9515 75901 65512 -179226 197236 -14477 -27059 -35496 19871 95031 221499 143154 -119139 18706 94439 127041 -41819 -123510 -102341 -58597 -45607 128423 59685 132940 -142644 18964 -55323 137149 -3328 17338 48155 33017 218400 -82077 9267 73066 -91320 55317 146569 -20533 -101303 -184619 -109629 -43016 -178212 85647 -5900 90720 -86541 48582 89868 -90331 57943 1631 -127477 -35353 134770 13125 -5073 -18867 117742 10756 80706 -128000 -75772 101136 5409 -30249 67808 69399 -153438 -24882 -46809 113595 -34890 -119988 42377 -129502 -47165 40212 -20562 199504 -8688 33590 48898 35417 38796 -104607 -175607 70367 -38857 170564 19964 85353 -198537 6306 154583 141180 55886 -94891 99662 118396 94048 -79178 -31420 41634 -74574 44037 228940 41341 -92385 36489 -112742 -46918 156918 118528 46728 -93011 -100922 -30527 62984 -13703 8064 -110452 17400 -78100 -85163 -1451 -166976 -16320 -29873 -62953 -75548 -51936 56550 
+-88499 -143366 -27847 -13332 -45780 15588 -14354 30561 -256664 -4011 -12233 -67744 50128 146589 -181312 82699 116980 -100308 56084 33713 -75599 -117280 29980 -87088 -10858 -69419 79736 18127 -118952 183210 -135880 -7139 -11431 -58964 92446 144312 -60740 -119517 66762 -9726 -191627 -127084 173822 146772 -68957 8178 -115329 52300 -105726 110114 -21017 122926 3619 -53810 -47235 -6001 -199312 -50269 64147 31455 16283 -10360 2359 5118 18777 55228 161201 17333 -118211 146428 132276 51478 107826 150625 78767 -165010 84654 115034 113274 32463 -23457 -27328 85510 17116 38405 -233370 -122070 -35552 -2702 6578 -14755 79853 26627 69370 33176 185849 -65727 170620 -67553 -49063 14135 -97409 74365 93171 -15222 106114 -114692 -91211 71315 54011 40795 67905 -154346 208300 -1862 -47533 -34580 10708 -17441 112298 203627 -88807 -5652 131076 29603 -60490 -147623 -102876 -61516 -125120 55258 53285 146028 -116634 40632 -60037 251987 -50861 -8662 46869 31101 233768 -55238 29957 49646 -121041 -139489 23497 -92589 -127109 -205350 -111335 -32883 -26680 80695 -19802 91170 -65120 20964 34842 -79577 86286 77566 -223476 -21845 101365 75971 5381 69281 73377 -839 20092 -41567 -100721 132024 -12345 -37522 89936 84234 -195646 26246 -54524 183933 85293 -118082 37045 -121426 50106 44580 -26867 94537 -1221 -33359 31508 63802 16764 -150878 -179696 89524 -43693 102694 12329 48985 -185972 129123 164568 163109 13303 -118722 127516 156951 740 -106071 -54816 70891 -89832 40268 180515 30329 -48987 -132184 -142036 -76400 79557 120800 62136 -113603 19138 -29154 62265 -78678 13532 -101845 -10220 -14953 -57042 59647 -133191 -37731 -58147 -58932 -109734 -100947 98680 
+-92826 -127714 -10143 128755 8692 27333 -161201 60937 -183408 -8427 25455 -50617 46820 176827 -197252 73672 110118 -61394 -765 23546 -107434 -105354 10937 -119393 -13055 -84720 107498 51475 -107062 252340 -55481 87508 -17356 -79774 70310 29867 -53911 -110126 21249 -9751 -122054 -114903 106468 102370 -28487 -4221 7530 45407 -25688 93732 23476 193228 -83998 -59370 -110571 -94126 -177114 -19472 71985 32932 22744 25011 111648 29268 15463 3685 31287 77881 -125252 223206 111163 30814 -55112 30371 94846 18589 104510 45269 65826 -37633 -74728 -79542 -17295 -8978 39451 -215408 -116033 -35652 -12062 16898 8850 78808 10755 84687 -60843 189756 -48785 65522 -103951 54945 24464 -133809 57812 11553 -50769 54130 -110787 -161299 113752 150373 75500 59489 3655 187731 -12362 -59946 -25105 -16201 -77445 -22106 273162 -40383 39011 180804 -99019 -56270 -166224 -121137 -95457 -118676 -42295 57335 147517 -105061 34542 -72544 229185 -58683 -14670 91592 31423 190066 -31447 -63686 23556 -110351 -219169 -189990 -43602 -136205 -145160 -101373 -14231 120468 84134 -9217 106800 -64634 28635 -11143 -37086 93884 158401 -186670 -15608 -18899 123670 39191 121448 49993 -50439 -43346 89848 -99348 143877 -2530 -30777 81858 84441 -166382 29612 -99535 192154 74545 -96375 11142 -43375 115328 45792 -19212 -7531 -290 -90629 33156 112733 32958 -84666 -182078 76272 -21910 54064 7280 35163 -104161 220760 108597 210455 -126645 -123630 108373 68544 -138872 -110948 -59995 86882 -89493 68006 124283 11999 24685 -209317 -158363 -125078 -72645 113616 64896 -47726 182393 -36071 67428 -181505 42705 -97213 14747 23181 -52719 70618 -69319 -27295 -70448 -76197 -8377 -71753 81553 
+-73681 -40847 -54427 147354 -52048 44909 -102722 84740 29132 -19241 31832 -43185 45299 127947 -273181 77080 98506 -10241 14563 55639 -151329 -125628 -24297 -88502 -10093 -83660 67920 19692 -35637 253322 25299 76788 -33948 -110762 102260 -133719 -45132 -125423 9856 -4943 3284 -164727 24518 40501 30442 10542 18456 22849 45370 47571 109226 166819 -136812 -80020 -154977 -115243 -75846 -29097 78670 -6095 39993 12525 144650 88215 25776 -5055 -9464 -56668 -114094 58987 62299 -20972 -142706 -34058 56833 116486 115630 -27574 38084 -96365 -134867 -70567 -82399 -31018 23086 -45669 -33711 -98543 -38254 -5587 46523 75679 6783 74765 -133446 195923 14786 -53839 -117532 115801 -24203 -167558 66209 -32982 -73203 9839 -105178 -119701 -22390 193267 11650 63403 80222 144484 -11394 -55833 -21459 -62783 27056 -57103 274569 2985 6408 220647 -44223 -41377 -178393 -144733 -141629 -108933 -97579 57409 138171 -75773 5424 -73313 157519 -32185 -2048 112721 33874 104764 10530 -150690 6594 -93270 -89776 -136739 91110 -119000 -77718 -54782 -1442 103807 79304 -76399 79440 -82403 37692 886 3995 96562 169048 -126781 -14423 -66632 147233 43303 147327 33024 -98351 -83862 103547 2930 147124 11768 -30659 35500 96175 -150320 25478 -37370 191389 -73279 -94573 8104 10209 123720 32483 1116 -58882 -8249 -94739 19634 119978 14733 -25547 -172411 103685 27853 14126 -61697 -2767 -44066 214120 87686 233476 -187161 -130872 47248 -33704 -208968 -79043 -42324 87547 -70578 29181 57508 -907 105573 -115820 -162654 -73360 -98401 126679 112447 24633 252513 -41707 79234 -163105 51162 -77291 45166 24065 -81305 -22087 7922 -27753 -19369 -86136 83170 23522 91414 
+-77746 -14104 -21069 121261 -116747 56486 74288 121095 98563 20337 20529 -37282 51708 37551 -321304 57380 71324 32142 -18058 49632 -167990 -158010 -34577 -105599 -13466 -85708 -40994 31995 -7388 192080 91479 61540 -57015 -137567 90727 -110549 -47453 -125024 -62357 6268 31994 -108697 -52127 1227 -16481 32285 -85977 5846 64279 27874 107712 -16110 -173255 -58805 -221679 -112986 37259 -23321 83248 -37735 49487 46314 38092 165349 44557 9264 26478 -207318 -114909 -79829 11740 -83192 -141831 30682 59006 10731 76318 -73966 7762 -163344 -154552 -97125 -62755 -41992 52089 93673 50438 -77689 -32297 4405 3200 75557 11048 48202 -125662 195687 21585 -92361 -102187 84914 -23003 -113149 98657 21080 -72987 -13605 -97132 3512 -201407 190526 21645 59061 -37381 94222 -12628 -89287 -18584 -110644 144800 60620 259287 55183 33549 226970 117171 -70714 -186184 -144249 -139788 -12048 -92656 63947 118156 -55294 64428 -53301 65998 25562 -18793 159885 36487 8640 81568 -178256 2277 -56859 79706 82075 224698 -101664 -1579 -91589 17542 -41714 84295 -104774 358 -63610 21989 55357 38364 123155 89835 -26309 -8183 3387 117497 73608 130511 60487 -148680 -123640 72074 63835 175560 -947 -36486 -47064 92798 -72166 -2968 -95836 92536 -190811 -79500 -31815 26556 3505 18 22528 37229 -1308 -59233 -24839 138029 2146 18147 -190095 95311 83692 -12215 -54427 -47459 21882 80277 36751 209575 -119672 -120224 4882 -157721 -198905 -30757 -24638 72328 -58313 17300 2500 31193 176850 57340 -129066 -112355 -26625 139933 147812 16136 191137 -47372 92669 -85323 18893 -59474 21798 -89742 -105988 -75036 54682 17237 -25510 -112736 11757 98238 70068 
+-62119 -89189 -61524 -31794 -161256 66433 119236 143525 30199 -31809 -15670 -51411 54528 -75076 -277812 30833 7086 54486 34244 54196 -193178 -148255 -41969 -73675 -13685 -77014 -95628 32916 33096 77266 119781 -16238 -80957 -163789 64849 -2307 -57587 -110891 -76118 -60214 -91515 -68790 -87711 8196 -67254 31227 -197048 41503 130894 13721 109447 -92587 -135118 -42466 -255935 -64437 91692 -4780 88974 -46095 55541 36864 -117338 132777 64130 87315 126446 -188974 -89950 -9670 -3782 -127549 -48959 153642 63015 -215309 124381 -96685 -16602 -171223 -190007 -93416 36069 -54410 91189 38924 94243 -90016 -12790 20845 -49407 72542 2518 26711 -68660 205417 22453 -20203 -77361 -31894 -41705 -70718 63762 102275 -50543 -61327 -87928 107221 -239592 113795 -29062 56456 -189042 40815 -7819 -47107 -20743 -167001 100369 185959 195588 67439 8685 254596 148782 -8547 -192204 -117642 -65013 78543 -43375 60575 89127 -36938 62126 -56723 -38772 59641 1802 140160 37029 -44671 133778 -155694 13976 7388 99643 190488 209826 -50318 35818 -39876 43468 -196163 93565 -107043 -18846 -77245 85706 148445 53327 120697 3639 -1618 -12007 89155 55398 129682 65549 84697 -175958 -145450 -54757 -6394 203047 -19822 -29733 -129711 86616 -30927 -570 -82255 2960 -136616 -66776 11893 -37670 -24414 21338 43843 189421 3313 -76705 21576 75373 3890 -10202 -174634 103561 134919 -70793 -79667 -67460 68208 -15481 13378 201841 26743 -83555 -50946 -192381 -117922 29696 -14640 43814 -56135 -20428 -36250 17367 221349 135155 -91949 -82626 92312 113368 136378 -13799 67098 -51433 104281 4635 12770 -25638 -794 -140544 -120862 -19558 54404 40954 -37123 -88088 -103274 102245 45103 
+-88579 -164432 -42540 -76867 -142013 64860 -10629 147599 -175465 -1157 -16228 -61902 57835 -164395 -269278 -16872 -34898 62966 209 13137 -189428 -177776 -49541 -120820 -10889 -51395 -56647 35277 93535 -31846 112049 -47036 -42803 -173266 51772 146994 -68132 -106686 -130015 -36895 -185588 -68092 -74621 44810 -105205 8819 -163830 1044 104625 -16281 125524 -7261 -70884 -59728 -231828 21649 27649 11917 97577 -18165 43428 80271 -186058 54588 73754 45736 158610 -24614 -95982 153588 -1751 -143963 95576 210705 72849 -179926 146600 -68583 -49033 -185924 -207204 -84670 138546 -40262 59046 -139905 72792 -118181 13387 17994 -54850 73062 -690 -19790 23680 198816 23928 105634 -47693 -151086 -24237 -48065 59126 143685 -13933 -73785 -76567 108944 -97680 24709 -66354 60532 -146978 -17164 -10107 -58890 -22887 -184826 -25634 240375 118632 109157 13491 280755 39713 -70 -194095 -106063 -48050 138385 18109 73755 52638 -27403 20821 -67884 -61252 55843 -10731 152834 35231 -39774 203494 -108834 36707 72520 -70145 64483 69413 -44320 67960 -49532 62094 -193708 89033 -90290 -55791 -77348 76931 178351 39932 144776 -9057 -9829 -36626 79880 -14812 102875 -12310 121851 -210448 -124478 -131966 -87002 200132 -44217 -25466 -193584 78178 21730 -4292 -41509 -79243 22494 -45206 19405 -67521 -84038 20877 61387 158555 -895 -7255 29373 34166 -8298 -22382 -163645 76698 185703 -93880 -152746 -83981 124811 -33454 33066 177253 42982 -56178 -61511 -129689 14406 80351 -7788 5977 -75140 -3513 -52996 41860 226544 49352 -58247 -4076 187387 130229 108628 -88473 -112382 -48699 108110 -14426 10438 -7424 43878 -107148 -108960 59081 83510 49999 -34575 -51048 -123693 21715 -5736 
+-65230 -132949 -9259 4533 -50269 70580 -156927 150513 -275479 15123 29433 -60776 58462 -188234 -235985 -71102 -5919 66057 42973 14628 -195371 -177074 -3721 -67351 -5052 -21812 68160 11381 128989 -98859 88727 -7079 -16300 -195301 58640 209499 -73459 -92933 -147733 -45232 -182639 -26954 361 116323 -92331 -4269 -34015 -14333 61448 -19629 132974 176998 28213 -40164 -200257 25897 -94318 -45247 106565 27835 16609 33605 -138811 15550 69968 10945 89331 77232 -87458 223583 9821 -115600 190179 150504 84916 -12671 115725 -9977 -37063 -139401 -218673 -9864 175550 -12795 83064 -254703 -25934 -129817 40197 24050 -10668 76912 -5815 -75323 85165 193298 10547 186302 -53203 -234785 7522 -58639 47350 98777 29655 -94791 -63851 17445 78828 -17408 -104782 70216 20893 -70621 -31731 -99971 -12128 -192533 -66898 130509 55893 88218 13695 294640 -57586 28363 -181357 -111748 -77712 79836 97258 68667 11418 -1865 56361 -32253 9891 11858 31795 126261 32420 23547 205736 -31967 62694 131957 -212438 -142241 -36394 -48925 17009 -25609 77298 -16836 105884 -64181 -65162 -82767 97006 140832 1727 160602 70135 -105631 -36224 -27056 -105318 112899 -88377 131835 -210711 -87099 -167382 -109509 209133 -34345 -38889 -211751 73154 -6701 57619 -53643 -101208 115230 -42831 54115 -108404 7661 -22196 66896 39083 4628 17723 15865 -2349 -13053 -120548 -153653 93605 212123 -91178 -139393 -79142 164223 89773 -38902 134823 -67162 -50299 -28534 -46183 71029 82112 -12366 -34926 -89991 53924 -45220 25165 199374 -128747 -37429 46452 135341 149176 90508 -112382 -91611 -46169 104305 -155941 1534 25102 45185 45243 -73808 63446 94219 73184 -29792 -54458 -23901 -83948 8658 
+-97604 -53196 -39036 113044 17779 64895 -110309 133270 -142312 -6187 12326 -60519 53622 -144870 -104857 -116997 -20455 43519 34121 35753 -184707 -156750 19687 -66277 -17882 8703 106222 41247 144264 -80687 27871 20555 -25357 -199594 75108 92498 -94583 -91624 -82382 -20752 -65495 -14849 84974 166775 -12105 9843 45001 -35795 -3754 -39653 94262 213731 110398 -67619 -147953 8674 -184137 -19945 111611 34641 11716 -885 3622 40049 54055 -5285 2388 -9390 -66577 80656 26339 -70073 234970 27571 79705 163599 68589 66110 -58319 -98540 -224515 14896 122385 1396 12151 -145514 -116324 -115174 -2409 8392 35714 76030 -5522 -95991 60976 199911 -2979 157821 -4727 -254522 -14717 -108557 34050 16208 69884 -97523 -49999 -108323 113597 -26341 -123996 88153 92502 -105150 -13260 -63308 -18346 -180864 27210 -5793 -47039 67911 -8981 285927 -56401 10031 -169240 -100529 -141737 -22923 118249 58718 -31215 -3833 52379 -50961 97339 -29047 -16824 96207 30843 115426 242134 24217 82844 178158 -163464 -201947 -65380 -70729 -47938 -11087 82566 118546 92357 -37302 -42160 -60352 118081 59638 -44166 152866 151192 -174248 -44734 -85028 -181029 55645 -151691 111002 -220704 3510 -113466 -35712 226643 -32173 -28279 -202772 47412 -7132 15192 -59901 -29708 7538 -34183 34743 -106269 122623 1501 53649 -70583 -4315 53877 38493 32823 -15294 -154148 -122969 68264 229495 -90282 -131694 -96008 139081 201862 35182 79046 -164301 31466 55238 94236 152994 41037 -39408 -73187 -88705 31804 -8903 25337 137799 -207199 -40931 91454 70501 130221 41726 -8742 21097 -59672 94380 -187190 -5599 45462 20033 50540 -48825 -16285 92647 75410 -30008 -74267 17600 -96168 -3729 
+-95149 -21105 -29013 183734 -17399 54292 53333 112399 -8740 -1850 15483 -43617 51355 -51827 -63499 -151479 47932 8324 39505 50423 -172484 -139627 49251 -104506 -11027 47559 60875 37930 166538 -1811 -44661 74317 -61992 -208058 88212 -75705 -102148 -102972 -32545 -9688 40007 -43306 158293 187040 22887 31887 -24007 -74257 -91531 -39684 31485 106391 174719 -48446 -82597 -91361 -198126 16658 116567 10091 14801 33806 128052 107195 34775 -964 25489 -185950 -69621 -57045 98308 -8866 150663 -32579 71743 40334 68467 110209 -27748 -16449 -223309 35686 13492 19912 -10608 26120 -154080 -149851 -17548 17731 31909 79108 -359 -149148 -12420 194851 -52828 42656 -17174 -201294 -10239 -145426 38328 -23283 94717 -69127 -34328 -163499 -31515 47603 -180346 94052 -23550 -130849 -2951 -95672 -16209 -138770 142575 -69061 -87965 93819 14376 258396 78841 -28739 -153226 -83760 -134225 -98293 139756 57297 -72677 22047 62205 -40179 235187 -63776 -17791 55958 31844 196902 179590 8418 90070 216198 22666 13663 8904 -98382 -119068 10493 84534 108729 98117 7210 -3496 -61005 70259 -14247 -75448 151682 167050 -198851 -57366 -7496 -236410 74685 -176183 78587 -217914 71749 -14312 28429 227780 -11216 -29056 -145178 39696 -38158 -2446 -79438 41319 -165143 -25764 -19677 -98639 176876 -668 40578 3959 -10851 98731 20704 72983 -38340 -122821 -105361 55579 224576 -81845 -135883 -99984 104093 214420 57938 57242 -162216 23184 103212 148502 180635 -19508 -59965 -103241 -69702 26693 41202 6250 54386 -100462 -54546 138152 -42224 112907 29177 51164 149742 -52938 81437 -125983 -28763 91968 33516 -26436 -69343 -47223 57138 29143 -28760 -102076 30931 -27183 -28019 
+-73455 -66921 5446 88258 -116682 28095 121559 94274 121980 -16540 4737 -38052 47961 54609 -15185 -194662 79695 -37840 35959 58108 -133432 -128136 67979 -80983 -16025 64643 -53347 48148 156049 108915 -126529 101424 -74099 -215545 94932 -123152 -90998 -77419 -10492 -13345 -13349 -68246 187507 188286 -26032 31676 -147471 -39914 -139232 -52272 -2429 -40923 220011 -37696 -24316 -102112 -111207 -30130 123808 -34155 28292 84297 126476 139001 19235 49206 97510 -200305 -64631 -20759 121218 37968 20116 37251 60350 -141767 82456 143886 6917 42800 -201509 14300 -67561 14860 -9837 107445 -75075 -126328 -44870 25358 -14229 81137 -11900 -176963 -106796 177988 -61482 -68043 -74956 -59988 -3355 -134275 39933 11453 99324 -40765 -18264 -113349 -206187 133391 -171347 86603 -208105 -124835 1923 -84207 -19399 -89950 90204 32963 -96270 86523 -6543 212791 154903 -44765 -122480 -65219 -68169 -137961 69022 50335 -110677 7132 46123 -25413 237467 -37109 -8479 53850 34632 237049 172444 -59113 80675 222379 125030 179821 156869 -117562 -176405 37581 68950 -53566 98648 6106 26124 -57692 45178 -4592 -93497 146953 93932 -172859 -86771 95059 -255529 102346 -138793 42519 -169555 67277 102516 38102 252239 2980 -28430 -71896 -1070 -138853 -22472 -54528 114190 -187937 -25755 -6902 -52651 100348 -4212 15870 141380 -1512 85802 49840 101690 -47640 -43267 -75549 73176 182651 -36784 -76214 -78298 22244 110403 58056 5303 -23735 51015 133806 134468 74882 -89189 -65264 -119670 -58924 51513 104238 31061 -21217 58998 -95086 167242 -81481 102662 62970 42203 257231 -43956 68537 -22070 -17111 132925 48436 -138446 -89453 -32173 10840 5327 -26681 -104703 -89236 71366 -18535 
+-86575 -135545 -15407 -12142 -180947 23858 -4742 36469 24566 19746 -41053 -42986 49037 149811 74359 -228106 135392 -106852 37006 24161 -117505 -90393 40137 -90177 -13035 84557 -117471 15321 111628 213005 -190940 7038 -25441 -222759 75670 -45134 -86403 -67002 44620 1154 -138467 -131900 152053 147160 -90419 9511 -200488 -5209 -218651 -43504 -37781 -95504 209671 -40632 48739 -111115 -3781 -14915 125786 -45462 43737 52669 15778 128924 16009 68106 183642 -58917 -61594 144028 135690 48935 -102851 149823 56728 -186194 40914 108192 75877 114339 -177681 -47015 -68279 2611 892 -41041 -5408 -132048 -33466 20246 -46793 82405 -2726 -197072 -124509 187838 -77547 -87537 -96470 38754 2077 -81219 17382 100105 82761 -12246 -1115 12002 -235907 191474 -152925 88434 -176918 -100880 -1361 -99548 -19434 -39572 -23094 184749 -74201 51362 45271 186062 87992 -49926 -106673 -70972 -77286 -100359 12482 44959 -141344 -2508 37201 -36022 201325 -7515 -5055 20060 36790 204335 112354 -143334 60082 200065 838 82215 204880 -122813 -172174 26692 45627 -216107 114633 -46928 67740 -60036 48946 84085 -76395 137736 10004 -58525 -111622 103986 -230903 92458 -77760 38564 -131441 101704 108719 -43604 232446 18664 -27882 10489 -12335 -155206 25749 -85288 167087 -50119 -13520 -2308 -26572 -2575 -15683 -6878 194717 -7300 54865 79062 117583 -40214 29495 -58393 37651 144817 -16362 -88019 -87377 -71387 -15541 122027 -11143 55326 102539 107425 52095 -17387 -111229 -62642 -119873 -54134 32350 167953 23020 -76970 139475 -129628 166797 -50621 106005 101673 -70903 197589 -44911 63279 10859 -30154 119513 54489 -127713 -126112 61704 -35465 -2604 -27666 -71217 -119311 114400 -23545 
+-76451 -156830 -5260 -65078 -123311 28676 -139513 29226 -179783 14104 -10462 -44140 47504 171057 92406 -228685 117856 -144837 3028 10734 -54950 -35319 -8162 -103925 -14376 97151 -42131 25992 79867 252966 -218493 -38542 -5790 -208648 65303 117277 -85692 -59993 44805 -30267 -211035 -139351 92997 75745 -115356 -4283 -74402 -1202 -247754 -47101 -36500 40892 150127 -45602 57867 -38227 80697 -10022 126117 -24989 57099 34604 -113564 54660 25184 37855 81720 79695 -54576 225861 116114 25474 -148084 208412 59685 -58521 56944 47654 100837 172175 -144102 -67775 -6656 -10132 70296 -214188 74225 -165125 140 24918 -21591 83743 -7836 -158685 -96193 169280 -60519 44 -122286 116722 -10047 -51286 28920 137566 48068 29947 17169 115277 -88872 186038 -131569 74957 39800 -56752 -13042 -84654 -29351 549 -78596 244690 -17630 -28664 16438 111846 -55683 -55944 -78709 -53067 -55648 -29566 -53413 49002 -163904 -9414 56693 -28805 100069 27923 -8652 44629 36760 129728 35280 -172644 33504 172870 -174325 -109924 198238 -149608 -166265 8119 31252 -205765 114150 -80863 87454 -45737 31542 129673 -42489 162885 -3906 -19797 -115510 3540 -180154 87466 -427 59538 -93350 83004 18080 -96914 231536 4109 -27652 55667 -36122 -206042 19393 -64311 219758 99844 -456 36343 24206 -75673 -13282 -23801 120755 -8755 3241 67711 112351 -48551 38629 -60026 74398 89475 55506 -54142 -51639 -122989 -28995 112470 -66318 8335 116333 59540 -93505 -125598 -124102 -45547 -105370 -71789 48511 216238 39080 -98867 37132 -157707 138412 73320 94383 152216 -102479 52613 -49858 62342 -93587 -19942 139803 31470 4256 -119286 64946 -75938 -29662 -37112 -57069 -60063 76902 -14379 
+-63802 -73336 622 9814 -24970 11385 -118472 7594 -275168 -24860 16845 -58667 50529 133791 122092 -228603 78901 -169523 6688 34424 -33838 -34604 -53364 -113052 -14274 107430 66192 6282 14110 226891 -214627 -32374 -16945 -198601 70732 194967 -68140 -90004 79712 -16250 -116999 -111872 3524 19848 -71147 9169 19060 -26087 -237280 -28652 -44993 149166 57487 -24623 48498 12128 68597 -10189 133949 10746 56764 9248 -186878 -2641 43448 -699 -10133 13492 -69371 83088 79598 -23070 -87430 145168 75146 108529 71655 -37710 136510 196619 -107661 -103599 104170 -39495 79374 -236292 64808 -133188 18832 3395 6357 82920 -3685 -141369 -18230 180033 -93837 125574 -121871 94176 -4582 -38801 48438 98363 6703 79522 35505 106901 83869 133324 -154602 79983 106220 -4559 -9541 -110193 -28370 25979 26536 168737 51022 -59223 7107 73163 -65841 -46627 -50618 -78292 -148334 45166 -112096 51757 -175333 -24761 58702 -37298 -10687 58566 2371 86842 34466 40997 -836 -148007 11973 115558 -209234 -205816 67577 -117141 -67015 33801 -6387 -54123 112928 -48422 89852 -67421 39056 192657 2585 114220 62774 -19975 -109059 -65184 -106279 92906 84519 91079 -51686 -1419 -68005 -113070 194275 -20588 -24945 86396 -43551 -191383 24564 -44014 111711 72738 -3319 32754 -8503 -35221 2353 -23953 -22183 -5734 -46192 103239 67093 -51594 -30496 -25387 48363 47240 65097 -41165 8387 -194713 43503 155405 -110627 -117447 132082 -13738 -156681 -207734 -74412 -9567 -76929 -89933 16287 244438 6799 -92648 -118374 -160636 60914 153734 85490 146196 -51788 -112259 -35416 70581 -200673 4680 168391 58169 19075 -93257 -1370 -153136 -22886 -39993 -55580 59062 -20449 -15102 
+-85094 -10180 -41021 113509 2080 -8868 41588 -12975 -203504 -3570 32242 -59295 53195 38386 88492 -187319 18784 -207380 -30009 37174 25211 397 -78197 -83075 -16610 92603 103261 14401 -45397 141850 -172450 35828 -12166 -177355 68321 146381 -62306 -51402 32034 -66575 -14799 -143171 -55118 1760 2561 31451 555 29689 -197774 15869 -50660 164539 -15844 -19646 -10159 12177 -39871 18103 128073 31202 43097 21415 -113472 25487 64895 -18983 -33606 -162013 -80103 -47854 61488 -83392 34595 27746 68074 70443 76215 -63447 124359 169144 -63705 -92024 173776 -51053 75238 -75780 26773 -122451 22553 36187 36679 81265 -16034 -101347 67459 154128 -108952 189407 -106569 -9733 11838 -83237 49584 25616 -36359 124879 54130 6494 110813 39474 -123605 57513 -1928 53963 -3840 -87300 -29547 15250 139178 -14373 140599 -119705 18184 48797 34394 -37625 -30982 -26068 -131593 112129 -93233 57547 -176813 -17814 55615 -58058 -50991 49914 -7914 88297 31823 -35041 -57207 -91825 1267 58473 -43039 -50088 -71812 -84474 6406 38587 -16516 104408 121691 -90957 50414 -69007 56556 172360 38963 146774 150440 -77106 -147846 -38351 -5316 120754 131614 124245 5881 -61106 -173796 -6258 273691 -3328 -26427 48200 -76968 -154992 1579 -49554 78200 -81692 6483 11987 -91875 82233 -24024 -16408 -46697 -7728 -82239 107664 30298 -40701 -107849 -61929 39608 -3897 117925 1918 29131 -190512 178786 134258 -135336 -169642 127287 -44632 -206460 -178438 -5998 -49587 -39167 -87416 30110 246282 431 -40251 -199287 -141135 11612 198511 95033 130538 29955 -104236 -23667 82715 -179062 15224 169801 21501 44052 -54537 -52595 -172857 -38202 -31549 -117731 34542 -83371 -58953 
+-94224 -50138 -21040 204943 -17868 -32380 120583 -19207 -23350 -15694 14346 -56597 59850 -70507 60894 -160339 -40117 -221953 37389 45543 51645 42349 -54726 -85585 -14200 90754 48075 -25577 -82171 29462 -108675 93365 -72040 -162837 80908 -11895 -48287 -13015 -8490 -48427 12149 -106766 -90969 18255 41851 32073 -126259 76074 -117235 25894 -58476 74854 -93917 -38585 -65179 -4980 -161793 -18179 127778 19253 20871 58569 36795 106178 72857 39490 68926 -228531 -92659 -44519 11288 -127956 180284 -23030 94200 -109741 128990 -78894 113357 140099 -25516 -66984 158289 -45133 53864 81421 -58447 -105629 4015 -646 18464 81136 -756 -80890 70705 177854 -14389 142156 -54893 -132546 3703 -145755 57417 -23293 -65076 153215 72817 -120298 -41261 -29712 -76069 29856 -211577 109631 -11477 -110804 -35077 -11998 112347 -66723 203072 -141541 39283 -26363 152122 -47047 -12911 -51569 -109297 90224 -16880 62529 -165895 -29106 60526 -22560 -20004 4296 -3438 133459 30923 -53430 -75588 -19209 6950 -8839 113788 169415 -93638 -46831 51971 8057 -29435 73795 110521 -67359 40580 -85598 49396 81703 58415 130998 170395 -195586 -122956 85533 70532 99192 145947 136479 24825 -115395 -188735 57072 239495 -38428 -29143 9465 -75015 -107222 -7686 -48187 -23768 -192396 9991 -38123 -132664 148918 3762 4341 99030 -8844 -100727 141060 19419 -63041 -162176 6898 75487 -36043 177975 15450 40014 -208422 250443 101413 -123210 -91433 107799 -45869 -105344 -162255 53439 -1440 1654 -72248 17399 228541 13741 24866 -127947 -106984 -28800 115097 59879 84692 67829 539 -23683 95961 -59404 4836 181906 56358 -70185 -50379 -24099 -222748 -67595 -39823 -131434 -77993 -89586 -53543 
+-95798 -151324 -23229 94595 -133867 -46603 15931 -23990 134249 12814 -9375 -43193 58244 -148972 -7179 -103241 -17902 -231553 33172 53233 62265 91098 -28356 -80983 -15212 54199 -53517 -30392 -92382 -77271 -36538 94876 -41331 -128170 83066 -132596 -49124 3418 -79972 -37987 -78565 -83167 -34022 67887 -10296 10199 -201191 38294 -32879 51626 26661 -55459 -154375 -55353 -125604 -67764 -206472 -12690 126663 -29803 16682 51450 138600 155731 71259 68323 156714 -88830 -104173 137692 -13605 -140170 240653 32389 59298 -194618 81175 -58848 70986 87185 3141 -47483 60421 -38184 5541 45082 -155781 -101382 -31817 14914 -16726 80247 -7549 -24939 24263 155510 -7147 20386 -23341 -237849 -12974 -140726 56868 14513 -75179 190793 91269 -170003 -212518 -28643 -54016 46409 -159295 157360 -12446 -116012 -39690 -60110 -11938 15829 263886 -187799 21899 -25353 117985 -17493 -4436 -93766 -62787 15870 55520 78865 -144926 -58287 69670 -48919 63097 -49066 -9613 110842 32427 -415 -65940 53274 26372 -54786 64478 137249 31405 -55292 85977 -12398 -34450 -50377 103339 -12057 -3977 -91955 75996 27938 38854 117242 107922 -211803 -112028 138167 113267 64171 113345 106444 58413 -169740 -76816 21151 246849 -53637 -24591 -60923 -69259 -25258 -14287 -40292 -81592 -135424 15640 -24871 -133993 140417 1357 27735 201197 -19970 -77208 122277 43812 -58677 -122328 27886 50474 -44763 192050 43717 63072 -134057 162319 50229 -107940 15053 122394 -23662 -3254 -69420 84427 -41702 40053 -56721 33733 182243 19743 111587 57801 -67341 -52778 -23003 60061 47641 1671 161768 -27993 104943 21640 13369 157985 42941 -137092 -71521 36759 -245660 -10183 -54530 -111249 -111566 9918 -69207 
+-81113 -150036 3981 -38147 -186242 -26686 -141070 -18218 17221 28259 -27621 -38779 54874 -178717 -65885 -62718 -25204 -212992 22863 38199 89718 76392 8030 -65685 -8316 40025 -90624 -31689 -116048 -96250 55112 50586 -298 -123940 87397 -85439 -53055 -27486 -98010 -15976 -191017 -5689 34466 129086 -84584 -4228 -128106 60667 71255 62015 24631 -59856 -159277 -67437 -170682 -136619 -175707 -22706 128425 -50304 11078 52169 116438 120114 54129 63280 119528 57832 -118502 217025 -20743 -117517 180699 159938 40792 -33148 145725 6685 36094 15096 44771 8151 -40317 -17929 35338 -122746 -145462 -70041 -47578 33674 -41246 75513 3065 -749 -68138 162507 -5919 -79463 -13248 -256012 25863 -123045 60386 93032 -63237 206585 109471 -99265 -232535 25033 -37940 63149 30262 196959 -26314 -76634 -40354 -118232 -86491 170793 268941 -227384 34668 -99709 -24680 14449 11944 -76077 -60052 -85304 121516 66697 -115004 -69638 54570 -65905 156050 -56171 -23364 149158 35264 90111 -27634 13581 52588 -102809 -115484 -39534 157924 -43257 17220 34304 -29530 -227042 111411 -17617 -41013 -95742 92548 -31387 2043 98017 17446 -166055 -110439 48633 138623 39645 45653 65572 65903 -140374 64485 -79029 263114 -28837 -26874 -153518 -86559 -17832 29639 -70236 -66278 37838 16184 2591 -91000 55999 -5378 51968 146278 -15228 -76702 150871 78615 -48724 -59026 13909 51191 -24376 220670 -9918 70720 -79213 11876 8495 -80378 58224 99708 51581 115113 89619 68573 -47114 69729 -57888 -1818 125380 -7081 181350 155715 -43340 -91572 -92443 72740 60042 -63006 251283 -7125 107063 -43651 25690 168233 80840 -75643 -104294 70076 -272399 9805 -48666 -29535 -43693 91049 -76909 
+-61837 -77974 -20501 -71399 -99294 -38302 -146798 2464 -180657 -21366 630 -42930 48624 -144757 -148384 -8061 39520 -177215 51769 18823 110452 123166 48213 -76134 -12929 11086 -46724 -26037 -146567 -51836 95004 -69356 -15363 -93887 55579 38493 -58020 -38654 -135598 14236 -191044 -64918 124572 167027 -118273 8517 6657 78236 88809 98020 97029 37890 -114745 -48754 -232765 -101632 -53315 5937 125870 -31453 23509 40887 -9059 43394 36688 520 43928 41400 -127220 104747 6426 -65916 68527 202929 78747 123992 124830 83020 -19502 -56566 66180 15255 -81364 14084 30753 -247149 -55171 -132380 -17864 38274 -44944 73446 -6300 55385 -124875 163698 17055 -78830 -19909 -188079 18296 -66419 72053 136488 -31981 219624 127390 27213 -78980 115824 14839 50023 112376 206105 -12847 -103699 -40668 -158089 20559 237416 251389 -216281 -3531 -89312 -82032 8191 16979 -89692 -101214 -137892 144685 60915 -78509 -92605 74263 -53997 229440 -39849 2120 131458 36990 184719 -6894 -45194 76493 -117344 -218285 -214330 227656 -52362 -45347 -32898 -21431 -188663 124754 -20607 -55539 -75514 124222 38275 -40836 87936 -8733 -83178 -97323 -63163 130823 52125 -24503 33316 72098 -117380 116327 -116266 224495 -6776 -26294 -201934 -81895 20609 44669 -44615 -12016 105922 15922 21316 -21748 -60312 -28788 62996 9067 -9628 -10498 126961 124057 -38447 37438 43454 43872 -4088 244765 -21050 97400 -5019 -40608 -14898 -48418 -74821 83563 94928 144203 160056 35506 -71058 86998 -71931 74715 63547 10233 222481 44754 -39228 -115855 -85712 48121 67274 -99964 195590 -10477 102405 -137935 25327 113933 53364 46576 -126760 32909 -286899 30060 -42805 -50477 45406 99369 -71287 
+-82089 -15892 18892 -8608 -13477 -2200 35087 36025 -258143 -25960 31257 -52370 47043 -60366 -216687 40061 99541 -127878 52131 38871 102865 149468 50200 -127625 -14343 -8872 39058 -25210 -131517 51634 117645 -53407 -42887 -69673 61147 179589 -76566 -5548 -103766 16932 -69854 -67552 171884 195509 -70023 31020 35836 34135 132580 111876 142608 176827 -29508 -53069 -250101 -66087 54041 -16289 123951 -682 50543 59531 -136590 9669 20516 -25314 -22912 -117576 -134701 -88341 47048 -4290 -86517 148771 68648 106583 113255 138609 -28542 -114324 63485 3211 -41336 25014 72504 -193840 15137 -103442 -110 23995 3019 71229 54 71053 -127238 151083 37553 21993 -61471 -92568 -6298 -41576 76539 115506 9966 212508 143699 116371 91238 184494 54195 50584 -19817 206890 -18130 -108605 -43455 -184529 138659 171477 197432 -202730 25131 -105757 6138 4399 13871 -90066 -148444 -123839 110042 68760 -36722 -118700 42775 -56493 251089 7771 10967 99312 36392 229494 46174 -145416 88634 -116079 -120951 -132581 139541 -105372 -130095 -43924 -3664 -48353 95029 -14095 -47086 -63127 99795 130955 -79288 54438 55629 -18523 -128155 -34065 79556 46267 -104640 38346 38591 -44491 98820 -67295 226094 -9540 -25714 -218999 -69818 669 4331 -47396 102126 9109 6314 43292 -10388 -39777 22783 67120 -54794 -18812 39240 113013 138055 -71652 40425 94727 38752 50545 248632 -60482 91518 56736 21828 37237 -2446 -167143 65227 131507 139659 153799 -32778 -63267 87840 -86057 31490 4768 -10384 222144 -121180 -45942 -84106 24021 53038 104627 -35648 97687 -5429 91546 -178078 21445 103711 55362 5058 -113343 -45952 -283032 40818 -43285 -22293 49794 42258 -62646 
+-69706 -33835 10730 128051 13348 -2117 125895 75166 -189406 -8701 42049 -60965 47057 59013 -284463 69929 128121 -75719 28219 36581 81955 120380 47367 -136259 -15955 -42481 104264 -12513 -80445 167999 113190 16044 -38062 -45155 62363 173268 -82645 -41635 -74795 -43883 23095 -58282 162209 169383 -14168 32455 -64943 62845 115690 147817 159329 166083 55605 -43339 -239007 -3926 81783 -27548 120160 34503 59523 46915 -179705 38568 14273 -2128 44842 -225289 -124104 -35412 90375 37656 -159889 26575 76478 -74849 91095 126140 -49527 -164843 76715 29473 64110 17800 89148 2314 72717 -41575 30895 42346 14780 74609 11591 83514 -48204 163676 16175 143374 -65244 45413 -1792 -72116 81683 30160 51197 179216 159598 99541 104753 195781 58137 85428 -199105 171449 -1341 -85685 -44710 -197321 109876 13811 132324 -237942 -9391 -90264 153720 8277 7800 -91537 -131699 -49621 41049 58621 5574 -109475 65588 -71731 154949 52921 -8148 89857 33774 222751 139653 -174305 86560 -79997 68063 96315 5125 -145852 -192233 -83414 19076 112264 113626 -68629 -20701 -55892 116116 179936 -93835 61989 146149 25150 -100346 53478 2923 -8007 -162005 57106 26076 10526 13862 14702 182763 3024 -30201 -187029 -52046 -46776 -8664 -33406 145206 -171928 4210 -10710 -16516 20084 2705 53775 40522 2506 64210 105465 106646 -58941 -3264 88933 53395 112217 233617 -82554 82335 114887 173144 33964 36336 -152968 15946 101540 -20621 130510 -88209 -40402 73140 -90250 34159 -35745 2427 186075 -201200 -78114 -26802 157076 -27632 122497 39856 -65168 1916 77737 -126605 27756 86201 77982 -57992 -82010 -28490 -248082 51360 -49943 -111857 -81934 -47572 -53975 
+-92078 -121570 -39776 188837 -15752 12219 18362 100615 -13028 -879 13175 -62514 52502 147091 -267911 96225 127186 -38453 22468 45181 59611 127476 -4038 -82533 -19210 -54717 40266 -44866 -18046 239553 59613 53657 -88559 -13714 87882 26040 -92353 5655 -7442 -30940 -15327 -141626 128507 119875 18517 10875 -174957 35690 40726 136031 135123 16991 160066 -63968 -197747 31603 10825 11300 108802 29381 58645 50087 -104119 108632 26110 75233 138085 -129970 -120811 142704 125834 50578 -111931 -24991 80198 -184492 89305 85721 -67594 -169536 61769 -45240 160326 5176 60822 88049 69536 -88394 15111 13383 15576 75961 23505 78004 35716 134664 -1394 189398 -124130 111442 -17668 -105717 91471 -25108 83933 149858 174021 -14470 -51403 145756 59289 92989 -189741 126673 -18842 -101539 -43387 -187684 -18899 -53122 42997 -208628 -15340 -61629 127605 -934 -7330 -145947 -85605 32431 -22483 57382 46948 -149355 76691 -71920 57261 58626 -9288 31650 31308 152133 148227 -162159 69196 -38352 110203 191874 -96707 -138323 -201112 -91361 38886 109615 77508 -97293 19611 -53941 95511 175592 -84169 41794 171855 -32186 -97259 103017 -89829 40591 -159903 97625 -27047 61278 -127054 64127 169872 26153 -23074 -114626 -34804 -106913 9514 -61587 224039 -194215 -9971 3104 -31742 87736 32527 34581 160708 -412 74835 96305 41299 -46700 -86522 106193 53391 163866 203481 -110285 69738 151870 226343 61317 80293 -38107 -3877 49390 -155673 45890 -121712 -22075 45073 -73359 26645 -53591 20542 127673 -114648 -118999 -143 199490 -9800 149386 40782 -120593 -3490 66192 -59322 45564 37915 45699 -161687 -53707 32099 -232409 61746 -44861 -112105 -121452 -108778 -69678 
+-95684 -176574 -27133 84501 -114598 20657 -139837 121773 122743 -8371 -16655 -53781 54001 171065 -289045 99933 68822 11505 28787 43099 32846 120314 -31331 -113278 -10688 -81713 -71705 -29096 29111 253745 -13401 74700 -17484 12880 85484 -95572 -100954 -15396 35320 -51969 -138636 -161002 47487 68040 -22514 -4227 -165177 -21643 -35524 149270 118988 -79971 190081 -61622 -116530 17087 -113795 8207 108194 -13753 39734 21706 41578 144090 42952 76958 155062 34512 -122383 231428 142693 24568 8557 32785 54333 -123789 40112 28745 -29115 -181842 50414 -72829 168898 -14386 50771 1004 13253 -55193 5862 20303 -4738 75706 17875 45612 81649 126029 -32464 123945 -104595 89194 -16817 -156294 66635 4298 99543 101664 187161 -129497 -218714 54684 78538 57044 53742 73414 -20372 -65751 -43757 -147843 -86331 4203 -14145 -182474 22840 -86459 -21123 -66360 -23130 -127930 -50180 94270 -67260 45417 83978 -163458 70677 -43981 -26820 34358 -6274 52472 31034 60314 195992 -115606 44876 21986 -43286 -9842 -57297 -122992 -167484 -70857 64416 -55206 97905 -76879 49681 -63060 37836 106691 -38903 -19955 110542 -125552 -84716 75543 -170654 8620 -129373 127318 -69912 118777 -168095 -18089 155657 14200 -21244 -50089 -22532 -163382 27699 -35007 196551 -58539 -7707 4547 -92096 123229 10582 14065 174016 -4413 86562 90840 -2883 -49448 -147129 92931 62781 205047 169876 -138674 53946 105967 182899 97694 134270 49964 -44002 -16454 -192621 -110018 -107203 -19323 8063 -54827 65204 -43910 4627 46925 45847 -147310 45039 143440 -18192 121428 -38969 -33325 6025 61635 -3121 42312 22732 73377 -127178 -65694 71976 -173920 43909 -50272 -147624 -72641 -67483 -48036 
+-91892 -95684 -30862 -20495 -161124 52418 -145852 134783 25755 7522 -27663 -40537 57421 140965 -251256 89884 26859 45367 32406 27481 6326 104766 -59665 -72895 -8164 -88112 -102319 -15686 108229 192350 -80531 36335 -42464 43730 67733 -129035 -83676 6914 30876 -4503 -199912 -158792 -33290 4561 -84757 7867 -49734 -15213 -100583 137100 70984 -66952 220118 -88957 -74249 -68388 -199784 22304 97066 -46625 21552 39228 128451 116677 62065 30702 77269 60389 -120849 87977 128189 -22679 130975 150768 55431 83665 60577 -53675 23352 -131457 16414 -50757 96796 -36316 58978 -193290 -79657 -20532 -24393 16696 -62312 79701 20745 -1579 54919 137709 -71913 -1565 -108740 1109 9486 -143972 65929 83148 93505 52992 199161 -161865 -228473 -8935 62091 76145 112627 10142 6901 -113171 -41665 -96911 17228 122676 -58452 -141392 17681 -15758 -79918 -71157 -42186 -122204 -87085 113300 -90699 48613 114836 -162047 81933 -53269 -75862 -22042 -13783 67691 33148 -21794 198509 -28901 19333 87924 -207357 -160389 42276 -110682 -66568 -141207 80619 -197690 89558 -51314 114007 -100033 48491 3802 2564 8966 19827 -211124 -53100 -19999 -230980 -8410 -65874 134010 -110545 65913 -139263 -97238 150428 -17379 -26951 25312 7997 -165092 32911 -40327 145529 102001 -20751 28937 -136985 88461 46976 -10072 50186 -3551 26186 68961 -3488 -55206 -153431 77260 89573 233953 151148 -147748 31417 104206 45489 106232 137088 -17850 -62965 -62559 -140078 -159189 -56432 -19733 -33305 -53340 26679 -11198 11479 -33889 130036 -157906 106175 37763 -52549 90417 -100863 145914 3428 63294 -132473 24882 572 42222 8229 -96431 22761 -109341 45813 -27430 -43300 69440 42252 -69477 
+-79299 -20055 -14893 -90442 -136917 60548 15345 132686 -176717 11471 12279 -34617 55623 57121 -232339 34035 -3514 69553 11692 16542 -31373 101665 -61324 -101878 -10171 -78588 -23620 -23971 123967 90914 -154666 -34794 -23081 61475 80660 30142 -82868 -20444 58141 -42474 -131636 -92865 -83492 -3913 -110291 30589 39321 -50399 -178222 117414 43382 76920 183777 -57941 8120 -141510 -199821 -29821 95858 -43097 14332 23426 113472 38487 72809 -28776 -1974 -86256 -118481 -52075 91525 -83647 229409 207882 58427 105516 56864 -91942 39182 -89891 -21120 -82020 -10817 -46966 37445 -241993 -156840 -52349 -32530 -2364 -42464 80207 24957 -37394 -37021 116468 -114690 -87780 -98787 -116510 -31022 -100400 93810 134834 65615 11568 209490 -80110 -71502 -26615 80816 65263 -5916 -45985 -22455 -86741 -39385 -48440 127644 261287 -98230 -78370 3561 37855 -14220 -43195 -70178 -105048 -135036 37179 -44135 51441 136203 -168655 40399 -71168 -1005 -44707 -6571 58970 35844 -49668 171406 32066 4475 146120 -184415 -165502 139542 -68626 10036 -123827 89027 -196915 90573 -16956 89717 -93985 60151 -39343 42554 -35130 -11935 -187326 -46241 -79355 -249475 -18575 18132 97854 -154016 51613 -15591 -110050 124605 -27653 -27657 84645 30993 -199791 -2505 -65413 43322 77894 -28528 43392 -151678 18640 55618 -21312 -56567 -3686 12332 66965 57660 -54654 -64280 82440 54101 232155 79918 -130823 1689 54491 -40858 150710 179187 -132926 -108135 -50165 -61349 -184708 1010 -33941 -71569 -72040 31293 41982 26685 -81265 57087 -148767 115903 -112762 -15011 63067 -98386 245699 -5794 73615 -156501 42198 -19470 66721 29791 -115823 -41561 -75959 27592 -14925 -4699 51645 103242 -23901 
+-74616 -32187 -57252 -938 -3149 47885 137029 161738 -288571 9380 38274 -45473 54773 -54022 -144703 1725 -34238 60951 8158 28412 -98032 43178 -40352 -110414 -11621 -64857 61668 -4905 153795 -30760 -201147 -56422 -43180 78817 76873 164573 -75180 26588 37621 -617 -1574 -69997 -83352 23613 -63207 32852 -7317 -35226 -219046 107267 -2515 165142 124464 -48035 41290 -124095 -101390 -4179 91896 -8368 11263 33945 -17612 -4806 70599 -17164 11393 -217769 -95873 -8094 52503 -127495 202406 147906 75337 -50803 58369 -105208 74877 6128 -60757 -64584 -79056 -47047 48818 -116349 -106604 1929 -33092 22646 -15057 82663 30175 -88816 -118924 125711 -103771 -67055 -47664 -238679 -5787 -48449 75003 107539 26283 -23588 217576 40240 95064 8300 22659 66095 -234274 -85815 -15705 -71188 -43004 -9414 118490 216648 -61388 -33726 16392 65116 122703 -53736 -95154 -145717 -161616 -48174 -5367 63633 147052 -166201 68758 -49865 148127 -47513 -437 105624 37077 -15990 158556 9521 2914 192887 265 52060 215586 -46242 42454 -163292 83413 -45211 73930 -46600 75587 -64071 14860 29510 54720 -49618 49398 -127505 -24312 13426 -249693 -14828 91949 65238 -184684 1953 86770 -29952 98058 -45977 -22591 90685 41731 -177785 9259 -66120 -39546 -94953 -31036 -7669 -72864 -68731 42149 -27081 -49896 1744 -55885 26661 87114 -49900 -1070 85780 92037 204384 50785 -86457 -44735 2632 -7382 126477 184341 -179745 -109431 -21498 70632 -135175 70434 -50981 -102141 -88578 15268 102444 539 -102876 -122777 -116487 147578 -100277 -70832 58851 -7385 206316 -354 86334 -183067 14624 -60143 58162 -2486 -124060 -47723 -34814 -38366 -44876 -86992 -54715 80590 -18239 
+-76990 -106639 -6349 116872 37671 42535 32771 127061 -185133 -11719 28414 -58285 50686 -146808 -88774 -45978 -17563 65085 29581 64541 -107259 44862 -12713 -111765 -13004 -41475 90321 -35125 159062 -88274 -218768 -12757 -74163 95181 43209 198359 -64949 -1167 30941 -3728 14917 -42274 -18711 78716 7985 11585 -136131 -33706 -227626 72049 -8919 168023 14439 -47059 64883 -64735 20634 -48594 77329 31103 34698 58380 -155285 21848 57000 34356 125016 -158744 -101590 125170 15981 -140419 94945 20043 95535 -230302 111596 -60049 115287 74368 -96745 -60550 -59496 -33773 15941 58821 -22750 -46385 -23907 37363 49327 81456 37758 -133924 -140697 114026 -105764 44456 -36409 -266199 17337 -39670 51919 28528 -16886 -69268 224367 121256 100461 98280 -14828 57020 -164533 -119554 13086 -58354 -33090 17401 -14637 38943 -39043 13706 34095 99832 133733 -35776 -123764 -113076 -86052 -109831 72320 59009 147043 -163750 57798 -75832 236526 -3508 4502 119533 35765 64736 120116 -41972 16953 222469 124104 202367 117093 -29358 89588 -168364 80916 92650 77632 -7839 56368 -107269 41648 118433 38367 -61403 144782 -8024 -1991 99958 -190264 -39412 140910 36057 -212600 -69952 112582 53754 117933 -31332 -25137 61388 61666 -98185 1259 -67614 -56688 -203509 -41021 9094 -10228 -67288 58448 -19048 115958 -8675 -74952 20691 132932 -43148 -2777 89896 53051 171244 -1529 -68111 -58421 -89163 138841 152968 237375 -106710 -125056 63747 122921 -12711 81041 -61347 -118897 -89651 -3428 161041 10494 -88964 -193233 -79683 145954 -34227 -50707 89250 38355 68348 -6692 98382 -91091 13974 -68524 85040 -89699 -90670 22810 34569 -41836 -50125 -93871 -98244 5436 -33287 
+-90508 -154704 -2350 181649 -63443 61498 -124881 118513 -7516 -4446 -8870 -63637 46377 -191467 13803 -93710 52063 27772 32364 50989 -135636 3711 26511 -91354 -11669 -28369 39274 -29884 142999 -88627 -200188 52083 -49609 93656 57506 88705 -44965 57400 -47618 26644 -70168 -66218 69457 149051 15385 -4178 -189278 -829 -213756 44073 -47817 22556 -60267 -48706 14176 16131 80651 -21434 72394 32262 43537 48733 -181176 118139 37543 69927 163863 835 -89653 237049 6461 -116405 -55701 -29222 72116 -138809 93376 19660 137660 118226 -137757 -6392 24404 -4278 48570 83170 39034 -33271 19730 24106 26706 82651 47087 -159042 -78150 121377 -90842 158822 -6019 -215893 -48267 -92648 52404 -20351 -54158 -79150 228920 86782 -59382 176237 -41503 45209 37631 -129014 -2104 -70650 -31308 18611 -75425 -55873 40678 26547 18249 179855 7327 -9450 -140348 -126938 -31574 -139041 150356 62665 135381 -144766 83942 -50843 249783 29247 -23084 176049 33047 160188 62912 -108208 41262 215672 27729 61701 -64230 -58991 50728 -173531 42831 105493 87578 -51230 16806 -95123 48455 184122 6357 -70056 174202 7261 -7373 82140 -109518 -518 137772 35775 -217628 -139881 60161 23536 113814 -30039 -22188 -6952 73665 -63369 2870 -42922 -70888 -135918 -48462 -31333 -10619 13274 24156 6425 219529 640 -86024 34130 141083 -35055 -1244 61678 110372 114995 -20695 -62054 -71808 -149335 232271 150355 192169 5303 -144561 110158 143347 81743 68797 -56230 -120451 -73921 2893 215078 4243 -44833 -120529 -51136 138981 103263 -71495 100335 32311 -83096 -9938 106810 4075 311 -81408 40544 -136369 -54158 75058 63250 -53810 -42767 -95864 -64093 -83592 -12370 
+-89467 -117172 -53857 112816 -163410 55745 -158490 92270 107327 12359 -5509 -56664 47607 -155272 80558 -148183 80592 -18411 44446 39081 -174397 -54915 49007 -85211 -5761 -2041 -65636 7922 125561 -10560 -167710 87193 -18904 107078 57207 -54147 -53857 15778 -69948 2977 -188425 -30911 140059 175534 -44183 7215 -108679 -9064 -145771 65592 -43362 -112514 -108463 -56406 68 37004 61085 -25175 68478 2757 63006 40019 -89367 140398 20979 42985 91690 72588 -76211 116839 -26816 -66993 -147556 35394 56674 61891 116811 89810 110774 167476 -181790 41906 136001 15101 60917 -80580 82538 -33232 24663 -18934 -24921 83741 54787 -161363 14763 110978 -43520 185578 -61645 -105662 797 -126835 46440 -558 -71749 -94815 231690 -24469 -221900 208075 -110790 42076 106419 -117975 -14465 -65262 -30108 -7344 14370 -11455 129672 82921 2022 166045 -102617 20813 -159148 -68858 -74363 -74631 144581 65049 113761 -149101 37841 -50509 213604 51183 -5908 149045 31057 223331 140 -176874 66977 201202 -157762 -161484 -98291 -77338 -44008 -183735 31091 -54434 78724 -83060 -22992 -70891 93618 176705 -43043 -124796 118126 -20843 6856 -13379 -28535 -10713 111953 62843 -213435 -142779 -49200 -43752 56763 -231 -15039 -90761 91299 3152 39501 -70837 21561 34864 -52541 4223 27356 128966 50843 28164 119472 4106 -65166 31142 90688 -48825 -77147 37538 84723 69732 -70181 -10364 -80853 -183994 213652 57565 204075 44217 -144843 133483 75467 136938 10162 -53168 -106564 -57286 -787 241068 23949 36932 49020 -27892 84064 178870 -76653 119979 -56609 -122744 -18334 106699 -45027 16176 -118090 73519 -51176 -51951 31769 111849 -28242 -64223 -65864 49910 -113035 -17979 
+-93145 -20935 -44390 -18227 -170499 39043 5748 79665 23471 -8621 2711 -50134 49158 -61043 110231 -184345 103068 -62444 77307 14671 -187075 -61509 60804 -62736 -7738 29777 -87907 -28336 77557 95476 -92523 53269 -11717 102498 70669 -125838 -51298 21486 -106096 -38032 -181049 -44073 184223 176328 -87561 30096 36180 -12229 -106527 27214 -22991 -15942 -159892 -58279 -55744 -11703 -53565 6459 68972 -39051 53473 48317 68785 112337 14356 -13155 872 -50542 -71828 -61050 -3461 -5001 -141045 152391 66800 116390 110031 114530 80604 182509 -198661 49309 175975 22855 40865 -245795 31701 -56602 2320 21514 -61848 79411 55043 -181075 75039 115952 -13596 104047 -53627 24865 6198 -159993 55873 78754 -72079 -90982 232193 -143874 -224378 164435 -124391 49550 -29621 -77601 -4621 -45214 -26112 -46881 131819 112889 222567 85803 5839 230980 -41531 3484 -179098 -107379 -129495 -13630 68559 63956 82694 -135634 62270 -60385 70453 40727 -12929 156818 31384 227779 -37913 -177621 85445 159976 -221109 -184312 -30633 -130918 -124916 -187645 3390 -205794 79629 -65237 -49655 -96923 81660 117676 -76357 -111890 29674 -117485 -22994 -65711 52425 -20131 37000 101802 -184310 -135570 -175336 -106064 2387 10839 -19583 -141388 91995 21693 -3348 -60428 103354 102972 -67461 41864 -28105 129524 60578 45742 -16163 -5100 -48627 50525 52380 -1552 -138578 36804 87863 10740 -60430 9552 -110028 -189775 98550 48015 146695 -69872 -101709 110760 -32965 190822 -57659 -56573 -78799 -57072 667 247633 22413 106446 144182 -35691 -871 137430 -85253 161744 -88866 -25598 -31514 99746 -105465 2056 -87958 85728 42581 -63666 -26005 81043 -35004 -45701 -26414 91690 -18643 -7332 
+-79433 -9586 -9047 -63117 -61767 44999 120500 34709 -144916 9380 28563 -44010 53009 48686 100333 -221904 125962 -111187 71215 21064 -200258 -91560 4683 -61063 -13621 51797 -44704 19106 20952 196129 349 -35336 -14857 95610 70561 -58238 -55023 55193 -98958 -42904 -63904 -86803 181146 145569 -127399 33214 37454 -4905 -13141 7467 13268 104710 -143252 -61059 -156261 -67222 -177203 3112 58150 -49319 31951 13279 143889 51153 23010 -12902 -808 -210612 -64419 -60270 71900 35853 -36225 212532 63705 5932 106515 137794 69902 161768 -227564 25391 131658 17607 35671 -209493 -20027 -38718 -34679 -17326 -41144 77967 74596 -158829 59783 83654 18006 -21946 -120329 112140 -14680 -101069 39809 139614 -49186 -81114 230959 -156953 -60451 75538 -116776 58006 -212810 -35527 2027 -48934 -26062 -96654 110662 226190 254588 103236 24266 276480 100233 31649 -187397 -96947 -118943 81193 44781 55927 46155 -123610 80228 -5837 -17888 17031 16382 113844 33861 173201 -79875 -96928 88840 101792 -74281 10538 73492 -133236 -199777 -218979 -16078 -172406 84563 -71851 -71635 -79952 82125 1211 -91262 -119774 -11502 -199007 -30292 -16468 113824 -18034 -47684 127102 -182024 -89145 -153411 -102184 952 -20108 -24093 -187460 95553 6114 -24077 -17899 158795 550 -79483 34509 -119773 95124 83580 64103 -55612 -3339 12799 7232 21297 -17299 -121087 36679 107569 -29072 -109665 28405 -89273 -161678 -24622 29405 124321 -164736 -96663 48856 -145353 118614 -104902 -18888 -41287 -70785 26751 228401 14851 178424 47786 -60269 -70914 59615 -88795 98766 -64800 132686 -36410 87806 -176135 1010 -95799 71098 561 -103411 -48478 123482 44976 -40135 -46973 -34130 75098 36605 
+-90075 -86645 -17142 2448 5519 16115 51896 6330 -251518 36037 34364 -43924 55732 132099 138514 -227899 83209 -162383 46372 40473 -195459 -125850 956 -82980 -10568 75595 92516 7471 -40287 253739 57758 -56466 -26211 106806 85934 117567 -73507 21402 -90533 -47065 45906 -145361 116977 98899 -50786 12288 -105142 48451 63378 -23150 26819 226167 -114222 -44112 -186122 -110117 -215078 3816 50010 -18302 26994 52080 98993 -6869 42095 58396 81918 -184200 -59778 112270 96957 53463 120732 140240 69750 -171101 139356 91752 16513 129927 -224250 -14910 30969 1908 20148 -31649 -112524 -74014 -24541 -274 -2639 75303 63691 -145635 -7665 100553 17344 -92495 -121801 108657 17121 -66280 28730 109299 -11697 -50261 227685 -70404 101231 -3917 -169351 53460 -178774 22807 -19637 -57772 -22888 -150798 -4665 208290 283125 118309 -8252 278672 158031 -31779 -192851 -79608 -86846 123634 -39834 46490 4047 -102904 37175 -21691 -64581 -31922 -299 75168 36331 90457 -47020 -44651 77533 38769 95729 155456 200171 -128415 -190234 -178826 -30010 -68284 84137 -51056 -44194 -84909 120950 -18218 -77779 -153277 45403 -218683 -46058 96334 141952 -17617 -123758 123260 -133160 -40363 -79581 -9874 20246 -1995 -22635 -205678 99393 16976 -45510 -47594 210486 -161474 -98559 13853 -110674 -5309 78097 64966 5724 -308 43202 67 15707 8087 -82017 12252 99353 -43415 -93722 22452 -77021 -144784 -24309 -21677 71477 -142355 -50483 -17108 -172081 -3046 -123179 -57030 -262 -87947 -3693 184957 49103 222547 -114471 -100738 -95860 -55367 -75925 79279 -4254 225110 -38664 75778 -84352 -25362 -73002 74012 -71888 -129710 5838 73671 38812 -22950 -89257 -122304 90835 59719 
+-101917 -142339 -38374 155863 32930 6230 -126991 -18605 -202258 -28096 7226 -45136 58830 181344 54995 -230635 33580 -193269 -13750 47743 -168948 -148944 -23289 -110032 -8852 99538 85209 26839 -45818 238047 101718 -13351 -46614 83572 76469 181989 -84108 27834 -60162 -26190 -10285 -143266 23994 39722 641 -4035 -176422 45840 101088 -46985 70919 136930 -21944 -59665 -233438 -125531 -152283 -7575 44225 29946 22965 71749 -38273 47766 61034 56092 174742 -19957 -60567 227179 152544 27625 214604 26488 91062 -143705 104592 13861 -7575 69441 -223199 -70631 -62189 -20608 8442 95653 -151545 -114739 -17110 -21322 17373 75810 82946 -117977 -106442 80567 40701 -52458 -95004 29156 -32317 -39633 44511 33249 32316 -13535 222615 55503 95889 -45880 -167992 80291 14329 79584 -21009 201 -18902 -176233 -76320 67482 239082 56075 19950 295471 36556 -33880 -195658 -74761 -56389 53517 -97094 53747 -38369 -103198 62179 -32970 -12606 -47988 -7173 23024 37038 -2966 -67980 10995 54815 -26077 81672 117887 188072 -116367 -156509 -206069 -31668 108929 84939 -40323 -22190 -97205 86605 22133 -46268 -180037 138102 -152417 -65096 100177 132350 -9086 -163530 102329 -87561 15260 53599 69429 -6973 13745 -25789 -165012 87940 -90671 5883 -21965 168635 -190747 -101522 -13215 -151643 -45501 77800 57591 200026 -6410 57533 28273 71100 -4314 -23277 15544 81728 -31083 -69407 -28898 -63319 -56623 105983 22772 17652 -33629 -40713 -48790 -129538 -104799 -92292 -66612 38291 -88568 -3175 127313 20293 215363 -206895 -142034 -129166 -107365 -105723 58712 48230 238315 -41806 64550 -20477 -3142 -64209 56147 -150581 -104743 77027 52046 32536 -23910 -106852 -68180 64235 76512 
+-83519 -121172 -44734 187489 -49252 5323 -150597 -12153 -8866 -17964 -30875 -59217 58032 147598 26863 -210153 -904 -219470 9090 41487 -166979 -159212 -65921 -98385 -6502 97160 11361 12449 -83091 147023 121694 18506 -3651 76469 51074 148610 -93182 49855 4737 11756 -134829 -142580 -45334 -4184 34612 6580 -152930 55981 121729 -48176 100376 -34583 86508 -45803 -232875 -58080 -43699 -47691 44706 28835 15117 66484 -147298 112872 71630 50928 124926 72491 -86404 139987 143317 -23018 211537 -35417 84941 -1231 37591 -42869 -54869 -5906 -208222 -104358 -79046 -37504 62010 9455 -88885 -95581 -9388 -21148 27684 73780 78221 -48958 -127753 83258 8187 67055 -89147 -111021 -14716 -47639 20189 -28577 69933 30823 215217 121832 -69726 -14436 -170623 83112 94508 132618 -13093 -33439 -20848 -195416 15772 -56660 210025 51798 41250 257482 -96566 -54097 -179989 -63284 -54774 -18964 -106248 50091 -79557 -70937 49348 -34103 83793 -50088 -28283 36287 35213 -50704 9139 17172 29124 -70161 -87684 -106017 70310 -90017 -93234 -216695 -39476 107646 107559 -26269 60797 -67118 56748 84972 4182 -205943 173561 -58195 -59143 8598 85426 28712 -158098 61901 -40238 70584 102856 -1535 -8507 -33055 -25181 -94450 79298 -112998 44729 -95354 125970 -43967 -122550 -1969 -81542 -53872 74610 35472 193104 -9522 86778 50551 98769 -2280 59656 -44372 68167 -15337 -46729 -23661 -40547 56264 211410 52293 -13478 57863 17580 -66104 -1966 -149692 -46796 -50392 68797 -77954 6309 65944 31707 186973 -119054 -163624 -102580 -66703 -123154 33109 -3871 87494 -49312 61677 -39089 -7323 -37875 96768 -103034 -78726 60802 -2238 60888 -27161 -95968 10007 -31224 44621 
+-92713 -62450 -41616 99195 -171507 -6590 -7647 -10370 113424 9964 -15939 -57688 51047 49185 -80648 -164877 -36446 -226330 18637 35687 -128985 -186911 -54281 -91875 -7343 107085 -73861 19535 -101590 29224 94365 68599 -42246 54137 63076 -24996 -94238 14858 22258 -24349 -206569 -91059 -91786 7950 -26614 29605 -25069 47448 69367 -45623 137011 -98009 165143 -63432 -222691 -8481 70392 4577 41210 2685 27360 12320 -179708 153643 73139 -3224 29005 -10424 -75272 -32180 125662 -88262 144807 33419 64757 133843 33215 -91066 -44694 -59927 -187201 -83011 -15140 -47581 74575 -167215 1800 -93970 27851 -23967 -17488 73525 82968 47 -95653 63715 4187 171426 -44503 -210882 -13360 -121022 38182 -3383 95656 82312 206288 76677 -227884 68697 -171562 89012 -20577 177716 -10490 -39108 -14374 -186402 126109 -25192 127425 11860 10352 268289 -57709 -76547 -171996 -108796 -91806 -100454 -27385 54715 -116472 -41897 35218 -34680 181318 -970 -24279 8768 32436 -33238 59791 -25926 8617 -110911 -225029 -218051 -64769 -31056 10675 -206052 -25064 -29317 88243 -29622 88664 -70266 47727 160026 39988 -212153 119353 7605 -88418 -78127 12456 -2049 -121916 36288 6936 87576 145532 -72358 -50612 -48315 -21484 -27603 60780 -178747 2943 -44198 -857 95785 -123463 57044 -22242 44961 87608 15207 35700 -5438 76488 47002 145712 7468 30952 -55223 54712 34758 9915 -44785 -6338 81403 220491 61068 -51601 1458 29635 -5372 106580 -223770 29659 -34723 85951 -57054 31359 7259 22619 125105 58013 -156915 -87469 62056 -82666 59518 -76011 -57082 -53242 66227 -82531 -10379 -11177 101720 -8674 -53885 -33169 -49344 51972 -44070 -71898 75884 -108372 60711 
+-97220 -17125 -37074 -23509 -167640 -13475 119671 -7421 44648 29889 7757 -60829 50571 -55668 -141312 -136527 -6716 -221023 45744 17047 -97840 -188769 -14039 -107613 -4210 93527 -72669 46014 -145051 -67964 44130 74646 -56586 30503 47453 -146169 -91782 -3184 69190 -34455 -134055 -73846 -78067 60819 -102398 33517 50214 37200 -2779 -41520 146131 -10124 200795 -70334 -182299 24914 79214 -1940 43139 -32450 54274 -14078 -74276 135422 57552 -19485 -4679 -189539 -94530 -50997 116872 -127766 -10864 150071 59989 35867 45461 -104768 -24813 -149645 -164001 -86276 105771 -44611 42987 -253308 90423 -141560 11449 1474 -57357 73344 98940 31156 -24945 42394 -22018 178862 -34536 -255127 1357 -153335 39093 79161 97838 132956 195669 -50578 -217533 160986 -139371 92599 -219369 206315 -11593 -42148 -14440 -155671 121246 93441 38702 -12633 8125 225568 86201 -66151 -151643 -90797 -154214 -140910 30527 50657 -145916 -24273 37627 -56202 256066 57451 5286 49522 30817 38565 92578 -124713 1889 -117289 -140999 -79570 -89992 -49997 53144 -176146 4972 -191517 92628 -20200 123968 -57896 34528 167974 52457 -212895 32167 -9984 -76950 -57070 -66182 45300 -46271 38478 36842 73199 24613 -124082 -59837 -49484 -19874 45308 43687 -209912 -16507 -29179 -59905 56749 -136070 33051 -15336 106844 80906 -9562 -74298 -20343 23131 89713 130930 12252 -47569 -78808 57630 93401 22545 -47074 8942 130236 113219 68245 -69533 -126485 82057 64715 154402 -166394 72552 -73290 87915 -55040 28787 -34094 53212 40359 131437 -134966 -4387 144850 -105298 79400 -101719 -110407 -56516 76463 -177228 -2571 8247 49755 31937 -52066 -56222 -68287 20162 -68541 -41910 15791 -53284 65699 
+-83690 -77066 -2268 -91194 -73309 -6725 64682 2596 -139652 -2929 37919 -51248 47612 -149513 -226395 -59590 20124 -191209 54318 43355 -52490 -171078 25977 -89594 -5987 73691 -11553 728 -77825 -97945 -22780 12453 -13749 1201 80695 -107727 -88406 27739 92703 -20161 -6602 -45246 2293 107039 -119726 12962 -54030 63395 -47887 -46254 109652 171894 222735 -62535 -121460 -3870 4284 24666 41325 -48405 65384 27374 76467 57004 38388 28914 49121 -206125 -102641 105577 50039 -142773 -119174 203396 65388 -189895 51192 -42087 -4969 -179198 -128524 -61567 169552 -27735 66474 -140984 77482 -166051 3162 -23608 -70593 78056 98254 51180 52561 53308 -53900 82552 -7642 -225421 -17933 -140845 48876 141930 80304 162703 183319 -153275 -52061 200325 -93173 81642 -173088 205918 -1843 -33907 -14848 -103381 -11756 241031 -9876 -78111 -9970 202389 167403 -66314 -132397 -75994 -126522 -130166 102725 52714 -166831 -12913 40625 -40641 227833 61824 -11276 79026 31732 138572 162798 -163344 9948 -106234 46324 179677 -19206 -41347 62378 -166320 24002 -228527 104508 -20183 95405 -53946 29921 139802 38184 -208894 -10349 -106235 -69657 51113 -152767 86201 29820 69291 57146 23153 -111524 -42611 -104923 -23149 -21414 96062 10141 -180568 -14203 -29407 -84948 -102083 -135139 29936 -58 118740 46505 -27640 1595 -14859 -26826 61272 94866 24091 -141265 -92134 49764 140706 95161 -81466 29200 169815 -3891 122552 -104824 -186676 100231 97057 114046 -106430 71553 -39578 74235 -69543 6156 -52869 35691 -32757 53102 -91843 -12791 169044 -102252 124283 -43120 -44112 -53567 89449 -135697 5562 46455 74293 3664 -87145 11673 -144682 5345 -42456 -36675 -103180 23292 59305 
+-71181 -157437 8683 -37664 -14094 -24887 -109584 35874 -251431 -2721 23849 -37261 47212 -180724 -234764 -35580 76377 -166769 33175 52661 -20924 -164250 38135 -71880 -3490 52977 57220 16031 -59457 -50757 -96891 -76905 -71552 -21297 50177 73074 -76232 19967 20932 -81180 34529 -51028 103848 161726 -69532 -3919 -169668 26240 -116751 -24610 67688 201384 183401 -40612 -25828 -62870 -124106 -32920 39109 -26207 47511 35206 145594 5814 21009 62279 137586 -60143 -118187 221209 12170 -115303 -156778 145362 68311 -156388 68514 24031 -10984 -175831 -91672 -132 161584 275 31766 33986 58740 -177301 -19447 -8440 -9526 77873 108297 70706 89150 57906 -90802 -40846 -29090 -105552 -15742 -107229 43843 113112 46346 184324 169797 -157278 104143 170677 -105090 76915 34926 186055 -16998 -61090 -14312 -47570 -77079 225891 -76355 -122327 24808 166111 68666 -35616 -112282 -103327 -81884 -19996 106446 61215 -176358 -7248 78021 -35294 132986 25534 -19259 137651 34569 208094 206365 -161643 31648 -65773 123254 145908 136957 -67002 36277 -131562 36897 -33782 106243 -69487 92577 -47747 24926 33707 7634 -247379 36974 -183123 -111287 104155 -216733 57896 93591 110044 68362 -15922 -163776 14007 -122259 -12933 -22533 105812 3201 -136795 18610 -41905 -18480 -205750 -145786 22740 -60671 47522 80528 -27001 139240 -17883 -91116 70333 21355 27015 -140319 -115420 64102 190382 112254 -126156 65556 103516 -26324 139318 -100318 -104710 123339 116803 -30272 28788 52768 -2370 47145 -87073 14361 -48678 45460 -76283 -108575 -63579 79592 88370 -62989 146855 38005 102642 -59630 101090 -64096 6684 47164 96162 -96634 -121860 64686 -203355 -25813 -50683 -88476 -108275 109751 55965 
+-87746 -159684 -15005 146416 21112 -16581 -165813 58484 -220321 11492 7573 -44331 51360 -165943 -297831 15948 138396 -109101 56827 63625 17112 -159408 65600 -109113 -1861 21453 117405 8027 -27666 28944 -160399 -51895 -56741 -51036 62343 172283 -66795 6128 11328 -51721 -54795 -47239 159042 193399 3432 5969 -174193 12430 -173801 -4321 19975 105626 91160 -71511 32327 -138876 -209525 -31261 44097 16098 42529 56339 87365 44173 15356 62199 150316 80781 -107425 144253 16509 -62058 -81983 25242 75518 -19025 99474 86149 37945 -165313 -37489 13645 69273 16680 7062 80809 -71172 -144029 -39543 7443 41791 79763 110208 112264 14275 35077 -103140 -93907 -71610 13611 -28883 -58489 48703 36005 3033 211820 154708 -59471 91537 105874 -33298 71065 157968 149457 -27512 -32654 -19583 -6981 -3230 79577 -93990 -167162 45761 96358 -83376 -48158 -79260 -76694 -61610 36680 118140 66759 -175594 2406 59577 -54504 27924 -11793 4551 154930 36797 228616 191820 -91703 57990 -16012 -14977 -23045 210465 -87099 -34304 -141570 71336 113361 108414 -83800 30605 -71832 17601 -23570 -43560 -224880 130093 -198340 -147977 68244 -252177 77107 140461 126020 66268 -91327 -131648 47693 -117984 2396 -18393 42327 -14962 -89365 8526 -53419 40972 -122104 -148648 150 -104023 -27353 93910 -13786 185777 -3764 -98845 111563 26636 41290 -119392 -133142 23833 227555 169209 -123222 93209 88846 54577 154090 -92982 30021 129886 110565 -106314 158283 -9971 -28785 9609 -89585 60356 -14643 -8557 -101020 -210084 -39384 127029 -5887 -107036 114098 20485 252978 -54334 107149 8407 18169 104984 104122 -112485 -128590 57193 -250991 -8388 -44266 -121015 865 93131 72436 
+-69365 -47405 18643 177700 -102298 1876 -18179 102166 -22048 11506 -26258 -50889 55701 -73704 -286793 55449 125492 -63656 70686 46208 56110 -121618 28027 -86653 -4448 -6393 14436 33900 29918 147570 -212885 18004 13228 -72837 72553 154120 -53921 -4678 -55877 -14978 -189789 -76986 186021 175354 18017 29075 -64127 -26857 -247214 10411 6656 -45844 17852 -23851 54818 -119193 -192532 -9276 40478 39194 12161 65027 -50322 127951 22381 38431 65643 19050 -111354 -36519 -2382 -10347 64243 -31961 85642 93184 76303 139271 101655 -116259 -16200 38860 -41086 22496 -5295 -46422 -138188 -156151 -25482 -14173 16759 81830 117520 71435 -67477 39733 -78521 -35297 -106408 103434 -25097 -47466 48380 -30392 -37746 209680 138523 72958 -76930 9773 -18951 60534 -20762 104523 -29093 -33641 -24693 13219 123235 -44505 -87932 -206060 2656 63744 -58049 -1853 -61217 -113096 -106521 115003 58122 69861 -163296 11302 69063 -61771 -56761 -47891 6871 148042 36721 197332 215033 -29232 79590 50212 -188759 -199092 142464 -107695 -114755 -116918 80583 103645 120113 -109459 8583 -73120 70480 10037 -79070 -244786 169302 -178976 -132806 -53818 -240746 69988 147579 122936 65791 -118195 -90633 -24963 -154299 5439 -17107 -20326 -32860 -25046 454 -3499 94912 41613 -131637 -4792 -141910 -71930 74286 5623 108001 -10501 -84684 118755 24266 52885 -37627 -157746 69204 237701 220852 -114798 75855 13926 186408 147842 -87239 53075 155447 39525 -196482 162105 -83901 -51641 -31446 -73800 49337 38323 19449 -87923 -128246 -33728 138400 -121461 -65756 80842 -41148 263173 -49489 106048 -61327 -415 115508 67470 -26303 -98621 -5041 -272786 -50113 -40776 -108478 76383 7347 84019 
+-82452 1834 23698 106157 -138834 2642 119463 102960 95612 9505 -5224 -55584 58587 37131 -246451 80768 87172 -21521 26616 39169 85250 -82045 -11544 -92996 -759 -34330 -77485 49918 58874 235705 -224739 111558 -8293 -94199 70267 31923 -51424 12131 -86222 -43101 -191199 -106140 163898 132992 -15486 33825 34968 -14513 -226332 42583 -43445 -114488 -94604 -69511 48601 -68950 -76798 11757 44814 19235 9527 22522 -158403 156052 39725 -12030 1869 -161917 -123596 -42856 30540 40842 189607 36667 72772 58796 81764 118446 134649 -52580 31726 30155 -85755 14340 58473 -212580 -138490 -145408 9606 -11759 -25429 83243 124181 53379 -139739 28123 -86489 89117 -116680 100834 23897 -87463 79551 -3794 -66966 202377 121346 126218 -232921 -38470 8216 44383 -212750 43306 -13565 -25901 -25116 17797 109425 -54578 -24401 -219507 49503 17231 48313 11696 -31697 -164155 -131477 97591 -9952 45536 -140887 3965 36564 -40056 -29338 -45003 -30108 137738 34482 105111 154507 43660 89049 100311 -186419 -120345 6614 -142990 -202590 -99210 89948 -54595 114521 -44822 -51872 -49309 60095 52285 -90115 -263424 129538 -66996 -130434 -33533 -198404 107838 92485 91156 7513 -133808 55213 -118948 -154021 20721 -15063 -94199 -60464 -7166 -3707 -61140 174313 102683 -150523 19350 -105859 4944 59423 27521 -48943 -8267 -56780 144356 67593 53509 32966 -129327 53453 221212 217235 -107849 92564 -71408 222115 73999 -95913 -66821 151267 -17653 -159088 142232 -107323 -39037 -70178 -57380 28074 96297 10828 -32184 57657 -53562 164016 -119085 -78175 79175 -92400 103562 -47686 96403 -150080 19047 129560 78849 42898 -58180 -65028 -287528 -50488 -35307 -88014 -19446 -67304 63013 
+-86890 -43636 -53823 -28208 -156664 36500 74774 125208 36772 6094 20817 -59906 57293 132045 -189264 95131 20742 17077 33358 0 98811 -67014 -46089 -112900 -5696 -48645 -92956 25969 122625 259222 -195710 69287 -80969 -131188 70434 -112301 -48504 -15132 -131665 -2185 -62663 -140814 82944 74134 -101160 13694 -1425 -40166 -194980 68506 -35329 25831 -132753 -57386 26472 11214 36842 -32682 51158 -19128 10735 33750 -161901 106187 60685 2381 27813 -222546 -128044 118118 57995 48634 239606 153816 47425 -140776 127863 81426 129101 43158 46269 -53788 -42589 -9744 57773 -231818 -74430 -123279 15952 11062 -75299 86229 126683 4470 -128520 24556 -47705 180923 -121311 37609 -8529 -139440 80633 69033 -74931 182565 103808 65100 -214852 -15097 41418 49622 -172320 -21068 -2535 -65370 -26720 1027 433 110055 46398 -233204 -464 -30525 148775 26115 -17238 -124694 -126568 4965 -63031 55990 -109295 3560 50147 -73961 13240 -23701 -15844 116192 31831 16619 112670 24201 84097 158172 -18445 76024 -103436 -131356 -184340 -118380 76744 -204311 120310 -13802 -42204 -86123 113349 146855 -78734 -244965 35026 -9583 -127096 27839 -139348 112881 18223 63189 -33861 -105454 141677 -116328 -126846 -7022 -16704 -177816 -66151 27142 -14141 -26137 199861 -22518 -140204 23842 -77304 137573 64620 52551 -53422 -12521 -40117 137726 113825 67220 15127 -160716 47702 179759 250487 -76528 92142 -125551 153363 36457 -16883 -160292 125750 -40088 -100180 24545 -118877 -54091 -100800 -55190 22217 158772 28413 45647 127438 -87697 109858 37769 -79054 53764 -94965 -61856 -42062 84713 -190744 20311 180236 106756 18256 -47432 -4555 -299588 -23503 -33063 -28566 -107098 -84574 72589 
+-97061 -136164 -32212 -61329 -101108 43094 -101897 159853 -135244 36102 23912 -53382 54598 185209 -140456 82982 -14216 55851 35061 37669 89732 -26251 -42976 -96926 -7558 -67252 -12645 8827 140356 204343 -146256 33929 -81542 -142598 82706 -98215 -55240 -20960 -124836 -27763 19948 -142537 -1718 13873 -119366 -3777 -134000 -43248 -113661 110302 -32953 197661 -150491 -63305 -27110 37407 87943 -49243 53148 -47946 34593 37115 -48532 44649 72777 30808 119054 -91693 -124129 235122 85320 30324 182854 213825 72373 -181303 131321 -51 113814 98365 66079 -88233 60110 -24331 96674 -71830 21273 -162226 13320 481 -19033 83046 142752 -46766 -58227 31420 -654 167908 -76050 -85895 -7371 -158170 79827 131664 -61896 147189 85562 -59008 -41345 62375 53863 63135 11439 -66828 -16129 -66817 -32936 -41169 -84356 209745 128079 -240690 14348 -71938 97715 -31632 -2680 -127386 -80383 -68337 -71370 58971 -71416 -1303 59201 -80452 120178 24343 4951 91995 30884 -46685 72033 -42403 65357 197936 117616 166051 -84510 -107269 -174611 -58432 74574 -178534 104986 10470 -31383 -40354 84742 193219 -47620 -236817 -14750 20013 -125759 84034 -40991 120996 -62741 38339 -86020 -67383 128352 -6447 -108670 -33795 -17974 -199516 -78556 -8802 15214 -43638 152182 -175111 -138362 -14261 -32754 170562 46372 62521 75838 -7333 51750 128046 137243 80135 -24560 -170114 57197 141421 236160 -42400 62031 -172236 21856 25559 -4801 -148189 97368 -55499 29137 -69030 -87461 -42455 -118608 -67755 43791 211222 25431 122708 65025 -121255 85043 159442 -33770 51165 -22046 -124955 -33965 71273 -106609 13838 164659 79959 -86095 -66256 57265 -276038 -22926 -49602 -49552 -102014 -33770 72034 
+-82321 -165245 -32638 -4781 452 37101 -161011 148474 -248357 16278 33368 -42509 49524 158270 -94405 56725 -34793 75683 -9197 58204 92196 34994 -63503 -61118 -4392 -83067 87377 29352 166893 114092 -66572 -37394 -34178 -165291 82345 8891 -70066 -21934 -89897 -6924 -16524 -84936 -69690 4142 -60512 5352 -198308 -27783 -95244 115430 -52508 197843 -148728 -34679 -93844 -5295 40797 -12186 60876 -34358 43341 33430 84397 11492 73121 77859 170701 53856 -117614 148870 113100 -28504 34344 149431 74538 -43379 113100 -57394 50269 149641 79651 -113307 151304 -42876 75166 76521 89532 -138133 17104 14380 23407 78698 134926 -96063 38224 26786 13395 60330 -53555 -211472 -16427 -125353 82868 116895 -31033 101942 66762 -158699 107998 134662 43356 77148 113193 -106343 11099 -6383 -35513 -93368 969 227643 198611 -211006 33787 -65720 -53847 -21015 11045 -141020 -33274 -163990 -57031 50272 -29174 -7636 18195 -53964 204993 58189 -20650 77214 32335 -45879 -13304 -106097 39161 221272 54424 36079 44652 -70035 -90630 -50323 53504 -38683 113689 -4576 -13378 -66465 71324 155972 1152 -252142 33700 -83911 -105264 81763 34374 107734 -128319 48728 -125461 -14302 25713 37555 -114772 -30872 -18799 -206308 -72196 -67785 35716 -26020 75397 -186214 -137166 -31348 8754 73595 45639 59659 198287 407 69718 109924 113602 80772 -120194 -203121 46176 78263 252199 -6366 36728 -223278 -41170 13050 55392 -21623 81576 -25136 138723 -135041 -17756 -33903 -120801 -87354 17152 241841 27823 186083 -116186 -150344 27165 209442 -34737 77088 50956 -88376 -21127 62634 -22486 23752 163001 85150 -123940 -108670 60294 -239075 44554 -47849 -96872 -2166 57716 33403 
+-76475 -94757 3777 115123 -29425 50888 -37077 140707 -208916 16352 -5118 -40837 46710 76236 -32343 13261 -2353 58612 21495 57436 81033 38383 -26740 -93334 -1161 -86755 112910 26493 145638 -16146 14445 -46095 -64115 -185023 68927 141926 -73303 -9590 -20544 -53795 -126548 -87369 -88956 1333 9850 28564 -137925 -16894 1058 118942 -40354 97914 -68143 -51064 -152208 -79811 -74277 -22959 67579 1829 52840 68306 144539 30138 60527 55061 116711 43216 -102263 -905 128055 -84205 -96055 26901 87223 100242 88659 -87589 36932 195038 66954 -89117 170123 -48323 -3585 46376 80120 -126854 3192 -2170 50547 77204 145991 -133342 87636 3948 35688 -57150 -37404 -267062 -64148 -90354 75668 37679 11668 61971 48012 -144923 85105 192050 61131 79979 -1275 -127086 1088 -79692 -36212 -153718 125109 118171 251057 -209810 2260 -108799 -77516 -52242 15964 -136645 -75239 -134949 -24510 46425 13178 -34194 57521 -57747 258669 46759 1502 41519 35095 17518 -26663 -161120 15075 218738 -143023 -175839 161611 -42735 -27477 -34203 34028 86047 121300 -51612 16867 -49013 18598 43425 38451 -224030 124248 -158402 -101830 -11135 99506 107392 -175852 79235 -170708 42107 -124252 19141 -149048 -50643 -19500 -170150 -73134 -122025 11428 -36213 -17120 -42080 -133072 -28030 7661 -11100 49682 53038 148296 -877 74867 113809 103347 90527 -175607 -151022 10105 43292 243034 14809 25550 -186020 24087 4965 88743 60112 59314 54328 137853 -243594 45460 -21543 -107261 -92698 1526 246992 17700 221438 -205295 -161092 -38392 147471 -26430 104058 31053 115553 -22523 61452 -31011 40271 167211 64888 -72424 -121460 -3405 -188403 43507 -14673 -138556 134442 113976 62743 
+-79124 -13532 4216 155250 -93091 47826 107446 125899 -43772 6058 -5521 -46616 48091 -35497 49923 -24521 10869 41433 35494 45667 51637 74144 -10704 -61045 1433 -71521 18608 23815 131129 -86178 75738 19052 -7049 -194599 76121 206587 -87011 -72887 9870 -31780 -199954 -66107 -42847 61173 16316 34114 -13479 -1882 68368 127875 5835 -74630 12629 -52128 -220395 -118509 -175612 -4618 72023 35541 59100 45990 72683 105943 38960 3744 -4641 -117790 -102422 -69469 159346 -129290 -169115 -29598 84805 82949 53549 -99674 21976 189870 52395 -57818 113095 -42110 19859 -146845 25232 -107224 -31254 12972 -6612 75715 146078 -176592 37731 2216 30100 -91483 -37746 -230452 16391 -42248 84522 -27033 54054 8191 29412 -41000 -88305 195034 23843 93175 -178041 -126037 -16198 -21553 -39986 -180062 127078 -13997 287279 -190662 11203 -89378 34309 -51631 16619 -122687 -145724 -76942 49863 39736 54152 -42737 52708 -82236 174342 18901 5657 38500 37027 113121 -56701 -157507 3442 184915 -226665 -179155 236456 -47478 62284 -10277 10992 150401 112263 -85842 49129 -69082 59816 16507 55466 -226310 174414 -233263 -136193 -80940 141697 104037 -162965 112160 -189329 89578 -153903 -70723 -122472 -28520 -15331 -104908 -68476 -115286 -9052 -29874 -89896 94304 -139423 2905 -41126 -105413 30448 31496 7031 103 72615 127347 27591 64090 -107512 -163968 50304 -13441 197843 24699 -20671 -121263 148581 53557 132596 -942 20701 105168 71341 -154288 80176 -15363 -80248 -77203 18397 229065 5585 225535 -130606 -139562 -85454 62672 -12826 128185 -53765 202578 -10592 67727 -132105 60385 145648 96139 12516 -115668 -49205 -167337 43841 -44247 -71576 37611 85678 42352 
+-81289 -30559 -33134 99790 -155234 74899 83654 97187 110652 19042 4520 -55322 47429 -132773 95739 -63674 78043 19503 30964 42550 30180 116064 29068 -80367 1696 -58080 -59966 -14467 91556 -87297 118668 64470 -68503 -210204 59355 57808 -92082 -94803 67792 -46058 -145708 -47887 43277 126472 -38886 14371 17237 -3186 127751 145065 50677 -65790 113470 -48976 -240591 -121983 -209138 -15732 77181 30673 35329 2066 -80059 146412 21640 -10919 -14647 -228151 -98362 110081 92803 -132665 -108955 37776 56974 -94742 52651 -30116 -43215 154280 22703 -37340 6665 -14465 47411 -248245 -103219 -99948 -18675 -17118 -7829 73768 149816 -178729 -33942 501 20311 -16061 -36302 -123959 -2033 -53313 61538 -3017 85857 -30829 11133 78322 -235184 130819 58428 89321 -145677 -104088 -17907 -6706 -47652 -198572 545 -37945 261506 -122430 35830 -80956 142507 -84642 9244 -112665 -142753 28384 141032 48002 90374 -76653 54470 -76458 70896 -22132 -8120 44396 36431 198237 -35795 -115923 4663 146175 -103661 56916 108712 -64909 35607 -11425 -21525 -38082 115712 -118296 97261 -67959 27405 -26253 41924 -212069 136248 -208432 -34833 18960 132442 96271 -112062 131855 -216798 107716 -105723 -128650 -84762 -32893 -25775 -22910 -63121 -171640 -9306 -29268 -89629 71772 -131159 32304 -77321 -19307 25970 8547 -72466 6796 66230 109738 286 76127 -56470 -180474 95895 -44364 148339 16736 -31743 -93304 229020 60172 185570 -117619 -31837 141241 -40296 -85315 79279 -52081 -43202 -60364 43242 187729 18849 183911 43448 -118942 -115225 -78461 -391 107170 -109412 242777 -14606 79933 -204131 32920 141535 63802 60141 -81069 -15039 -117116 53215 -43966 -69616 -77608 -18051 10940 
+-89022 -121020 -20819 16427 -169806 79130 -74333 69171 43858 29519 25547 -62549 52173 -186207 122820 -112349 136582 -30803 74773 8694 -14779 109113 44907 -105719 3245 -39103 -61195 -10436 43983 -21774 134125 89049 -49228 -213251 65415 -70183 -99049 -45295 45666 -20630 -14490 -43102 121565 176210 -90056 -3604 -65538 31033 124816 162425 89286 70122 181327 -54321 -243308 -68631 -130952 -38868 84964 -15025 20599 7372 -163724 119732 15346 20616 71767 -132131 -85549 217290 61697 -111853 23320 147756 50096 -174637 51227 38432 -57255 90291 262 12363 -72286 4705 42245 -181125 -158595 -61099 5990 12485 -59621 73599 156454 -181450 -102739 -7479 -17093 110085 -69768 -380 -28759 -105909 79925 72665 99442 -60742 -6609 125636 -207521 29875 -838 84629 17106 -61674 -24943 -71653 -39971 -190574 -81070 72034 214594 -110392 -23120 -49293 99739 -43846 -2255 -94259 -130509 108930 120585 57681 119077 -68765 40150 -73056 -7333 -60103 -15497 75724 33861 235029 -93625 -30905 21954 81338 78537 181534 -27718 -78984 15819 17843 -36660 -201452 109453 -74019 101179 -83764 14125 45266 4773 -176376 39854 -97584 -60814 88096 95593 76765 -27400 119309 -214043 59145 -8418 -84028 -142204 -10340 -14397 55093 -41185 -187760 18593 -49352 -12407 -96901 -113963 -8789 -139815 33840 -4875 -10754 16677 2535 48741 90614 -4261 96522 38028 -173438 53233 -44963 93928 -2497 -61213 -10174 177168 51422 193004 -189274 -47046 102682 -140378 43024 33774 -30030 -2106 -52000 36526 129894 8196 119608 127322 -76392 -77615 -113760 6547 113906 -81295 118067 -11460 93484 -133685 18382 103793 59001 -14033 -48373 46159 -49460 66639 -44269 -24177 -103935 -113361 3025 
+-113111 -163055 -24995 -88228 -70341 60740 -166556 45937 -117197 5207 34589 -61831 55834 -165251 84445 -174220 111549 -76969 87423 27495 -57413 146395 45841 -105990 314 -9411 3339 -12319 -9155 88237 81607 70494 -56793 -205038 64313 -125754 -92094 -63734 55608 -13904 36320 -74040 176668 200547 -115105 4747 -174817 56004 91050 144637 133324 176705 214280 -40688 -189630 4291 -14335 19788 91556 -44868 12151 26026 -164939 51193 23033 87795 179640 35380 -70512 170192 16630 -62409 165374 214058 57602 -37654 25232 103807 -29456 33270 -45000 24046 -71043 10397 47976 9638 -114523 -78474 25941 37385 -44911 72958 159209 -161581 -132776 -5938 -2022 187123 -88844 102771 -27299 -155715 55660 133351 91883 -79021 -23369 52040 -32010 -22774 -14418 88710 131505 -12141 -11376 -59442 -45458 -161652 5667 202371 106664 -48719 14680 -23656 -17615 -42917 -18487 -106065 -39378 116318 103573 58997 138638 -100815 57334 -64433 -56064 -36516 -14816 133288 31328 213606 -56387 14924 46179 21016 92444 103914 -111902 -136842 -43672 14739 -42316 -197516 98253 -57284 67712 -106856 47367 112674 -48165 -190183 -9412 -14288 -47157 90371 30226 74791 54690 94445 -217389 11595 96596 6884 -97438 7579 -19518 96234 -23028 -119864 39256 -25020 45876 -196996 -99293 7572 -92821 127201 20380 -23120 164153 -10455 8232 85814 73790 83474 31547 -149836 61730 -22325 75558 -40632 -76841 81304 31406 125432 215029 -82786 -65738 50045 -200715 131463 -27609 -44596 36917 -64624 14805 66490 7528 36972 51697 -43987 -84669 -37469 -4272 56144 15031 -28666 -5994 103566 -27629 6537 97130 111274 -117149 -56260 77535 -8980 47553 -44520 -48494 -20949 -95526 7284 
+-97357 -113221 -43989 13744 6827 46122 -51012 13696 -277185 42113 3564 -47595 57078 -79494 52965 -242146 81639 -123032 55467 75237 -96230 131704 11186 -85561 4948 20232 101788 2180 -51077 191966 30344 -13085 -37465 -205519 85899 -52843 -84192 -56632 9955 -14515 -97459 -93479 169767 171015 -32226 28004 -162143 49163 11534 122617 137430 154712 217028 -59007 -159708 47176 74868 -39687 98539 -43398 19283 -2030 -38454 8673 38572 62908 133025 66062 -66725 -3103 -34429 -3869 241105 149323 62055 117141 66398 143780 -30154 -42334 -92277 47614 26564 15963 100511 95737 -29353 -51068 33461 10548 21343 72458 155457 -129320 -81643 -21785 -82698 154489 -107146 113269 -23853 -143775 40779 122529 64074 -100586 -39498 -74942 112762 -30806 -83360 71360 -18572 53668 -6359 -58261 -43180 -110841 125267 219289 64938 -21851 10844 452 -82139 -25983 -43093 -30242 -37808 53517 27496 59326 147514 -114466 54241 -72039 -28062 -17290 -2041 143525 31032 131897 -1715 31028 71917 -36877 -65596 -156971 -23971 -142687 -127805 11071 -37403 -61848 98185 -11624 57969 -63247 53189 167333 -78032 -165720 25465 21513 -51497 20781 -51589 93363 111078 53570 -182390 -69621 106078 57600 -132060 10226 -19867 78758 -11102 -103436 12709 -28806 162109 -112681 -97015 -25188 -54274 143381 -11836 -24283 204715 -13356 -28278 69335 115805 91885 -30854 -176248 108044 21438 8445 -37251 -93738 142678 -31428 122382 208835 38828 -101549 -19810 -146856 136752 -84548 -71452 67638 -86749 57080 10331 19425 -35007 -106148 -37693 -52721 129767 8767 53359 60339 -106774 -2058 108303 19532 14134 71622 34332 -136671 -84550 9076 34571 12296 -33113 -98614 118685 -2155 -9044 
+-99464 -25711 -25385 101175 -37389 11386 100860 -5950 -178390 28303 -9086 -41690 55916 37231 28327 -225058 48346 -174226 31003 52634 -140796 138376 -15293 -110936 8665 36708 101786 -22617 -107737 257743 -57890 -62607 -13709 -200716 89395 81262 -74217 -76297 -40829 16716 -180606 -136990 131439 106402 6122 34345 -30441 47201 -83676 84599 96321 47607 171178 -67000 -73788 11396 64643 -20162 108630 -3982 31498 45764 105567 52075 58460 37313 16608 -85670 -75902 -51215 -6118 43213 193960 25372 77824 99261 110989 130006 13115 -99376 -119222 9098 125869 17317 85812 -31030 44089 -51398 11801 18245 36752 75705 160407 -95714 8403 -20667 -106534 37917 -124252 38200 -25908 -120757 53715 45760 24273 -87905 -54580 -155821 79213 39684 -108207 54367 -193868 107351 1584 -72039 -40082 -56163 124516 145748 -14538 61753 10939 29283 21935 -12476 -63745 -55290 -113683 -33822 -30221 67928 145677 -145164 78186 -45174 93070 36903 -5069 158100 32997 41968 48879 -32380 86066 -85042 -213630 -193394 46190 -143845 -170799 31557 -31543 109869 83134 -22036 -1339 -70922 51839 162777 -90931 -162087 117416 -22595 -53878 -69136 -134362 38999 146461 33344 -166775 -132163 60068 -17559 -85957 2449 -19554 32245 -3180 -24740 -3432 11639 191145 43042 -75201 -25632 -1074 55625 -34414 -14121 70623 -9056 -95881 37142 127979 90500 -99845 -129608 40966 64472 -33204 -70405 -102858 161699 -11429 110363 197248 42521 -134147 -44778 -31675 150264 -118745 -49410 85789 -90557 24844 -34982 12369 -90332 -217934 -49258 -1426 192728 47621 56583 10712 -83395 17427 105214 -64146 17544 14360 46629 -64837 -114991 -67315 91731 -37058 -54943 -129904 30221 78201 -35623 
+-79593 -3732 5920 166674 -77877 -16815 91936 -6202 -56621 60499 -24819 -40117 52959 129904 -77988 -235859 3773 -200491 13974 58312 -159605 119982 -43726 -56623 2491 68394 41465 -10460 -128065 245196 -131373 -6708 -1127 -182199 90615 169210 -54526 -99570 -102482 -31584 -192106 -148647 40043 47093 -7081 15095 41080 24543 -155069 87210 107104 -72946 77360 -61789 -39524 -61487 -16544 22425 108938 26174 45486 36089 154669 122386 73315 12952 -2540 -222287 -63142 80150 20979 51637 86799 -32715 71881 -56333 77677 72633 23954 -152815 -164640 -42584 173028 -10038 62861 -211191 89943 -25675 -26804 7576 5719 76784 152944 -34657 70096 -18917 -80420 -70850 -88668 -79272 -7033 -51907 52610 -21156 -18973 -72968 -68170 -140250 -97514 117145 -144761 54632 -159521 161771 -21799 -84540 -42963 -18953 9870 -13574 -69733 42516 38041 84713 114231 6087 -88389 -60871 -162840 -114971 -108092 63658 132523 -162595 35911 -32249 184630 61772 10826 157600 35733 -30090 148912 -78236 87562 -107476 -163601 -41783 202042 -100294 -175975 32432 -5199 130485 103936 -17418 -17499 -75118 101313 79162 -86764 -129641 173934 -133588 -27061 -16485 -210076 55767 132336 42646 -107160 -153295 -35025 -105162 -64821 -23472 -23007 -39762 35049 -22819 -9662 -42445 162352 97996 -78616 20006 -21058 -40533 -23853 4381 -48182 -15697 -83330 9583 111159 100473 -153593 -68722 51644 131318 -45921 -116369 -91097 143238 122333 142397 183278 -72489 -122029 -58964 100577 47733 -111998 -42117 88268 -76832 39363 -51200 11338 -99261 -141794 -76873 61407 170787 55177 108653 -75872 88599 5060 94467 -179943 3723 -6208 73624 67068 -122431 -4254 108139 -45072 -49204 -83992 -101995 107279 -37982 
+-81122 -104728 -10701 116641 -181351 6828 -73121 -8367 79184 20137 16452 -47771 50709 178099 -152503 -212785 -49165 -211071 -1299 10828 -193348 112095 -48322 -75227 5994 88973 -95640 -36749 -135697 159609 -188070 35370 -39350 -165645 82409 138951 -46139 -58445 -94702 -38152 -73493 -119714 -35186 9569 -36763 -3394 -14701 45911 -209585 47409 109197 -27549 -15919 -73835 9222 -104157 -131800 -49528 114094 31513 47778 21246 54260 143716 73288 8977 64400 -163630 -72310 235475 59346 22203 -57726 36237 61731 -200962 129769 -1923 46908 -176599 -191762 -56012 139642 -34805 21935 -243344 46381 -41076 -31231 18324 -46065 77910 159935 -2539 77308 -29760 -114190 -85746 -48177 -200139 21337 -42587 30578 -4721 -54126 -36949 -80665 -29238 -238266 191215 -140504 37248 -12936 194811 -23263 -74348 -44248 12708 -73630 -67101 -110924 128431 9300 128531 130300 11337 -111776 -58789 -115972 -146997 -82491 54051 108965 -175296 49300 -43195 272423 40945 -15082 117514 37078 -49180 163315 -175134 74259 -114150 25772 189536 197171 -64895 -174118 35106 18352 -38669 81862 -18458 -68178 -49888 66005 -25441 -45542 -122209 141685 -211737 -34554 86589 -247082 80202 83957 73405 -73786 -149639 -136750 -128032 -34312 -26663 -22208 -129505 59933 17188 16173 -7622 170346 -16343 -53623 45410 -15313 -80480 -6998 32618 -25574 -15286 -68247 -7294 88114 111211 -149661 -140790 86234 167312 -74877 -119276 -82008 132669 230381 103451 127504 -170351 -125583 -11247 116772 -49305 -69882 -17599 75076 -61807 52630 -47850 25674 -88795 40057 -114508 72102 81760 53165 139038 -103534 193125 -3597 81560 -139649 -21772 -8157 68707 56077 -95223 51586 111488 -25770 -48514 -40057 -137447 39993 -63470 
+-64436 -153639 -7697 -30668 -177941 -20417 -159618 85 65237 36414 29498 -58873 47698 155071 -213476 -194496 -49918 -230073 1224 26616 -189451 81050 -58296 -96247 6525 100929 -87158 -10753 -122773 53646 -215719 79769 -39011 -162327 56440 -3949 -48238 -63720 -122781 -34991 18468 -149844 -73036 1363 -112537 4137 -185615 17878 -207552 16346 68836 115146 -116891 -77641 73933 -115502 -197193 -29108 120265 -4904 62798 42693 -90466 129178 60059 34154 157158 11280 -72820 164076 101907 -27297 -159594 158731 51011 -120099 142635 -69790 108503 -176116 -210932 -60600 52257 -49418 9801 -95734 -15858 -11973 -22314 36379 -51038 80321 155490 47553 17260 -31985 -79975 4948 -35429 -266692 27675 -80251 29486 64797 -73659 -4405 -91001 94075 -201679 191081 -184231 64180 111442 210250 -15658 -125409 -34816 10601 -306 19774 -92991 85459 36744 187046 -5429 -4298 -131114 -43797 -62667 -92189 -52319 55761 76817 -149994 36801 -52477 241992 -2750 -21617 99309 35890 2895 184383 -192474 50702 -88065 125358 114335 88824 -64777 -93700 27679 36943 -222479 73883 -61455 -70376 -88605 142060 -29774 1304 -106174 50208 -171430 -29904 96274 -248073 14292 -1924 114524 -27277 -123730 -193034 -54246 -42511 -59749 -16905 -182435 62364 -4279 17729 -26413 65059 -177243 -42652 15089 -56523 9355 -22590 54188 117697 -9631 -13992 4410 34154 96613 -72101 -79438 79638 218767 -86152 -111986 -59786 25987 197459 100996 90255 -140783 -124168 58561 114311 -125201 -12579 -23956 48305 -54033 62951 -15029 15510 -15753 132583 -148041 103484 -78806 86000 128071 -43687 233099 5256 68976 -61826 161 -60734 65608 -80494 -50809 74642 98214 -15477 -39536 -48404 -5862 -64885 -53190 
+-101897 -112477 -14146 -74135 -98325 -21039 -61621 8298 -139602 29292 15914 -62162 48810 74495 -245579 -153270 45571 -210656 7258 60198 -208461 57358 -10926 -104810 7128 100752 -28771 -38672 -67074 -40459 -203607 61038 -29160 -143814 65352 -150505 -54134 -87886 -94921 -44188 -6345 -91792 -99798 18271 -89648 27422 -200849 9016 -218973 19212 -4789 198119 -168978 -41771 49464 -53734 -174038 -27292 124826 -38471 39228 8865 -185515 44896 40808 75766 141929 77295 -86362 -16165 100650 -85458 -136051 213367 55766 69269 121647 -86005 78655 -147661 -225858 -76654 -53835 -53418 23425 70792 -131569 -741 17534 26789 -17372 85024 157022 59535 -80143 -57662 -16812 129431 -18259 -233807 -940 -128154 46705 129865 -70614 36229 -99761 121410 -23066 147247 -175190 71165 -36769 199046 -5043 -87078 -33003 5754 118316 200097 -35350 79947 -12745 227579 -65875 -44538 -160826 -61445 -55562 -10608 12235 54313 38313 -168104 63776 -30341 118998 -25126 -24863 46357 33168 78830 215748 -127971 23812 -59257 8872 -104029 -80944 -27242 -14636 -13461 62573 -192313 70588 -66282 -51411 -66376 125131 24636 31535 -81981 -13790 -144564 -32066 41298 -218221 -27855 -72915 139438 10699 -42571 -99981 27740 -7339 -43470 -16516 -221118 85247 -39552 -1450 -49298 -66779 -176493 -28185 -27018 -133089 103577 -15620 63092 197862 -17113 -13785 32429 5626 94685 15601 -65595 67852 221598 -78715 -126656 -34282 -53651 85326 48316 59440 -17701 -89442 113198 43320 -226252 63488 -30819 11314 -67584 20497 35013 -3862 53765 56763 -166123 112060 -136571 100902 144568 33200 129757 123 62439 -23173 -5361 -85355 57058 -122618 -48231 -24936 69628 -41634 -18192 -55351 77548 -111869 -30067 
+-103086 -36411 -29753 -9441 1248 -46703 103718 27151 -250563 2372 -7067 -54577 51707 -35802 -308850 -108451 90976 -188205 51084 44894 -194496 38160 18558 -109027 10532 90468 124728 -13263 -28389 -99221 -189022 27521 -16653 -110260 55486 -102147 -73003 -106623 -47860 -20283 -129398 -45517 -23228 82122 -41769 34577 -84703 -21958 -209338 7604 -59884 164264 -165572 -46960 11691 10002 -71917 -26561 129825 -40648 24054 53792 -156257 4738 23022 50691 58813 -42562 -101434 -87710 136393 -125702 -7898 147014 63849 106443 101474 -84826 113610 -88280 -231059 -83168 -82826 -41891 69286 71166 -151450 -13661 6095 34163 15390 84909 145769 95893 -119409 -39290 -28305 189941 -27987 -132529 -10594 -146957 45946 118643 -47885 83138 -106984 39990 114617 60575 -159604 62792 -178611 171108 -18710 -100446 -29332 -33351 131763 233569 41577 85924 9708 247417 -35572 -65224 -171448 -60901 -103705 92949 71574 49098 -3304 -163213 40715 -21066 23207 -60678 -19374 25212 30974 179911 166335 -64957 6489 3453 -178038 -178173 -71141 -60596 43736 13814 77951 -47091 82384 -86195 28491 -66549 83204 109234 55583 -59785 23850 -41900 7795 -54793 -146256 -9760 -140374 126068 55376 -6966 -237 33113 28397 -37870 -19055 -188177 97308 -81645 1155 -2066 -66778 -39282 -37115 -15626 -113814 133495 -19477 65775 151317 -8293 73316 15571 33702 89277 27240 -64659 88887 229251 -86330 -110374 1656 -100829 -25085 15042 -4747 35465 -77822 112196 -90933 -165383 85358 -65700 -29381 -85090 25765 93396 16621 120239 -93375 -150815 168980 -42499 98471 114210 38620 -3949 -3784 62409 -42582 22246 -83148 65945 -102824 -62221 -63514 26995 2009 -33598 -97378 39936 -58736 -58547 
+-86073 -20548 -11766 96632 -11775 -21623 96827 57823 -247671 11065 -10278 -46424 53892 -136609 -286643 -46849 109066 -142276 16295 66476 -169659 -19386 35367 -72274 10542 87463 79069 -26209 46492 -61449 -126016 -50575 -22032 -75879 87121 39101 -81798 -130620 -7542 -32618 -208090 -31035 52089 143264 10027 15814 21073 -20103 -138491 -18130 -74498 -3597 -102525 -54481 -17372 50861 37641 -7158 131498 -15443 6209 66262 -16040 30577 14722 10759 -9107 -205968 -105320 100117 97148 -140252 124095 24987 55118 -49057 94469 -23130 113845 -26236 -214709 -38933 -14150 -19528 65309 -105664 -110690 -61225 14651 2745 30583 82821 159327 80301 -110898 -48785 -11129 138493 -53193 -13535 -12256 -123444 30676 45490 -8849 138886 -112179 -88813 72257 -17570 -179237 70260 -177741 128827 -9916 -72086 -22950 -90483 5271 148706 127470 6753 17343 284135 107613 -72054 -191601 -93088 -154045 109179 112869 49022 -46008 -167509 62554 -36459 -49881 -25948 16196 56402 31359 225490 155263 8548 1694 62553 -208466 -93889 -13307 -55658 56147 -26960 87782 119165 81811 -75851 33505 -90171 65660 187483 36400 -29350 116448 14378 -40070 -40868 -61713 -18864 -173332 96433 60492 83649 102676 -45066 39342 12512 -16824 -169350 98722 -123559 -5242 21008 -37267 95393 -26382 39576 -109168 100888 -26205 49631 -7947 -24024 70691 3283 85760 85197 16916 -60212 108053 197554 -29032 -70135 15006 -149604 -8494 -27212 -48464 -17232 -48409 92470 -167420 -94871 60305 -24904 -68588 -91156 25895 156637 8114 183224 -209907 -121254 129601 96687 123096 38822 -25033 -83207 -18478 70562 -127991 -7046 -90971 52555 30727 -105626 -29705 -23974 -1629 -44405 -128609 -66484 34675 -56211 
+-85688 -75163 5163 187297 -88657 -12355 -46921 80698 -18280 42870 -1029 -37676 55341 -189160 -303439 12983 133590 -94615 61894 31099 -140720 -59646 46037 -145912 14070 48969 13520 -46947 90988 18630 -45423 -26306 -34827 -58333 87782 182634 -89125 -87800 40757 19562 -140499 -29905 152138 184882 26120 -3194 -11093 -2461 -44557 -35946 -58304 -85838 -36815 -77645 -113516 6618 97595 18086 128413 20564 15414 24622 104245 105827 20487 -19318 15874 -191124 -120900 230338 78739 -117172 187746 -29950 79109 -172342 53056 52937 89684 43159 -192132 -451 82702 -161 82237 -251408 -14138 -65878 -17116 32478 -1083 82435 156641 65378 -36997 -55043 28294 15483 -71840 91267 -13741 -65844 49325 -17774 33614 174407 -115564 -169215 -107346 -27230 -126576 80522 15378 72307 -5675 -143298 -23370 -133429 -87188 -16411 192597 28752 53414 275979 153313 -67930 -189353 -76598 -145044 84009 138828 57590 -86604 -147720 83026 -36533 -49296 13512 3193 64246 33718 219096 66092 33404 13540 123984 -52803 135988 150712 -107991 21610 -37899 95662 118622 82580 -35303 90348 -83284 58780 178601 7497 -34766 170962 -21892 -58714 55806 22615 -70406 -151359 57221 74957 102177 110845 -122779 67077 19097 -16425 -76572 92457 -151513 32521 -13731 -13439 73599 -14115 26727 -53347 13921 411 31926 -42781 -523 88419 41222 141477 76724 -55262 18531 49614 165143 -20534 -59484 47181 -223794 91547 1259 -44842 -133652 4340 65471 -120545 13953 25194 -75518 -99545 -79540 22875 208962 26729 218587 -139605 -89227 77711 198078 139901 41843 -82502 -74950 -14795 83435 -181267 -14757 -106639 71241 36964 -120503 45849 -56634 25137 -65175 -75984 -129262 117864 -75910 
+-73541 -153435 -26862 101423 -181359 -2939 -173560 104647 91013 7860 35754 -40184 57460 -161892 -223401 59002 93533 -41377 80098 15645 -113755 -84137 20629 -102213 7604 32153 -92891 -40389 153283 133597 38092 23692 -48810 -29091 65453 183663 -103019 -110692 85320 -10137 -9710 -75436 168734 191244 -37099 3590 -124969 -56493 14690 -54239 -59051 2812 43278 -65477 -178374 -51201 39923 -51115 129372 37768 27804 19995 149821 161657 38240 36239 102196 -23612 -121114 163773 43900 -64889 227487 29580 81892 -178400 44186 102133 58478 114675 -173636 23215 157904 19999 35813 -189827 66934 -66621 -14187 29796 -13053 77291 146130 32563 30500 -52276 33972 -81552 -133541 112774 4471 -45582 59017 -12532 72093 198713 -116719 -125830 -241785 13093 -111858 75886 110420 9812 -25185 -79360 -23893 -173492 -4097 -63888 234610 -26986 19203 254989 19459 -72334 -190807 -96668 -83133 -29501 67565 56685 -122073 -140600 41393 -29819 56554 46752 -13506 85922 36251 160118 38553 -32717 36084 177316 105958 139748 198927 -143192 -47411 -46448 73664 -21160 85298 10465 104469 -106967 32739 109761 -40470 4459 144625 -95125 -66177 123286 89038 -32281 -92544 33173 61088 91247 23955 -84102 88376 45995 -23338 24279 89245 -203002 36507 -34904 85126 -86672 -15395 33717 1344 -76245 -12532 4251 52715 -8757 53365 51718 148235 102099 -141138 34839 35839 105343 35551 14278 62246 -168297 213633 23599 -99664 -178567 31439 -15439 -87105 111581 -50710 -89402 -117719 -59939 -11178 240285 5652 211047 43551 -49507 27479 216022 143269 65362 -112054 53265 -22904 96174 -125903 -8446 -89498 42819 -54064 -117837 79968 -120412 91331 -28146 -26567 -26958 75191 -57805 
+-80795 -138220 -13751 -14036 -158426 13988 -75511 133940 62255 33554 31212 -48056 54217 -91789 -148580 75377 48272 9156 74046 15007 -76881 -108393 6840 -81927 14944 9574 -75008 -7035 137463 226230 92946 126865 -59436 -3000 42572 56188 -98002 -111562 64230 -41756 34405 -85306 158634 163625 -116278 26848 -177624 -58481 105514 -39243 -20461 125458 140605 -41540 -223073 -114242 -90047 -16769 131060 7687 46446 40010 49851 127055 58787 63442 158987 74743 -129012 -6075 31566 -2330 119528 150221 54399 15013 59164 127036 17231 158167 -135359 30634 164516 12333 21021 -31724 72671 -93488 -34606 20261 -61163 77317 147539 -15729 83029 -53565 31751 -76335 -114938 59231 -14833 -43087 66582 61708 95942 215342 -115963 -12167 -195121 96723 -67797 82773 -15206 -36944 -24285 -94712 -20558 -200766 125252 22881 256850 -53427 502 280477 -71783 2122 -182595 -110741 -56123 -85438 -7682 61574 -150322 -142235 61006 -38037 148084 56790 -13923 122243 37000 59860 -29769 -111487 62651 202497 72617 -31348 173155 -131590 -89830 -83551 50501 -172230 89677 -31959 87165 -72121 24508 36597 -78239 16823 53377 -186024 -45698 55743 139095 -8548 -15608 37019 30607 60916 -100537 -6374 66219 14411 -13437 60109 77036 -146124 36975 -19276 144909 -200693 3920 32837 2098 -39461 -5083 -10417 164786 -7956 10646 39630 114276 101546 -135888 16518 75044 51823 72307 17003 86685 -121960 217290 42534 -132137 -109702 33757 -57179 12565 160696 -93173 -4262 -121225 -54644 27054 246960 20336 174184 142537 -35601 -13734 131720 111748 95009 -3323 175199 -37351 105753 -36565 -5913 -78412 19377 -130179 -78911 21112 -172641 69794 -31586 -23993 72126 -71 -66801 
+-87081 -56438 7273 -95192 -71475 18381 82998 138498 -88691 40535 11328 -63588 49089 19148 -58133 86820 -15200 34711 40888 50631 -43502 -150875 -76348 -104439 12828 -26113 22184 -11039 169713 262029 109221 111623 -29672 18704 65745 -96804 -86781 -109138 36487 -28827 -61536 -101899 93192 91775 -98706 34770 -127134 -13190 90832 -57963 35123 199380 182721 -60328 -239649 -105711 -189823 -11684 127449 -24804 62178 38108 -97576 35019 74021 64271 78030 -14067 -130017 -68974 -3800 36850 -34118 212744 53884 112336 45841 117550 -48877 191633 -91155 36867 78409 4704 39367 88861 19902 -136290 -30887 22607 -36031 73024 142217 -52469 49071 -56649 1182 26738 -98250 -57031 -15335 -93257 56242 118938 97334 207074 -112969 101779 -14507 177085 -35959 85696 -184436 -88403 -29515 -125450 -14788 -191448 134732 166412 244496 -92343 50111 221562 -47412 -3417 -175079 -136140 -78041 -135557 -66086 62163 -169201 -120901 51942 -46175 222959 30366 -1627 158075 35282 -21085 -20940 -172271 82535 221915 -101937 -174964 39666 -108660 -176715 -126108 33235 -174049 74540 -1676 57391 -71640 64371 -12046 -98717 46137 -7012 -209628 -90857 -61301 140098 7197 73238 76769 -63 -17069 -171981 52758 73801 -9424 -19473 87718 63850 -110380 -14871 -20295 200006 -112032 -12564 -15755 9249 46474 -5737 -23420 170471 4942 -26752 47820 61449 84958 -72522 13936 30082 4832 89734 8571 104714 -137074 113817 110690 -113368 33967 76280 -49359 105251 156010 -121095 -33749 -108418 -65468 62222 232112 32194 109000 69646 -43512 -97845 -13278 120248 104901 65816 240767 -35680 107562 12358 8398 -72115 51038 -104069 -57985 -53774 -220480 40107 -22501 -83366 50648 -90655 -61406 
+-98308 -4834 -24491 -18882 32480 26889 104058 142406 -250363 42379 -15934 -64644 47917 121071 -2006 76423 -21656 62874 28521 45714 6827 -154225 -65189 -97902 13923 -51427 90036 -29310 162032 217056 114102 7765 4676 35179 58482 -111487 -78396 -150322 6322 -51865 -183910 -174185 14501 30186 -46567 16510 -12473 -11627 127664 -26712 61525 101870 229017 -47177 -240182 -83267 -203433 -19424 126162 -48105 47819 39534 -183355 11310 72638 1100 21434 -186838 -134259 64168 17648 51251 -137515 151717 69017 -1380 51717 49760 -38575 197156 -53520 -41486 -18842 -19102 32450 -1116 -55633 -111067 -8088 21577 34253 73322 137674 -98685 -46987 -38817 -38500 146950 -79702 -190474 22257 -154015 59345 127623 80202 204273 -108569 112656 117877 198347 3862 55812 -183805 -120665 -38589 -128049 -18400 -163343 16680 237683 199951 -151552 27737 228637 100285 -15960 -158199 -125363 -107205 -116380 -74947 62063 -177313 -87591 65735 -63706 260028 -17092 -8771 185022 32509 -44750 -77288 -190293 88618 214325 -229464 -128706 -68750 -73258 -203385 -119762 4869 -77010 86692 -35209 35262 -88245 64527 16824 -86574 44981 20303 -163709 -105077 -35979 109388 -55614 124535 124278 -59217 -74469 -146442 24712 122115 -30846 -16246 70361 67769 -74560 -19989 8691 186236 42885 10116 2386 -90612 137053 15657 -21548 40201 1000 -71750 64541 16171 72834 -7177 25215 77209 -23141 167923 14680 93581 -32143 -2399 101797 -101015 45788 91764 -15676 132460 87275 -114622 -22666 -81525 -84335 9956 189425 20896 40574 -90993 -61481 -82629 -86565 119679 152465 41527 152876 -41567 102155 -126987 21057 -34205 57746 -55643 -56861 -25103 -245443 25604 -47352 -88429 -58681 -94575 -60346 
+-68559 -58842 6351 136911 4404 40290 -38612 125560 -190114 10662 -13854 -57853 44274 172175 36569 93126 -19274 71325 57149 37419 10795 -171564 -52663 -107951 17380 -61821 91749 -21957 126103 119980 53231 -43607 -10103 72523 93999 -4262 -65639 -120480 -30723 -26651 -186954 -144367 -62835 2945 19166 -2964 52924 488 65439 -29488 81499 -33917 202747 -60059 -217530 24179 -129398 -30346 122990 -21766 38066 18024 -142722 17737 62133 -16478 -14571 -204093 -120637 229853 28875 27946 -156880 25401 75765 -163805 69840 -16319 -49006 155422 -8372 -91114 -81465 -33760 14875 -170995 -115072 -125903 26214 19508 22984 72641 134268 -155769 -123910 -54064 -98829 188837 -35850 -266654 -39456 -141422 78719 60271 43340 178264 -101945 25733 64705 166147 9515 58245 11938 -126380 -28305 -89958 -17324 -118781 -75243 145610 161671 -185754 -12259 164276 136214 -15871 -140738 -93498 -153102 -35307 -87039 64097 -173802 -72480 26271 -50223 163865 -58252 -362 128394 30885 -15657 -79091 -146070 80529 177597 -130290 86058 -75959 -70796 -153421 -142550 -5199 69370 94142 -76859 -11504 -73076 77966 112860 -46428 58180 109091 -77774 -99262 23299 55061 -12221 148838 138472 -103940 -137319 -51860 -60692 121165 -44170 -16434 25150 34254 -23021 -24324 -17777 146963 110304 18650 32890 -104474 126845 22046 -11290 -73818 -689 -95537 61558 30180 67898 32447 65226 44916 -32625 198175 -20816 78800 34558 -38782 107555 -70871 -92473 139721 61414 84380 -12053 -58785 -24448 -44862 -92504 21309 131752 47717 -41938 -210158 -105187 -101433 -75395 134929 135587 -58117 -19348 -44908 90869 -207990 25818 -42063 70768 42093 -86409 25574 -250562 22394 -15893 -108696 -131287 -22133 -46723 
+-86388 -147750 -11729 220406 -135545 72535 -165817 121826 14382 57603 24007 -44715 48596 167924 118452 49967 10095 52983 -11313 22232 64445 -179389 -25011 -76335 20963 -79728 -22791 3880 103754 -4629 1918 -54792 -64997 78523 64598 154707 -65088 -118312 -99032 2198 -75027 -134868 -83248 20531 6397 3008 -32850 48383 -2600 10171 125016 -87642 128002 -68842 -145470 30074 -6245 -48512 119153 13964 18997 20295 -11002 109351 41552 21234 102899 -65132 -115623 156390 51937 -28329 -62312 -28393 74992 -145897 144437 -83583 -14423 84352 14927 -92017 -52895 -50027 51015 -268373 -150035 -138807 25842 43605 -4608 74601 126357 -168225 -124468 -71735 -103034 119617 -6220 -242255 -22672 -109585 60959 -16314 1311 142437 -93109 -106694 -115699 79692 76735 65481 71667 -118437 -19948 -101912 -17001 -65143 -15168 8749 62244 -178678 14097 117500 42284 -8862 -115304 -136878 -107173 48067 -3852 60004 -159642 -48187 49642 -55941 46056 -52419 -891 123080 31763 58599 -87702 -57478 60260 126008 59841 183182 -12158 -37756 -104423 -158000 -18468 97227 106155 -110812 -37844 -58418 60024 141850 -7713 66979 172450 13229 -138792 77038 -27123 -3959 116222 122260 -145036 -137507 42818 -117045 155482 -46025 -18774 -40469 21707 26907 13333 16968 23510 -22822 26437 16625 -134074 66370 23271 9989 -18022 923 -60139 110944 42852 61220 13229 67251 43338 -27900 242978 -23977 62632 124919 61892 108421 -47886 -176115 123726 107147 -38729 -145165 13830 -56914 -3865 -80592 26917 71549 32436 -91239 -137682 -125962 -96790 12273 135179 92680 -108192 -114842 -48339 77415 -172995 18397 138 59517 -14923 -119352 95384 -294436 -13612 -50365 -78927 -51654 67448 -46626 
+-81224 -146683 20577 132837 -186608 68954 -87349 96356 89016 8993 32249 -38403 53292 83292 115932 -21347 87286 40604 32512 17829 78227 -175320 2903 -79815 18240 -79406 -88567 15420 34756 -76916 -81753 -1520 -58823 84586 58540 191340 -51421 -139166 -102206 -14744 15588 -84157 -70647 54443 -54531 26252 -170606 38135 -86015 31999 118606 3991 22415 -36044 -69874 32926 70194 -50020 112578 34338 1586 7314 112774 140232 24742 66633 160443 67559 -109292 -18708 91390 -95352 86118 27796 60912 -4508 128276 -84377 -9090 21811 37664 -67081 51143 -45639 79407 -123222 -69469 -146515 5215 13369 -64000 76340 123971 -195618 -74362 -67084 -76097 -6085 -37312 -158740 -3557 -56857 78696 -19027 -38918 83524 -83015 -164066 -244172 -5778 66229 51935 18637 -83406 -8449 -109315 -14541 -26777 112023 -61103 -23889 -212253 -505 84227 -92882 -20567 -87849 -149573 -51855 111921 45630 55103 -135691 -53762 55977 -53395 -31280 -27183 -3310 75111 34406 159610 -8910 -2231 33447 68690 114415 68852 121328 -51628 -7166 -175871 -35301 -30066 98577 -73073 -102974 -77492 99733 194467 35717 110341 152134 10710 -176622 86618 -113725 -7467 70470 79903 -175752 -122051 150622 -81149 175665 -35786 -19391 -129051 -11697 23889 678 -9287 -66001 -169280 30415 32677 -80754 -47017 32057 33264 125009 -4530 -51701 131775 88118 67986 -81137 87847 7124 -897 247955 -65160 50051 167917 173163 118611 842 -138625 116242 118405 -146460 -221008 62832 -34245 35105 -62406 29646 10953 39084 -105519 38179 -157011 -96735 113027 137207 77972 -66906 -68228 -55062 66175 -51563 20198 46960 50433 -107653 -127217 22363 -296258 -38535 -33182 -15642 56731 127823 -37914 
+-60515 -56974 -4240 -12931 -174244 56711 73819 63258 68928 20013 30965 -42111 55089 -27541 120822 -53644 110226 -5222 28416 40224 98629 -201433 59735 -99783 19853 -80364 -84949 40817 -3283 -95200 -157060 81201 -17263 107409 73297 81197 -48898 -99936 -115582 34589 -6400 -37772 1002 112926 -108097 34986 -152850 27195 -154810 56813 116977 190516 -77703 -69942 -8289 -40236 68557 -59540 104385 20623 20001 14003 140729 123234 16775 59811 110410 22628 -96550 -62496 108480 -127402 205484 153992 53869 104222 137060 -80534 45977 -58126 62535 -73338 147239 -29767 26086 50712 39470 -133887 -29564 -1698 -21017 75166 118860 -152970 26117 -66790 -90808 -89095 -49994 -28525 20225 -37019 88393 50165 -67533 58419 -71246 -117077 -188124 -40898 76070 47111 -204217 -44072 -10105 -113564 -18417 7626 141708 17408 -64561 -229204 12606 27936 -83925 -54951 -68021 -98633 -59679 132532 113774 46769 -103022 -30076 27467 -63278 -60652 25117 -21328 63210 36673 226121 47868 24299 11584 -1294 -36982 -174583 222840 -72403 68704 -199145 -31436 -175896 112865 -49469 -56218 -77868 78586 94857 58951 102633 60900 -59074 -130718 -22363 -190756 -9055 -10896 44494 -211237 -72302 105628 13544 171031 -35941 -15201 -185127 -32307 7196 5415 -7332 -105060 -185245 -3530 31716 -60154 -97844 50655 56351 207273 287 -21684 102340 105194 49517 -143625 119217 53932 42903 257366 -117374 29609 155144 238400 121171 39408 -16032 152287 93506 -149890 -195644 80321 -66757 66592 -53731 38358 -31059 33137 -81558 139754 -160631 -5834 202526 119633 63041 23075 48432 -44777 61608 15248 24780 70083 20444 -153742 -100522 -31254 -260035 -65680 -23559 -69405 27966 55786 -15594 
+-63852 -7986 -25957 -72403 -49191 46186 125719 28749 -120822 42121 -3258 -54963 55279 -136480 55055 -109683 121315 -46397 19742 60585 92899 -131156 37532 -88689 23056 -62521 -7494 34519 -51136 -32584 -191171 92141 -29684 108324 62881 -43702 -55986 -98288 -101860 28644 -137466 -28044 95605 158307 -106573 17232 -59186 74175 -237747 74843 100311 224224 -128040 -58337 54308 -113893 -42754 -53926 95574 -23130 28687 69036 18933 40267 19916 32884 22950 -154511 -96690 38920 137196 -138542 223696 209474 47276 48591 96036 -26303 65395 -124483 60114 -31317 172187 -13760 10233 84105 95144 -164778 -46078 -15945 -5982 79693 102074 -138183 74504 -52537 -74470 -64056 -89875 71752 -54076 -87519 70072 126234 -74271 5922 -57922 5089 -5082 -16197 59642 63052 -189156 23081 -30890 -83524 -23428 11528 15476 152891 -82296 -217389 36621 -23758 63161 -50659 -39380 -109716 -114035 12748 130316 50152 -64429 -6859 30141 -56085 -14278 50406 -52667 22015 36814 229197 129129 -15389 2140 -53406 -206075 -173711 128877 -93429 63175 -181210 -21897 -209949 98819 -24086 -33084 -90577 76998 39315 46480 133525 -7143 -160910 -127487 -71048 -245749 11241 -92103 30952 -222578 -20062 -19060 60582 219910 6423 -18990 -208166 -46244 -78047 -11134 -12656 -48899 -42849 1058 -6201 -9372 -36293 31279 71059 88439 -11168 34848 144307 126222 25162 -156759 94370 59058 96176 243922 -118835 -4201 109483 150327 79409 63828 57651 100437 42483 -118613 -113120 60817 -35456 85167 -63345 10231 -51203 41610 -24237 76776 -136021 39887 149061 160115 72837 16981 168576 -55535 64295 -62196 42980 96951 42479 -73213 -65803 -49809 -240842 -21876 -42229 -64851 -59164 -44388 -31397 
+-83446 -41664 -36866 -13204 8464 65926 -19230 17410 -224396 40610 -17399 -65569 56120 -173015 22105 -161519 96774 -104967 60657 37631 89615 -138536 50491 -130049 28074 -40178 123756 56012 -101229 65376 -219093 31228 2588 96540 80886 -140754 -69087 -132236 -20000 -43791 -215572 -42863 166741 196718 -44314 -2693 40973 40858 -224330 100504 14172 73265 -171090 -41973 54544 -133518 -165389 -17144 96551 -47661 44007 21552 -118473 6091 37470 25517 -7277 -224612 -81864 209826 140553 -111616 166458 152566 51532 -138394 132668 64206 84820 -161779 63840 19590 120205 10734 19170 -67435 77355 -148235 -28520 -2435 36209 81070 101741 -125566 76704 -58261 -57330 49248 -106219 129710 -16754 -111714 68416 129117 -61274 -33929 -43500 109660 120105 67753 33446 66167 8825 87107 -11514 -84483 -23646 6261 -74352 257854 -75699 -223397 9637 -47228 143586 -80483 -20633 -89109 -149178 -56855 109367 54398 -22052 7744 3599 -73827 100517 47488 -23168 25877 34665 174279 161958 -105196 7176 -102879 -186213 14748 1208 -128237 14264 -207854 -4665 -73797 111234 -5048 14586 -55580 86625 2723 10972 144227 16086 -219916 -90151 -2532 -248317 34183 -141340 41368 -221935 35152 -123219 -15097 178939 22017 -16406 -192521 -59474 -123834 -6512 -45196 23993 108609 6932 -25289 10000 85509 29768 62385 -31137 202 72600 127020 87049 27449 -63478 75572 97955 151909 210798 -132355 -22800 87347 13947 52976 113684 -11446 99967 -4682 -64840 -9174 6542 -31492 89034 -82338 47127 -49188 58636 41933 -101676 -104496 106658 14362 114339 85469 -1375 263134 -48360 73623 -191597 32884 135381 41109 49912 -50845 23418 -184910 1821 -30548 -119726 -121722 -114903 12284 
+-110226 -140541 -37671 122050 -36147 26129 -169449 -11978 -221692 49128 2880 -58346 51127 -171315 -69376 -207749 66788 -150871 74487 23382 68656 -93748 8436 -100836 24229 -14341 66498 22027 -116453 183390 -210803 -34807 -49565 100407 77481 -57965 -75755 -85130 -10574 -50402 -146052 -55010 192857 176393 17714 2460 -3645 32522 -223574 124079 16650 -74199 -158536 -28242 59121 -73365 -217440 -46458 83343 -30376 57750 54562 -186204 42681 56147 -15064 33776 -99655 -75554 184060 86515 -55892 31109 30636 59986 -192548 70035 121783 114799 -186328 51973 46776 7954 23363 36368 -237947 -11739 -164250 -19722 -5336 42172 83929 96172 -70910 -15507 -55947 -29956 161896 -104647 50852 -16290 -146908 60764 62869 -29325 -68859 -27814 112950 58709 167724 24559 69612 110723 136992 -6551 -87699 -29559 -32360 -18324 177352 -50706 -221557 26710 -89027 73301 -46645 -6329 -52097 -126963 -144981 47937 55786 20481 1087 78444 -74537 222339 13997 19365 12002 31887 91816 204961 -164987 27019 -113145 303 172693 -94838 -143177 -30629 -212971 13245 73960 112002 -35329 73096 -72541 48920 -2604 -33875 143193 101225 -208808 -136951 89957 -224524 44010 -163248 85822 -220607 109224 -150566 -84286 235306 9459 -14878 -147325 -68694 -163207 32841 6223 105234 59685 1856 25939 -26904 148426 50103 50047 -25391 -2904 81453 123023 51158 36214 -20482 88259 34876 192115 191496 -150258 -60909 10784 -44815 28240 166334 -116256 99544 -49923 39475 83737 -56815 -31293 76217 -91946 52247 -15556 19060 136791 -201327 -68494 87031 -72681 122335 102857 -105235 132936 -58247 85857 -196586 12364 145623 21800 759 -67809 89970 -140804 20549 -33017 -99300 -101957 -76059 7084 
+-108305 -148116 -2989 156917 -116576 47048 -106430 -24023 -37199 41438 32308 -52545 48805 -93332 -129098 -233939 -3089 -192830 28292 20294 38767 -87757 -28899 -75961 29823 -9577 -33570 50179 -129860 251360 -164527 -85700 -56607 92151 72122 83126 -83918 -101765 10843 -29173 -23107 -100028 143927 143029 -1834 25646 -150671 13050 -201787 116364 -29883 -72003 -106867 -55421 -270 -13903 -166165 -37119 79133 -768 46890 62340 -127259 110747 70869 47102 141936 60618 -74653 9672 33164 -5860 -106642 -29479 87504 -60616 54767 139616 106243 -177691 35778 33063 -70932 17902 52119 -219806 -60885 -145840 4951 15654 -10412 84729 89058 -15418 -98063 -69203 32651 184477 -106637 -49319 -2636 -139436 66791 -17120 13370 -95057 -10772 7820 -125275 198051 -26210 80683 -25117 177523 -8450 -60996 -31189 -80353 116473 32383 38772 -128099 6543 -92025 -63586 -48800 6788 -72625 -53692 -135074 -1078 59909 60946 11063 54933 -57425 255070 -31705 18805 75366 30845 -792 198760 -159826 52190 -108457 112698 114797 -61958 -148634 -114431 -207359 37882 101441 116861 -52769 105624 -74461 12712 78056 -79931 148269 173238 -96255 -82744 77851 -163589 36115 -138307 123005 -188721 111300 -123495 -106064 231755 -21013 -24270 -56304 -73762 -187589 28603 -12718 132486 -117111 -19665 36173 -113643 91404 77691 30499 75992 -7009 77465 110785 15901 22325 26062 76324 58187 229761 157585 -101142 -76681 -87440 18854 -4829 197206 -171927 48772 -54417 124559 178960 -109001 -24031 49441 -80955 4102 32396 50095 201599 -133839 -38555 165530 -94346 127563 126043 -84483 20346 -51306 98993 -119694 33514 145049 38371 -40675 -102565 46018 -86703 22950 -35776 -86498 34209 16398 25399 
+-98081 -85490 -53141 106689 -189717 6942 75310 -41639 114380 36001 37731 -45220 46753 13288 -209798 -231232 -13701 -215398 17495 22438 1324 -41090 -76934 -86617 24980 37821 -63425 18312 -98405 247563 -84402 -8414 -17502 72794 57997 193462 -91750 -85843 57620 -14663 35181 -158389 75519 64458 -52684 35141 -203637 -6753 -105840 141487 -34591 18704 -15757 -37022 -42645 33984 -54414 -42244 75109 30551 33248 22062 19016 156933 72356 70163 152603 45580 -59861 -90302 41572 41587 -172922 34969 84250 129077 62038 106605 113553 -148102 11149 23021 -75279 2444 90439 -57581 -139416 -95908 23306 15200 -46781 84682 85526 9970 -131896 -75152 14925 99516 -66517 -167536 18217 -79957 53477 -19408 55226 -94100 6907 -116749 -246013 173521 -50717 103788 -194493 201850 -10430 -86735 -36065 -131481 136883 -62490 112018 -67489 38355 -106912 -75043 -28873 14285 -83998 -54085 -56814 -99679 59842 95896 5205 95021 -61070 221890 -48840 -13448 116957 32232 -46385 202315 -120330 75169 -84971 32263 -88954 58956 -129724 -153230 -200390 64581 -44373 100416 -102362 139301 -67020 40274 142693 -97699 148982 152233 -14947 -110767 8680 -79603 72344 -80260 126551 -133868 74681 -62295 -38300 258769 -11162 -16772 11429 -83211 -156835 359 -37665 202478 -207727 -7502 34314 -126737 20856 63909 7044 187246 -11020 31273 122399 7498 1189 51120 63319 28946 234810 114930 -119999 -100892 -123094 157065 15558 197188 -76284 -9213 -1879 152617 151016 -129138 -15842 13079 -63069 21192 94307 37259 232218 20393 -33134 103989 6135 114520 120897 -18435 -120671 -44862 106871 -57465 24345 180164 45572 -103020 -118469 -33067 -26996 37447 -38390 -12390 60332 107202 28951 
+-76354 -12040 -10240 -20970 -137917 9142 125465 -16871 71426 13955 20732 -39002 47166 118802 -279121 -218543 -16305 -229175 -12460 37657 -35294 -13887 -43115 -118955 36091 58557 -82648 54980 -58172 179017 -2199 64744 -1663 58562 75440 146686 -88714 -44277 74047 -3135 -57574 -158140 -8136 15449 -115270 17931 -100162 -8527 -25749 149612 -17656 204659 88060 -50377 -126395 11094 70671 -77060 64367 30429 26482 14237 134535 126261 63252 46631 44087 -130446 -70148 49709 -27184 49568 -98603 157573 70887 82496 40165 51346 53342 -68994 -31555 -34510 12665 -24987 81126 99291 -115016 -131574 -6025 -13350 -60799 83219 77357 47589 -100341 -60442 63990 -25959 -44958 -255773 20151 -60340 28935 45232 85372 -96041 24962 -169743 -181920 100510 -79425 81960 -174527 207941 5266 -83632 -33973 -179000 22719 -2562 194405 -63513 416 -81803 47949 -8115 16390 -64013 -81145 39923 -107981 69842 122917 19178 40186 -79079 117625 -36913 -15871 145661 35062 -32186 152020 -64009 88785 -33457 -158520 -194293 166607 -69339 -179688 -206084 77739 -185992 117979 -74255 57445 -86478 35853 163851 -87013 163404 68649 -1801 -64650 -68381 13917 111784 -402 121234 -97272 55135 86161 30529 263205 -21407 -12409 74520 -78812 -129382 -10442 -8298 145269 -103959 -28986 110 -139822 -59182 73637 -15664 166304 -15256 19598 114563 62774 9363 -76643 75624 64516 222183 38645 -75433 -99921 -201323 241355 57965 232155 56105 -27030 57202 56788 114690 -92213 -43604 -27506 -54407 10162 154964 23717 217983 131970 -51068 136351 115438 89149 118387 44736 -109794 -41037 107592 -31577 37942 167744 25086 -107545 -114509 -54959 -3558 47208 -48298 -48740 -26306 90924 43337 
+-86033 -29767 -21407 -99822 -34020 -5742 -7357 -14788 -96466 67597 -25750 -43153 50924 174487 -245204 -195370 16864 -220277 24300 54475 -82531 -4173 -29931 -69361 29977 76981 26927 43895 -35837 67318 68095 92513 -28653 42785 73183 -9424 -86383 -70459 42263 -47740 -180992 -156917 -69504 -3588 -98979 -2397 6241 -22728 33733 147579 -31219 192988 159992 -53914 -173269 -49540 81875 -20441 60967 -11568 16213 75234 130017 28751 43586 28619 -30938 -237138 -78724 206726 8263 28218 41169 211079 58067 -144579 31270 -29466 15900 -1989 -60937 -64149 107839 -36056 71496 27921 -38398 -113894 -29725 1256 -16281 79854 73468 82455 -9267 -40375 30840 -93115 2490 -242709 -6008 -55601 60122 120341 99885 -65891 43319 -103421 3681 15090 -106047 83257 13412 188843 -7316 -47926 -37624 -193856 -64979 154010 255646 -30359 15603 -92607 164347 7365 10320 -52537 -134823 114037 -61037 65799 141173 -15299 69808 -32896 23677 7526 -12917 172644 36987 42302 146405 -4750 86349 17805 -216814 -17698 188537 -63954 -157004 -202412 90211 -188255 103825 -81959 64652 -56952 19459 135523 -52165 148172 -6850 -37585 -52547 -22688 80770 72529 85563 81812 -48643 -25235 122202 29194 266145 -28919 -22053 79883 -72994 -60156 -1699 -44294 90111 57641 -60648 6904 -113339 -74639 80321 -27017 19917 -20662 -18331 78348 108663 8680 -133868 58908 77201 175589 5543 -37343 -99382 -233648 175883 72516 188410 45225 -42845 106118 -68483 -49655 -37512 -60402 -67148 -63592 26197 206527 2980 172345 67973 -88780 45476 190851 88250 67122 28749 29810 -28917 99673 -103405 12741 156320 61132 -9677 -83663 14931 41288 58839 -16551 -81353 -109005 27397 36024 
+-89976 -114267 -29657 -12879 3613 -36184 -152597 21615 -239012 96489 318 -54602 55169 162637 -297541 -163023 91641 -201981 14803 56768 -117204 56259 3744 -82316 27329 83401 71928 23413 21101 -39401 105777 60579 -36753 16066 60526 -113351 -89547 -86208 -18871 15120 -188060 -100193 -78246 11058 -12293 1957 22212 -19597 59342 129639 -17762 30095 219888 -44639 -245546 -109234 18971 -36900 51633 -50876 18830 36016 21256 7457 24532 -35507 39495 -131797 -75805 168579 22577 -30970 179872 144690 59430 -185456 75455 -65150 1167 70063 -116874 -88891 168192 -43688 25626 -152366 63815 -140497 -57612 4632 45751 77650 64762 78290 62136 -61524 -8810 -49018 6848 -156150 1516 -83048 43875 141947 90831 -33364 62009 21332 121137 -39408 -152415 91211 89413 154384 -17679 -58644 -38799 -191608 -20566 229187 277393 14822 1634 -50264 90674 32887 -4118 -22521 -147834 104354 -9183 61711 148176 -11088 56023 -19207 -45983 27825 -15336 138202 36487 132323 80072 32483 68917 84392 -84919 147620 80551 -47119 -81696 -210926 84306 -59814 108829 -43119 7828 -52611 51318 36420 -3526 158920 10090 -150131 -35800 69304 127267 110016 134640 44426 3363 -97742 67365 -58780 226386 -13754 -19892 72262 -62533 -53337 12884 -43364 -34422 85906 -52924 16788 -48315 28754 45889 -25011 -58707 -12670 -64971 78652 145086 359 -138705 17070 54084 126639 -29574 11826 -85233 -181560 54227 142684 165293 -82246 -88015 127393 -133058 -93145 35014 -85088 -98658 -81540 48931 240133 23617 113031 -94354 -118854 21285 178638 81813 56473 -29537 211320 -24189 86679 -199929 11204 135777 31118 -1183 -50916 65814 95855 42620 -23997 -90116 -62312 -80503 68145 
+-94742 -163552 24035 86989 8784 -9458 -108997 63040 -217450 39945 9547 -60680 57210 87206 -249362 -109544 101011 -170486 28917 41490 -146801 78912 -154 -104205 32552 104613 78928 10225 78109 -97208 136012 -13658 -50469 -6961 44878 -101361 -66769 -46289 -51921 -43307 -90941 -67797 -61742 57711 33915 25044 -98746 -43071 108606 106813 -56825 -120778 198359 -41903 -263784 -118959 -107243 -41235 51938 -41394 28915 27398 -146367 41824 16207 24700 138971 39328 -95092 -5110 64687 -87094 255468 25449 71496 -98951 78937 -107202 -31595 145437 -148801 -100555 145521 -46385 1210 -256629 90608 -98033 -16727 -9335 5103 74222 53076 91012 71083 -58214 -36977 71739 -32818 -45248 10934 -144601 51228 69940 62273 -7715 80816 109151 53206 -16585 -141629 51140 -18504 105157 -19968 -53828 -39583 -168578 103734 195359 283362 64729 -5709 4406 -24390 -12992 -18438 -85519 -70981 42532 65965 57922 144065 -38178 92939 -24111 -32278 57786 -6449 105204 33930 209195 2488 -26561 44190 137894 92728 127494 -25770 -42568 443 -164305 71455 95002 100471 -45419 -42808 -87673 69676 -28373 29971 128614 95491 -230504 -10029 108674 149356 73389 147274 24762 22564 -143520 -67104 -107447 286976 6395 -20884 -11027 -35800 -3507 43615 12719 -96323 -22461 -62118 30734 8716 99369 84227 -8925 -1047 -15906 -73921 46794 131468 -12444 -69456 5873 63227 75660 -54539 -19990 -58946 -133536 -37558 135064 201872 -167510 -93419 106069 -169119 -182399 69547 -34051 -117559 -91483 20054 249056 18379 31349 -213187 -151595 -54445 32127 57454 83080 -109121 240723 -16441 74836 -173379 641 126844 17843 3615 -50585 35953 96813 45825 -36650 -89173 31647 -105937 73386 
+-88377 -122222 -27537 176548 -125273 -36907 51540 90067 -42769 58847 12665 -59418 57826 -17406 -222363 -63776 116541 -122693 22701 10622 -166628 106378 68787 -88895 34876 91658 -8607 17049 123375 -70474 104889 -44297 -40133 -37797 67938 13303 -69838 -23917 -115595 -40550 6516 -15645 40501 125321 29663 35256 -209195 -9637 88758 78713 54155 -49172 163221 -50942 -218337 -92483 -200314 -45949 42089 -9454 40787 6832 -192204 107890 19178 59263 166389 61681 -101622 -64392 80059 -127919 186904 -35263 72729 114452 91305 -58817 -41329 168252 -179729 -62230 48766 -26730 15319 -165393 67328 -73627 29773 -17551 -14869 74398 56044 67807 30180 -51260 -52669 173720 -73879 65348 -17393 -159922 42200 -4354 21622 50016 99130 105226 -133397 48708 -178709 72823 -177294 43715 -16437 -35704 -41846 -124482 135114 47344 209152 33876 20760 59887 -81793 -7701 -36392 -56110 -46323 -50894 114620 53176 128914 -59368 46920 -34519 70848 36971 1419 97326 31443 235962 -17547 -80733 19202 187010 81537 -55590 -108222 -78711 60592 -162860 62110 94617 95265 -30539 -63144 -94000 90566 15686 54289 143585 165679 -193087 -14735 2566 112377 120008 124507 48387 55813 -117922 -146509 -108503 222693 4322 -23516 -63034 -41105 -7067 3114 3268 -58751 -171225 -66266 48644 -2450 145815 80016 9612 137616 -20903 -76670 43472 76933 -36865 -46491 -18443 104854 15317 -96443 24032 -39157 -90289 -2311 151966 109120 -150766 -127408 39149 -113715 -182079 91130 -2925 -121548 -82841 36808 232793 -15631 -51617 -142671 -160488 -114449 -56037 44550 73580 -84580 192888 -13173 64984 -63559 10393 114204 25765 -92767 -81326 -37107 92449 -8243 -41664 -57667 56527 -44096 49999 
+-97868 -24736 4143 89338 -175123 -12020 125295 125580 76773 29324 23044 -52377 54456 -114279 -148892 -12589 88976 -77458 32152 38147 -187522 138379 31555 -90402 30085 81488 -94979 -2553 152830 10640 44659 -22703 -64933 -56845 75851 183993 -54413 -36076 -111907 -28749 7267 -61510 120778 170431 -65347 18635 -139822 -16665 75819 42434 75332 96457 122266 -37672 -184505 -6754 -203755 -30983 47852 31752 55356 45863 -105593 161446 36610 77459 114185 -87019 -109828 13485 131311 -142652 61388 30591 88447 93376 147292 -4391 -61072 177398 -214962 -24574 -48743 -4323 45086 24076 -33775 -27390 24053 -26132 -29938 72507 44983 16822 -68978 -55300 -71602 176715 -105269 110007 35806 -113746 27118 -19091 -21294 96698 116909 -4731 -247841 140867 -167629 56697 -207149 -15539 2943 -45982 -43701 -74029 31629 -42014 143641 92602 -7792 91221 14262 -8196 -61061 -67124 -54938 -98360 141226 55240 103923 -72630 53203 -50786 180671 -7006 -23042 51389 31035 195267 -49110 -165373 3999 212912 -89398 -194254 13013 -118203 51700 -161786 30855 -48860 93207 -17359 -42005 -67275 109780 86068 49488 138755 160982 -101050 -5995 -66061 61346 85676 53069 83599 72800 -102408 -175290 -16439 196585 7969 -19157 -146661 -5296 14634 1902 11613 -34168 -192163 -70686 17181 -51835 71976 82782 36730 184598 -13646 -38578 31519 40336 -22980 10840 -10020 87812 -16331 -76074 11897 -8770 32927 119165 126815 61964 2284 -105427 3232 -5680 -134499 49052 -12201 -109310 -63861 35155 191682 30662 -84197 34621 -145434 -126674 -129513 61834 126988 -9715 51013 -3463 61356 -31845 4142 97632 13697 -120135 -114112 -66853 94353 -32881 -27474 -23196 3559 35454 68763 
+-84914 -19515 -27831 -10767 -131052 485 6426 110509 63869 47889 20551 -40887 51619 -183682 -69585 29279 61506 -27179 64363 70690 -204518 141423 2583 -79478 36645 69429 -67728 4807 174035 126047 -14436 44193 -10739 -78534 76979 150836 -51538 -29184 -97010 -22708 -107983 -61330 180719 186036 -125333 -2084 -29857 -15506 4596 26836 113316 179460 321 -38994 -127882 40565 -112773 -31775 39047 27617 50453 52938 44257 116940 56114 28030 -20303 -220357 -124230 240617 150572 -114491 -64068 153296 63333 -69700 115257 53103 -41555 177472 -216640 16526 -79206 8191 83199 103310 -110781 -39411 14109 -19657 -25249 71716 27384 -18636 -130057 -62272 -89965 77928 -115785 86025 8686 -70210 39612 32651 -55726 141602 134191 -122685 -174318 207910 -161040 44207 18969 -67158 -13536 -10347 -45486 -21534 -76407 -28436 70413 87643 -11662 109751 148710 -48704 -84262 -101463 -133743 -141022 87704 49559 70779 -87612 66878 -38045 243276 -31886 -9207 18186 32922 113571 -38889 -184864 3305 222479 -218331 -133379 107809 -148025 25469 -126205 11477 -186379 91846 -3133 -52465 -95973 66534 146754 12052 123184 72588 -36596 -13087 -59983 -8043 127721 -40646 125060 69160 -45964 -79522 41923 236640 -24772 -23677 -206557 18755 -30620 -19541 -15922 32952 -19160 -89682 -9434 -125399 -2784 78952 56696 70616 -4504 -1648 7955 15598 -30316 50314 -67625 75747 -34721 -71430 -4754 24475 83752 226058 126168 40302 49402 -119349 -38562 110971 -61895 -10219 -11814 -83101 -54622 37716 137115 30919 -108435 128524 -112867 -146860 -19793 38266 133403 37028 -111513 -3790 66067 -64008 -7160 59126 11855 -60587 -123810 7704 48321 -20581 -40470 -52192 -127506 138027 62845 
+-70851 -104959 -62515 -74564 -37400 38466 -155155 137254 -99676 20117 -31191 -39467 46089 -175281 -2877 73214 21976 26005 44147 61524 -205144 128418 -7476 -87918 36516 36069 19137 405 150506 219234 -95098 114030 -46119 -107148 80753 55422 -54636 -47147 -58161 -13221 -198503 -96355 166212 173102 -68966 1435 42788 -11522 -118467 -5846 157508 162190 -74135 -36568 -67969 25235 23364 -69808 36841 1817 36532 43370 143242 46079 70125 -40936 1835 -163955 -126318 176113 114895 -62656 -168283 208817 44568 -200571 94755 114762 29928 125900 -221877 29627 -19116 24594 57621 -40650 -139934 -46070 -631 -26770 -13608 74302 28234 -92442 -110783 -66685 -87343 -44431 -119122 -34303 -38264 -41518 54528 115905 -73357 171200 150628 -164463 12080 186244 -122457 56737 61371 -103610 -22699 -44342 -46538 10075 -17017 129034 -2779 85261 1742 171120 105953 -55268 -109119 -110647 -162022 -97355 32838 47939 31410 -112708 33620 -35305 256673 -52884 -26565 48154 35717 17728 -37650 -132698 17996 199807 -146661 86132 214846 -148557 -41501 -88501 -16289 -209908 97612 -61856 7092 -63182 69618 194488 -29699 129734 -3817 4678 -7556 82136 -108568 73496 -117193 125214 36314 14472 27352 22595 224303 26587 -22551 -199679 29967 -118414 4681 15831 145839 103790 -93740 -26368 -106074 -47555 73062 67481 -19803 6989 38665 31430 20623 -39509 -52051 -89105 77662 -34310 -79251 -17146 40887 107623 197914 67983 -4298 -23540 -116821 -43742 109521 48052 -62186 -43405 -46869 -61993 63501 73552 10466 -79931 92526 -80163 -115173 58657 30343 162920 47694 -94004 5880 76553 -162962 -15849 33891 10293 32592 -106716 69320 12242 -34533 -44956 -117434 -67736 75821 83567 
+-72405 -152207 -12130 -38168 20853 33547 -133632 145158 -243866 23822 1385 -50957 45563 -99123 56828 98011 -48919 50707 53538 33197 -198043 135894 -46483 -106789 36583 12416 105653 -23440 138770 255172 -181642 76657 -62144 -129409 75815 -71978 -51704 5512 790 -14972 -146862 -155909 139028 117899 -18927 24393 -39273 16054 -163086 -12364 111857 33448 -137231 -36929 1671 -19829 86342 -34614 40277 -39032 22016 45089 116234 10905 73786 7764 76726 8073 -132780 15398 100681 2483 -128426 151635 56222 -74454 112428 142115 55040 65066 -219653 7467 84658 5540 35910 -214930 -65468 -33660 -21326 -15306 46995 75624 35349 -124223 -49360 -50421 -85630 -93735 -80226 -171612 11149 -65540 49989 130604 -70198 201384 166097 -92609 122529 113498 -87387 69344 9225 -121574 -23114 -42005 -41212 18929 111453 232016 -44269 56548 3057 201715 -14960 -44930 -132460 -126340 -113659 -4143 -43239 66791 -10943 -145501 70963 -16460 165070 -24622 9032 70174 37132 -40375 -10871 -67417 41600 168074 39608 181251 150025 -121657 -117906 -95192 -20466 -23436 88160 -81651 49048 -66580 68849 126792 -76783 113765 5897 -3890 3909 86166 -182507 97897 -159938 117163 22379 39251 117938 -93023 203733 -32360 -20268 -191910 59337 -167105 22397 6492 196146 38580 -108783 39642 -104780 -25322 79245 65905 -37367 7825 53725 10509 56402 -41511 -133076 -103006 94477 -7073 -40511 -71288 63298 141387 80734 59777 -72888 -149673 -107445 -280 140804 172690 -113109 -33183 -6066 -80839 38375 15870 -61 -10812 -92973 -45103 -67143 197059 -2095 98378 -45274 3474 2237 89626 -176757 -22549 12165 -12692 19225 -56176 48661 -40174 -28498 -36710 -107435 53564 -25787 77258 
+-80682 -120550 -16648 128831 -14880 51272 48769 135577 -236478 14870 19277 -64155 47915 7472 93860 89215 -39285 59495 57015 7771 -163477 121251 -54251 -74989 39298 -19917 75905 -20816 108748 225383 -218736 5278 -29736 -161773 60322 -130628 -73477 -22247 21059 18047 -22535 -141635 51479 62087 16351 35351 -177761 36826 -241978 -15805 113822 -98133 -168394 -39128 33743 -104393 66510 -20497 35659 -46583 9783 4049 -19432 26519 62853 46034 177771 81329 -125283 -79173 43489 43537 -22400 26940 68653 73375 87221 100116 79867 -10424 -206345 -27188 160025 -1603 45101 -233964 413 -26024 -35375 5141 29532 77329 32349 -144496 40770 -53578 -53869 -31269 -53222 -240938 -26566 -126991 71941 76147 -45741 217723 179910 32526 44485 20812 -63721 99021 -163641 -125593 -8628 -23092 -37512 5533 132540 196891 -95336 24040 30880 223432 -49876 -24329 -151248 -127945 -51372 82215 -87002 66637 -53507 -141511 36237 -33670 47267 16810 -4637 93709 35907 -47387 42350 9045 65775 107325 118029 -10932 37616 -90612 -178276 -37666 -38694 77494 87362 -85741 92560 -41654 45761 63663 -91551 70521 86564 -112978 -44907 43529 -231586 67338 -166649 75880 -13573 103674 121862 -131787 190640 -29300 -23516 -118761 66254 -173774 2250 2036 187834 -107699 -131317 15219 -88551 46035 69813 53136 104068 -913 103052 -5622 108051 -32601 -179011 -95541 50318 24343 2747 -75827 92015 141404 -32248 18822 -114425 -170043 -69697 42644 696 193537 -108327 -36067 33953 -90853 12672 -31798 893 60352 -202788 -38306 19454 177998 29847 77502 -105533 170904 6665 100918 -129872 -15033 -29671 572 -45542 -55904 -24013 -85122 -36900 -47042 -122289 84175 -86608 59449 
+-73353 -39460 -7274 199982 -128291 37137 133210 114709 -57691 34422 43245 -63640 51977 111268 107909 73840 -17376 58643 32500 10858 -142631 97487 -51790 -81152 37890 -40829 -3523 -16913 40823 131620 -214299 -22847 -1749 -180604 71740 4761 -78916 21050 65768 -4162 23714 -158559 -29034 12393 17712 19330 -169845 85119 -285604 -49529 48754 -15570 -143489 -69822 47044 -102300 -55652 -57098 38967 -19560 18548 26724 -128303 108714 44795 65883 94789 -58339 -121158 27699 35623 55367 137157 -26555 92560 120236 33543 26052 117241 -78111 -180637 -45699 167309 -24170 42839 -87030 79306 -38432 -14707 14381 -13124 80780 8945 -175818 77561 -26142 -34184 93635 -13455 -258959 1715 -156682 60859 -10713 -7868 213432 192577 115210 -141999 -33347 -55278 91246 -170160 -103592 15273 -41458 -34302 -25226 15454 86173 -75035 9241 43313 266383 -17948 -41766 -172660 -129983 -74074 93181 -73384 69038 -93438 -150568 51891 -28994 -20444 50465 13207 130055 33298 10982 95090 18924 85064 51505 -18060 -196244 -67627 -81163 -190215 -64901 -30439 95527 89624 -122371 105704 -78601 -4622 -2759 -86111 68388 166685 -185167 -36868 -38246 -252389 81458 -132492 38650 -74708 79516 32697 -77287 169541 -27379 -17857 -39804 79093 -201586 -12930 -5124 120420 -222321 -127791 27161 -56094 122245 42309 30453 180199 -3409 34194 22812 124745 -54281 -105103 -142326 61739 79065 39941 -129619 71925 93043 -17055 1136 -69817 -88831 -48650 117991 -102755 90878 -79006 -56701 65359 -83354 12851 -53214 261 132542 -147079 -39607 50230 92251 -3911 45439 -56496 254127 -43 106837 -31048 -15867 -54557 -13672 -148648 -60930 -65494 -122798 -7561 -61539 -35849 -5624 -89446 43753 
+-98967 -1154 -13836 114577 -176803 60079 22084 109253 86862 42504 25683 -56952 54257 169447 74467 51273 63014 56623 16422 33076 -93576 82823 -20597 -104618 37654 -58488 -111790 -25179 10538 24682 -186362 -27547 -19377 -187321 81232 152382 -97147 16035 45746 -5580 -58857 -121041 -76752 12230 -82249 -1742 -86550 46736 -206360 -39862 32678 107946 -62191 -53754 40148 -65480 -161641 -7328 39794 21083 19663 51031 -185349 159737 25750 44342 46456 -208505 -109088 183356 -124 23719 226111 29117 74711 -64044 66510 -31869 96641 -111663 -156051 -75585 86733 -42500 22462 81417 71211 -27283 4314 -841 -29815 82372 14130 -168085 48839 -57546 5556 182632 -21357 -170439 -9388 -142329 54852 -21124 35464 209545 203891 91057 -247762 -20300 -6682 92994 -3301 -61387 -7583 -3963 -28738 -76768 -80785 -53388 -44271 -69645 -6745 266431 137182 7776 -186715 -143879 -98151 114416 -29027 77319 -127964 -161611 53632 -39585 -25103 57985 -645 107371 31116 107608 136728 -10567 88988 -15713 -187577 -150616 -82532 -50783 -160353 -12928 -26113 -16680 85562 -26138 104380 -87206 19044 -26383 -51479 38977 162106 -200565 -35611 -43044 -241439 71596 -54293 28899 -115823 60890 -98018 28953 151400 -5034 -22441 39940 91683 -171184 -17737 -22529 30525 -113106 -126706 -6374 12466 114155 46765 1557 131449 5432 20029 338 132440 -51364 -58369 -153276 65477 142505 74004 -105958 80377 59658 87605 20520 -108341 42433 -13376 118673 -180072 14968 -19770 -38879 84920 -63500 58329 -48464 23863 194224 19149 -63863 126380 -59283 -14784 51133 29772 219414 1902 105861 -9340 12372 -43850 -8487 -115104 -95170 223 -160099 21754 -24511 -55555 -94800 8478 64045 
+-89420 -78518 -4525 -8348 -136648 64134 -152217 72803 78106 39879 -9779 -47013 58613 164454 70968 10142 121088 25823 20331 59086 -63970 59530 20167 -98227 39006 -74147 -66296 -34527 -67294 -69538 -131970 27001 -55797 -198942 89959 192150 -99902 26518 30969 -22640 -178371 -85117 -71466 27143 -133264 944 27656 23932 -178841 -62778 -3803 216166 8286 -51178 -20748 -13132 -211185 -39927 46821 36368 44094 54116 -92175 104971 16640 -16460 -33899 -198276 -110160 177295 20229 -28908 221374 146960 69333 -187402 33397 -83763 118137 -165473 -107230 -98174 -15590 -45906 24193 59443 25634 -33507 17437 15126 -44579 84258 8891 -177377 -39851 -42895 29328 165204 -20969 -54561 -1859 -90319 63987 38198 73555 171419 213182 -21899 -166437 36364 20626 66061 115730 -6708 6464 15274 -26352 -124119 -25460 -35114 38144 -84249 17406 254742 109024 18572 -187488 -139458 -133791 -11225 33573 67052 -154176 -179629 40637 -49898 8712 22912 -30294 160907 31262 197572 199784 -53363 78030 -66807 -200657 50096 42587 -43668 -77238 9159 -3478 -182532 75411 -35129 75879 -81899 34648 27309 -9952 12011 81674 -143149 -73029 45521 -189377 57859 22490 54835 -147543 20357 -157361 25036 155551 -17059 -18408 102422 89338 -146252 4095 17805 -51888 50583 -139891 -14305 1853 52257 50129 -19734 1358 -7077 -16333 43882 91559 -56215 23831 -162796 66034 179305 120600 -123134 88397 -20366 205057 48038 -101813 34867 15402 92444 -170388 -79625 48522 -33972 88461 -52294 28062 -21108 18991 224721 145434 -110501 120870 -96083 -26320 86233 38740 49057 -6550 96439 -115298 30356 -93374 -38450 -6136 -128234 78707 -207556 42242 -33662 -64064 -126212 82592 23294 
+-102065 -158304 -34496 -83977 -8297 61462 -141804 49855 -111466 48364 299 -35016 54588 94443 19657 -48130 118826 -17933 25974 64637 -12806 20442 41182 -92467 49162 -80679 14881 -52664 -103486 -90466 -58806 100008 -32924 -207834 79491 131648 -90820 582 33304 -47382 -207598 -17127 -33601 67801 -77952 23760 8043 12499 -80977 -50656 -43151 115398 121176 -36773 -90123 32172 -158997 -35773 50154 9125 58784 40339 47032 31669 19212 -17338 47978 -25996 -103831 15940 42909 -83642 105417 208515 54424 -143727 69954 -90126 100402 -175747 -73951 -84311 -74881 -43924 59412 -104702 -59440 -81383 35853 19975 1042 85097 4655 -151963 -111003 -43610 54037 55394 -55670 51515 -27295 -51675 69989 111996 95247 133187 220856 -135250 20564 123886 63311 90386 -1731 48277 -11084 -52450 -29990 -170167 115532 92390 116345 -131382 10948 264247 -4252 7282 -193143 -113971 -141759 -91909 102593 67811 -171329 -179001 79952 -72074 123747 -14203 -5802 147801 33589 232887 203273 -167066 54653 -107515 -22893 201557 134826 -74025 8874 17178 4076 -225372 63606 -47296 6079 -64177 41670 120534 33388 26239 -2877 -52555 -68625 80721 -95927 56974 99542 93598 -186704 -69604 -140986 -38656 124010 -8001 -27720 89142 96992 -61669 42389 -16887 -104755 102994 -140081 -13892 -39913 -16299 30548 -27708 -65602 -5655 -64203 73680 54018 -67020 53549 -144166 88347 216803 200009 -135036 79652 -97777 223418 77854 -119332 -68231 34877 62789 -73741 -182457 71200 -27193 77033 -63253 47927 29522 27025 208913 87930 -142976 173992 -74941 -44995 125985 11914 -64768 -10092 83992 -195645 24269 -114469 -6447 32850 -121388 55572 -255103 50531 -32279 -87595 6291 106674 57496 
+-79911 -155840 -63914 -16164 2446 74184 33537 11617 -236684 33606 23977 -37725 52608 -11643 -60373 -76560 116514 -71031 46486 28520 9273 -3445 58888 -115769 44280 -87137 115353 -21004 -108477 -51350 3585 107169 -63706 -215455 85013 -59670 -87232 23785 -33721 -46035 -82192 -14649 67075 149659 -16679 35449 -101414 16470 -15356 -17146 -41243 -18601 203659 -50100 -152826 30199 -32259 -37850 52289 -30756 57396 24416 134691 9808 33546 38695 134983 76924 -90792 -84795 65721 -131085 -23805 148208 65547 58770 85386 -69438 70690 -167169 -27708 -86189 -60012 -23775 69130 -232915 -128194 -49808 -1172 9343 37396 83208 -2777 -93338 -130637 -36732 25441 -60262 -96664 107060 -30871 -38115 90352 134189 97088 98597 226613 -156734 123414 190458 56854 75529 -197680 107670 -18876 -31573 -28587 -197972 133694 216783 184770 -191925 24694 236482 -99718 -502 -190203 -85904 -66723 -145977 125595 61360 -177341 -165441 52489 -40265 197410 -51763 -10623 125984 36195 213150 193883 -201819 28731 -113029 118822 52434 182312 -98699 49018 15917 28123 -77236 80366 7788 -8934 -75775 46896 174944 54501 6911 4754 -2980 -65958 94384 -10592 -4062 137656 121430 -224409 -103735 -23439 -97442 109398 -11570 -20824 43317 94418 -8224 22610 19909 -103518 -25430 -145769 12309 -109423 -61558 36864 -23500 58342 -12184 -89081 56225 9714 -57629 -52669 -182113 52306 227671 207960 -96278 65953 -182521 119371 84469 -68030 -184927 66010 -15571 52741 -216574 85304 -17222 51019 -78642 48990 90244 34980 172028 -91605 -161012 154605 60314 -59142 133871 -99275 -115405 -9226 71409 -143363 19818 -91538 -24614 -3035 -77373 -8367 -294864 53605 -31807 -104022 70119 50327 38813 
+-79240 -42816 -30058 109177 -10705 57633 131112 13459 -251347 34504 48744 -51557 49886 -110276 -108396 -134114 58993 -113016 87195 9660 54948 -68302 36040 -86589 44334 -75744 77106 -18628 -134951 62549 80028 35166 -54058 -210141 64318 -143902 -73035 21672 -78075 -18184 14605 -34291 152151 189720 33450 20043 -179880 6935 62248 -26319 -76333 -87348 211508 -41468 -194242 -33742 58125 -8836 58888 -41168 33335 22800 117459 42968 55577 75530 130883 -20371 -86383 29953 78441 -144282 -143435 24198 71878 112781 83080 12262 13111 -117692 16168 -43098 45044 -4237 61477 -179879 -139929 -94161 -32200 7240 28742 80392 807 -72694 -85411 -16331 27915 -90616 -109137 90128 -3677 -77807 85911 70528 77556 52045 230362 -72451 36355 196460 63715 54334 -168741 157939 -3699 -32491 -19555 -190973 33601 236187 251910 -201607 -14038 214644 -22710 -33452 -177880 -91710 -49765 -136012 97781 57248 -172289 -149561 74826 -53259 235245 -57927 -21335 103641 36979 136921 167815 -180768 9320 -103718 54970 -150691 99951 -113718 79032 9356 55899 94923 66162 -48894 -34150 -59616 75511 169775 48551 -19180 78019 -24438 -106111 -22944 68623 8842 137950 133925 -219813 -142381 91757 -109344 73773 9935 -22823 -534 77381 20429 4784 -3887 1056 -181415 -143965 49024 -131609 -48975 21181 -10200 170245 -13916 -126870 98630 23154 -56666 -81509 -178538 57361 217478 222043 -97581 18667 -208924 -9288 119501 -35483 -133756 106445 -43010 84248 -141302 32285 -47408 14833 -90959 53274 154553 16457 102013 -193215 -152443 141602 175861 -78536 154429 -117116 -20410 -13732 63080 -65788 8947 -101739 -32071 -111981 -61444 -63290 -325118 38752 -58337 -94297 -1484 -49347 41180 
+-82670 -11970 -14126 176472 -106262 39653 22785 -2122 -93804 37640 30542 -58390 48487 -176277 -216077 -172356 -4974 -169627 70611 8525 84582 -86604 -16468 -75279 43633 -48247 -711 -21874 -132356 175336 110762 -58441 -26591 -202241 75950 -26824 -62494 19584 -116601 -277 5917 -66575 187442 183386 3857 -1384 -131933 -25931 70414 -1859 -27089 -8327 199542 -57669 -237991 -100037 82004 -24656 65276 -22855 20751 19680 -14681 106408 70581 45803 79996 -185480 -76929 209416 137095 -114474 -126507 -27758 82577 2541 98739 84969 -12478 -51169 37640 -2755 143902 13543 47625 -10257 -41892 -91904 -45112 4500 -9049 79109 -13705 -33563 21072 -33175 -32643 -11581 -110995 -26455 34608 -147573 71534 -1408 42496 4997 232187 53438 -151164 122472 47559 64327 -1829 195730 -10842 -23937 -16449 -170605 -65034 112312 270557 -225052 5194 169203 102490 -28315 -159255 -98962 -77403 -43487 53882 51287 -156382 -155700 45080 -60327 195768 -7256 -9129 62236 35404 43788 146920 -86151 2697 -76743 -128286 -188995 -13131 -141801 32512 21754 71745 122602 98051 -105019 -58237 -77301 113445 96396 15540 -41630 162514 -87852 -92836 -66230 114093 -14490 100593 110191 -231203 -136847 134210 -11389 66198 -10935 -28420 -95522 71800 37957 -5654 17228 97984 -167998 -156666 -1758 -131326 102571 -3593 12033 207425 646 -76742 71120 68045 -61451 -130980 -186994 58409 187593 253442 -48353 -1345 -197671 -31322 158640 -11976 -906 106038 -39516 110831 -39132 -30751 -64757 -25606 -82873 51674 203739 14699 27302 -141328 -130867 43595 177471 -85343 102179 -36336 151991 -25514 62422 4250 33922 -96860 -33697 -86224 -48193 -4022 -314429 34853 -29646 -40736 -120130 -111829 -3573 
+-85616 -76662 -55228 104600 -190236 45517 -128165 -7721 86240 22011 27732 -68583 47359 -184908 -237455 -211109 -22423 -197149 75086 42729 99046 -110938 -29725 -91725 48842 -38740 -127403 -34832 -83581 239342 114399 -47945 -26326 -191238 82065 65244 -57231 5935 -117172 -13898 -119336 -104148 159210 150968 -47331 466 2819 -65517 95880 31975 8230 165184 133752 -46289 -212178 -121310 -13977 -30485 74259 14304 16575 24376 -148436 141891 72770 28751 -26170 -213269 -77289 185587 138922 -63901 -54174 31110 70457 -155664 106970 120347 -27991 15588 57369 50511 167375 22004 25713 93922 20559 -115976 -30844 -1603 -42125 77220 6100 30155 64362 -22003 -74964 114429 -96847 -144942 20758 -152588 76493 -18958 -1147 -36513 231799 120699 -248769 42396 49671 45546 156354 201808 -21811 -31936 -20957 -128441 -26245 -19730 263606 -221426 -2847 129915 162356 -80756 -137485 -82220 -135715 54793 -18989 46756 -130244 -133916 42438 -44638 90752 40458 17142 6790 32611 -34939 82179 3856 9935 -27143 -224137 13831 -91740 -150171 -43351 41542 82755 -23892 83570 -87963 -31581 -91250 100951 9787 -31920 -84350 167807 -175145 -123993 21154 142972 7968 27820 71751 -204438 -117081 79779 52193 58043 -16268 -24915 -166006 45016 -14100 17913 28920 156640 -25527 -138389 -1520 -77437 136557 15578 35858 46686 -46 -24260 74991 121552 -42703 -115683 -209890 59307 148542 247841 -23636 -39408 -175389 50321 170296 48144 44252 139243 -5685 100796 31446 -89349 -46097 -65268 -64325 51164 240838 -3019 -47862 18927 -90786 438 126353 -63391 91812 19874 242775 -31725 68226 -105002 50971 -68079 -11781 -50096 -82633 60955 -266519 -2544 -51405 -59841 -77262 -67525 -8522 
+-100961 -142693 3099 -9141 -114328 -4384 -144838 -28700 95220 15580 -1868 -55369 52239 -105837 -301077 -225034 -36370 -217038 40859 61181 111809 -129551 -43658 -70072 49237 -6481 -59297 -11396 -28510 247557 98248 480 -8062 -179731 101000 206331 -56422 -12670 -101674 -18334 -205516 -141817 91404 105171 -109859 23103 15960 -39785 89389 43349 16387 193031 72557 -45626 -217236 -82401 -113558 -20064 77362 35145 11516 70043 -180337 100896 64080 -17986 17581 -61468 -60755 15018 117278 2056 107646 155007 65757 -197493 111184 129414 -55030 80118 74668 29302 120602 3185 26870 -14115 65295 -120456 6300 16102 -20693 77587 -11059 69269 69911 -3956 -78751 187993 -54680 -240269 -15015 -110574 78779 36738 -41259 -77129 229902 81444 -158551 -20018 24860 56630 -3013 203995 -40103 -45220 -16902 -70673 107524 -55480 228396 -213301 707 84179 59292 -69780 -118763 -45724 -146111 123097 -90144 51748 -96644 -137545 77677 -66991 -8312 54633 -29283 34566 30942 -54955 33709 32722 30691 31128 -103310 166763 -37604 -107595 -111831 26753 83552 -174107 96094 -65710 -25027 -78444 74432 3258 -69427 -82432 88199 -227691 -131293 100987 124721 -14978 -49416 40954 -174807 -34925 -10810 18937 46172 -45639 -29472 -203053 30763 -66451 16805 48883 183659 101574 -137526 6682 -13376 93987 8232 58737 -78170 -14866 -15396 84033 144456 -51962 -77052 -196154 50157 92661 236686 26789 -61586 -143427 175734 104836 89870 -13406 153992 47556 -44488 169710 -119110 -19854 -97286 -53859 24025 248307 -7293 -95481 135823 -61125 -51771 -22114 -101500 66324 39491 220348 -30241 79971 -145932 20571 -39330 -41521 49744 -107034 49922 -244872 -32793 -46189 -79625 27381 19558 -1318 
+-99097 -147317 808 -76509 -47004 15400 10030 15877 -43622 21946 -18344 -42630 56067 1036 -307826 -232984 -3048 -224465 45651 56482 95107 -167617 -65839 -71728 52459 23627 75856 -9822 32939 191052 11768 69838 -47363 -153942 54687 149463 -49593 20162 -51914 -36940 -148347 -121525 12567 24649 -94006 35522 -71536 -47507 31424 82790 41678 74136 -29452 -58635 -180878 -17023 -201536 -20677 87813 17966 39569 10958 -80986 45736 44997 20089 115323 71967 -70960 -66621 46119 40439 220615 207508 50565 7182 107329 80225 -63800 137702 77129 6188 13191 -6322 59585 -185750 81619 -121445 10861 52423 -3520 71507 -12439 66669 3218 -7760 -109674 151069 -33954 -259000 -31449 -60228 55828 113062 -68835 -98622 225637 -40073 29411 -44400 -13591 67074 -157193 170472 -20204 -29215 -17592 -34569 131893 74186 139052 -230017 4845 19338 -78531 -84068 -95117 -57240 -70260 109806 -87158 57092 -57151 -109189 63194 -56189 -115679 49201 6494 64904 31637 -2109 -10130 -3200 57730 94146 76951 110536 82693 -88325 -178607 30049 77551 -211894 87129 -38687 33091 -95247 57344 12527 -90333 -113886 6213 -171596 -133762 86741 76980 -6105 -122402 29096 -122099 45972 -119420 -58446 11132 -35993 -23120 -220668 13673 -128756 14795 25598 211680 54460 -130891 5903 38759 13124 15272 63504 -6734 -3261 31196 108694 112567 -66162 8004 -177724 52478 36943 204833 14359 -64266 -744 224045 104939 140840 -147059 138654 109737 -137686 159662 -118122 -24226 -116970 -59504 27964 235541 50073 -95558 78565 -34565 -117360 -104036 -85517 79679 -1273 84080 -36711 93230 -154189 49784 -12356 -53052 20507 -126293 -2673 -176065 -31708 -7853 -98754 68046 117856 -22814 
+-59017 -61661 10459 -17490 28833 748 132995 22454 -258873 39373 11550 -37408 58013 107542 -267287 -216437 42565 -216334 20253 36455 73293 -179472 -20898 -106602 51098 52893 104912 -23097 84127 84622 -50749 75347 -63509 -142607 81585 9640 -58618 29089 -31918 -32052 -24957 -163808 -51110 -1541 -23093 20725 -176450 -49871 -25150 97598 102562 -30495 -103692 -22821 -132681 35615 -191320 -23404 91895 -19849 49565 53692 76873 1665 25890 70576 159985 22000 -68017 21424 52993 45762 233791 147230 48544 118042 99550 6824 -20919 174351 64375 -52173 -64926 -33987 68890 -249000 4249 -122313 13498 36549 13316 72915 -18112 78977 -91970 -28892 -109769 32955 -37713 -184721 -10371 -27232 70214 133462 -74456 -97349 219685 -143883 124287 18904 -20675 61012 -208612 130259 -10074 -20830 -27481 4009 45719 213181 73402 -210910 33470 -7615 -79753 -34259 -65999 -80472 -50893 20621 -79239 60964 -14413 -78116 16213 -65794 -33235 16686 -15139 75815 34344 77999 -61295 -82208 78659 149910 102224 -117946 214351 -51073 -186365 18164 63407 -79790 107213 -4773 66628 -94165 85244 139667 -91817 -109926 -1965 -77196 -143907 -2763 6713 -52524 -162195 52557 -85580 85078 -205742 -101919 -13947 -51253 -23122 -183187 -9524 -164815 18223 3294 143673 -112241 -123836 -7170 14930 -82113 3345 65114 159209 -12948 47707 130831 101839 -45647 18857 -148297 25757 -1321 197685 10907 -94139 54933 154814 44201 188901 -151936 120410 141704 -167600 154754 -68083 -30341 -121686 -79803 -421 193019 20671 -69401 -84332 -37579 -124518 -85048 -99707 46719 -93572 -95815 -49111 102720 -122807 47942 -8792 -30825 -69083 -112053 -52404 -101785 -47465 -53494 -140332 -7252 87419 -58745 
+-71586 -403 -20671 121865 -52074 -37789 40424 49866 -234299 29029 28947 -42355 54605 168731 -233102 -176841 119547 -188975 3563 29898 48910 -183749 9231 -113070 48990 78242 88631 19312 124073 -26187 -133296 59813 -21195 -119731 63342 -119040 -60465 10961 23894 -38276 33308 -110403 -94738 7104 10374 -1018 -172371 -38190 -92272 127726 133833 -71796 -139901 -53122 -57999 29727 -97061 -3140 98185 -43804 56422 16244 143181 45006 16582 57681 88094 -155330 -76020 197427 21720 20166 152856 27260 72179 14241 51648 -41927 7006 188966 43199 -71795 -75710 -44177 74069 -108672 -97767 -125776 -10876 54720 43849 73535 -24 80498 -144671 -9803 -77307 -73467 -38552 -90591 -14793 -61972 41434 82020 -59165 -89094 211864 -154833 28500 106781 -93463 76966 -32826 67509 -9032 -45856 -24581 27216 -77368 226491 -16357 -167757 2716 -18736 95391 -9542 -45081 -61993 -69808 -59473 -7896 65519 27733 -72789 10666 -62615 41222 -41260 -14918 111157 36771 174522 -57451 -165226 89453 202640 -61815 -215687 162549 -38000 -182644 15451 26168 108106 93205 -2362 103075 -59983 37173 181569 -55806 -131685 73875 -12387 -125318 -71020 -86158 -7618 -164697 95504 -28301 95804 -114503 -110341 791 861 -29016 -110182 -23611 -179031 -30502 26107 18368 -201651 -116901 42703 -44418 -46379 -10079 45518 175575 -6099 91708 106190 26500 -50955 -6270 -174041 63664 -43201 152770 -22104 -91864 132533 15345 25385 208455 -84575 101974 123956 -129554 39862 4197 -31227 -110305 -91962 58434 139867 12272 -16087 -195265 -57202 -120326 30020 -123722 108604 -96265 -122789 -46854 107384 -3634 25240 31333 -48126 -150713 -75135 -32328 -76027 -49220 -16191 -83888 -118556 5649 -63701 
+-65314 -55880 -9333 180586 -144497 -5659 -131909 80453 -71471 27577 7212 -51286 55075 169331 -177451 -139177 131169 -142047 14743 36112 32524 -164858 35845 -91940 56539 84651 -15848 -24952 143773 -93839 -183921 -10881 -36224 -94162 76696 -102209 -75195 13378 84541 -56460 -57831 -81185 -57122 45401 8605 32 -35048 -21383 -178550 144550 137219 47626 -163688 -75976 33784 -31052 31100 -60355 103238 -33503 50700 28584 94287 104722 20320 52068 -8894 -219072 -86311 217763 2592 -28349 16905 -33527 69779 -120110 78334 -94419 50795 144105 21294 -101607 5691 -46928 62155 54481 -135718 -148237 -1275 39055 -32792 77768 -16060 38506 -104597 18133 -79174 -84187 -57076 44255 9286 -104800 53400 7790 -27266 -64279 201970 -53738 -159732 181262 -125902 66220 125091 13093 -11765 -94567 -15130 6252 -38156 126571 -63976 -107540 37108 -58787 150400 -1343 -27674 -55839 -143250 -124365 81848 57174 67361 -65015 67274 -54251 184894 -43272 -3957 147667 36835 230789 -61326 -192082 84463 217415 -207397 -48860 80361 -37361 -89308 -24670 9405 138556 112418 -18542 116856 -68317 30064 188170 -3243 -179204 159648 7406 -116786 -35555 -168569 -30987 -122537 123286 -3756 81269 -11229 -3812 -40173 -17971 -25838 -18030 -42389 -171648 5097 1350 -65254 -89922 -124425 -4487 -124390 30722 -302 25695 98168 -12019 58506 117631 -6363 -42234 -98868 -133023 35131 -41075 82982 -13777 -89949 120091 -48150 12117 217792 50789 79258 61248 -38134 -84364 49870 -25609 -84432 -81732 22362 74994 39617 66408 -154830 -99992 -73554 138810 -93238 135465 -8475 -31943 -49445 104177 -15150 43058 45984 -65179 -47742 -42483 60249 -27910 -36044 -12617 -52454 -95126 -80142 -59738 
+-80473 -134955 -34254 106237 -205506 -37596 -144613 89610 93507 45774 -3237 -63359 48935 103811 -53883 -81231 108546 -109068 -10610 36870 -9117 -163984 57556 -81552 54242 98387 -78026 -34486 174112 -74815 -224318 -77054 -7877 -74660 72797 66195 -88972 20000 62171 -30705 -175838 -52405 35423 114034 -78389 22442 55707 2513 -231622 137453 87301 165912 -120068 -44264 67867 -127751 91037 -36724 112889 4826 34811 69715 -37273 135595 35367 -40434 -5017 -99336 -84223 18433 32173 -92145 -105138 32906 78101 -197914 100718 -90376 65260 122151 -10090 -105715 119031 -33928 42421 77649 -115200 -158994 -46199 35438 -70250 78347 -1294 -11237 -26030 653 -47122 9508 -77067 117732 31550 -157197 45312 -15533 15609 -36440 190674 66303 -248916 198659 -125365 91926 17048 -41642 -18436 -58296 -19941 -25841 90474 -16013 -88057 -68590 2585 -94642 52715 32567 -5043 -99820 -149213 -129005 126140 53851 101111 -27380 55977 -45887 251923 -41922 10836 168065 34710 225827 -42688 -147260 65297 223479 -166539 155209 -37233 -62440 -28165 -10435 -5583 -36071 112916 -35034 78618 -68307 9073 113042 32782 -177605 162745 -42447 -117843 56077 -227800 -28010 -50844 122788 44425 25761 125701 62264 -65230 30851 -30495 36184 -60391 -129101 33994 -10870 -112331 53707 -112612 25436 -108501 114442 -30574 1751 -14689 -5674 17231 139248 18184 -32640 -138843 -154627 37988 -31650 68717 -56898 -71217 146809 24079 10762 179654 30099 43463 -21620 108754 -176503 80992 -49014 -48492 -66947 1431 17329 33985 142170 14256 -128174 -23910 219401 -84720 128788 39367 148600 -49640 94617 -136253 34687 87854 -20410 -27897 -56319 71185 25782 -8319 -34177 -60403 7476 -92103 -67456 
+-81727 -152859 -32696 4756 -117965 -22832 1891 116855 82549 40623 -6653 -58054 48891 -675 -36732 -39536 82412 -43974 20761 68977 -46805 -149288 37198 -63108 53732 97421 -65364 34882 160662 1250 -205494 -14923 -50961 -47130 97964 181882 -95357 2506 60069 -10751 -200083 -18402 105074 150030 -119889 35533 -14621 -4332 -243115 123820 99263 207292 -34316 -48995 65333 -116818 51215 -3069 115999 34452 14756 88023 -171333 117607 53220 -18526 93207 60714 -92057 -78267 19308 -129545 -141413 152369 62871 -34198 87376 -68590 111281 45854 -64688 -99269 172700 -18457 54238 -88454 -26535 -152914 -22465 24991 -37039 80713 -6731 -52536 49115 147 17704 133255 -104790 89314 -15491 -148060 33862 23844 56549 5121 177465 120400 -151420 143549 -160334 69272 -208572 -88141 20873 -74447 -29743 -59527 147033 -57595 -79419 -11657 28269 -120826 -65229 -12937 6794 -73187 -95481 -65233 121546 65539 127236 -20130 75543 -40404 227905 19808 -4028 156717 31967 158185 294 -64218 38997 199323 19829 150851 -35328 -102068 41816 -40695 -19229 -170275 105409 -50598 53018 -88703 24218 1957 55023 -204407 95386 -139284 -104739 76576 -255833 -24845 37275 110437 63810 -18776 131876 -2145 -81371 23477 -29650 74463 -80619 -53991 24292 17169 -41083 92268 -86987 7182 -106247 147618 2637 -20087 -60756 -14310 -19078 93420 52972 -37268 -112691 -108362 102511 9248 -12795 -114553 -64235 126212 155863 30987 198601 -71079 -4469 -46929 158373 -184581 79394 -51301 -7861 -55086 52866 -29290 21782 196797 124549 -147274 6136 152029 -77617 127607 25685 235211 -52516 81509 -186486 20855 92985 -58221 20251 -98532 12347 78305 4018 -35796 -66585 79765 -48085 -55663 
+-89039 -87269 -24458 -86471 -37605 -3290 117195 130995 -68256 9598 -5914 -52179 46695 -112730 51314 -6878 17131 -8248 20524 42887 -85874 -134929 -5169 -125738 59018 90773 15863 -8867 135293 109808 -178292 66018 -51981 -26647 77273 152756 -102810 -179 -28349 -7628 -76079 -44311 174539 193756 -82002 21398 -137783 2369 -206164 136054 38436 61542 53274 -44991 45074 -68825 -71083 -25485 122122 23924 11686 45369 -174674 35009 68541 21701 164368 50615 -112090 5372 43068 -137038 -72171 209441 60682 81681 80019 24456 138878 -38508 -97561 -49692 146720 -2296 18230 -233730 63997 -123315 -3698 65101 -9699 84327 -9675 -93291 71769 14828 54148 189849 -127107 1413 25183 -102470 30675 110516 88345 41939 163312 71562 38588 64133 -150244 93542 -200247 -113914 -37503 -68285 -28340 -117358 37066 49633 -39384 57694 14676 -94511 -60105 -8372 14781 -92226 -49920 14340 99239 62046 143317 -17181 64921 -37940 165870 45367 -9850 126556 30803 68117 75823 2489 14911 146665 117962 -51233 -32592 -108998 64585 -55446 -32829 -158702 104419 -87652 -14342 -73995 58399 4721 48349 -221245 8075 -219148 -104680 11519 -253154 -1871 105594 70451 56066 -78118 49442 -107005 -77741 13757 -34859 85799 -69093 2268 5800 18456 15235 -33901 -78473 46836 -59837 85683 6562 -26395 74553 -20509 -91476 103961 103270 -30347 -83562 -69423 56200 61101 -30390 -100401 -40285 45368 239596 52647 167338 -168306 -25205 -35520 129623 -137978 14048 -69002 31817 -60348 2319 -49738 49358 232618 87781 -156279 88353 11299 -112885 81368 -58294 212976 -51829 69083 -134981 9584 120772 -86529 -37950 -125114 -39815 84311 61196 -29203 -110182 27232 74652 -51732 
+-78766 -26604 -33681 -6399 -1926 20210 52563 133084 -236238 24746 37405 -38627 49678 -179126 118732 52333 -45033 36190 43080 12371 -127587 -83701 -19083 -92471 62641 73601 107397 3565 83776 214377 -116932 105419 -26608 14940 84519 68286 -95958 23943 -75736 -9683 20190 -78530 186987 190957 -14363 -607 -204807 36168 -162520 110298 20802 -61991 141990 -57078 -4594 -15160 -185445 -4560 121509 -16520 17407 34951 -62369 8643 74572 81195 110904 -121850 -122670 153282 86789 -111288 57324 147440 43761 52121 94427 89498 92961 -115825 -141487 -6092 61816 22139 19222 -208108 78311 -112691 15435 12833 40792 85297 -9113 -131321 27993 22975 21196 134659 -63045 -142256 6 -63090 28363 136718 99306 92138 147943 -49411 123117 -16591 -192421 77988 34851 -127435 -25167 -60581 -36429 -166961 -62161 184415 19125 77721 19105 -76791 65852 1774 15228 -100629 -68451 122887 27638 47030 148384 -1667 42936 -34084 21927 57160 -16791 83436 32214 -19397 103755 3332 2662 91745 12286 -176161 100441 -128765 51644 -69295 -41844 -70035 110683 -54869 -33957 -43529 81636 13017 12455 -217026 -6545 -201891 -86638 -87081 -194470 -6339 146447 35968 68810 -128525 -73073 -122533 -103846 -22469 -31252 63385 -79514 20091 -12240 -8048 134202 -183820 -60569 19153 9893 -23742 -3785 -22093 183311 2821 -111213 93490 143662 -25394 -3662 -44345 89006 109373 -68811 -141112 -6553 21605 186148 96260 126347 -144402 -49274 -8774 12115 -35226 -53958 -26954 64049 -76608 28227 -51034 29144 218661 -82351 -145277 120516 -43483 -72301 64924 -94528 74806 -50924 62291 -38774 -2311 159355 -52800 -149351 -116842 -32551 109980 60968 -18894 -100373 -105343 122386 -91875 
+-92477 -41890 -21317 128817 -44376 625 -119288 130822 -232102 45517 44450 -40762 53714 -174641 143455 91932 -50190 59162 61867 29131 -164872 -70445 -73838 -59629 61582 50915 29838 15946 40878 258122 -40326 45133 -30392 31220 91014 -66840 -78857 30335 -106073 -40084 -1356 -118828 144961 130983 40570 -395 -87651 55855 -58846 123371 -9860 -81792 213017 -71630 -75965 39874 -208238 -26483 132090 -44028 27194 29354 83619 40039 63460 69372 22773 -231210 -125022 213962 114443 -58516 177570 29908 75358 -133263 51486 127979 81931 -142379 -171802 50413 -41525 10973 47343 -31549 48543 -125344 12840 25122 -8958 82396 -288 -182013 -56756 18779 38322 10882 -72902 -239173 9508 -39710 34812 94613 89073 145502 131339 -155796 20746 -37028 -200807 44384 106287 -115943 -6284 -101104 -40389 -195991 -43473 222383 115846 69632 10287 -70268 141512 -41039 12417 -139070 -103458 108351 -37093 50344 142216 -2404 79455 -54846 -56833 45433 -29343 65545 35010 -51798 158174 -6865 4081 20358 -174544 -124501 212400 -104402 -16248 -99686 -37657 94862 114668 -58713 -68509 -84981 73228 135668 -30863 -234112 65523 -91089 -42413 -6815 -118548 31660 137834 33838 32260 -161901 -150086 -67025 -126925 -42923 -27152 -32897 -74873 27196 18113 -5659 188808 -169842 -65334 21684 20590 -76818 -1009 -5523 158614 -2499 -85726 69918 116984 -17098 31354 -59486 91604 163221 -62659 -132640 13556 -100440 53364 102819 109608 -14334 -58689 52981 -90954 51966 -101783 -26931 83730 -91976 27662 -19653 36508 171522 -201255 -117844 139275 -109985 -77713 71466 -66536 -72142 -45686 62830 -20668 7043 173203 -39528 -118881 -80832 40629 76683 63466 -47177 -49029 -97552 68755 -85290 
+-85074 -110896 -5845 159548 -159350 46289 -159355 144267 -66753 19685 1733 -42788 57982 -125076 112812 101435 -5087 66673 52684 36087 -182360 -50817 -66775 -77201 69083 17490 -30537 17813 2968 226866 55216 -22501 -140 56935 63866 -131962 -66852 -3349 -109609 -34493 -123121 -165336 60866 73201 -37800 21777 23882 28568 -9650 95864 -36010 102814 222974 -65138 -143469 25071 -127248 -22308 130695 -40821 56057 83199 144860 116672 46331 8490 -32915 -134717 -121793 36438 144797 284 227672 -37293 57942 -225905 111436 135384 39799 -180966 -188740 -17285 -80826 4741 54775 92239 -34336 -119507 7824 6002 -19235 83360 10231 -174567 -129393 28265 -4735 -83577 -43601 -265973 -18866 -78803 49026 -1323 60120 173280 114041 -151404 -167354 370 -132726 55322 -43537 -84422 1286 -116585 -38876 -202478 94187 145089 184193 78733 23886 -15316 66756 -87505 1114 -143376 -148280 61350 -94588 44959 125425 9431 54553 -32139 -63463 -11618 -6007 19274 36983 -19272 208877 -82133 21117 -36247 -210580 114073 179156 -94427 -111352 -137685 -6221 94372 106224 -34719 -79592 -118576 93323 164984 -71004 -222355 156269 4334 -10529 86025 -44179 43249 93266 60318 13643 -122480 -165735 40377 -62757 -32523 -28622 -86146 -69569 -28363 35104 12045 202696 -29537 -49439 -5127 -26393 -13833 -6195 15238 25639 -10192 -51000 75633 66237 -11605 441 -51940 69223 212092 -84854 -97645 42887 -138391 -33467 142539 58634 63994 -115848 103645 -136145 151382 -122995 -43519 89034 -85283 5862 27860 20363 100029 -152828 -72909 136835 -9322 -61024 74976 4218 -115356 -40797 70487 -67714 -13394 178623 -82315 -12143 -53969 74391 79259 79540 -37890 -54487 11408 -41484 -83386 
+-71151 -147397 -43263 117021 -143155 29586 -16487 113674 61308 28841 -8436 -54858 57413 -12851 89350 94238 50631 63025 54602 72612 -206218 13716 -41663 -98696 57018 4332 -94896 45670 -45794 139854 80528 -56655 -19448 70593 75162 -12781 -60787 18529 -126976 -27506 -212454 -174493 -28509 18368 -90678 35546 17282 49878 79316 69296 -24179 213638 194208 -33824 -226079 -27519 -21052 -14529 129371 -12616 52696 54485 84480 138883 27919 -6924 53100 38690 -120096 -94270 132607 39981 195611 29136 80231 -63521 131566 84125 30313 -189049 -216183 -6007 -30745 -20518 101956 19426 -108587 -90349 -20413 27719 -31404 79805 7514 -198899 -122128 46872 -13594 -74209 -27858 -198042 5778 -145958 52626 -33246 19293 209830 95945 -46523 -248356 72444 -98291 55135 -209675 -34504 -13785 -107910 -38129 -179650 132687 -22638 249012 83918 29015 31545 -67071 -52646 -7477 -116299 -125309 -36999 -84535 59821 98718 6433 25602 -34836 19633 -34985 -7233 34135 36427 57464 192201 -173844 46183 -78045 -53169 156798 50788 -69589 -158747 -159133 9168 -3833 111057 -7548 -22294 -86765 124616 172181 -91784 -258955 169605 45208 -38164 95692 57004 46875 12113 101207 -18603 -86588 -79476 34386 -153543 -32471 -25264 -161198 -48899 -51443 7468 15849 193141 96122 -47876 -9146 -74475 74988 18171 38926 -69849 6299 -41913 37176 32803 2271 -37933 6726 112799 234984 -80954 -79238 64641 -175159 -464 152990 23452 -23173 -131177 127603 -141253 166852 -105480 -51800 78056 -67138 -18849 90857 53129 16550 14722 -40869 104903 88752 -78907 119265 46233 -52406 -34073 83496 -187098 -4332 154749 -72397 32692 -52262 20293 42041 10295 -35329 -45658 59973 -113509 -81121 
+-102946 -102159 -27614 -22870 -98796 55026 125013 76629 96488 14678 -21836 -65227 56329 93005 27490 59463 99438 45300 42426 73310 -195769 44413 -13235 -76727 63388 -24175 -41958 32358 -84354 27605 123167 -61468 -19181 81963 84198 164481 -52018 -28799 -83967 -26745 -148034 -104234 -79227 -3162 -122931 22080 -119227 29091 113388 44899 -41256 191790 120433 -50479 -222415 -105854 75572 -20976 130547 35127 49615 48129 -56532 100799 17136 3514 170564 67066 -128757 9087 104023 55823 55718 151374 74730 122882 72120 10736 -46460 -172682 -226682 -48247 68079 -41140 57931 -142888 -161367 -47688 -44256 14078 -46662 78974 21558 -182632 -63234 54210 -67164 31948 -12267 -82756 -45827 -147695 57407 25092 -23422 207025 77476 77453 -141213 169675 -73618 56578 -194771 25476 -5797 -73069 -45463 -131097 34104 -64018 269039 39674 -1147 46444 -85407 -59801 -33005 -98416 -39139 -124940 -59483 51081 64048 7388 64323 -30040 141516 -43235 -2674 46446 33987 153362 186809 -183298 71780 -112290 109547 30576 -75095 -82707 -196413 -140054 31907 -164957 108397 -17125 21326 -74300 91315 117944 -85459 -240521 102192 -28099 -28697 49357 117887 82664 -60666 124112 -79008 -42621 37229 -24557 -99402 -50010 -30648 -213642 -51944 -100698 -3039 21715 86422 51342 -41878 16612 -89298 137226 14057 56194 -11696 8652 34920 25151 -189 16094 -135650 10866 67675 230740 -61583 -79671 92619 -206451 122539 124154 -19310 -150234 -126450 110166 -67817 121485 -49847 -32618 52429 -55169 48990 149766 32299 -50578 129952 -34265 62199 168427 -42681 133547 -9002 121350 -32637 95984 -160323 217 169951 -59099 47784 -68572 -42818 28499 12531 -44600 -80461 -3259 -88959 -50355 
+-109221 -33926 -14489 -85287 -1638 71798 66125 50165 -70253 21192 11277 -55472 52977 167952 -73188 40199 117445 1060 -22041 49831 -179914 80872 14021 -81751 69715 -46403 18654 32724 -110970 -64875 117147 27688 -55432 102581 93122 190228 -47778 -56291 -47369 -35210 -20170 -118198 -76874 15394 -79361 -195 -193654 28464 131472 26185 1345 32472 36348 -25220 -260589 -105975 78750 -5061 130926 32721 41065 -1471 -155564 41560 17275 51152 120156 -84753 -118295 154910 64087 22000 -89335 206018 58611 71111 136360 -55444 -51744 -120298 -233604 -84380 163578 -47255 28865 -254618 -92150 -73393 -22146 2790 8186 75710 18355 -129701 22918 70269 -93858 150361 -52640 29956 -28650 -137494 56168 107441 -57472 218073 58761 124940 44876 200104 -59467 62349 7338 75522 -21284 -99305 -37552 -81365 -66435 35744 289416 79328 24630 111591 14454 -36433 -53809 -131019 -40535 -139049 4416 62049 24128 -8632 41604 -15447 211729 -24352 -31858 59786 31500 225527 135116 -146036 87056 -118987 74436 -183094 -84188 -47449 -156199 -164506 53049 -216717 108286 -26696 42964 -72377 49766 11477 -52483 -232697 9145 -117810 -4579 -17401 145167 93436 -125537 132380 -129640 65354 128850 -124883 -140004 -32276 -28859 -208731 -15298 -178823 -16060 22065 -28956 -111933 -39744 35204 -143507 108640 6906 65444 139088 -3686 45522 -4773 16157 17389 -150921 -19701 82707 205426 -35331 -25504 93783 -166809 225936 111322 -91270 -171289 -126754 52140 45949 64085 17063 -58353 16477 -61525 40554 202543 16249 -93111 87580 -45824 4825 174959 -42425 145733 -79049 234029 -24272 105511 -80735 -1529 147862 -56910 -59771 -106278 -40394 -38942 -449 -45932 -105002 -54670 8763 -47318 
+-98381 -26947 -28591 -17801 5454 57687 -105771 21036 -239142 15133 50046 -45323 47515 177508 -154810 -3201 132291 -36380 16513 23674 -168092 84533 63879 -63508 71336 -72555 110795 24804 -126026 -97324 66896 108435 2601 93810 73535 135052 -63926 -15675 17287 -35560 27385 -68937 -27533 61486 -20165 -801 -128898 -20573 67719 -3719 19218 -105157 -73101 -62279 -220680 -95089 -16026 -31330 123901 -2358 27498 -8114 -165342 8667 31821 64518 58876 -219606 -114807 192700 25180 -29139 -152420 145182 57991 -75807 83109 -99133 -61806 -28650 -217750 -64769 164820 -44679 45598 -145757 1692 -21249 -3303 29384 21783 75787 26001 -100397 90111 51622 -113671 188333 -68508 104389 -30174 -67139 81831 137196 -73593 196910 39975 59954 123325 165128 -36527 77294 99927 134474 -30318 -108883 -45010 -40094 -28844 178125 247630 16456 13719 154941 160606 -42914 -81940 -132871 -99856 -113007 91493 74917 -18133 -10552 44384 -29472 231539 20437 -766 117085 30880 232718 116673 -67790 87738 -103453 -111340 -158986 19006 -58483 -102639 -209340 77970 -85114 106937 -57174 106973 -78836 68270 -31164 -4392 -238647 -9656 -209006 -737 -59553 132536 93584 -169262 105434 -163275 78963 122942 -96852 -167083 -467 -36290 -173763 -80 -185173 9902 24850 -88543 -204637 -13490 -3234 -103509 38948 4654 60140 216842 -7711 76795 13047 78733 29643 -135101 25119 90845 168460 -12154 -25391 94145 -141276 210111 61153 -101914 -61115 -118809 -17750 80159 -64558 63865 -38782 -23660 -78266 16362 235953 36487 -99716 -78722 -81732 -1775 48600 -30292 118124 -116789 233036 -16742 108399 -15309 -7127 140776 -62261 -84878 -127953 43710 -121498 -18256 -42536 -98003 -111149 95234 -42922 
+-83920 -81899 -48372 130278 -25509 64831 -155955 -7436 -251788 34577 38860 -38272 46747 112103 -204592 -67923 62151 -101186 25381 21785 -157819 126263 41436 -119930 65187 -78728 81285 16625 -152067 -53026 10817 76754 -41080 111467 49504 -43899 -64581 -13667 54824 1211 -49133 -40376 40370 131465 28763 21098 -21686 -20737 18719 -12528 71397 -27149 -115995 -36701 -167232 -23821 -132922 -6141 126150 -40099 5389 13457 -43216 40929 51582 14861 -14608 -164033 -106134 67414 6332 -88756 -116147 21742 74426 -213735 67264 -79828 -60645 34870 -209148 -85481 100748 -35360 19568 25868 72878 -53611 23886 2631 28927 72829 29750 -60359 51568 67675 -119925 115372 -115205 92958 -50564 -45489 74364 92446 -68622 175657 21538 -64766 11316 90499 -809 58102 11483 180267 -12551 -102010 -43940 3602 100631 232943 160930 36655 30412 170457 115496 1645 -107223 -147572 -166481 2460 136187 61241 -60770 -38862 53886 -38684 174082 50253 -6264 162488 32920 180352 43065 -576 74603 -58103 -220629 45936 137427 -107711 -33912 -200562 83847 73344 83452 -43686 125688 -63670 20910 11199 32124 -258169 60529 -213665 4548 24800 85620 100280 -157362 66199 -185300 81586 -10451 -17990 -172532 6063 -33662 -110094 26406 -181393 9477 22452 -75426 -106872 1808 55003 -59346 -59385 20584 43191 84376 1076 51052 11506 113986 29069 -24182 78208 79855 112518 28984 7502 83138 -64316 86833 44046 -119157 34471 -86236 -69190 143411 -172215 79246 -71586 -63579 -93170 37495 249145 52300 -70179 -197297 -117150 -107329 -26905 -24897 56373 -57486 85792 -9243 102764 -45110 -10863 85099 -80486 -71486 -107935 59639 -155412 -26534 -32247 -86196 -38363 105453 -21643 
+-67923 -171068 -28219 161552 -148123 30496 -35040 -18483 -68709 6165 -13367 -35496 48696 3723 -224478 -112370 25507 -134317 13122 39171 -131339 130720 26079 -103436 68086 -89666 -26019 29415 -66603 48194 -78617 35252 -5677 107900 53796 -149638 -75501 -20840 100744 -4942 -168778 -19772 124237 171216 -2377 35519 54466 -44999 -44916 -54637 82964 101040 -157295 -61580 -106643 22583 -207411 -45747 122430 -47052 17382 47651 95251 128611 69897 -7070 37169 4196 -99043 -85477 1587 -130831 7841 -34724 71363 -103557 60550 -42934 1415 110628 -159597 -92772 -5801 -13315 59395 81561 104080 -58398 13763 32503 -25618 75127 38360 13705 -24990 88348 -64360 -10396 -119446 18196 3232 -62339 76454 2042 -45158 134745 3965 -157930 -174246 6364 37321 96898 -164825 199625 -7463 -82630 -39546 17327 137259 155377 68177 -36878 33577 240587 -18669 -11311 -124688 -83072 -109619 74879 131276 66453 -99670 -61426 44579 -20556 80272 52754 -19116 139949 35679 89862 -1527 28760 50022 -12595 -134437 174178 209427 -129336 57453 -196046 92104 130580 102962 -86613 80718 -67692 5816 84291 51850 -227174 149663 -136732 -31153 118052 23922 81207 -100039 42444 -201486 71147 -125730 47661 -136153 20043 -33064 10411 37439 -130633 11723 25996 -9390 47015 -8403 352 522 -49269 23419 20852 -56259 -4724 51088 33192 120475 42537 63280 35603 83501 62254 80260 10055 72333 -4637 -25592 -2514 -114713 13777 -79223 -61253 89065 -190851 57075 -73786 -95782 -84197 25109 237607 3791 -4500 -152122 -143724 -116515 -123246 1180 64214 28726 -35060 -2260 90898 -117181 15192 61962 -85797 26772 -71985 24189 -218335 -21476 -52586 -43782 70348 10998 -29703 
+-90664 -106798 -66515 104061 -177470 45869 130015 -34451 96360 42998 4024 -49327 50735 -107641 -270158 -160761 -22045 -178996 72816 49739 -89246 149587 -13804 -88190 68943 -77077 -132726 13026 -53789 155864 -152451 -28585 -7010 94886 63460 -48983 -83846 -48715 74654 -50192 -191499 -51114 171035 193798 -94143 22751 -64112 -32407 -152525 -47667 111167 202508 -150588 -28919 -11792 14984 -176879 -21319 119237 -16896 39508 37535 149925 138916 74131 -14059 131647 76653 -76391 17103 6964 -141554 149655 32695 76630 84912 67946 25811 25276 148750 -129683 -303 -80227 4918 66217 -59759 21925 -8651 -17115 16891 -48868 74992 36352 48250 -111973 93023 -45579 -90498 -104592 -103728 46899 -121671 88258 -35432 -5304 94641 -14015 -139891 -248108 -43637 75899 102228 -207656 199997 -24191 -120594 -42935 16623 51515 -10599 26513 -112426 36596 291023 -99172 7081 -149213 -78491 -80721 126682 79734 72174 -132922 -81043 87294 -44106 -15768 14814 -15920 192315 37037 2354 -42384 -1010 24165 48668 60774 63791 130669 -126939 61573 -203080 81082 -18825 98599 -41798 49798 -79725 22076 172865 45661 -228858 171454 -16703 -25249 69298 -55722 77616 -26140 36855 -221121 -1341 -148505 33577 -164861 -6653 -39736 64625 57289 -110148 11242 40873 40118 87416 -3381 -29396 19933 -23406 22583 1257 -49264 -1819 -14851 6372 107390 44718 -7318 73850 90970 14082 108526 22971 59068 78032 -29254 26032 -116820 -92077 -30188 16797 -7217 -178734 7373 -26273 -116315 -64391 41225 196028 -6949 62785 -329 -159766 -112837 -15621 -28453 51944 19051 -122196 -4114 77564 -199017 2955 28691 -97093 55448 -51100 -37497 -233895 13837 -24450 -93419 4060 -65531 -11118 
+-83092 -20096 -36078 -12566 -91362 34900 86646 -27362 82649 12802 -9774 -59713 55752 -173325 -299543 -201344 -38614 -206509 56691 48642 -56985 132181 -58422 -98470 67438 -54754 -65973 11185 32313 239848 -224230 -56432 -37150 82372 74060 84354 -94565 -31592 -12787 -42870 -82453 -95757 177768 172935 -116999 242 -186660 -45023 -193873 -56552 154096 156784 -92501 -57587 15982 -26895 -74254 -11599 106092 24378 48998 38999 74950 109098 65479 43532 157185 -43769 -62957 156732 40549 -113050 225703 154690 71000 117781 23346 96868 57643 174949 -81143 14558 -65865 18501 39668 -236492 -72065 -18692 -56636 18938 -31471 74441 49692 76373 -131686 73543 -26578 -60872 -81761 -206610 -16612 -159837 77185 18041 39463 45536 -30591 -30467 -133087 -8714 49860 85152 -18955 188619 -23007 -118177 -38612 -20695 -72032 -72547 -58972 -130023 -6780 299846 -10910 -4775 -162478 -92788 -63569 75704 11214 58496 -157908 -103133 33177 -42829 -55734 -25588 30844 147427 36068 -45403 -57097 -82283 6271 112694 110032 -126872 5432 -115219 44414 -213616 56723 -179922 91191 -41043 3146 -73782 64518 170840 21673 -217809 105156 6521 -56953 -13258 -145910 88522 55639 75986 -224133 -42386 -161477 -86212 -90299 -30767 -29608 93425 59379 -6304 -19351 5382 124528 -36067 3028 4682 -31835 109659 26170 -18089 93424 6077 -14335 24512 78015 61087 -34466 113739 91945 -29123 136240 -31526 16791 139871 80091 35134 -97680 -175967 -20754 60018 -139609 -102333 -58482 -48542 -121993 -54498 61479 141500 65860 139540 128215 -151392 -88739 57757 18093 72449 -11586 -39932 1956 67609 -118812 9222 10633 -111409 -34931 -58874 -28333 -265911 233 -35644 -96481 -87055 -109876 9226 
+-96085 -35245 38777 -96999 8667 23785 -93746 -10313 -70487 -5571 27695 -66668 57284 -179856 -288462 -235463 -13239 -226899 82395 34128 -9158 127583 -58630 -101433 68596 -41266 82807 20683 60333 250672 -219222 -20892 -21825 72367 79354 197444 -92296 -77495 -39040 -60682 11679 -108788 125537 116415 -65475 -1194 -174567 -22475 -229513 -48067 146597 17157 4392 -72009 55911 -98323 46285 -9952 105286 36395 55466 19445 -69987 41997 46587 74320 106542 -207124 -62214 218113 107830 -57352 211124 211103 54583 -43470 98645 118135 98272 175577 -47421 29805 35243 23085 69330 -235659 -124568 -31403 -23047 27863 8464 76371 60056 77379 -86925 83213 -469 54255 -50103 -257272 -39379 -144881 77493 94817 75106 -4611 -45980 87606 52779 63213 44027 81358 86365 152165 -17879 -106928 -31079 -63936 -35866 7770 -81465 -160379 -781 270223 128254 743 -182354 -47025 -73906 9101 -74697 56429 -173146 -123903 35448 -60052 -20134 -48178 -6033 67711 33300 -35659 -87019 -150085 1282 172097 -33436 -192112 -103054 -117728 -53662 -211181 40985 -201787 91503 -31454 -16979 -68036 77187 134558 -30681 -219183 19753 -8635 -105515 -90948 -211074 105121 109296 104481 -193159 -131162 -58866 -100272 -147266 -23434 -34427 84077 94902 13815 -9370 30190 202812 -210780 12367 12873 -90503 138081 64107 -27608 202606 -3208 -68404 23947 23357 71184 -123705 113820 84922 -37080 210530 -28221 -8666 143296 206611 39258 -48741 -133620 32664 93684 -186473 28944 -109630 -29113 -111130 -59921 36794 78394 11855 204471 92353 -125843 -50158 161747 17036 116473 -106007 130914 5034 60852 -29997 4530 -17960 -113085 -127881 -90438 45371 -298245 32265 -42204 -116664 -128261 -43066 15511 
+-94924 -76920 13890 -24616 -10975 4586 -162337 16965 -253960 -8822 21371 -53190 58284 -120055 -254438 -219744 57818 -218114 51932 25062 23573 121049 -65599 -102738 68929 -21689 113543 -22648 135671 201252 -201509 47900 -19512 54780 78434 163935 -92209 -74639 -64001 -38271 5522 -166047 42641 55744 9564 20395 -53004 -14239 -247675 -48298 90462 -82514 78538 -65005 55096 -127441 91279 -12036 97383 9308 49823 31943 -174536 -2443 28450 43804 -30726 -189589 -68398 66163 118118 90 88597 142431 46877 -211017 69503 121406 111866 151992 -9647 21104 132238 3032 65005 -58932 -150658 -36112 -15695 35197 44586 81316 53817 70144 3134 86017 29586 164662 -26839 -205839 -546 -93580 92534 136258 97739 -34649 -60334 119474 120761 155315 40939 80752 14505 101032 -25919 -106871 -31710 -113578 97396 156692 -91855 -197626 16781 303842 137959 -48512 -194964 -67136 -141293 -87309 -92524 50125 -177381 -153668 74329 -72989 78574 -46750 -16222 42950 31153 33961 -63754 -188891 12858 208597 -205984 -21296 -54773 -82117 -129223 -202738 7791 -83158 87660 -44239 -49142 -47168 88398 70408 -68655 -170192 -5448 -78818 -91358 13649 -248052 98737 152317 126717 -160172 -151314 84370 -80561 -95172 -47760 -40078 41145 95868 -11389 -1933 10374 187483 -177442 17701 18197 -123173 54779 45873 -21470 143476 -8499 -99394 5597 2766 62167 -131920 77134 53759 -35149 239480 -60907 -30266 139853 224021 78000 -2122 -22174 42598 127564 -128150 114394 -126301 -27368 -86185 -79575 8324 17741 22680 228572 -75049 -76591 206 201587 40003 113679 -107219 215213 3241 64256 -84 16870 -25422 -87151 -130172 -123076 72348 -280371 47451 -19693 -93940 -38556 44462 33288 
+-82894 -141604 24761 123989 -69367 -17191 -46449 48164 -210276 12842 -3962 -42839 53163 -22616 -186896 -221937 112074 -205112 40047 35853 53658 106676 -30764 -89928 71923 11017 47271 -22682 113846 88409 -168739 103920 -34692 44329 77241 -50652 -97541 -73254 -105631 4603 -116643 -144490 -47503 13247 29588 35471 32226 -12347 -179610 -34048 42158 -9826 158738 -48497 29597 -97774 25562 -48215 91994 -32927 40059 48476 -156709 50482 16819 -4930 -20940 -28801 -65462 -80548 134894 37122 -39066 20579 59656 -97315 63494 76797 114933 81413 22826 11794 180414 -6942 34368 91565 -85959 -54540 8547 -5826 48537 83276 71134 51988 79684 95985 35658 183005 -21611 -88567 -9919 -64551 79897 92807 96950 -75013 -73702 49165 2882 206213 43641 79203 -156945 41582 -20172 -111273 -31985 -159472 141238 227716 -38484 -243859 -3225 262835 -940 -56888 -195999 -59835 -160738 -157425 -78528 58785 -170193 -155105 55319 -65760 213834 -4156 -22451 28841 31218 123036 11114 -153152 35830 221129 -183869 176006 43897 -47362 -175334 -181787 -12576 84518 73318 -8130 -40557 -67935 103101 -12697 -93509 -176043 57794 -178874 -95557 88599 -250236 125042 131275 139495 -124188 -153371 149780 29547 -97886 -18321 -37642 -39538 100986 -22108 36776 38860 153367 -5737 12183 -9006 -159491 -32364 69397 -5413 -5340 -6519 -83768 67879 40727 63863 -122147 86722 59094 -7488 261155 -74045 -47144 98816 126726 103081 35179 56455 91386 103400 -52413 179797 -97939 -67749 -50198 -89940 72267 -28858 2790 213505 -189623 -48325 37032 129514 39994 153637 -37172 230309 5255 72907 -83524 26729 -74585 -57689 -18784 -127964 55444 -268624 78021 -36767 -43593 63279 114913 40791 
+-94874 -132375 -34715 180654 -168036 -21493 113818 85544 -87600 10098 -15205 -38103 48593 86589 -62714 -188373 141399 -177366 3467 37006 94023 86410 1880 -111773 72723 32491 -43248 -21071 167101 -18493 -105694 31771 -42343 4062 80633 -104258 -76010 -107681 -100461 4190 -201050 -128624 -91831 6746 -41009 23396 -22298 26695 -156962 21708 18684 113731 209770 -61965 -31273 -32320 -79647 687 84052 -41592 17283 32920 -35315 96128 17221 -16798 89424 82654 -69075 -21808 129977 48126 -143135 -31232 64554 71482 66676 -5349 102366 11173 47346 -54886 126788 -37093 41863 53700 43377 -60666 41166 2912 -39016 82897 68009 30220 78754 89624 10274 94908 -37257 36701 -2147 -51174 77410 14244 76235 -82349 -85160 -80590 -181509 181686 16773 58452 -192758 -11940 -7958 -126163 -27709 -189917 51743 170544 10386 -208291 -5455 255285 -104310 -57053 -187244 -79163 -95919 -112470 -10309 48321 -152735 -163751 52379 -84088 257327 40313 -14788 2346 33552 213913 52807 -78454 62806 218585 -4144 117510 158826 -54768 -195448 -169467 -22831 137423 72970 -76061 -36655 -72519 115918 16945 -91350 -185057 144195 -204507 -82569 85225 -200335 101085 81818 106807 -75064 -114486 107039 38691 -50336 -39419 -38455 -114924 95676 -34648 2668 48452 46382 112962 -91 -4939 -100916 -52828 70388 19634 -51999 -13610 -89196 47814 90545 85988 -46292 79973 72290 45872 236430 -111129 -67409 23080 9751 123729 66109 -27027 94220 29520 65427 127192 -28709 -48001 -9469 -84728 37533 -53309 -6194 162674 -142491 -27711 86982 -48529 46763 130327 36837 124227 11422 86460 -152942 30178 -89148 -72844 56483 -88765 -35987 -239287 34406 -22061 -49761 35988 77314 40516 
+-82527 -49840 -9940 128359 -144755 -42727 85441 94099 79164 16385 1991 -43856 46967 157328 -30860 -164629 124299 -124250 26215 58154 85282 29100 49009 -90594 71823 63855 -102484 -887 170520 -96229 -12756 -30390 -37082 -19785 67438 -91909 -63655 -98784 -103622 -23545 -144924 -77902 -66632 33158 -82584 697 -148779 47817 -41176 2492 -24336 210982 204469 -18389 -110160 21891 -191969 8687 80779 -34196 6232 48114 109484 145334 31614 18231 171299 -6086 -69489 158543 81996 20611 -138971 37357 88804 159051 84624 -65560 54589 -48941 69720 -74535 20274 -51090 25675 -131601 109316 -84059 7560 -20211 -28035 85498 88714 -3246 11801 114125 -9304 -30272 -55345 93108 2275 -84571 65721 -38720 40039 -100487 -95285 -165323 -245600 117061 -26529 29594 -8188 -65718 -31226 -103652 -22189 -199260 -66714 18895 111026 -239780 14687 170363 -37115 -22993 -179928 -49868 -47490 -61838 78361 53073 -124984 -161887 45175 -67678 222873 62667 18867 28911 36134 235433 117431 -1467 81722 185147 124561 -108869 209712 -49455 -161386 -165866 -37882 -23132 77012 -67376 8738 -55798 89118 58127 -52711 -161558 174384 -189628 -146145 -8543 -132199 88821 2530 54087 -41845 -66038 -34270 10490 -29758 -40204 -34158 -167278 83032 -85781 -36388 19527 -10735 37826 9318 -2189 -44808 -28944 76441 42808 52714 -4281 -10549 65905 153459 96487 25520 82717 33768 81508 257157 -147596 -91900 -61132 -30327 135011 117597 -137208 135547 -32934 152885 73732 29994 -76350 29771 -67398 53776 -49400 24962 89390 11321 -43740 124409 -93723 37282 76553 17636 -23156 -640 99167 -186731 33885 -91867 -109077 2365 -63519 -52280 -197080 72720 -33800 -54554 -74983 -8044 59719 
+-99493 -6081 13792 -15221 -78990 -5145 -77865 107708 103250 46658 26015 -52670 45916 170652 56655 -103373 65190 -84622 7424 48317 84945 2774 53387 -113086 72154 87581 -61063 -13122 160329 -90285 64184 -56111 -21347 -46411 63885 -23070 -53343 -116688 -77123 -13816 -26429 -33201 -14311 84804 -130190 -1574 -191176 33148 19874 35502 -39056 117373 174275 -80550 -174654 41843 -214206 -3702 75306 14424 22194 32491 157162 117113 50508 78144 126434 -184446 -85429 195768 63576 -29292 -25942 155218 84848 2452 137486 -90693 5827 -109659 70790 -113568 -53148 -44890 80516 -248011 35746 -79790 1571 -1115 -44932 82428 88366 -41064 -72113 103948 -24930 -93539 -136688 109236 -28310 -140716 62841 10191 -4567 -82448 -103187 -135619 -123631 16593 -24746 69220 90046 -109436 -22443 -126546 -25243 -183191 -33905 -60067 177612 -202022 6391 139173 95281 -35495 -166386 -74726 -61718 46730 92831 61629 -89945 -167292 94877 -59167 148768 45906 4369 74148 37020 201192 169795 18994 90173 129886 39927 -218313 82078 -63779 -104567 -164237 -34165 -162117 79283 -84428 67853 -86667 95618 158017 -12336 -143365 113350 -49075 -114200 -72932 -43240 75693 -76103 36075 17785 9230 -112188 -94854 8068 -8133 -30702 -228771 77810 -136735 -3302 32951 -39404 -123982 2143 4534 -2590 67072 72153 59572 153454 -16154 42695 101086 135107 93111 33888 76467 43184 146075 228420 -139577 -87775 -138046 56322 169021 158414 -161400 134041 -58504 124955 -11559 75551 -52919 63001 -53774 18355 -22191 12328 7566 131583 -65751 131994 -72876 93592 34059 -59551 -120695 -15273 106008 -54595 29425 -112666 -126864 -62012 -46701 17934 -163009 50001 -24294 -98983 -117947 -92747 71509 
+-93084 -48241 -12272 -66319 -13048 -11726 -158207 138184 -46643 -12625 18092 -61918 50079 113919 114688 -47654 29015 -31400 12861 23063 90769 -25281 38033 -94504 70701 94489 24747 -5479 119711 -19876 109581 -6416 -5512 -63808 45664 162118 -54550 -116579 -1742 -22759 20277 -78567 74373 139429 -59580 19690 -103850 51192 72864 77264 -84681 -27156 107382 -59678 -197066 -24239 -124078 3402 64687 35408 34672 7300 54743 28994 66902 60849 13258 -209000 -106520 69568 38597 -91970 106032 202482 77392 -159358 112562 -86553 -3831 -162174 64528 -83639 -72572 -36559 69817 -188155 3313 -122290 -44198 -24406 30289 82295 96426 -97813 -136097 129569 -45313 -45384 -131454 22610 -22263 -149533 51942 96874 -43061 -70741 -109366 -14132 59797 -35628 -98336 50604 -4779 -122652 -16715 -52039 -19926 -140366 84292 9465 257209 -153928 49809 103666 136716 97 -143520 -89008 -104753 117995 144322 64072 -49797 -176514 40336 -46465 16545 7028 -16171 105483 35447 120297 178103 -22873 80987 76237 -147439 -100276 -33335 -97046 -13007 -141901 -25225 -211495 85157 -53513 82646 -73544 70843 202857 26260 -129217 23046 18619 -130212 -38344 35878 65893 -136450 42400 50584 72136 -179797 -116558 -11191 -13055 -37377 -214593 49734 -169626 17868 33951 -85438 -209196 -3703 45262 3724 118249 70201 67418 194791 949 68610 106001 95406 80497 -18369 72785 41038 197801 172730 -153667 -97120 -148876 170463 144183 180130 -68044 134224 -39008 45056 -143344 84650 -28616 83210 -60609 22167 28531 22174 -56096 94568 -105383 168984 24716 77344 64988 -90184 -62463 -13069 106979 5107 46575 -106952 -78663 -136210 -75872 75462 -108856 -29056 -28398 -108228 -36216 -102996 67397 
+-96242 -147699 -6326 -274 -5931 2802 -68984 140421 -252741 -2844 28536 -62094 52665 22471 102286 -3030 -1771 2895 3882 5470 67058 -69796 22162 -92648 71722 114152 86521 -46139 53507 96106 99451 55003 6717 -97843 77605 178277 -60014 -128224 32986 -35768 -39488 -56440 139821 193805 9756 35413 28602 63214 111784 91541 -36486 -68608 -12138 -56830 -237610 -84249 3891 -38466 63359 27107 45747 48567 -84136 -133 73213 16667 3546 -62083 -109313 -81498 4005 -130332 196574 148074 59888 -121928 82478 -37804 -35085 -173939 57548 -95424 -4879 -3144 44528 17448 -103692 -102808 -45255 1287 57508 78458 98213 -176072 -108837 132545 -84478 76692 -118378 -95517 6093 -139118 38681 130895 -69186 -38155 -113567 93356 120046 -15346 -131969 60177 -163683 -124251 -16535 -83167 -15164 -90138 137604 132087 253654 -157594 47825 8685 14503 -2741 -126782 -73922 -152602 103952 108244 60327 -6897 -175441 68791 -78246 -34832 -39946 -5519 116973 32731 22171 205700 -82805 61021 14593 -217856 131102 -69390 -141346 38511 -84894 -5153 -88275 75720 -46956 106442 -72122 20125 131583 48842 -97864 -10893 8218 -134421 95361 90201 60663 -174385 69759 70187 92188 -139543 -36861 11654 5679 -40535 -153507 36512 -179358 28708 41956 -3856 -116129 -7491 42691 -47076 92955 74974 58337 70620 -18862 65393 119743 77601 99145 -113244 61870 35747 225667 163205 -75485 -78761 -204232 223626 83976 200789 33204 118957 -11690 -73121 -198263 55963 -18184 89301 -77503 47432 83114 23038 -100508 -74533 -137309 142096 141444 82982 68326 -53813 81408 -17993 99817 -46730 45902 -109343 -134332 -93496 -103909 48788 -66762 547 -17317 -93263 56491 -7595 60506 
+-98913 -159224 -49495 126518 -91826 180 90393 116681 -239284 -18868 10814 -55186 57356 -86046 85209 47199 -38149 44171 6456 22934 48354 -110038 -36568 -107496 81341 102458 55719 -27410 9803 207756 89752 128557 -18850 -121331 79878 86970 -58679 -111764 80256 -25968 -173768 -68083 186215 188653 11807 24070 32623 26935 96608 113604 -59891 -218 -82514 -66039 -230960 -110521 84886 19209 52946 -24134 63170 16703 -186701 52072 66442 -44684 78850 82461 -105868 -10486 24336 -136987 240163 32549 70296 48524 78222 30982 -34989 -168594 36771 -45778 103998 10666 13059 92045 -127855 -124487 -9807 -25759 12394 78649 99248 -176022 -30253 130482 -88765 176076 -64664 -216527 4906 -79130 46082 98925 -74535 -2320 -116185 124497 -6853 35773 -132638 58628 -188019 -108282 2233 -67025 -17390 -43264 49464 228527 255133 -109483 12961 10319 -76176 -19945 -98884 -77180 -112882 34275 33797 60610 35182 -170653 18228 -52016 -47023 -57378 -7862 139193 30948 -42540 202160 -147587 34326 -44537 -79734 177889 -40590 -138993 53689 -99864 6315 81721 91911 -8862 113893 -103970 24571 54605 53324 -72667 47812 -44352 -111906 107187 133371 25752 -147576 97964 59037 94240 -18625 47497 38140 12387 -35845 -67763 27426 -174808 17065 66877 69258 69498 -21074 13341 -67613 26181 103916 46499 -49400 3337 64119 112592 9426 77779 -152292 23023 51548 232063 99484 -87884 -68880 -186304 152653 64776 205384 41306 112534 62052 -166793 -187347 -16908 -22321 78666 -91992 17777 150146 7708 -108656 -192666 -160018 62388 214984 136194 68394 4768 225873 -25654 87283 -130532 12889 -71390 -102936 57178 -123716 -24412 10675 -24239 -29609 -37853 58449 100550 76554 
+-89182 -81645 5053 170676 -160574 21932 105534 121548 -107250 -26483 -11042 -42238 58661 -172227 71787 82325 -5540 59890 58407 47997 18797 -119966 -68840 -101912 75663 90920 -49734 -39628 -50071 260673 43306 60198 -54978 -143128 51190 -87474 -55720 -123003 66525 -50545 -185928 -108096 172224 152608 -35751 1183 -89767 20396 48958 136463 -6242 175313 -135361 -23650 -214796 -99779 73178 -5518 50278 -50865 57111 40412 -153514 114388 50233 -18059 136911 15518 -127317 137305 23449 -107912 137617 -30589 65854 123260 80436 94101 -80799 -129826 -3955 52289 175238 15213 23698 -10937 -102210 -140731 10286 -24328 -71124 74558 106886 -162307 50175 132950 -92508 174287 -51548 -264193 -22167 -65207 53631 26205 -58271 42656 -116672 30109 -190564 137667 -183545 79396 15048 -63526 -4946 -58808 -13105 2673 -57889 198289 231314 -48490 -23058 -42094 -39739 -2966 -74717 -83497 -67171 -42431 -31436 55215 73776 -157267 52020 -47955 37627 -17286 -31291 149377 31670 -48594 166588 -181034 11766 -94862 88275 -46159 74661 -131828 9710 -93024 32260 132275 97648 -12324 70365 -96079 29179 -36429 14836 -75242 139628 -162031 -109595 51494 138345 47328 -93414 143553 76075 66948 113081 36758 51267 -11786 -41549 -1069 3478 -122893 -17553 68619 161085 104189 -40770 -12384 -116237 -38160 67055 21803 -30398 -7616 70905 136518 7895 104941 -130478 -1830 74288 212399 60137 -42877 -31545 -171037 29946 44661 193456 -72038 73603 114659 -175696 -102953 -74757 -36324 53984 -85023 60162 201162 36087 -62221 -172843 -155022 23369 106531 140011 126597 41262 263824 -34369 74031 -199027 -7893 -77089 -137472 1596 -109087 -41714 61406 -69128 -37824 -67088 -80127 107684 80041 
+-93926 1998 -23186 119201 -161239 6588 -49829 113391 91077 -23091 2494 -40848 56413 -183461 24132 77872 44518 68599 72958 37872 -26552 -162271 -51597 -74246 76426 54518 -115996 -35595 -126739 233467 -30445 -45512 -51538 -165282 63752 -106149 -74743 -110274 37017 -20892 -97826 -110722 96751 99140 -89941 -1932 -200308 -3056 -1705 151935 33311 170411 -167676 -50996 -148501 -46474 -36571 -12624 48934 -34185 43743 44856 -16456 137708 29521 65187 153281 -155576 -129897 203508 51144 -55576 -12109 30997 72555 6864 58682 129016 -21895 -79165 -38863 28787 158255 19613 38398 -211746 -17959 -144182 18126 -28879 -57648 72481 120641 -187293 82326 119177 -101032 73165 -878 -240231 7360 -59205 44394 -24577 -25402 91835 -115080 -93281 -243122 194309 -159576 81893 84131 -7616 -9026 -64509 -16514 27462 -33857 62073 168264 -24850 44671 -37808 116149 3190 -52338 -95254 -70092 -116400 -81648 61311 106407 -145289 60354 -27923 172233 15826 1184 158613 34179 11471 120543 -156607 2128 -107452 92524 -183384 209714 -94150 -24213 -52361 53013 -12779 108511 -9384 24646 -68285 44568 -5895 -30952 -41218 172618 -228851 -105752 -77306 98663 38934 -11495 122584 36591 17 155363 -27052 107353 -52146 -33753 78074 -23455 -68743 -12447 39763 201450 -54346 -31393 -28314 -111205 -73498 102040 -1907 139429 324 513 111923 20566 103586 -78349 33264 49763 186244 -9389 -35848 -4706 -83354 -36331 67425 216517 -179225 54918 129402 -106621 -21182 -112050 -56657 18670 -67886 6949 238138 23018 -6713 9172 -133094 -28630 2802 125525 132672 14302 122435 -33757 64040 -135551 11341 -27976 -127160 -62961 -78858 13698 88650 4940 -33341 -62270 -118838 39873 65536 
+-69316 -48430 -25214 -5331 -75644 29287 -161986 84608 102107 847 31889 -42406 51850 -135392 -27332 94340 100746 55785 43769 35958 -67195 -148857 -38812 -101642 73132 34613 -47757 -35843 -121702 159066 -95012 -39995 -16751 -178891 65991 19211 -94383 -96819 -12203 -24797 8271 -142073 5399 33869 -113507 19010 -153970 25288 -83925 139868 73199 80691 -146901 -45168 -78258 17101 -158475 -3947 40594 5272 8910 15223 112435 117433 16126 70360 61800 -229942 -125938 81035 69033 -1573 -109155 157045 75598 -134652 29861 119522 -7623 -4731 -92682 32159 67001 -3354 57239 -236509 72542 -153166 5318 -14314 -33031 72964 126396 -166103 31244 136221 -70468 -47984 -25094 -119309 -24900 -121327 47548 5390 18016 141418 -111523 -168237 -114322 196818 -164330 82572 1369 44242 -11002 -80241 -15649 16843 78119 -62473 77421 5627 8595 -107183 156811 -26065 -31906 -110436 -105034 -147379 -90041 60184 130782 -129421 51436 -56310 219150 52067 24904 93180 36609 102740 70709 -103831 7008 -117344 -84986 -146349 174287 -64599 -109450 -36803 65318 -200519 101812 -68513 10560 -65801 35559 32121 -69847 -30088 120091 -170083 -79753 -55191 32466 32308 68588 95899 2079 -85400 69990 -115921 95452 -48336 -43619 96774 -43557 32768 3369 52032 200577 -200678 -55496 26964 -75110 6850 94232 -20280 186107 5003 -41761 127178 95131 96328 10560 -31312 61605 135405 -8343 -27277 13282 -25114 34610 34018 172909 -139241 42751 93638 27987 124121 -113898 -76694 -22018 -56644 38650 252987 15499 77176 138870 -97859 -60258 -80833 151628 140710 -55293 -30815 -36092 60907 -12290 4955 -24853 -133698 -149170 -51044 69424 111139 5436 -37716 -114502 -46375 -47762 68797 
+-87440 -114513 -31567 -67101 5926 52211 -85579 38704 -69466 22692 28186 -58359 49596 -29588 -140535 71902 124256 20039 18158 6803 -99322 -202522 2083 -99497 81020 12350 83555 -31301 -129968 37902 -175852 -8470 -48621 -201853 77675 122438 -100079 -103180 -43258 529 12294 -139366 -69678 -2070 -51336 35334 -29888 -19486 -126921 144662 96223 -43395 -65273 -57670 -20139 22445 -196683 1281 40773 35545 15544 1724 130412 28100 16600 38740 -3578 -102206 -118365 -74168 121733 41821 -148210 206360 78766 -163060 58705 61398 47130 59578 -108157 -10902 -39585 -25036 42816 -99183 74458 -145687 -36884 9517 23245 74137 127931 -118756 -46892 151419 -35019 -93160 -29607 8491 -619 -148659 31442 93598 58979 177732 -106050 -121990 66008 132087 -165576 84719 -151882 107067 -30694 -41342 -18800 -7324 152384 -28996 19606 25229 6987 -108499 53757 -53371 -8536 -120820 -139023 -82561 -68368 49054 144461 -99636 42123 -40172 266796 56316 2582 51078 36822 187406 -4634 -257 25516 -88624 -221603 57155 45508 -59754 -168673 -22675 80681 -251483 102200 -48168 -41993 -77683 76107 120528 -93516 -9275 25141 -91534 -101433 47300 -51250 -35047 119278 54071 -40886 -132268 -27155 -108411 100078 -23637 -39902 85220 -55286 35845 15574 24203 86394 -162722 -51030 37315 -35353 119819 67957 -27732 129423 13947 -76938 121895 135267 103375 37563 -64577 47158 78325 -63317 9996 46151 92347 158272 21661 113466 -3423 -2357 49921 127496 167918 -80165 -68743 -61827 -57992 28407 234260 29594 151864 81877 -55702 -111861 -92331 106426 95016 -86210 -115538 -47766 65501 2668 5033 16919 -97099 -111473 -53015 57599 90430 35901 -39882 -135374 100020 -102125 50656 
+-87791 -155521 -68559 -4499 -30135 43782 92659 27087 -253557 -7855 3951 -65538 46915 90271 -212904 41842 110716 -16663 7506 13666 -147576 -184148 53037 -80622 75527 -18111 75246 -22979 -123117 -57068 -215736 59705 -23749 -210176 64390 201945 -94069 -128546 -66834 -21837 -116178 -132133 -96216 6071 10715 24708 41314 -27091 -183782 138537 121648 -50507 35202 -47950 8873 -21730 -171749 -38136 39562 24334 22018 32252 31320 -3764 28727 -26009 24178 59216 -126733 -49847 126572 46835 -71596 146366 52288 18728 18747 -16548 63354 140180 -164020 -50588 -74299 -34908 76748 69126 33619 -154694 -34091 -11613 26280 75463 136690 -76395 -116828 152844 6660 -27357 -56207 97161 13370 -140538 32996 135136 88907 200660 -98483 2666 118201 40762 -152271 73738 -202483 160600 -32241 -24571 -17581 -55674 43705 140949 -64278 82570 21002 -109553 -66622 -44660 2218 -118002 -117706 5967 -793 47882 147867 -70688 40017 -28066 158781 31037 -10685 72434 34808 237797 -37581 -1715 52203 -49979 -149947 177743 -89632 -19733 -217731 -1202 89071 -77763 106849 -88116 -58222 -65690 88963 154339 -92113 21342 -6889 -11598 -48951 95338 -131090 -64157 144049 29099 -94025 -150579 -166115 -8604 108481 -22200 -46408 27993 -71527 46583 14589 20464 6586 -20563 -63093 21143 -2316 151109 72640 -19528 -23442 1534 -49274 98869 136737 101329 -16296 -75439 43334 30971 -92974 20556 65709 112925 225419 78071 87243 58586 -41699 -19474 145777 153884 -21885 -38187 -94983 -76774 29084 197680 28164 201785 -74597 -35192 -114862 2307 142861 64205 -39908 -66556 -46322 76172 -109174 -7351 56788 -115033 7201 -89931 -28822 71727 38607 -31964 -67812 35038 -77365 69659 
+-81370 -85307 -3251 103219 -76505 62273 105734 -3326 -244794 -14416 -2621 -59914 47621 162290 -245237 1702 86106 -63657 27296 42538 -174992 -157571 54605 -60133 74789 -43645 54770 -51220 -81473 -91163 -224001 94880 -53883 -210698 77597 79371 -87476 -122668 -124389 -48833 -199788 -39943 -55479 42526 58612 1664 -33953 -45348 -262144 132592 147950 -4303 110296 -55862 55795 -97970 -54499 -410 39022 -13052 31574 49251 -98860 42403 50871 -15544 116061 49716 -123152 171357 108445 21160 66032 20618 61018 137617 102781 -70578 110506 157825 -185783 -77594 -35258 -45539 75914 74280 -54642 -148878 -31092 17056 15866 76817 142730 -57758 -115997 157311 19191 98341 -121207 110860 4384 -118474 32995 97370 100191 207854 -89367 96300 -15062 -19938 -109534 85015 14028 191572 -24018 -25207 -23692 -110837 -62140 249208 -66981 105795 54586 -77496 -47902 -42399 15698 -104572 -79396 87683 67180 58444 140136 -67030 54137 -52617 62090 -8641 -5804 8304 31962 217698 -54070 23521 76048 8944 38286 43109 -59168 -57668 -137126 -9128 76431 94169 109608 -103256 -63476 -60596 128004 177280 -61867 54905 38546 25073 -29595 101380 -198560 -40401 128168 45327 -135185 -128407 -170811 56731 116236 -30259 -42205 -50490 -73948 -68833 -15583 36628 -74393 103874 -69762 -11549 -1576 95590 81399 -2423 -44467 -2618 -95517 76410 105801 99767 -86472 -100998 58838 -22638 -88059 -4621 80839 145495 178120 20967 42488 -36461 -25865 -42786 107838 68628 38371 -12156 -115739 -92295 65062 144082 18605 225748 -193669 -30326 -98095 121571 134443 71005 42880 65045 -48952 90528 -188467 -1302 91121 -115211 30881 -119706 -54483 64991 44969 -42362 -12660 -59854 17478 49672 
+-93642 -15835 -34892 161511 -155498 69442 -48430 -11060 -123501 12122 -23650 -53260 50445 188119 -237507 -48117 29054 -105920 8001 47862 -174062 -172769 27215 -78654 76098 -61326 -68199 -22013 -37974 -62346 -205065 83578 -49645 -212035 79707 -21256 -77255 -108097 -113902 -67745 -143602 -52094 14059 91745 -38238 -2230 -156678 -58181 -236661 93018 103349 185688 179191 -66693 57829 -116302 56730 -5165 40502 -48585 51327 14722 -194773 114661 67425 30539 183142 -114683 -112834 223437 102239 -33890 209709 -27202 65011 38169 85601 -107572 122719 180661 -214627 -113973 66020 -48955 69539 -99308 -98991 -182655 -8662 7503 -66116 79951 141787 -2927 -53189 175069 48197 184073 -105677 29406 -21393 -44402 59890 25564 87752 208297 -78581 115273 -196349 -32119 -102093 71555 106624 213475 -23275 -38256 -25271 -157741 -42094 192121 -68134 99836 -5405 -51218 64411 -47547 14317 -133302 -32798 109309 120690 60504 121029 -43776 79025 -29075 -38172 -49078 6860 24563 30836 145961 -78918 -48103 87595 69599 113429 -190934 -7335 -92783 -93707 37615 57581 102760 114086 -50065 -41636 -66094 90762 91093 -13349 69557 132177 -40544 -7300 -89598 -246603 -16049 59109 70576 -163696 -91635 -100216 6528 155278 27948 -47163 -141597 -80620 -62624 -69 49366 -113319 37750 -81793 -7366 -19995 17901 65761 20350 43037 1928 -19387 83144 43865 101926 -122862 -114273 81420 -34676 -95791 -19853 93219 160934 53624 76452 -5516 -152763 -90002 -43029 -38629 -4958 77952 -29690 -121525 -88395 13349 80703 29010 209116 -170221 -51263 -23019 188805 117308 81623 40592 186914 -56117 101469 -167859 -16075 109457 -144402 11144 -127123 1221 15552 59051 -32478 -41806 -129983 118056 30247 
+-78691 -26803 -19269 148964 -159781 56065 -160970 -17075 70041 2709 6927 -39501 58675 118606 -280105 -100468 -10323 -154726 66558 44527 -189325 -126582 41410 -59223 77505 -85315 -100731 -33621 -5693 28238 -144382 22425 -18214 -196217 66924 -131755 -55939 -140124 -105990 -54844 -25104 -46080 89030 169999 -93630 18295 -178879 -46346 -163426 67245 110666 184334 212192 -49158 21536 -90950 74848 -7222 42511 -38128 66110 52498 -126701 149197 73311 57623 74183 -235268 -100802 71475 38574 -89251 217001 35366 81583 -124240 135533 -89653 130189 173333 -220330 -102118 161956 -24936 -5429 -238618 -121795 -153500 24382 11154 -71579 84599 144213 42134 18139 174964 49049 162844 -116461 -83173 -24626 -44754 62271 -32815 58766 202065 -65692 15074 -241647 27909 -75005 60364 4239 197490 -19325 -28359 -25589 -193153 78902 64032 -43857 81168 18467 -43157 154211 -55242 11508 -145439 -75376 58941 97354 61003 93063 -34957 64620 -40440 -66337 -52334 7035 55885 32115 45381 -53340 -94691 86673 137966 -9704 -183953 144291 -127800 -7607 24094 44165 2818 104614 -23101 38256 -93680 26356 22745 23007 69883 178086 -157858 -37004 -64024 -252647 -28006 -15650 111740 -204131 9278 63561 -78028 116970 -6665 -39799 -195586 -85256 -139544 8080 34130 -65830 -119878 -94648 24126 -66758 -51677 37330 40323 184066 -16943 -20388 70583 15475 86488 -142760 -143649 65712 -46359 -100694 -75152 82201 105091 -41985 110996 -60740 -170153 -100978 -2946 -81837 -116052 78558 -24450 -111724 -70815 44421 20310 -20387 149116 -2363 -88238 25694 130107 129272 118154 -16119 264576 -54564 106699 -46506 -8127 127568 -129704 -140504 -103166 71624 -18026 33985 -38175 -88458 -63872 98706 50885 
+-82619 -124028 21163 -6283 -79533 59033 -78166 -28111 76132 2667 30326 -40208 57885 24202 -324629 -142386 -35907 -195329 30752 31352 -211945 -125126 -44900 -69993 79060 -94701 -34050 5166 76195 142444 -56518 -42351 -14346 -207256 61002 -32241 -52579 -134600 -16533 -8358 24363 -51652 160733 188808 -87914 35182 -65948 -8799 -113435 48921 62964 59769 212493 -37488 -31296 -34645 19238 -23520 47694 -6472 51670 25691 20744 101762 67578 72665 -18380 -139058 -92467 -65295 16931 -126312 169808 150471 84665 -201090 132441 -22535 92737 117907 -226951 -59558 168278 -4362 4445 -197379 -68554 -114079 16504 7954 -33592 83362 148595 83329 82945 155084 23739 50621 -98504 -210613 -6096 -74966 76018 8875 17437 172302 -52095 -110559 -106748 105956 -7320 55837 -173344 176167 2466 -44201 -33573 -197350 146457 -78270 33540 100861 41693 5415 84834 -48689 3243 -83589 -128291 -53558 79905 56279 57592 -18101 48901 -18506 -9182 -36603 14760 138031 34883 -33620 -9317 -173203 69426 182098 -189706 4262 200905 -122545 28837 40623 7147 -160773 113600 18847 72648 -78885 67377 -29052 52025 97043 125557 -217137 -156 18716 -220365 -10154 -102429 135045 -216486 19393 123579 -113691 206378 -4107 -43329 -221182 -68908 -172174 21381 12608 9033 -206978 -101765 33321 -151974 -29198 40291 59087 166697 -6707 30380 56580 14880 89767 -76330 -130319 88202 -16805 -67009 -97494 104092 79433 -660 138963 -70629 -49801 -137155 78843 -178394 -194281 26553 -43574 -87404 -54016 17294 -25768 15979 87815 130900 -128482 47215 52499 135541 133707 -112400 144778 -60869 105909 -10440 2889 154382 -149109 -151059 -58639 42442 -65785 50913 -66024 -140301 80767 4725 -7156 
+-75150 -154759 14004 -78337 4851 52460 70664 -11200 -71217 -2383 31787 -45770 56475 -88202 -221030 -178361 -35643 -220807 59394 21883 -207266 -76137 -45576 -124924 76428 -81047 86749 -4622 119917 226266 4969 -89875 22278 -196394 80388 74257 -48833 -108830 -4415 -38899 -50257 -104396 178475 177362 -45972 25324 46012 -18882 -35791 21497 3141 -92513 138019 -54592 -119799 17942 -98814 -7249 42602 28730 37677 48687 125673 34610 51139 -11880 -13418 36367 -86100 -7418 -296 -139604 24842 209602 82944 -60403 113476 69467 47408 55094 -225910 -1599 109842 13163 51607 -19129 -4621 -114628 14964 21305 36073 82499 149945 71021 73376 189979 -24779 -63325 -39957 -268040 4645 -124849 73486 79885 -25929 126213 -36783 -166114 74052 188360 13954 31091 -207398 130590 962 -59597 -35450 -184099 52053 -42901 76523 31583 19542 45869 -52442 15136 -10266 -85306 -130181 -103966 8398 61168 16922 -10913 65075 -36064 110838 40106 -12336 137045 36889 -49962 -12464 -179017 44457 211418 -196590 178735 144888 -141736 53923 19716 -733 -179232 107412 -47177 87206 -67359 14958 32803 47695 115645 31771 -217117 2562 95312 -152735 -62997 -146564 121429 -219895 79834 127528 -50267 194036 -22732 -46689 -191004 -67503 -205721 1184 33829 132310 -106098 -114410 44264 -132317 46873 57063 67773 44451 -3130 77395 46640 45159 92677 -11543 -140924 79937 16603 -13676 -101551 72497 -3332 128954 111883 -135439 58206 -107108 120400 -97612 -233916 -30069 -42710 -52302 -58262 27911 -51921 37776 9558 96459 -160524 100092 -87966 93870 139283 -83777 -8458 -48366 96860 -59050 -15826 180784 -128069 -63611 -41012 -24627 -125724 21289 -47490 -112117 71959 -75903 13579 
+-83979 -116095 -18935 -18952 1668 19469 110415 19778 -235857 369 1932 -62278 54786 -167472 -145146 -210929 61271 -221581 69533 18348 -168582 -86670 -38221 -105950 81420 -75290 119645 12136 118206 254097 80579 8189 -48308 -184974 72772 181762 -54641 -122706 47700 -32553 -161567 -107010 133423 135636 -1098 2212 4457 33807 48764 4945 -37519 -58065 54550 -42482 -173169 40639 -196722 -14144 51824 27077 28628 38153 137050 2987 30519 -717 90452 71108 -80153 135785 -4923 -111431 -99483 147992 56788 117009 90900 107005 20395 5094 -202316 8925 -4836 20658 52138 99719 97992 -100359 -15226 8463 46420 81199 155359 84692 -12428 166163 -57704 -89507 -12168 -235778 6445 -152531 94205 133183 -58670 90152 -20489 -111688 115194 202543 57140 68399 -2902 73608 -5130 -56320 -39466 -148094 -52909 97212 169256 -4629 27307 79811 -74566 -3011 -30893 -88983 -110907 -132262 -54232 69849 -25963 6678 59749 -55318 199799 56334 -10291 119249 36597 -12728 86727 -100849 19554 224659 -26658 84724 5824 -126692 35955 6828 -21473 -102845 99496 -46516 118810 -93104 51934 97152 21006 107954 -9423 -113519 34367 77904 -74332 -29112 -171398 94160 -208626 87284 11338 38091 195163 -30873 -47507 -148835 -49934 -144863 7698 62551 190711 62178 -130545 1439 -81958 133122 33648 58881 -62748 -7356 69735 19323 109008 68496 55626 -180720 74783 69046 34405 -107338 42122 -122080 230433 120242 -102608 23826 -143655 141500 -70437 -168295 -86990 -64257 -11127 -75021 64941 -50571 80900 -61274 -67150 -156017 154330 -97732 111407 144981 -15475 -96258 -50735 84946 -175463 353 144801 -125985 22131 -74430 -61145 -170689 23254 -37602 -81010 -16326 -113444 10425 
+-111632 -2874 -428 104636 -100159 -2739 -39079 22704 -230853 9468 -16054 -63727 50241 -193435 -99040 -234788 85062 -218612 40138 60940 -124834 -27733 -47590 -63680 85263 -51080 21664 3148 140785 211388 114309 82645 -74540 -165620 86707 141942 -56962 -99221 70165 -2069 -198203 -136306 64784 63017 39554 -2544 -111129 31500 120200 4551 -30727 94771 -30280 -22794 -224905 -25745 -202965 -24320 55448 -1016 10985 56714 32610 54985 17677 9449 191244 -94122 -66699 219485 8230 -58834 -149661 28114 54714 63165 66030 131846 -33789 -72184 -182134 49258 -77966 16964 75393 13415 88961 -108714 -27203 6655 -210 82954 168030 68237 -101063 183701 -66294 -7109 -24562 -136305 4098 -123990 76255 107745 -74171 31626 -3499 16370 -25284 145369 45396 50050 130407 14877 -12334 -5397 -42775 -95335 -46812 225537 228564 -55209 -25486 115572 52365 -13155 -51091 -76977 -41556 -107638 -83284 68730 -67930 1231 78574 -52799 261856 66672 6611 133420 34053 82427 119210 -10245 4349 206200 116587 -104392 -89352 -79934 -40365 37750 -35771 93362 101649 -71122 113121 -72612 70 190407 -24123 142124 38988 -18957 -40533 -40688 12733 3497 -149209 57525 -187102 77812 -108216 46309 210391 -52445 -42763 -45227 -40558 -130404 -17060 25427 204403 94841 -134100 -4168 -12947 123785 16890 43014 4334 -8511 44315 25998 141985 78423 7380 -188387 82814 125681 86320 -130254 28003 -171850 216878 94140 -128319 -97529 -97937 87852 71274 9782 -124988 -61236 28340 -89627 -12239 -25951 39179 -94187 -197038 -137098 139542 -33978 132367 80918 32433 -70745 -38914 70982 -163339 -7912 182481 -107533 40127 -109589 -16658 -222420 -46503 -33166 -37722 -125928 -29922 -23233 
+-86980 -22587 -33750 183959 -153741 2981 -160805 51298 -88911 -395 -20399 -58297 49178 -140792 1620 -224172 121752 -200768 30784 69625 -117591 28953 -29253 -76204 82156 -25354 -67592 41019 179110 110145 116762 105616 -20990 -135498 67157 14999 -63792 -66292 53181 -11039 -101675 -148357 -22687 25040 -27323 17602 -187214 57122 136945 -39238 -45258 192594 -118585 -50883 -238625 -94931 -113909 -34309 54836 -34116 23928 29749 -111771 116943 17235 73590 102156 -216807 -63306 118608 46636 2361 -86309 -34408 70611 -97231 91313 115494 -83333 -136655 -146051 57347 -60041 -6245 69521 -187541 37914 -75291 -16761 44322 -45257 79355 151346 26601 -141448 186427 -102286 118623 -39466 -6525 1049 -75730 77628 22034 -68048 -3143 14564 113925 -202382 63383 80610 62386 18822 -41953 14188 -49085 -44302 -51215 73460 211984 263596 -99740 7517 191169 149903 -23945 -68499 -25961 -65668 -14816 -77684 55273 -105961 5346 75808 -56477 209665 19765 -12692 141351 31484 171884 169529 9966 3377 172836 60544 -194005 -71023 -29155 -94964 41322 -36590 128006 109428 -67468 44255 -65813 26278 169670 -63011 132019 124970 11134 -34655 -68064 88175 -3870 -76107 43436 -144204 19428 -173146 -19303 220160 -7682 -44715 18084 -6584 -57537 25125 54854 142875 -43357 -143510 -8519 12077 71199 26940 20566 144782 -8712 26124 -14911 138831 76618 -63094 -185892 101746 177837 132073 -137501 -11348 -218148 89266 45606 -84712 -180067 -104212 35589 147428 119432 -117184 -53039 61334 -87737 7024 20197 30964 -93408 -158881 -113508 108238 73247 118427 73224 22072 51398 -39717 63360 -107099 1850 163933 -103981 -33669 -125586 58860 -234945 -50493 -13182 -61576 -55912 61621 -7993 
+-77286 -95000 -37166 113306 -149932 -11769 -107724 101560 82106 14477 21418 -41983 46187 -37131 49526 -220947 116687 -153141 69530 52623 -58219 39460 26519 -56926 79501 353 -95604 27047 151081 6715 85521 51939 -23151 -108455 81640 -119300 -78296 -96706 18241 -46988 6169 -121711 -69559 257 -91287 35057 -93586 49047 127105 -41443 -83402 194166 -165901 -52874 -231097 -124706 19559 6464 71234 -44912 34099 31076 -178363 165520 28787 58373 27786 -171420 -70006 -41602 88574 40717 30829 31528 89980 -226438 45045 40688 -52480 -173421 -99106 6475 28031 -27532 58551 -246739 -76291 -41843 -11599 19060 -76066 77746 171247 -5141 -91392 163333 -97592 188633 -58990 90058 2698 -40859 63049 -29783 -42400 -52361 32691 105459 -238852 -8790 51610 68921 -185681 -88743 -28008 7472 -42007 -3311 140695 84393 255111 -189469 151 218627 104081 -28326 -97862 -76598 -126441 79950 -31549 68772 -138213 -9408 66703 -52209 99545 -38051 -7688 68915 30943 230748 197162 43833 16424 116229 -138479 -48266 52629 -49909 -169152 14365 -32669 -10865 96976 -96538 -2256 -59185 39441 120042 -93527 163625 176359 -37055 -14765 -15484 133915 18930 -431 43112 -90541 -29550 -180399 -109085 229795 -30731 -47603 81959 8403 -11294 4015 18658 116276 -188920 -147012 12790 18385 -22983 38782 547 186372 -25251 6889 40948 92330 70449 -140511 -216357 46752 215958 174476 -129610 -50335 -205801 -20761 7909 -82126 -120563 -84977 -9419 124210 171447 -61567 -40192 83194 -68686 -2876 83556 21474 -66259 -18581 -76432 96943 175524 77508 51761 -45076 201134 -34284 61873 -48488 10246 156030 -106907 -146354 -112338 68884 -280404 -40009 -27489 -108752 53914 116522 -33523 
+-81780 -160494 -12439 -15703 -39319 -11173 64118 131715 117976 -22656 42030 -38219 49583 74347 101792 -172857 78760 -112347 30927 22918 -36060 74938 40557 -94982 85940 31357 -50332 16864 122878 -86656 39520 -54194 14098 -92686 80822 -89002 -92017 -97003 -39602 -30229 4712 -68085 -81759 20710 -107442 25967 30770 23118 46649 -39790 -4122 -2000 -152843 -40500 -190997 -96785 81672 -9225 80428 -21096 53097 14390 -116748 106017 49176 27572 -32419 1684 -73858 17695 133461 51608 173780 157739 65152 -75187 58476 -17790 -64032 -177245 -53480 -32115 130276 -36532 33839 -136841 -147938 -67831 19575 49155 -10035 75412 164484 -75870 -9183 164306 -58867 147849 -110033 104164 15185 -51183 74822 -1914 -2389 -80736 51469 768 -96072 -45403 60157 84046 -166315 -114580 -34298 -9228 -43348 20949 60906 -36597 225133 -156669 -9888 249397 -41444 -68094 -126642 -56841 -158350 133064 40938 60690 -161414 -1430 52771 -67592 17777 -48877 1020 50602 32714 230257 234300 -47454 40338 51512 -223911 160333 129980 -79722 -184065 -27013 -24896 -183089 91368 -58439 -21887 -60141 63456 12401 -90515 144502 132157 -131541 -34478 117364 146008 35522 73857 71771 -63531 -92873 -71885 -128115 233817 -24270 -46456 79846 20856 4213 29602 22911 -15699 -185692 -152817 23071 -49776 -81866 15112 -23921 94225 -1697 -68752 6144 58484 49048 -167714 -198180 23343 227099 182291 -46724 -46998 -201975 -26794 45735 -58215 1256 -37664 -67612 49601 146677 232 -20535 89242 -54967 17950 145996 10907 7078 124044 -43547 60244 204247 100611 65514 -100665 242472 -24132 68722 -10183 31023 117706 -132469 -83956 -75341 -1841 -317062 -47865 -9555 -100450 55265 63836 -47328 
+-99349 -115224 -16821 -64313 8705 -6099 120077 137842 -45761 387 39730 -35466 53339 149845 100587 -127476 21740 -69354 13545 23139 7668 84910 41351 -104330 82390 55042 40980 8261 45169 -90165 -53098 -48985 -42701 -66909 73225 3964 -95894 -83430 -54783 -45843 -112658 -94740 -24507 72484 -46147 2716 27349 48924 1460 -44690 12431 -89999 -124317 -52083 -153029 -34215 46329 28245 80136 33363 61767 31863 18149 37502 65769 -23385 45277 80158 -74430 114420 147391 20366 240847 208147 65909 97539 50473 -73593 -14613 -169234 -16430 -55789 174148 -51688 47093 47246 -131275 -29003 22042 36379 12284 72340 148699 -112361 65128 201858 -58523 28125 -102314 57451 20035 -101882 60500 70779 40599 -92325 70291 -124815 79808 10679 40288 89598 -41568 -129421 -10193 -23670 -40769 19114 -64415 -52158 172409 -196480 17589 252497 -78427 -44571 -141787 -71831 -101637 87361 116398 56219 -174771 -12196 57162 -67217 -61436 -38448 -4174 38582 35543 165231 154506 -134904 66005 -9257 -112050 125195 186966 -101078 -162073 -45137 11855 -212569 101584 -63267 -74063 -88073 70257 -15520 -55979 181317 31828 -201743 -43930 88975 108349 47544 134562 116818 -11769 -118024 80594 -25241 245210 -6554 -49179 69618 47924 -12850 -24034 57666 -100994 -14509 -151121 31121 -72500 4119 16327 -28220 -30705 -5663 -110363 12870 335 48442 -87283 -213958 78015 218478 253008 -61021 -69104 -100366 73766 -4686 1538 56304 -10185 -55065 -84003 76083 60550 -29998 79496 -58166 11981 198210 31786 77895 97769 -31916 24584 115632 66589 99651 -63701 173793 -21828 80210 -119232 12130 113564 -116530 -52317 -44882 -77314 -315189 -5462 -39371 -78289 -29843 -27217 -45319 
+-72877 -45545 -8590 -21494 -13613 -17990 -22189 143412 -236516 -32956 6064 -53793 57063 185336 121356 -69395 -14979 -6156 8605 25443 29718 137945 47126 -100169 83657 86225 96872 49597 -20652 -31676 -122818 8422 -52897 -31335 55358 197548 -100426 -52834 -103466 -25052 -205371 -59039 40836 123594 37278 -2810 -62221 64226 -87605 -60250 44373 -7478 -50700 -64845 -56110 26915 -38652 -19019 83483 40903 44649 71133 126297 -5473 74134 -10530 158441 -52698 -81523 203237 122278 -37106 201997 145310 41205 93481 82453 -99437 6692 -124873 6741 -103432 139536 -39882 46956 85561 -54330 -41227 14694 32574 25195 73215 157776 -169278 74453 192343 -33492 -75981 -111930 -80359 -16682 -161813 78818 134065 76742 -95508 88642 -165969 112772 94986 -23415 84417 94065 -119783 -18598 -42163 -36942 -4700 -60189 73312 84854 -199824 30046 301940 20649 -81478 -167017 -83050 -54521 15329 119285 52450 -176825 -29017 76251 -51221 -46722 6375 1453 28077 37054 68656 155997 -165944 85331 -62266 75314 -64733 119973 -112051 -84718 -44339 35099 -59190 100304 -14540 -64570 -81496 96876 -4131 -18210 175676 -10254 -202549 -76093 6231 44151 76438 148791 127429 16128 -144841 140893 39367 253547 42190 -51937 12012 69625 -12708 -17030 24994 -80420 121527 -138964 17241 -112501 89679 -12103 -18789 -51211 -3865 -129193 39624 11217 45777 -16943 -190715 51458 196709 236788 -30544 -84398 -37167 198254 73673 43319 -18795 28771 -18928 -106787 9296 89213 -58881 55347 -74265 40510 236776 34674 155819 -60286 -43242 -52595 -40896 94641 121376 1156 -15050 -16348 93999 -192502 27808 84843 -97199 50747 -57591 -10878 -303696 26134 -24770 -46760 -112613 -117437 -27731 
+-75854 9551 5084 77764 -86374 -9494 -162782 143357 -264884 -9193 -31078 -60800 58114 130783 80058 -18295 -10569 29365 38524 48561 69414 147262 18225 -103367 84589 93466 27029 22847 -66168 74564 -192223 56895 -55362 -1727 68344 188847 -95606 -82378 -118018 -85 -171396 -60128 133060 177029 2162 16898 -184523 9777 -169239 -22777 90006 135356 69572 -42616 -13589 37025 -160807 -13170 91971 9509 35365 64344 133871 45827 66487 34747 132165 -212908 -94240 96251 88831 -91248 83075 27255 48374 -80833 75879 -75222 38310 -66568 44023 -72314 32484 -22290 35410 -74952 12566 -14662 -19038 20362 16771 72666 146305 -191668 18935 187445 3786 -82142 -90314 -193935 44802 -149554 63264 106228 97474 -91695 107031 -95567 -34030 171427 -33199 74951 52872 -89196 -5129 -52066 -40927 -44316 72804 199457 28413 -240961 -267 305036 120247 -44563 -177741 -82808 -43122 -96506 126019 66250 -168026 -45892 49105 -61642 71424 35261 -10590 44928 36123 -14978 72909 -154382 89058 -100270 97253 -199172 -21498 -139397 -10449 -58969 49393 70516 76303 -30884 -37108 -91072 123815 48245 28713 152721 28297 -154572 -91522 -44719 -40240 39179 123617 116657 62176 -136858 105892 19006 241536 23542 -51800 -73531 75659 -57846 8369 23555 -45422 61490 -135706 4736 -129964 138193 20122 2409 94431 -8317 -82214 31924 61023 27726 39828 -181624 77448 157235 274004 21738 -94708 61791 226416 13210 66227 -146705 49427 78979 -181061 -129941 75651 -46627 20086 -89799 52533 250116 14400 207360 -186140 -69548 -88831 -117257 84882 138155 57668 -85797 -12930 103444 -157745 28836 39640 -113276 -14829 -88807 46636 -235939 29812 -33689 -49561 -55715 -74758 -92812 
+-93382 -82693 -32595 180685 -200841 32475 -104880 123169 -119981 -21416 -2488 -60513 55199 38283 24324 26277 -17518 53699 40756 54823 97920 118606 -22536 -95702 83984 97008 -50239 6142 -83075 189425 -214607 112686 -18444 20067 63356 63828 -90017 -71985 -79434 -27593 -34133 -44575 183638 192231 -22160 34867 -148459 12760 -236420 -10869 97542 228544 146050 -59385 37113 -4148 -213834 2890 101662 -28517 16512 30647 10706 125483 50350 79235 32351 -196405 -102541 -52058 51574 -131023 -74623 -31796 57598 -193469 88370 -9263 93938 23112 65222 -70848 -57162 42 61360 -225713 96559 -23901 -63028 46421 -43188 74792 153633 -189321 -63271 187675 26069 14072 -46829 -256601 -28713 -122959 59554 29955 97083 -53775 124754 30632 -208096 196540 -46847 53024 -185428 -31239 -5092 -10738 -36804 -102883 140802 215119 -46568 -241908 36367 266862 119164 -25375 -190474 -109007 -110161 -147232 73071 63578 -148332 -74968 63143 -54567 170202 56184 -4068 25961 33416 -47838 34709 -113880 78097 -112326 -51131 -108019 -90381 -139975 48553 -76295 77850 93558 76169 -9772 -13037 -73670 122334 167301 54373 148360 123836 -47898 -96958 -24098 -113066 80864 46665 91717 48899 -67741 -39168 -55306 218050 -17185 -47927 -155494 84628 -119398 41653 65460 58375 -149215 -135759 -7679 -66362 82016 -23480 21385 194694 -3755 -25006 71814 106134 24770 33973 -159712 70746 104345 246354 18927 -102732 118679 122627 104605 144097 -154868 74918 125711 -134580 -208125 23557 -53753 -20227 -88575 45753 233962 46180 229369 -156711 -118660 -121418 -70581 47565 125703 4613 -99449 -4340 107197 -63210 22870 20786 -99350 -103210 -123524 67038 -184927 63354 -19808 -66362 12203 4771 -66157 
+-106229 -152647 -12546 88118 -139838 -5890 72978 108025 81339 -14264 33191 -49601 52862 -83048 -26760 66205 29470 66740 26749 34357 101470 132401 -64613 -120437 84678 89008 -83183 21003 -97381 248296 -216737 35378 -8146 43519 81467 -95778 -75417 -56093 -71750 -3687 33099 -103387 187647 177163 -117330 26527 -40692 -17916 -217946 18408 123765 101741 189600 -21708 47938 -69835 -156004 -9290 107951 -51465 4800 12189 -127433 143201 30750 49049 -35784 -20720 -115860 -29395 41426 -143681 -136752 30015 82453 -132666 112899 54200 116061 95325 78029 -7258 -76500 17137 86754 -229350 83173 -53527 -13008 34541 -41811 75660 142517 -188299 -121394 173944 23011 137196 -17291 -240708 -41417 -63833 41386 -27865 74914 -28171 141553 120645 -236234 165056 -118445 43367 -175526 17812 -17665 -38267 -38000 -150127 61831 117461 -83931 -225223 44092 243591 -10590 -31309 -190113 -110219 -130425 -138048 -14766 65554 -119247 -89638 63369 -51050 258927 37803 2040 85081 31109 -28010 -26521 -32968 55774 -116275 -215117 104171 -46669 -106486 43460 -114918 89743 871 81794 -41650 47602 -92658 99156 184081 46139 137431 177792 18435 -95585 85466 -199967 64095 -36513 51063 65676 -10881 -154970 -117902 201058 -11088 -52473 -213846 100477 -119351 8746 42341 163984 -202345 -138409 15884 -3592 9597 -28555 44508 134530 -7503 12863 51310 114758 20266 -42378 -169562 34876 47813 206525 24575 -90859 117419 435 117767 165088 -69560 95552 118758 -43997 -192718 -41274 -42084 -59732 -72555 35553 200326 8813 204264 -11990 -142658 -118809 43697 51451 85829 -80002 33841 -2770 104640 8955 27414 -24186 -89354 -115887 -128436 2385 -122594 65593 -20804 -88530 101507 90244 -102267 
+-61799 -125307 2747 -28757 -70701 28424 129062 86171 79510 -4065 43027 -45249 48397 -161791 -121811 88645 98837 66818 76462 37663 83251 129221 -63585 -87006 81288 92178 -42325 34569 -107787 243677 -183017 -7820 -13758 50981 83510 -125781 -65515 -26642 -12385 -27154 -34079 -139775 120114 113263 -123616 3291 46038 -49297 -222047 15454 114020 -18122 193169 -27916 54434 -138155 -35551 -26423 112881 -30390 28772 27458 -183094 92608 17826 -9334 21021 80518 -123035 124020 -14622 -110142 -122184 153062 81670 60268 141774 120669 115529 143355 65083 21813 -8403 18858 51336 -55700 -682 -47214 -7959 17060 -12234 78966 153971 -146684 -122389 187111 52217 190135 -44733 -143039 31221 -35422 47798 -6679 38406 10742 157480 95325 -86856 79763 -117141 33491 16235 83523 -18643 -75336 -26410 -183471 -56722 -32923 -80918 -202699 -6960 188906 -89455 -589 -190177 -117806 -139295 -56409 -72430 65472 -83542 -107878 41692 -59642 233482 -18442 11240 106852 31150 52565 -37666 15973 28668 -81287 -167815 184410 47044 -100559 47802 -143031 85196 -166211 80832 -111760 88811 -92047 21128 92606 15978 133453 134126 -4939 -147334 111017 -241181 107164 -110526 25872 48505 35716 -169003 -112886 245932 -35100 -51675 -216024 93513 -177446 -10273 33052 198822 -105169 -114097 36763 11437 -72451 -6130 63663 118 748 37425 93373 119135 24307 -127804 -153339 63561 6731 194816 -16493 -66298 142273 -31179 130129 184496 26028 152415 97285 65646 -132243 -103310 -33462 -93220 -57290 26028 143909 25322 156142 124780 -162685 -52788 178979 48545 70329 -96229 181468 254 94706 -70271 46518 -34980 -105323 -16421 -97813 -46686 -76773 49425 -45552 -119181 -21365 102358 -79400 
+-75161 -57430 -45103 -95371 21843 15884 1038 56464 -45062 9098 11937 -40800 46875 -192127 -163135 93304 118170 45696 62712 18257 88700 116631 -19386 -57916 78879 74283 69242 27382 -117832 166538 -129577 -30465 -72077 71029 54834 -13197 -61067 -33821 26520 -48351 -166301 -157963 39779 52027 -61192 -3073 -7252 -47444 -173465 53582 113898 -72401 202913 -44845 -15794 -94748 61907 -13757 112122 13739 42620 44659 -95362 35473 17090 11874 108603 -9824 -130223 215451 3242 -53832 8757 213507 86248 150633 114623 123838 125141 172250 50805 23102 87409 3898 43933 87042 -104261 -62557 22670 34820 40023 82212 154391 -96781 -35159 202076 7435 130741 -37610 -22018 -13051 -68418 45625 71245 -6055 54905 172385 -13227 85514 5353 -151751 63334 136510 134718 -12804 -69017 -24787 -196536 -64893 -57492 -54296 -187258 6453 156506 -4129 14641 -182384 -125076 -76923 62104 -93358 53624 -42050 -124163 35629 -44486 176103 -51937 13089 144496 33460 150219 -49032 33267 9669 -34832 21561 -8770 199313 -63693 -47032 -190278 68404 -211473 85208 -81156 91930 -96411 37675 57351 -19802 141111 47037 -80974 -142265 10278 -255552 94475 -157329 46570 17259 80071 -125093 17869 216198 -27973 -50371 -180626 90920 -218111 -16414 46087 178962 58771 -141547 25448 -12347 -26677 -12818 66312 -64842 -6034 85594 85072 64337 960 -157389 -132656 50716 -27520 159746 -48584 -33098 124682 42828 163043 178985 28214 129792 38476 121654 -53950 -115831 -7228 -115023 -56391 44920 79665 15025 68393 103649 -155005 -59185 192820 -10662 54440 -32031 247734 3889 80391 -157306 33372 -53982 -106556 29992 -61889 -34606 -30402 45492 -37305 -68285 -155694 9668 -52174 
+-81421 -18591 -24976 -3875 -24253 78395 -157138 21155 -196869 -13208 13125 -41839 46987 -138434 -256629 83488 136113 19312 32665 43393 47950 102592 12343 -71764 81598 37960 107182 12992 -109827 64814 -41092 -27855 -38899 86861 68027 139872 -51269 -36880 40358 -41904 -194760 -147580 -30724 5104 3084 16170 -125179 -57364 -84877 77036 42122 9181 124015 -57922 -39706 -41172 75755 1548 123372 36837 50542 54617 41330 -1186 28967 36344 169105 -181438 -128750 118837 12636 896 125011 151009 57474 -48961 100887 104753 87193 174645 49547 27599 168701 -1925 54764 28471 -121081 -56582 17883 31253 20552 82149 136922 -67255 34597 202195 -27331 5901 -45107 90517 33454 -118921 20080 127932 -45033 111388 185693 -129549 107907 -37897 -158152 56655 -9800 175455 -32414 -44656 -22400 -183243 66746 56125 16095 -135941 10959 137622 121194 10627 -167022 -139459 -59034 114858 -58671 55155 429 -125974 40509 -69467 51983 -47024 -19457 150155 36086 218108 -34461 -53579 2074 32921 119511 -181776 169466 -51952 -94510 -141129 61329 -73759 76296 -79508 99511 -41160 47338 -33531 -65051 120932 -11297 -161857 -125725 -75020 -228642 125148 -168669 81617 -18974 107906 -8897 64726 181357 -27168 -54739 -138522 89088 -163635 -29417 26785 134203 79081 -114328 -18900 -70523 15165 -22920 58855 15567 2819 90327 101328 34833 -451 -109508 -88702 24160 -40406 122488 -57206 -8390 70871 197796 111793 213321 -88310 118523 -21635 119922 61869 -109554 -16923 -121898 -73827 50226 23538 2368 -6939 -69426 -120716 -919 177465 7636 65795 23702 154760 -3492 69274 -160669 27075 -80425 -135351 -20058 -45383 32721 24985 23723 -21512 -28678 -71150 -74638 -39611 
+-68674 -51180 -35916 136966 -118143 59293 -116988 10440 -260861 203 -10455 -52928 52091 -43145 -327620 69004 99234 -22538 -3600 60338 19234 54113 30470 -85619 87511 16671 29623 15468 -46626 -41268 24204 55597 -28914 96117 54042 208266 -51769 -14104 57780 -30644 -106778 -137523 -96585 3822 21460 34691 -199568 -51715 -30076 97186 30606 157390 19113 -47413 -120512 21542 929 -42537 125802 17446 53546 40611 135863 51333 47287 69350 78315 -211810 -130723 -39691 60931 41367 226942 30157 60389 -200736 80487 48484 67520 165226 7497 12593 155638 -28919 31150 -144055 -113164 -93101 21899 30159 -7351 83415 135024 -932 76535 193792 -29697 -85279 -70054 100606 -6425 -142539 27068 110857 -70031 148388 197631 -162883 -44556 -9198 -177804 71383 -155584 201739 -34896 -28306 -20550 -157042 148051 190568 88923 -83725 -14209 109517 120270 -1916 -152351 -122084 -99576 118225 18467 49176 42116 -145705 52583 -37035 -54008 -9007 7987 174420 37109 237378 -46588 -128863 10700 84768 18484 -134880 51199 -6739 -179246 -186627 49401 83215 66730 -46880 53559 -90487 15906 5535 -88870 91441 27291 -254176 -130612 -32467 -180007 69372 -133391 113717 -38671 57902 82661 3851 186669 -1159 -52160 -50386 64668 -146580 39795 74901 50416 -45772 -112100 -3837 -98719 81955 -1189 38607 156306 -5733 42193 134781 21937 -6502 -44714 -61917 43163 -31603 61284 -84380 20691 19308 226517 73066 222023 -173819 123112 -64195 43788 163155 -49743 -40502 -112451 -90474 38832 -24562 33253 -66745 -194128 -89256 29138 11817 922 91611 53612 57324 -210 61662 -111109 29962 -80774 -106328 -96700 -60456 79860 52233 -2283 -29266 -34985 29662 -94800 -67457 
+-74673 -148221 -59110 204930 -154264 49386 45752 -27696 -124763 -3438 13493 -63870 56973 63258 -351307 25260 53271 -80973 12127 56575 -836 34567 43392 -69740 81001 -16674 -58604 -14776 12781 -88947 100898 75115 -35 105317 76528 114022 -68918 -3911 64393 -2509 12845 -98581 -71335 31718 -44584 27136 -86222 -13798 46284 130508 17760 189554 -52743 -65900 -181293 31655 -105309 -17520 128746 -24830 52302 64277 122486 134715 65599 66494 -9124 -66738 -122718 -50708 96037 51313 216850 -32336 48077 -178461 55092 -27514 3560 114115 -25726 -40026 73742 -37771 52560 -249865 -30183 -100823 -8176 12731 -61244 81886 127824 36262 49246 188729 -82165 -71443 -113451 56745 -2437 -149201 41224 27516 -73284 185373 207958 -90023 -214499 68581 -164308 59659 -201651 205935 -12142 -54509 -13993 -102701 76265 230500 161540 -21134 8882 44106 -13519 -8906 -128047 -117982 -131531 11836 65889 47523 79774 -156586 16236 -40449 -47098 15746 -16826 84590 35462 185753 12657 -186151 31033 145066 -165283 53355 -61328 -62339 -205182 -187066 12922 121684 90283 -2246 12574 -50119 50085 70440 -89101 102125 119068 -153980 -124104 19256 -81495 107243 -63667 129029 -113620 8335 135210 -76797 198445 6697 -57887 30983 63464 -41791 39739 66823 -21718 -204172 -103589 24346 -141085 165110 -35360 14780 196215 -326 10271 128315 27058 -21294 33216 -73126 59469 7793 10888 -89665 48050 -38768 171109 74227 188707 -127052 123673 -63126 -121182 131709 17028 -8364 -88639 -88234 39113 -52246 11405 -100109 -172265 -61675 113406 -78153 -34578 125492 -21953 -111507 -3935 62918 -11322 2029 -102961 -132827 -142044 -104529 966 55187 -32377 -51829 -67238 79754 -52829 -19835 
+-78783 -140841 10259 137215 -119242 56873 116722 -8436 72122 -19581 23793 -58233 57680 146866 -294634 -39614 -29368 -137833 1016 44972 -39314 -22345 57216 -112780 82333 -33801 -73818 -9122 53411 -71707 128593 92208 -9121 115299 80621 -66956 -77123 16294 -231 -23383 12116 -41244 -5647 83964 -97807 3859 29675 19599 106071 147069 -23988 80651 -134054 -32058 -223648 -15036 -211650 -31041 127184 -51242 25990 3607 -6984 143529 72954 -9492 -3414 70280 -118807 130418 134158 17710 102519 30491 83038 56466 58309 -82944 -17524 30350 -68367 -108634 -23077 -41629 72959 -166869 69469 -98380 -32712 19622 -44779 82760 128494 82052 -32687 194369 -87676 36668 -114456 -58686 -17795 -86510 69304 -20011 -57095 195766 216728 44593 -231158 145676 -157436 96987 -13518 191416 -15524 -124569 -23658 -53234 -55822 128609 233886 -22445 14104 -26454 -95085 -38190 -107590 -92927 -138574 -58809 132301 49902 110954 -170334 87031 -29300 7692 55290 -15338 48391 32709 100729 80346 -154841 56761 189281 -207743 169968 -80147 -98581 -178943 -175900 -10551 -53372 87685 -28784 -17683 -78756 65499 159942 -64552 67564 173351 -69176 -109921 111179 1107 88139 23946 116850 -149560 -44031 88609 -117210 169538 -8730 -48415 73693 33932 1135 6808 59533 -62629 -172564 -86083 24022 -98329 69427 -16479 -6440 72479 9841 -27367 104937 58407 -23521 20736 -43037 49637 51521 -33718 -137261 67897 -128786 25407 -3159 151384 15613 76413 -7820 -184812 119934 66465 -63873 -54146 -71770 46945 -50978 26334 -96603 -6682 -30354 103032 -87022 -39896 159324 -88056 -116894 -2931 70276 -61308 16194 -107373 -113795 -108588 -134087 -57493 86234 -54260 -62168 -90705 -812 56160 -54385 
+-97473 -53356 -24579 -11202 -39789 53469 13404 -23258 119850 -4157 37287 -49268 57456 181844 -230152 -88638 -40345 -190398 -583 18829 -84761 -27829 11414 -91955 82666 -69077 -23796 -18175 74336 11246 115825 -14464 -24138 98638 86742 -110869 -84561 -176 -40389 -14190 -101812 -34980 81172 145297 -101455 -3288 19504 45875 115705 137034 -44501 -67884 -161840 -41935 -257972 -71854 -191639 15710 135180 -38171 14349 30257 -137474 104912 68324 -28915 119663 24874 -111192 228951 117612 -34107 -34913 155183 82423 105130 43834 -104189 -45549 -24854 -108379 -110657 -83330 -33349 55889 26087 114048 -92597 -40242 7832 7169 80031 122843 76964 -111087 181458 -89546 153690 -106976 -184725 -15855 -50527 46134 -11689 -22582 215848 223460 120428 -78263 203437 -134525 84778 117560 155971 -11520 -84974 -17770 -15363 -48977 -24934 279995 36105 -1744 -49460 -36616 -65754 -76169 -142079 -93840 -145376 132376 47699 133801 -175858 70304 -44319 128457 41298 10836 23222 30979 4288 112786 -111383 79103 207002 -61518 48487 -10200 -145833 -118926 -205925 -15860 -150577 93513 -29795 -49949 -77396 94614 184686 -16743 78419 142458 -7352 -99433 56288 68380 88082 95649 81338 -198372 -94617 -56310 -45206 147904 -3249 -53358 90628 26248 3071 -13606 32372 -52515 -7009 -83349 10833 -72070 -25091 -2437 -17457 -59261 -18196 -86007 110857 122786 -39923 -20437 -17360 48567 101316 -67367 -144655 89950 -191624 -53927 20270 91011 55429 51977 71335 -174795 28555 75646 -39177 -13404 -56625 49541 -24536 7006 -57967 124725 -35635 132681 9633 -44836 159255 -73646 18043 -4882 82987 -98654 -24342 -92401 -124728 14113 -115492 -45162 108425 -84125 -31691 -103203 -104763 108115 -36273 
+-90562 -22865 -1309 -75802 25225 62428 -139648 -13215 -33018 -6558 11619 -38134 52918 142631 -162685 -126631 -41618 -206837 38933 29856 -108110 -67432 -9663 -104302 81608 -78837 81039 -2930 164402 135983 70264 -73971 -13004 99843 68875 -77214 -92266 34617 -89934 -15677 -203840 -45855 141835 183289 -36242 15478 -109039 5986 93266 153400 -49550 -59935 -155510 -34206 -237553 -126007 -100570 -7968 130683 1929 13786 36667 -184204 29341 53087 -9967 167109 -154687 -98070 111269 139589 -98668 -132707 211344 69181 -37969 89863 -81197 -44664 -82185 -146911 -100294 -43587 -20280 54872 96080 13908 -148141 -16729 1778 47481 77744 117282 90901 -143742 184866 -64307 187580 -69946 -258404 13089 -43411 48170 65239 20000 209189 228583 87056 91503 178487 -125599 93278 -11347 104458 -15984 -43144 -14387 15491 62225 -55936 267751 77745 -4877 -64342 119868 -54248 -54468 -120979 -37350 -129336 108559 53954 146038 -160792 47069 -20518 220006 20899 6226 46212 31568 -50497 159013 -20753 89784 217413 103980 -155163 130928 -139355 -18670 -216524 -45232 -223521 84152 -53111 -75975 -82595 97294 161634 23957 57732 51962 17861 -80249 -36498 128809 124008 138600 50153 -214408 -151857 -164370 28312 123801 -24558 -56200 65364 2202 -17989 -9765 51426 17042 108172 -72568 25502 -26702 -96782 25083 -27220 -25825 -1686 -64853 111492 138887 -50981 -107390 21612 57134 158669 -85889 -125246 84823 -199796 26464 -26199 72027 -22806 22860 134738 -73010 -68757 46003 -43448 26617 -54649 60713 17376 19106 5672 96502 -56767 178860 91176 -56127 84556 -34499 187978 -26144 95860 -196459 9864 -78323 -56960 42024 -82544 20430 72389 -23373 -53245 -37809 -119208 105104 -55098 
+-67443 -51835 -4008 -16773 -18499 29119 -143771 10167 -236074 -18366 -28110 -38665 50707 43540 -98738 -170513 22220 -223265 23392 46097 -139432 -122813 -52013 -109314 81649 -81691 118287 -34183 136074 215387 33231 -30720 -46571 81416 55770 66774 -91783 5649 -129882 -40052 -167690 -47615 197162 189281 15038 34471 -220990 53601 49910 144856 -54631 28376 -95311 -57862 -184782 -98708 33043 -17305 124408 31125 19462 49645 -83900 13959 33695 48782 117580 -226603 -92725 -37403 75228 -137380 -136989 149209 42241 -184816 86845 793 -50103 -156472 -181136 -71702 61172 4638 33776 -48811 -22209 -130652 14351 -3582 51301 76055 111749 74367 -81893 184344 -34063 111254 -49791 -244373 2601 -107955 62891 123590 60924 192872 231361 -30117 104210 104091 -83283 68627 -176511 44428 -21800 -86553 -15016 13231 145014 29461 253952 98363 -910 -70891 159568 -62988 -36724 -121199 -90162 -84846 31711 62291 147168 -166950 79905 -29188 249128 -9711 -20260 41466 34120 -38875 191552 -3427 84466 203015 83778 -158764 185015 -136754 37113 -203893 -41222 -73465 81682 -59636 -51705 -50997 91306 40688 53312 34551 -7514 -48635 -52053 -47860 137254 87562 139427 34293 -202771 -148015 -162463 68020 109567 -1224 -58483 -13440 -19774 -32248 22862 57582 94014 49284 -50754 -9502 9998 -26704 16883 -21790 107828 1502 -89153 109645 119406 -33146 -150803 11521 95972 194900 -100854 -103049 101233 -230339 178528 -2416 -6137 -136619 -21845 113087 196 -215141 -2959 -42625 60348 -72426 45518 81892 14249 86644 -58334 -95456 88801 197631 -80312 60960 33946 251973 -16413 105451 -132963 1610 -74404 -89952 -17287 -56627 69634 24363 -31051 -48845 -46819 -25476 -4022 -19457 
+-63810 -126210 -14432 123973 -91746 14293 40150 55022 -245716 -18317 -9037 -47049 49023 -74490 -16644 -205800 76815 -228903 55845 54051 -164621 -126254 -41599 -121935 82469 -83617 26380 -36138 161556 265475 -61085 23451 14982 70638 63530 187303 -96356 18366 -135673 -35734 -37384 -118167 165616 163776 9603 27692 -143968 70454 -41666 136793 -35265 173737 -19446 -41456 -122213 -50686 94436 -51136 126465 24735 35248 48934 63276 39097 17674 83541 4643 -106171 -81241 -27013 54632 -138525 -55456 24021 62331 -149323 93160 84183 -20214 -169735 -211540 -39476 151216 10314 18495 -208648 -119776 -175137 35311 5741 2385 74672 99711 33145 8377 182272 -49941 -14960 -22332 -161972 2788 -137286 68354 127050 90529 152892 232463 -139119 -51808 14607 -64297 79884 -180353 -11493 -12726 -133408 -17869 -7637 65658 209047 183491 80581 -2988 -92906 32202 -32264 -11990 -83363 -106675 38976 -46915 55669 137296 -163628 51426 -52217 199025 -54689 -15559 46154 36592 29548 178945 29544 64708 157920 -108586 -8267 146794 -118596 66458 -208103 -35827 95164 96770 -85647 -23451 -64998 102626 11213 48965 7595 18840 -168652 -70883 24263 113698 87676 111216 42597 -219117 -116656 -93228 -26568 90417 -29222 -59850 -85464 -39343 -79812 30242 20433 175846 -144011 -57046 -14462 -18548 33303 -14021 368 205604 -2309 -69171 87854 88885 -46002 -109088 49418 60791 226915 -82609 -91388 91465 -129109 226780 61939 2296 -162945 -51323 88999 137016 -213516 -66233 -29970 82282 -88586 65240 143772 -4450 160613 -191089 -138070 27531 190966 -58945 93823 36962 192737 -33626 107864 -45222 -9819 -54038 -65348 -114426 -54473 6930 23460 7732 -38039 -64132 81595 -80245 -8898 
+-101790 -154286 12795 183363 -193879 27383 129033 60407 -110705 -4882 21992 -57546 47830 -158524 24878 -236857 129796 -218226 71126 52980 -195867 -172769 -61393 -122496 82122 -68032 -48315 -31978 140415 230533 -144382 87832 -5473 45905 54014 168139 -83459 29810 -75062 -48796 46494 -132857 98406 108619 -67896 4426 -12057 20892 -60703 120382 6865 171402 86992 -64678 -52796 27632 54002 11012 124283 -15486 56287 39959 145081 131944 17152 33294 -20588 54453 -76696 104328 29850 -107246 87967 -34176 68034 -25696 86093 108092 31324 -196109 -221557 23604 176344 25352 55547 -240325 -140019 -154608 -10413 14162 -60795 73996 92279 10198 67100 184180 -12149 -91491 -21579 -37670 -2814 -159271 79697 43198 99990 131879 231207 -157121 -220113 -37194 -1281 81940 -21312 -68926 389 -151835 -17155 -39687 -56088 240565 72982 90022 32929 -95427 -58722 -27931 81 -93787 -131507 80298 -83158 71368 116825 -150882 61224 -43263 130870 -38621 -20751 75292 36860 125942 206699 -58196 39239 96206 -226748 150207 34718 -67263 27459 -196084 -8768 135006 83634 -74401 43521 -52526 79694 -58226 23582 24666 110302 -223584 -45311 111088 64279 83624 30236 76599 -196351 -57521 27879 -92037 60757 -30128 -54723 -157711 -61850 -154325 15331 48483 209903 -207506 -27093 24213 -29770 145871 12739 25389 116564 -10668 -37463 63420 39375 -49185 -53195 80588 74256 236765 -73757 -45118 77411 -80406 191461 85610 -78464 -55959 -56608 32366 129598 -182670 -119997 -24984 89461 -90518 47096 198211 3831 212232 -173908 -156644 -14980 30538 -57655 57832 -45327 25573 -38589 102588 -9819 -27465 -16408 -85176 -94427 -89547 -46487 -41662 22082 -51054 -66004 -1355 -101485 5550 
+-91163 -89237 -49991 119305 -102773 -1179 8004 114273 48312 22440 27303 -67184 49634 -190752 94423 -229032 118116 -169220 76338 36448 -200002 -177748 -32143 -91279 80674 -54738 -123860 -42182 109782 115889 -196871 46554 -29500 27727 55139 37469 -71861 30942 -69324 -16696 -27459 -132560 4754 33389 -115642 -3517 43373 35306 -160452 86491 54159 57850 161093 -50238 349 28896 -62624 -31176 118228 -37392 58422 6366 98945 147018 27181 10177 62199 53944 -65177 239511 -14512 -53478 203464 32330 82488 139152 128359 125805 57219 -151337 -239206 22476 110566 3892 56529 -78716 -85891 -164096 -3119 5483 -40508 72352 95003 -58077 64561 179075 41972 -57949 -45829 72942 -32218 -127729 67499 -29568 86548 73710 228227 -68025 -227692 -21070 9335 45912 100672 -108853 -8938 -132830 -21881 -96847 -47133 156986 15924 93156 -3178 -88801 -49748 -11878 6468 -61228 -115562 122650 -87468 60462 87520 -135855 52600 -22010 3461 -13794 -12849 113255 34875 206157 159275 -147993 15043 35542 -128723 107039 -47184 -50217 -2495 -211129 1215 -17496 120226 -57468 78482 -72795 39255 44390 -15154 -55444 174024 -206110 -33009 113892 -17567 48420 -50545 112557 -177347 17158 102826 -99623 48786 -21841 -49954 -202938 -71005 -180029 -20058 72430 208124 -93509 -24987 47242 -87299 169975 27796 47724 -27521 -11150 7827 76769 16366 -76054 18133 75969 67488 217525 -61685 -13526 56770 -21859 59355 111968 -71587 40279 -117041 -42853 81066 -59330 -121185 -40237 80368 -74160 52852 235609 -1412 220497 -17519 -162816 -39833 -73545 -95504 51405 -114130 -78141 -37433 90384 -106005 -11142 -2472 -98844 -55179 -118261 -46945 -85296 60218 -47675 -116713 -91008 -11340 35113 
+-102806 -12670 -61689 8742 -32574 -13082 -137794 116025 102241 -20970 21605 -56518 54439 -146055 100466 -212257 74867 -138557 25914 13696 -194008 -197245 22507 -89596 79644 -39539 -20450 -8047 78103 14386 -246129 46845 -28462 8332 66361 -101655 -57701 13182 -5948 -158 -151525 -134710 -62720 12085 -113059 14755 -65716 15902 -220203 61138 83569 -66581 205508 -27357 48197 5140 -184405 -34460 114859 -49272 50984 42626 -36438 95390 45853 21 155971 -124849 -71903 118527 -11313 1028 236111 154841 60014 29751 120907 89605 96019 -97985 -218391 10130 7212 -8285 76395 73010 -13556 -165456 -25865 33556 3530 75074 91302 -98212 2447 179624 3417 59014 -82658 115921 -14745 -73063 91083 -10787 57035 44494 223179 55334 -67597 49842 55925 44472 33902 -124489 -25196 -118812 -22243 -138207 59244 -18320 -59451 69228 28206 -34442 81204 992 17417 -76149 -66401 45267 -45666 74286 50447 -106304 52730 -52933 -51673 29602 10027 137009 32100 234068 99998 -188117 2336 -26682 61345 -129007 -62436 -39643 -94006 -155109 29771 -174909 112080 -31896 101222 -87603 27759 119208 -61436 -51145 149488 -93164 -24033 -45561 -99968 69361 -121971 138307 -122514 62486 114364 -24769 53198 -25780 -61335 -220800 -83761 -192446 -14901 36236 119735 68461 -29653 24586 -149239 38225 22870 60013 -28010 -24330 50270 48999 37610 -39002 30890 83939 101980 171363 -16125 25331 15073 99117 -31138 142875 -109344 24777 -116155 -55290 15404 63887 -89178 -51641 56560 -56012 42237 248068 21349 203157 122775 -136597 -80309 -119648 -127413 101133 -75424 -145092 -51181 76881 -185221 -2750 46434 -105532 64246 -121318 13714 -139047 42119 -57198 -78516 -99142 95637 27628 
+-99433 -38300 -37303 -64408 480 -9655 -140234 119343 -29557 -28494 -18858 -43165 56160 -48049 112741 -196106 46686 -97274 24474 20348 -182429 -169550 50921 -100678 79093 -11115 74595 -47599 1249 -73713 -213592 -53818 -27033 -31676 76428 -97821 -50176 15283 30166 -19940 -198886 -119186 -96043 8571 -19501 34225 -178091 21503 -228005 50692 140470 -69238 211024 -38694 52595 -102847 -210749 -19596 113912 -6621 31238 61736 -159368 31656 63901 63271 139282 -235379 -74106 -47903 31971 41724 157375 206382 74506 -149447 102049 32336 113683 -37936 -203940 571 -80624 -35700 71468 51716 67748 -143598 -28059 14873 59075 74895 78379 -121825 -88748 169017 17157 167489 -97737 66180 10354 -43131 70930 67540 13925 -1020 216311 124240 96432 141929 85261 52243 -181508 -127107 -25421 -119062 -22092 -179511 150042 -65445 -77653 48231 8400 10499 124776 19047 20679 -25383 -21772 -27514 17111 55012 9652 -103490 69686 -42312 -30277 63492 7623 143214 30911 204038 56851 -161109 4731 -79377 113740 -205746 42207 -72932 -156056 -149996 54446 -214890 108919 -9259 108119 -59078 46250 183195 -88199 -66389 59601 580 -41031 -61887 -183941 38727 -162592 116881 -93700 92888 16591 74696 12715 2487 -58679 -187137 -83919 -180842 28099 72865 1644 100157 -19447 1784 -114810 -55214 47118 64560 34330 -19855 75929 33897 82033 -48854 -10858 86022 62214 131393 14576 -1376 -6746 116108 -11514 177211 -130269 -86363 -126665 -45736 -117897 161473 -29980 -20120 22148 -57668 23940 237711 -3466 148171 95516 -103442 -151296 -51653 -74733 138088 19187 37422 -47031 66499 -208371 -23818 70890 -74219 24969 -103594 68653 -180346 40518 -24918 -61724 573 105291 62525 
+-88006 -103803 -31509 -352 -26126 -11120 26813 130887 -214168 12993 -19370 -40579 57183 58369 76512 -140767 15293 -51021 -13211 62749 -150546 -165322 42671 -97347 83280 19835 83582 -39637 -37346 -86168 -171895 -50405 -18101 -48886 77248 43960 -51587 10734 45766 -9251 -102260 -76900 -52958 45584 24138 28248 -159983 35959 -221529 29322 138351 82269 167724 -51198 31317 -117887 -143218 -429 107593 19736 16242 39989 -165708 8813 73606 41044 25708 -139969 -64984 -34755 70282 44701 13257 148942 49938 -153437 84182 -26690 123504 36589 -184211 -55287 -68712 -46208 54258 -118947 69047 -121520 1561 7987 28650 79371 71908 -174501 -129881 177271 -14296 181621 -118593 -50449 3370 -68873 67631 136655 -27857 -55147 207793 77895 100490 196914 68221 64534 -178495 -104681 -18312 -137116 -24065 -200822 75478 23442 -80666 -29476 24076 25172 72055 -11258 10215 -50838 -111346 -105141 108459 55522 -33352 -62930 29462 -46917 67041 52316 -13085 154940 32159 125965 -12178 -117117 22011 -110901 -25111 -57070 141658 -73603 -188188 -140778 69653 -91411 102757 3125 93615 -96507 22682 146663 -90691 -105559 -7528 18456 -11644 -22163 -237601 41506 -162734 81645 -35856 75630 -102441 29566 21518 -29446 -64259 -105041 -68168 -130998 15275 81868 -61201 -47911 5002 -6390 -66837 -48681 43379 57550 174740 -9551 87129 25193 115842 -55187 -106147 78881 66074 63788 72894 17182 -29247 175377 115698 139783 -116648 -177327 -159010 8816 -169611 175899 34778 -70110 -18618 -69564 73399 202482 24655 71695 -41714 -70793 -70601 70681 -78119 112856 47997 186536 -45590 61560 -104206 2457 86503 -64813 -65278 -67523 33309 -251333 65192 -59403 -36658 86491 39036 60562 
+-76776 -169263 24713 95632 -126144 -35969 131488 144641 -266808 -14047 3841 -41340 56642 149115 -4578 -97033 -15624 2446 4125 51275 -120029 -168190 30840 -97468 81711 56680 12870 -41987 -80475 -32989 -93564 -186 -1112 -85045 77033 153706 -57162 32362 39475 -15152 25297 -55732 20979 100328 -1655 4987 -85054 -3241 -152670 -14511 151389 178528 92676 -30095 -7246 -119388 -24752 -19925 102429 35255 11846 9371 -59471 45128 69084 60206 -27578 30680 -84089 86315 95104 20940 -120214 23267 30854 -66448 85057 -82457 79463 115179 -163756 -95611 15700 -47625 33512 -249913 19462 -106169 21932 -8794 -15626 79637 62504 -179901 -105887 183386 -3347 90227 -110923 -161092 -19887 -109522 75400 132910 -59426 -81648 197208 -47987 -62366 189002 56569 64564 17101 -60871 -16046 -95953 -34025 -187796 -51671 151227 -53652 -51021 2989 64302 -83754 -37308 -6852 -67641 -132764 -157358 139424 59792 -74738 -75073 86425 -65804 180179 10708 -9794 119616 34893 27699 -52097 -53007 46643 -116364 -198497 157170 209518 -101272 -181593 -116164 77683 83307 108356 -64933 58369 -85622 38504 97882 -65085 -113200 15276 -10090 -4917 91210 -250398 38318 -120602 52616 9787 34315 -165029 -47447 -17795 14926 -62831 -36643 -62431 -48227 -1008 68552 -90032 -200657 -223 -1986 -6285 -7528 49181 40664 161982 3860 63521 2345 141009 -53762 -150360 91678 71418 24536 119606 -13849 -67325 161392 234545 98776 -97715 -94050 -115891 47496 -145051 130626 70347 -44537 -58468 -86108 18451 148930 8552 -3845 -182075 -33566 -57197 159079 -84682 80738 -8804 273415 -51384 64491 -21169 5660 157389 -82640 -117677 -48155 -44686 -259883 20792 -25751 -55907 22869 -45814 49133 
+-63360 -111407 -21374 172280 -192078 -46812 34135 124767 -128456 -27647 41642 -41698 51746 175254 -34906 -43446 -8464 39451 11371 40032 -84016 -131268 -5651 -45250 75065 66982 -57772 -34850 -129587 64805 -28114 65423 -6103 -109294 71851 188269 -56338 44440 17676 -22906 6592 -49412 117851 161741 -61482 -3675 35018 -43336 -100188 -28129 56833 183659 -7252 -69471 -59999 -37258 69378 -35581 91279 499 21320 24828 79925 127921 53969 17137 22383 62478 -92226 218150 107407 -34096 -151285 -27689 67797 115097 40968 -88985 82985 145954 -109752 -105461 112923 -27758 18512 -191073 -48820 -129289 13423 -6496 -57923 82063 70885 -177613 -17611 164499 -58759 -34316 -85764 -248421 -13678 -163691 51678 44935 -74717 -92851 185134 -148669 -224370 135435 31741 89594 113219 -7851 4138 -119092 -36755 -161097 -68778 236009 33341 -100608 4295 128171 -39292 -23952 -21282 -82597 -137348 -110549 118067 46474 -112166 -51590 82970 -44169 250517 -35088 -19226 74798 36906 -38979 -99003 32078 71115 -112417 -192386 161071 107517 -136669 -94067 -87328 81978 100667 115439 -30487 -6320 -71003 43354 -1860 -26816 -126550 102318 -89393 6048 103741 -242814 4569 -40596 35547 43206 -15250 -157709 -137677 -45895 -1314 -57722 38266 -58830 -20264 -8491 75697 -52299 -161579 11749 12855 -3805 116587 72823 16929 21060 -2632 -5309 -19649 112285 -61667 -121782 103281 74351 -16723 177125 -58446 -68825 90767 208521 98944 -72895 19084 -113881 110565 -67988 43953 74642 -20250 -92217 -90028 8002 84405 39895 -72049 -164876 -33794 -51651 181606 -97586 61329 -92168 193386 -46699 72840 -56776 18288 168062 -87544 -83230 -70097 -43508 -296758 -14600 -38915 -84106 -71354 -104647 60586 
+-79301 -20367 9291 130930 -90532 -5846 -116882 111331 50206 2534 31430 -66381 50272 145795 -132907 10261 23068 57865 -4928 19593 -48113 -119674 -45340 -114587 80830 97315 -100167 -9599 -107334 179620 47003 59111 -33870 -126433 59273 73062 -66608 -6934 6316 -40439 -95994 -27382 156827 193138 -102622 14048 -9009 -52750 11084 -47269 65523 23744 -88522 -58967 -133532 5755 72150 -12497 90716 -36237 38718 -4219 137709 151130 33602 1353 121142 -89409 -100424 123615 141849 -90176 -85831 31576 68924 61910 41412 -61772 60132 181171 -75710 -107634 171460 -18124 39533 -8039 -134416 -112667 5968 -37604 -41468 83211 54663 -155654 54751 175381 -99525 -93687 -74709 -254247 12003 -125245 61763 -22584 -66539 -95970 171809 -152314 -223781 32530 21110 78564 43160 50334 -8384 -140912 -40211 -112052 64842 147030 95358 -143851 39461 170534 58591 -74410 -44645 -101304 -75512 -8165 71598 48203 -142772 -34544 74526 -66736 234970 -52609 -24310 36520 36518 -43959 -44797 21417 86555 -72664 -9471 -46199 21338 -144612 -25369 -76548 77263 -25175 109806 -92590 -3802 -55360 54855 -6207 23854 -160486 169402 -204605 -4907 -5965 -180979 -35946 38965 55992 57866 -91137 -62865 -63315 -60676 -5331 -53444 91744 -50490 2550 8927 73097 11629 6101 13994 28589 -31626 142940 87189 -10696 -56497 -11463 -6371 6523 70181 -50678 -49606 75727 77710 -41986 208415 -71468 -88352 61479 86000 40155 -18187 42138 -80376 122879 74733 -83519 36634 -33204 -114270 -74390 1739 26243 8182 -99036 -18023 -51240 26691 76062 -101549 57627 -109604 37435 -55270 85784 -139320 32159 157909 -67711 20742 -106354 11330 -254263 -41601 -54469 -96852 -97866 -69926 58955 
+-111354 -23095 -13906 -36547 -50611 -434 -151882 87438 107449 -2859 6147 -60823 46924 49720 -185315 47425 76982 64351 44597 5343 -20575 -55061 -62691 -73083 77557 96261 -11359 -37592 -125431 240229 103312 42695 -62120 -150388 76725 -82115 -74910 31372 -63809 -55638 -200197 -84685 186264 168398 -80008 33965 -136537 -56953 57366 -54557 -9216 -79867 -128603 -75852 -191440 31566 -13647 -11829 78522 -49944 51139 25233 92161 82908 19777 33471 155562 -214522 -117877 -42607 109491 -134286 34103 157842 104970 -88427 40791 13816 -510 184332 -42928 -56553 146338 11688 72841 98553 -122558 -70647 -7774 2575 -3559 83026 34586 -119317 74911 153656 -106383 -41599 -21815 -175451 -15643 -84832 61129 -13238 -39946 -90561 157074 -51898 -59825 -28896 -14447 89424 -174129 104505 -20987 -98820 -37685 -64515 142343 14980 176247 -179206 1663 214144 152490 -36016 -68242 -103993 -48665 59661 2143 61321 -164803 -25458 67492 -68003 129532 -31387 -2737 45570 34101 9014 -44929 -12819 87944 -15073 120441 -205654 -74759 -129030 36407 -67927 60991 -218430 104897 -98887 -53787 -55278 73587 31651 55932 -155177 151529 -206353 -66620 -63376 -110691 -53552 103589 83661 79284 -122284 72527 4460 -98905 -31220 -63299 83623 -22941 11967 14911 77258 115005 107772 11687 21786 -77444 93487 94847 -26468 17232 -6020 -64425 30629 18044 -57389 3560 64121 76478 -37191 229786 -103367 -98075 -42124 -24880 -14868 10302 -33602 -86513 87897 151187 -152426 -22319 -19452 -121618 -58262 29595 -23797 17370 -103382 115844 -84180 109237 -42410 -105955 45364 -54362 -108040 -50235 99297 -182895 40759 163447 -74614 20897 -128810 77808 -291763 -25837 -21350 -72922 18568 24178 78959 
+-105565 -93679 -36050 -61728 16885 18416 9365 55533 -2482 -20542 -29039 -54012 47517 -52831 -236030 77832 108364 70891 22082 34883 37792 -24014 -87930 -77636 80310 87200 56683 -1233 -87895 255530 123739 -39001 -21670 -175236 82320 -178005 -89449 -1239 -75218 -27754 -173663 -105238 136945 140389 -40319 28792 -191413 -41766 122494 -46013 8630 -11352 -162445 -51367 -249194 -690 -131722 -40516 73631 -26609 48943 47936 -41440 27894 15175 73404 88481 -169590 -120015 -52685 92049 -139003 169967 208500 61001 -218760 96606 69686 -54590 145005 -3863 2321 43958 15347 63257 120 -38178 -48099 -37847 -2802 38910 83431 44315 -86741 33797 160185 -81920 81409 -14526 -62320 18386 -45392 66995 65650 -196 -57800 140947 69740 101658 -25393 -19207 92565 -172075 152980 -1609 -90198 -47880 -17433 66034 -77249 221306 -253596 36367 244306 101693 -34637 -94730 -105485 -94386 102989 -49237 53634 -176063 -1580 53591 -50207 35474 13947 -18195 -2714 31521 101896 -7773 -110244 74258 45715 39903 -120383 -46149 -91767 52718 -47749 47397 -194438 89898 -68364 -53335 -68294 126655 128905 50739 -175945 63525 -155714 -69381 -38448 -19129 -2878 147185 124578 72569 -145048 117516 65760 -66249 -25035 -61308 53925 -3751 -34936 20451 58888 173338 52751 16882 -238 -130946 -1442 87653 -26196 139894 -7381 -95321 7511 10696 -59313 49200 77777 19703 -10007 234489 -122182 -90926 -87925 -14087 -9002 95705 -145506 -45500 26513 112654 -199895 -78193 -44923 -112960 -55939 40963 -48178 26940 -53960 113171 -120814 84176 -94027 -65324 91423 31386 -112447 -53126 106610 -166726 16349 179743 -62631 5981 -112332 35144 -253744 -39489 -43348 -61703 75051 106495 92125 
+-95472 -153346 -30425 -24140 -46038 26584 127552 24296 -205258 -5363 -15088 -43699 50837 -154923 -262159 90501 114586 36246 17203 42079 44630 -7842 -31715 -66992 77825 86062 102164 1677 -71626 180205 110514 -72508 -43360 -194745 78053 -51663 -100772 45762 -116009 -27890 -60079 -134309 62932 76340 17929 5604 -106321 -31386 112090 -44372 -49236 90945 -139905 -71574 -244731 -89283 -209581 -59662 61888 21250 45946 33225 -166667 8123 26698 45858 -4412 5802 -116323 119507 43093 -106733 236787 143273 57807 -59767 54056 120418 -24890 104847 26486 21382 -51982 15796 62430 -179467 59945 -50564 -38576 1770 8794 81276 36493 -24708 -50137 152436 -74547 177984 -28412 59490 527 -50489 50006 126179 42436 -25464 124040 126933 94620 18001 -84257 84282 -5366 192816 -21595 -74083 -43314 13196 -50555 18434 270809 -197905 13481 272572 -65760 -28283 -122826 -128667 -133160 83128 -111206 62967 -176097 10181 55545 -69522 -25273 47846 3680 75633 30934 187640 6669 -187678 49249 105599 -149510 81721 70075 -69098 34818 -55918 23724 -73466 109585 -14499 -21275 -74502 98041 194765 25664 -186958 -7212 -42138 -59280 45300 55775 -10408 143164 133949 45081 -143366 91735 -1903 -60308 -26824 -63608 -28488 6278 -80695 17436 64739 220294 -111585 22983 14768 -124358 -25166 57994 -15032 230214 -6560 -71242 2670 32796 -58813 -8908 27479 80623 27285 274197 -141761 -81279 -161704 80943 55804 119929 -170160 -2879 -21710 17258 -170275 -106510 -56853 -89960 -70637 36282 -51419 26751 17074 -44377 -147305 114832 -50924 -89482 110160 17515 -13581 -46247 107091 -31395 22023 153688 -61012 -110355 -82789 -37974 -235271 -15495 -26939 -35138 32407 89234 66015 
+-100954 -127455 -62455 82417 -137106 35462 47456 5043 -274434 -22645 11068 -42683 57092 -189977 -255886 90246 78369 -1763 72516 48470 79666 22855 433 -61307 79284 80525 6033 13498 -1172 77399 53370 -22982 -1670 -202008 69223 113034 -94770 41628 -120155 -15430 31555 -146651 -16321 25791 -10105 -3847 5602 -2565 71607 -41079 -80939 207204 -57732 -45375 -214612 -107771 -180476 -4415 57475 33321 27711 33917 -172412 35100 44258 22225 -11315 82744 -120853 245454 18076 -52179 185667 27843 72248 89120 98237 139559 -45979 26193 61217 20930 -78871 6984 72338 -248092 100276 -69392 4520 -34288 -7824 79139 27935 -13201 -128725 153080 -51799 172224 -69534 110573 -24268 -92849 21537 124899 78586 11405 106465 61937 -71100 119488 -124855 89092 116422 209591 17204 -96521 -46767 23750 -72264 153739 264279 -240996 16316 319360 -88124 -29774 -140512 -117157 -137026 -5170 -78537 70168 -165106 -2669 43853 -55573 -50661 62420 6993 101264 32641 234008 81150 -168708 25481 163944 -225576 185397 190804 -54477 -35509 -25778 -12 69483 96980 13188 20200 -78317 98082 145124 -20360 -209929 10421 12103 -67635 119509 108529 -18153 91736 111221 17946 -99351 -49746 -87234 -147904 -22699 -61486 -106789 45386 -136412 -18847 43795 172355 -218841 2586 11556 -106906 -38287 69020 -668 110164 850 -34132 21433 78945 -64599 -64950 34682 67290 86277 228988 -118568 -74068 -208835 220868 68429 126791 -54481 27301 -75586 -63052 -64888 -120941 -67788 -55721 -86271 36166 -29228 34536 92497 -192057 -161424 132346 47959 -89542 108238 -33797 170492 -31437 99730 -10737 28887 138788 -76533 -119580 -54155 -49167 -150212 -15958 -17757 -59856 -116585 -11680 61738 
+-84619 -29312 -28919 173794 -165148 49083 -112845 -6601 -124083 13656 39425 -41068 58368 -151513 -248161 80424 68404 -47540 39227 34617 79120 58684 23876 -88146 78924 53534 -88314 15712 55302 -35423 -15222 29320 -46746 -211061 64022 214953 -84087 -40426 -89304 3364 -41619 -103263 -67611 -6233 -48888 13338 35158 -12520 15210 -22707 -62349 142814 23536 -41480 -163767 -122276 -70416 -31083 51728 8795 20549 29804 -53905 112267 63079 -5880 111970 -46300 -131047 132687 -980 7287 40337 -26153 46096 132319 127712 94768 -40161 -31976 64605 -11599 -16210 -21025 44317 -112405 79546 -20505 23846 -5564 -47283 78301 20084 44726 -118544 153636 -23179 68153 -103548 84362 -38940 -133470 21112 56856 98062 59539 88325 -64241 -229031 193453 -150503 62054 23915 207794 -7184 -94999 -42349 -152 55093 224485 226318 -217708 27585 284242 32630 -11516 -163842 -140100 -64585 -91905 -8266 62001 -143637 -1056 69398 -49816 25908 32404 -12865 103680 35549 217305 155816 -128575 5727 204242 -89037 18300 183929 -30537 -100527 -42650 -22564 93645 98574 -27918 62423 -73384 73739 83796 -60081 -228280 96802 10923 -91956 32227 138094 -45227 16633 69460 -31077 -29402 -138655 -116312 -154428 -14527 -57521 -175135 56206 -162673 -4086 75643 78803 -92575 2470 26697 -38042 100918 79253 22529 -48450 8468 -12967 53364 123648 -50217 -163392 -13168 85777 144485 215673 -136922 -46904 -237781 229477 50794 157568 31403 63209 -43831 -214871 33863 -76401 -23635 -15265 -89390 28827 15456 32330 163225 -179952 -147453 130160 131188 -76247 162378 -66900 226184 -33831 86733 -90644 11564 129049 -54384 -57280 -43788 28976 -108725 -1084 -41864 -79596 -109426 -84733 72827 
+-81239 -2336 8339 117295 -125082 53771 -153308 1738 40437 18206 24418 -49307 55551 -60280 -233212 50156 -1441 -105467 2142 4567 112344 78089 69797 -97204 68961 27451 -60390 16403 106876 -90227 -94929 87685 -44545 -212102 56328 119742 -74458 -21042 -41766 -34085 -162819 -89718 -75092 14530 -111443 33691 -109817 26912 -54863 8066 -59371 -15816 118376 -81874 -118451 -61508 37820 -27425 49018 -30948 17288 40401 84547 127671 72488 2423 158933 -211597 -123363 -31371 8984 39846 -96446 34286 86578 -118182 131360 28946 -15424 -113985 76039 -5843 86597 -37430 39247 44698 -19285 -50445 22170 -13285 -34995 75003 15964 72543 -60249 133205 -10641 -51512 -125119 -28945 31976 -139871 54550 -23448 96727 102111 69476 -150175 -218537 187118 -136337 53536 -207321 172516 -39116 -89402 -45841 -35998 145466 182432 186603 -176299 -4487 279055 139498 -39603 -172995 -157886 -32915 -137203 38606 81203 -113548 6929 56150 -49544 111154 -8150 -21380 148729 37012 147219 182927 -41319 1347 219363 88413 -195255 72342 -63524 -184274 21327 -29381 -3759 96242 -35546 100951 -66309 62981 9101 -92692 -235184 170874 -80976 -106827 -41663 131744 -30572 -70166 46938 -89597 18384 -172475 -66024 -117926 -19553 -61925 -229411 72412 -184049 -2942 53747 13085 77734 -130 22382 -28107 104671 87688 49153 -23372 -180 19917 56419 126180 -44833 -149497 22502 65103 191026 196833 -124745 -15854 -155063 118919 95355 215193 21520 76136 2559 -191973 131579 -22216 -42500 25079 -76931 -17689 76640 21770 216939 -15498 -113984 89514 201296 -76471 114758 -94645 199602 -24423 74055 -168409 42193 108902 -58880 43988 -81701 68572 -47893 53805 -36914 -118220 -19508 -103517 62238 
+-86890 -71889 -9194 -15493 -33770 69428 -25033 -23436 88213 -17410 7033 -62844 52642 50345 -177920 -3433 -38594 -149183 -6833 15798 99691 93367 27361 -51142 77783 2253 -5760 26166 131680 -70704 -160226 54539 -53707 -204777 63734 -34797 -65366 -22024 4074 -23590 -198793 -57696 -25487 65479 -94639 29328 -194107 36667 -138418 18071 22786 -124344 187288 -49251 -50970 23318 83937 -19702 38551 -54810 32913 36029 149950 105675 70076 61926 109086 -189977 -116842 -50911 42598 49543 -143830 154202 76572 -195243 121008 -51960 22198 -151801 66354 -61954 171149 -41879 27537 76877 -107983 -4305 3821 -7441 11031 71990 12916 86887 35431 126051 6052 -92939 -106841 -149540 -375 -113825 37592 -21075 73257 161534 50845 -145221 -48938 149693 -198043 58505 -199658 128515 -15427 -103839 -42272 -85175 79171 30572 114050 -170437 15485 247237 137120 7330 -187423 -139217 -83321 -124633 114994 63580 -76655 -9713 51690 -82829 220941 -53080 -1075 136487 36136 54876 161242 24339 13265 216932 93470 -174530 -24276 -105226 -205227 25913 -40652 -188479 86471 -57438 117811 -82164 52895 7 -89803 -236285 158507 -178240 -90312 -59950 76427 -16738 -133415 40613 -124610 100733 -116611 24973 -127030 21703 -59664 -212992 88652 -175364 26458 31170 -78294 83606 -3989 24322 22970 142312 81094 62509 67634 -7271 57721 60477 104207 -43890 -79904 4298 48487 212723 152897 -75683 13770 -115517 964 155656 218647 -100944 98606 54738 -89725 146426 37677 -64964 58866 -58302 -1610 141130 36673 225638 119805 -82913 8885 177900 -62338 83977 -38319 75968 -26446 65311 -151868 22117 81176 -46605 24661 -119175 48408 -11878 50393 -51405 -89240 96387 -41862 45083 
+-96537 -155250 9411 -78328 25749 73232 128274 -1437 5424 2055 385 -61787 47774 141288 -88396 -51062 -45921 -188624 1396 42955 74275 130790 26225 -66756 75645 -12073 77549 20965 147182 13529 -231855 -17732 -23909 -208127 77992 -106363 -54717 -18942 58218 -41940 -101495 -65704 42403 131675 -22315 6259 -159093 35077 -200929 46343 38902 -10709 231619 -69307 8544 43556 33925 -44470 43958 -28053 27176 19803 83599 32118 54527 68653 22647 -34640 -114086 76521 66381 16864 -109841 210474 73307 -100525 98709 -90335 63717 -175630 53912 -119649 166934 -47619 44946 -80417 -150730 -49309 -28411 -7510 23315 72197 10035 74183 70611 131988 36295 -23002 -72221 -240370 12296 -73425 43310 55045 34990 175864 32136 -41867 104728 58355 -151781 56802 -34419 80363 -12015 -32336 -39446 -137296 -42206 -48787 5013 -127474 16538 233910 -47023 -18927 -193862 -116234 -119476 -50006 145776 54049 -35026 -29794 32232 -67904 244414 -56059 -30964 156531 33488 -27847 175298 26159 36032 194184 -74232 49937 -50995 -112418 -176480 24634 -26910 -210671 83549 -53268 102133 -61514 14950 26637 -63406 -275686 70383 -207284 -111176 56377 5350 35337 -171677 48397 -171756 131974 7057 40853 -96633 -9225 -65420 -160023 91504 -160420 2593 63403 -95012 -55002 -15290 1828 -11183 62465 58670 66110 208735 -1164 77670 60257 60580 -46707 -14080 -36850 36133 227958 99921 -84470 50709 -49270 -36603 154563 208096 -174622 154268 115798 46515 152871 88107 7557 81622 -52825 7284 195298 26300 197693 108405 -49649 2737 6160 -50802 52527 40312 -82688 -15431 61110 -99453 17181 46326 -56896 -95786 -120654 -29582 44054 51292 -28772 -34239 -1078 78268 69688 
+-107823 -137062 17735 1770 -28231 49773 61264 9704 -211319 -4999 3578 -51675 46735 176352 -31025 -105931 12133 -212982 22253 70615 47478 131616 -24069 -75784 72605 -49151 98486 39274 135511 117198 -231561 -46777 1789 -205401 70904 -76639 -59688 -10722 75025 -34625 13218 -15681 142860 176565 8540 -3993 -34233 44747 -249608 70334 58015 105051 190614 -31454 62649 -24745 -97615 -5010 39288 9719 59000 29100 -62414 13463 34869 32982 -18313 79214 -100370 222901 116177 -29687 13943 150362 64934 92814 97384 -88396 113800 -173119 30194 -90382 82075 -27287 82099 -233815 -87589 -54411 -36230 -3776 3642 73549 9744 47316 57636 117979 21540 102830 -58800 -260065 18820 -28130 33930 131968 -8769 210965 13920 78889 89165 -21684 -152242 58707 85225 13628 -15139 -77759 -30621 -171537 -64867 -12920 -56520 -96552 15798 179743 -60979 -22012 -191285 -103648 -126701 39675 120881 55095 7951 -23404 52822 -51806 178720 -25665 14340 133479 31099 -51099 153659 -26926 61370 141997 -217851 144130 -3379 -126809 -120026 40752 -19773 -76584 88089 -88514 54882 -79183 -1903 90572 -18630 -252211 -3345 -158166 -145206 115394 -75884 32604 -156977 88846 -189026 71451 103055 -47274 -137799 -19655 -63120 -94141 104346 -88687 -24711 59513 -30916 -196773 -22010 -1267 -88307 -19795 86155 60659 160685 -5105 57802 93971 25613 -32606 37373 -55956 58972 222974 63386 -39548 65741 26312 47115 120617 202422 -112869 156045 117843 100673 15774 62664 -37525 89447 -68215 25752 232834 26055 142990 -47667 -32729 -66451 -112691 -61511 58973 29269 -113690 -10589 66442 17804 6970 26986 -70665 -148927 -104529 -64456 73038 22580 -18790 -39043 -75673 130257 12644 
+-96616 -54077 -4601 108557 -142814 31032 -107879 30844 -304265 -4956 21699 -43719 46824 158596 5026 -157855 62452 -222290 28292 51859 3704 147065 -52712 -122067 74788 -76865 18419 -2779 152001 213345 -205070 -49581 -44915 -174295 71366 57943 -48009 -43607 66600 -39357 15879 -70401 168152 202298 -17935 12653 55204 32449 -243527 69000 94965 215227 157972 -55021 64764 -72985 -200472 -46041 39295 29366 56675 4311 -177459 66301 18884 -21237 59041 -14798 -89165 157299 117517 -93965 149854 27839 45868 124075 65181 -51581 130021 -127607 -20807 -75581 -19619 -8894 55273 -213566 -25610 -56806 -33829 1111 -27138 72827 2709 32827 -13277 112835 -7228 185347 -36558 -180544 -25279 -63484 55471 131542 -47084 218637 -3844 125369 -79912 -34766 -144246 65410 -403 -37588 -8626 -72700 -30612 -191736 48994 142982 -105261 -63313 -6242 138982 18249 -39419 -183023 -112092 -87007 100634 28341 50317 49073 -68198 49412 -52065 100199 34515 -1561 82572 31183 -11785 130346 -103545 82534 80139 -156594 69735 122227 -120435 60 31538 -1103 87722 88867 -52166 38212 -48134 25622 175925 19819 -253086 4942 -81016 -153662 68802 -167136 -1437 -102311 128348 -212890 36331 142852 -111007 -105595 -37320 -60630 -11066 98127 1168 -16108 83651 37301 -151237 -37270 -8550 -122480 -69921 69834 40253 -3583 -13245 32446 122712 4834 -23264 7059 -62669 37340 197701 13550 -2865 94410 108119 187952 130404 174971 14658 154517 90719 168497 -36974 18582 -64407 80817 -88376 24488 249082 31115 69786 -186826 -42618 -83945 -96406 -44502 87253 -48572 -20538 -3648 77014 -27811 2569 2837 -30010 -65636 -67971 8191 98342 41923 -53682 -96411 -125637 66919 57857 
+-78104 2542 -34246 181435 -168307 14734 -165966 62407 -156206 -3249 24901 -36561 49208 55002 82437 -198074 89789 -226222 77717 24545 -5302 126870 -69317 -118526 68551 -77892 -66592 19963 120545 262480 -148770 16076 -48442 -162390 61812 194843 -53421 -51493 13503 -2288 -93317 -89096 173903 170632 -80267 33358 -48217 28119 -203938 116244 140620 105788 59718 -53945 17573 -125601 -197754 -25294 38053 19459 40309 27200 -157147 129932 15323 13934 120952 -184442 -88143 -7654 126676 -130564 238051 -28118 45244 -56316 49961 11221 130715 -89165 -59190 -88001 -79838 12160 69922 -33233 44043 -34439 -2041 20784 -58110 77681 -823 -21370 -104178 119821 -19456 159578 382 -64640 -24927 -107931 56667 67705 -70419 216945 -21152 55421 -234560 9650 -130749 79370 -133128 -86129 -19794 -38881 -29964 -192181 140331 226294 -83705 -17326 -17222 96609 128850 -27611 -169979 -90447 -55247 125250 -15665 42542 85948 -85428 52501 -30015 -22751 59064 -19740 39136 33433 70927 78889 -173115 90052 23813 30923 -150344 192235 -106392 48938 33921 23874 100528 75012 -36878 -18301 -82777 9478 206041 47490 -254668 90581 9312 -134221 -44287 -222552 42293 -35392 132779 -228291 -49083 55706 -86972 -154636 -15246 -66150 59874 95211 2910 8885 95899 170702 -7415 -38142 43702 -160400 9282 74816 13763 -67622 -18546 22038 138219 35696 -5877 -39470 -59589 50243 146527 -29354 21272 100161 157954 238511 92968 125703 26591 119430 23975 123330 -166519 -33372 -56062 57936 -91230 34355 239201 35599 -10481 -193576 -74601 -131015 -4861 -36903 116258 -87939 106431 1890 89989 -122990 -11527 -28908 -68098 -10831 -48007 44141 109545 -26137 -48481 -94186 -28736 -21614 28729 
+-77832 -56777 -40535 101412 -85522 8639 -17437 103768 -2755 -1944 16003 -47735 55703 -53130 113890 -227895 137807 -194890 68857 33285 -55363 132458 -41467 -53157 70592 -74594 -90128 14276 60754 224934 -74279 90982 -60284 -149033 65290 173481 -63527 -46746 -40584 30588 -211898 -123006 119721 105284 -103786 29828 -166264 3212 -133801 126636 134442 -41268 -19184 -39677 -8781 -108974 -128425 -12899 38807 -19207 24879 33760 -43516 158312 24509 40828 153705 -213079 -80327 -73312 111248 -139952 212047 28492 61819 -204747 42670 83575 85779 -19494 -99407 -5862 -45554 16734 46307 95589 84551 -75225 17892 23232 -33531 81535 -12242 -67084 -135175 116146 -47942 45576 -29407 53280 -10491 -155913 54678 -21454 -72567 186820 -37200 -76837 -211600 91734 -93662 71141 -156092 -122296 -17631 -57929 -30104 -162994 79575 205206 -28581 61897 -13146 55468 130689 -61789 -154568 -66650 -68501 43687 -67180 48831 116179 -85493 72176 -66881 -69531 56246 -15341 8700 36052 164253 34946 -167040 81629 -29819 120786 -162167 163289 -82629 68959 26175 49824 -2643 71457 -33576 -56488 -84757 69554 113707 53257 -253527 167545 14172 -121796 -53303 -246131 58390 48679 107489 -212650 -111114 -66689 -23502 -131793 -56031 -63101 96354 91944 7767 24081 56603 181970 118069 -51171 8045 -76520 115376 58633 -6987 18098 -22946 -58982 125284 94602 -20777 -133626 -137586 46129 94705 -61536 19733 95305 145220 147173 65516 79947 -47452 93411 -21362 3368 -174485 -92957 -35213 23624 -76398 20761 204281 20129 -73525 -23132 -105434 -93541 138791 3348 126166 -79214 232825 -9765 102424 -206118 -10703 -66051 -54630 12922 -63156 60581 105010 12425 -65941 -105684 57744 -93320 12562 
+-67838 -141245 -26212 -4658 -4881 11142 123862 118871 84651 -24852 -710 -57104 57252 -151449 112961 -211696 117700 -172124 79981 35795 -81867 106880 -29096 -93599 70290 -81110 -1634 21864 26866 132529 18496 62357 -19571 -122542 74582 20658 -85034 -21793 -60266 8452 -166469 -137540 32471 64977 -101447 6885 -179149 19490 -55115 131040 109879 -65697 -98298 -60347 -93667 -47483 -61 -43674 41207 -44760 11147 45823 103265 110022 44333 86542 28240 -59573 -77554 85423 101810 -108461 87871 149039 72240 -121698 57496 132482 56507 72321 -132192 16954 50095 13285 48744 33248 60603 -35386 31666 17447 601 81533 -3475 -100186 -83818 111645 -85961 -66307 -73059 109263 -11685 -137548 51141 -21535 -54986 151382 -52550 -157753 -39358 174953 -21414 96760 -3222 -123721 -14650 -30014 -23124 -121412 -44952 54171 8008 90187 12535 36769 6351 -30766 -134921 -62307 -125783 -51077 -70115 49942 136678 -111631 45674 -49863 -30933 25668 -1904 27427 37070 227134 -30046 -111352 60492 -89262 -5082 -9221 26821 -78217 16199 4538 66442 -160163 75267 -14256 -62428 -104266 91380 14250 27193 -221509 160144 -86432 -150552 -1081 -233093 69810 113727 71739 -181941 -145864 -150353 58956 -140538 -22683 -67061 89065 62996 23575 28197 88654 205313 16643 -57526 -11723 -25195 120181 58456 -25524 157402 -8427 -86812 112849 127894 445 -125319 -153445 40376 45028 -99855 -18317 90692 126457 12810 17419 42178 -152054 81965 -38107 -117874 -147983 -129848 -43657 -16353 -58796 61393 150003 27359 -99667 121594 -146052 -50711 201055 -2240 121055 4246 218668 2785 107793 -101639 -16796 -74659 -58146 -73872 -101493 -25461 75407 -39387 -41280 -55488 24875 -83589 3998 
+-80976 -149502 -10201 -73922 -22940 7147 72808 116423 -8598 21723 -16099 -58216 55173 -188114 87564 -223266 55105 -119502 57273 33840 -127255 90191 31199 -70582 67470 -55648 73591 23867 -26606 22898 73204 17385 -827 -97513 68717 -93080 -84090 -46404 -117368 -35668 -42947 -160287 -46206 11906 -36672 -4101 -87315 -7651 22653 147785 99921 24503 -155288 -35748 -144725 20109 71066 -22721 44128 -41566 9206 58614 138114 36168 63286 48290 -19408 71584 -73282 214877 40394 -52582 -52702 217519 88886 49471 76338 127117 30917 119898 -179358 27472 147013 3659 19797 -156501 -51029 -130001 -994 14939 66042 82255 -1849 -161688 -5363 81278 -107486 -88113 -87993 87750 -20308 -95959 65238 54351 -19918 125444 -66390 -136454 108985 196932 3307 85449 110213 -115072 -5658 -19762 -21349 -63912 -66335 -52246 95985 71477 1064 -55949 -73472 -52526 -109227 -44328 -157020 -124468 -61745 60617 147221 -126059 38923 -38909 84052 -38236 -6177 70101 35521 228817 -50242 -41654 33112 -112408 -184515 187511 -20519 -46300 -34546 -3144 70687 -211756 80687 -30889 -48777 -77680 104866 -13633 -13219 -225662 75944 -171098 -118358 130821 -193905 45946 146027 44050 -150091 -162839 -164417 5433 -106406 -5429 -66418 45076 45030 -35226 -2841 90540 122228 -126607 -75772 -11471 -28645 122168 61432 -25122 195854 -9161 -81608 96387 125039 9116 -108625 -113545 46210 -1631 -89310 -16165 77643 70468 -41962 39424 25731 -174144 63243 -55405 -158341 -92209 -104096 -45065 -56507 -56122 31073 89093 23204 -88343 102645 -164843 -28460 180086 43446 88714 61508 99275 6189 105830 -36413 2099 -75196 -9247 -168456 -128825 -61338 39696 -48317 -61118 -47675 -40649 2930 -27740 
+-92822 -81639 -19366 -21246 -51129 9499 -100664 140673 -184443 6724 6653 -58856 55432 -153606 41690 -199210 32113 -70721 -2412 54429 -144353 79838 51560 -99125 71165 -33255 76414 47712 -68702 -66360 104732 -35647 -1926 -79616 72635 -150454 -97371 -74304 -127566 -20116 24087 -112671 -70416 3918 31581 11930 53500 -31985 79300 131191 80864 162616 -152375 -50231 -216903 26160 63581 -44280 47370 5903 20438 36794 64020 -9310 72567 -23372 42794 17118 -63179 134256 -13832 3389 -163126 150540 75432 103402 38021 86273 -28089 170493 -201588 -13356 164823 -22290 12619 -254063 -96859 -82181 -24837 18944 22133 83779 -9011 -168969 64903 87173 -94145 -2520 -115471 -19159 -37129 -55349 67261 118339 22520 78685 -78917 -21862 83023 150498 -33989 88701 15801 -85469 -28292 -41117 -16672 -17301 42339 -32077 153036 85180 4570 -68546 -32229 -678 -84425 -71302 -106258 -129910 8998 64811 146691 -137935 43794 -35295 199433 -61245 10315 101279 32821 172029 -80748 18835 12135 -113307 -196097 108643 -68479 -42440 -106972 13957 79320 -54172 78770 -7073 -15628 -85300 82605 -14375 -64396 -243365 -170 -216068 -81978 73582 -128343 93629 121184 37176 -114606 -125117 -67296 -63581 -109508 -12302 -63446 -38109 27574 -110452 -14382 66284 61286 -206331 -77734 1625 -34780 -32864 44388 -14586 64679 -19454 -118620 118103 106999 14680 -18823 -161533 95236 -31269 -95811 -71839 45883 1917 30399 32971 -27852 -49767 27480 -8359 -132247 78553 -54347 -31020 -91076 -69455 27238 25858 41575 -50681 -37789 -152439 32861 56727 60575 75471 2482 -78410 309 97010 -83165 -6431 -99523 -47646 -111191 -117328 -10226 -14674 -25280 -32436 -28020 -120884 82345 -26568 
+-81529 6554 31124 103677 -176205 -17570 -158303 146769 -264414 25248 31594 -51943 48733 -71084 -58732 -157870 -23249 -20385 14571 60987 -172619 31068 30802 -134137 68512 -17604 22199 44065 -105517 -96579 133010 -50531 -51091 -45120 90824 20837 -103475 -62510 -98175 -41256 -40244 -123635 -52082 36743 -17881 33032 -6918 -21079 99822 126548 -9428 195966 -119159 -85296 -238394 -2223 -31474 -34429 51581 36436 35602 45117 -86698 42231 71137 4639 128788 -153318 -65180 -25989 -2062 38593 -136492 26457 44571 -32360 98866 26404 -29772 170041 -221204 -4968 112092 -43066 45688 -144897 -149997 -114836 -32174 44575 -8017 84065 -10987 -160322 70711 93213 -91736 122936 -116694 -129472 -44082 -59482 69610 132055 63303 20862 -89522 94345 -89222 89307 32790 84137 -148609 -42937 1969 -20847 -15785 9861 136735 120605 232083 88812 45438 -73122 109725 3989 -59482 -38567 -60160 -89159 59649 65604 134418 -162590 54051 -5929 257946 -39892 -11072 107485 31012 76736 -71225 24255 2081 -96145 -37081 -87194 19316 -76537 -174975 -41478 72159 69056 77797 -55117 45870 -66606 70917 106676 -87679 -210686 2701 -183226 -87893 -25722 -33744 83077 76926 64422 -60420 -56294 73832 -127012 -72077 3227 -64316 -108527 19400 -171821 6906 88589 -22749 -85469 -99087 1289 -40818 -61269 40174 4951 -64160 -16790 -63917 117912 69508 27127 24787 -154546 114396 -38729 -79572 -67046 13906 -74921 153027 63510 -96342 62352 -17591 62360 -51147 132417 7104 -70077 -113704 -87405 40703 -24308 36863 13111 -171324 -126974 76869 -62143 33343 68965 -69437 -97223 3038 83990 -67884 -7281 -100776 -2115 5055 -92221 65834 -70135 -34592 -21195 -66509 -41423 109864 -34528 
+-89727 -35272 -5137 170084 -193084 -15877 -33428 133140 -144886 18954 20812 -38323 48045 38703 -84579 -132426 -22433 26421 -13214 29181 -183487 -9940 25247 -62076 68068 -568 -95089 23065 -104347 -53737 108412 -7151 -47494 -15877 85216 140449 -84665 -110705 -68443 -50143 -158696 -59377 -4713 74156 -74571 30307 -125652 -27801 110185 111865 -6813 79298 -26187 -33086 -256702 -72060 -149205 -21085 57014 26997 50025 46034 -181551 124244 54819 12412 140766 -228415 -81614 -88257 -6901 48793 -21563 -32070 37398 -184633 74918 -46167 -16620 164064 -223704 -27785 13470 -54850 53577 40913 -68643 -126198 -28093 57734 -53030 79532 -11625 -187921 11132 71296 -76278 189319 -86353 -237802 -18687 -81393 77025 56437 90632 -16973 -98873 123560 -236332 -1350 52451 84749 -170181 15441 -24654 -27842 -16581 19078 96994 239714 271402 101175 -34 -104802 138935 -17071 -34241 -44369 -70950 14683 120335 64743 112384 -167411 41108 -30596 233818 10511 -6297 108729 31524 -9681 -33081 -31760 6527 -58035 109080 -242746 182135 -113857 -195554 -52489 69151 125599 92166 -60559 64831 -68980 104920 160052 -95046 -177020 85336 -106226 -60478 -57350 40501 98823 -1765 92569 -19056 11328 83934 -72646 -60579 6705 -62445 -182508 -128 -179599 27012 96503 -101432 82189 -110331 19661 -75785 -35467 5402 26975 -3513 -12783 -28819 106880 20202 30480 22420 -129768 61853 -27006 -50907 -127364 -5605 -135586 225561 63480 -115781 17093 -31147 112302 52574 210197 53370 -14788 -121705 -93105 -4822 -47835 6592 98605 -169827 -90721 130948 -78307 71770 68611 -117141 -16311 -5504 70733 -178804 -6328 -126863 -35006 8847 -51369 69608 -134283 -22465 -33571 -125495 90824 39951 -35125 
+-87904 -114609 -12022 141059 -120284 -20773 104750 111964 37652 20559 3188 -36677 47985 127672 -189507 -72122 7441 55276 28564 17143 -197700 -49589 16520 -91787 66342 35878 -91181 13259 -105208 54391 33807 96091 -36519 -4352 61887 216807 -65591 -104331 4890 -30926 -207034 -46984 75808 140279 -111196 7505 -193837 -23266 72449 108364 -49443 -48003 62589 -61373 -216854 -110130 -221735 -21542 59185 -6016 56242 29203 -148794 164977 36288 48197 58280 -101973 -90810 92023 59283 20008 107861 30289 74764 -157752 100937 -88109 -20729 128080 -222302 -71479 -68272 -42584 75969 89470 28499 -137662 -12970 16873 -26848 77585 -3445 -148518 -84325 58834 -52892 144246 -54969 -267204 37765 -141432 83821 -16181 99748 -49452 -106105 38292 -205562 -40777 89183 53752 -463 76301 -123 -17423 -21803 10139 -41315 201469 255315 39818 29450 -113036 14275 -9200 -16694 -67878 -100587 107211 148225 62820 81298 -172209 54869 -50292 144101 51525 -22108 180816 33974 -47808 8842 -106453 25758 9730 64420 -89405 212395 -136895 -157110 -86682 51147 -24369 102471 -44501 86202 -67752 38843 159090 -65779 -163090 163063 -9776 -54906 -3544 105243 100461 -85661 115236 15763 60568 111228 29141 -61977 4310 -66724 -220995 -36271 -176098 18241 74489 -78509 98083 -118330 8323 -128365 40559 28198 48955 108213 -233 20443 90471 -388 20512 -55823 -160439 25725 3842 -11423 -144427 -21776 -188662 195219 85704 -121337 -110331 -81004 120123 113177 128700 79219 -60004 -114082 -78024 30260 -48944 -13691 160113 -33162 -56359 172684 -31451 67604 72943 -48448 133485 -4970 62969 -166150 -28433 -114501 -50776 27602 -47035 -7301 -188718 30595 -49634 -113488 45265 -59512 -63290 
+-75794 -160109 13312 22804 -20940 -13970 91936 88307 105252 14338 -3490 -48458 48026 187571 -268981 -6682 51375 67120 21863 31091 -185979 -78712 -49654 -69347 67562 66832 -8934 10246 -114883 167132 -39020 73274 -20191 23470 74583 56304 -72178 -122233 48583 11336 -102970 -38623 145086 191687 -99737 -4165 -126703 -10716 37921 78758 -87315 -41719 140892 -44288 -140218 -132298 -180293 -20574 72570 -39981 42089 58882 -23831 97091 19384 90879 -14449 61613 -91169 215625 81334 -39013 199899 157081 79134 35543 162148 -100417 -27995 79517 -214810 -85909 -76514 -19843 58467 -47543 61950 -144194 10343 39054 10360 76077 -19916 -103560 -128812 60021 -1539 23153 -20861 -191345 -915 -149449 49209 -24618 85959 -74530 -111553 -88453 -30810 -9470 81159 65461 95295 131341 -2962 -53540 -14840 -30733 -65450 81925 239766 -9387 -18569 -72937 -89615 -6004 -1809 -52536 -131543 105940 97423 51596 43736 -177433 28730 -17888 26251 53657 -11400 97595 36471 -26413 68429 -174351 51285 60495 -127305 128815 123562 -138808 -115130 -85104 16511 -205971 89452 -73754 103086 -90780 43048 128881 -21283 -165717 160178 -3486 -8895 98881 133188 100008 -143446 129977 40499 94878 -17962 65795 -37269 -18249 -67275 -212761 -46311 -166984 5815 43068 -5864 -53009 -122095 -14007 -147508 142683 8026 63225 213306 -5930 54161 69769 39843 48172 -132874 -162767 82750 54126 14884 -130242 -57874 -188725 65937 134753 -118942 -147508 -110507 98413 158579 65201 58285 -40934 -91387 -60570 21221 -28969 8637 217503 112563 -39598 160530 113279 96222 110821 33205 233445 -15999 61770 -47966 -12400 -74478 -14001 -61857 -84118 -36195 -206866 87055 -23732 -48255 -53821 -115077 -41152 
+-88876 -103199 8021 -79988 4177 -8138 -87005 71513 -1013 9667 4723 -61359 53021 150320 -280556 30614 126818 68549 56569 44291 -173076 -121786 -42368 -75147 62881 82757 75601 11405 -51827 248164 -117352 62625 -14363 51636 60698 -100542 -55012 -107921 63728 21583 3997 -81324 201176 194706 -20781 11267 16521 -6355 -57375 56673 -37858 61040 196139 -42298 -94818 -52355 -64270 -19388 69018 -43211 20125 45951 102757 24297 16244 30812 -4332 43297 -103880 151915 87453 -98505 225785 210646 88467 122850 85227 -36692 31570 -10908 -190146 -65426 5607 -9313 62070 -225760 68013 -169639 30428 28082 63416 73638 -6279 -76691 -111477 54078 24859 -78174 -27727 -71459 -18191 -128731 56569 45224 53608 -95568 -114991 -168017 112019 80902 63787 68038 17820 175531 -10799 17069 -19010 -82244 48235 -62732 171892 -28278 29909 -53912 -62069 -44092 11665 -111534 -140159 66891 36165 50106 2096 -170172 51280 -49490 -53871 53632 -4343 126857 36892 48219 143695 -186630 75727 127650 -227932 176520 9965 -109518 -19747 -103527 1923 -200717 100691 -25890 69932 -69190 42021 70658 18499 -141968 83386 -37273 -62406 102378 137485 101695 -171097 106840 83508 100262 -111092 510 -28345 -25570 -71531 -154729 -61706 -106604 -21247 95425 80896 -204279 -140725 -12990 -94606 144291 2087 66317 120294 2523 87178 68090 109346 50187 -122199 -189973 87270 111635 73525 -111762 -67978 -172930 -30951 124644 -114683 -118168 -117391 45531 64584 -40196 11495 -53575 -57350 -52362 16871 15571 -2662 225936 103692 -32230 128673 203343 101413 105441 43169 226261 -16308 68391 -4598 5975 -36405 -1307 -150768 -114407 -8627 -248785 46543 -32308 -37283 -127330 -64458 -71453 
+-84230 -23480 -74810 -21811 -78826 16432 -178254 52698 -188355 199 12297 -60441 56032 61446 -287950 69742 121542 54136 23335 45765 -145440 -140909 -46971 -107289 63962 88338 73850 -21012 -14813 250998 -178657 -23885 -34681 57490 59939 -138669 -46792 -104249 78361 11407 30111 -62228 148127 158258 17815 32640 40218 -15948 -116703 35317 -26494 211899 201813 -44264 -28763 9317 35303 -28193 81191 -10284 20175 20343 134287 2704 24370 -14308 89126 -123510 -110492 -9001 127277 -132306 139918 149998 67061 12102 122807 24519 19314 -84241 -162290 -59418 121677 17626 10061 -227152 5240 -156548 -7849 18181 4960 75681 3495 -24061 -43459 40681 28064 -80103 -42744 40647 -23299 -61954 72674 121648 12089 -98574 -116677 -131618 77691 162993 32834 51976 -157886 199092 -19978 -17629 -24882 -134315 142897 -40813 93654 -41297 41020 -35929 94077 -13645 10753 -97580 -97033 -21828 -58377 44767 -40213 -168983 63121 -52827 -50322 617 -1951 73467 34910 142615 200171 -138585 88730 174658 -106559 -22381 -109744 -100536 37775 -129468 -24966 -91615 105117 -1593 43374 -64599 30233 -1253 45513 -150645 1499 -117765 -37129 10485 99651 136580 -154746 65906 57575 63112 -190092 -112119 32012 -49775 -66698 -79998 -70181 -65343 17431 102431 144671 -145578 -140733 24359 -64596 39735 7623 54810 -94 1681 67810 62354 126284 47829 -105794 -177641 89407 162116 126244 -75861 -89985 -170712 -18831 127098 -78388 13829 -129447 -29272 -81488 -130105 -54328 -52972 -16883 -64942 23213 72450 28756 197218 -35647 -56938 30850 203382 100268 122527 -8233 120156 -32121 80184 -69247 6724 -20949 -31759 -48332 -122325 46911 -288354 57068 -38700 -49461 -27473 54299 -67740 
+-99501 -24075 622 109612 -154201 33105 -52578 16402 -280295 4884 29243 -61166 57667 -46262 -266784 96546 106426 15600 96316 52699 -127131 -133462 -24933 -77325 63925 104981 18955 -20330 42732 190694 -200490 -63508 -81334 85617 71833 -47145 -43555 -115137 29774 -34941 -95902 -123424 87200 97418 -6296 30787 -54755 17731 -210599 320 37079 167883 184642 -69169 22243 34756 86081 -88011 83466 27816 19760 19033 52905 55177 41843 -1419 155120 -233321 -115091 -94026 153099 -140054 -17979 25830 62909 -170654 65931 95112 94247 -133330 -121692 -17194 173504 16359 8234 -59967 -73766 -165376 -20636 35063 -29683 71742 2305 21895 42536 51657 51606 18972 -65510 103421 -47457 -48429 54490 131420 -29899 -88993 -116132 -5775 -99544 203190 9171 63414 -178512 207430 -18754 -34627 -23873 -169176 97682 97327 20171 -85547 24049 31621 150577 -39364 16857 -78630 -53860 -109239 -120701 46658 -81591 -144704 72025 -85496 44641 -46520 -17722 57287 32189 219329 217127 -61932 86218 216877 76860 -186650 -82760 -54641 73226 -147845 -43168 87457 105724 -39579 -8617 -80084 61874 -30988 50780 -113469 1253 -202453 -9065 -65692 22845 131299 -87705 37904 57009 16076 -169321 -101642 -3242 -34410 -60951 10268 -75820 -22515 22654 69994 169217 -3163 -134317 15721 -8438 -42057 1645 36001 -63251 8223 41394 31976 135209 58051 -10386 -223289 65719 208825 165750 -34831 -84076 -70399 118258 112027 -40513 42879 -131202 -53932 -120895 -197312 -105404 -63708 23162 -83951 39654 135554 -22000 139390 -178039 -94626 44050 73234 79665 75461 -77177 -33053 -27747 93132 -152335 18097 9099 -12675 24041 -107378 67801 -277830 60335 -57302 -88640 51398 101170 -64305 
+-108880 -91440 -5197 187045 -158504 35874 102609 -8668 -144446 34188 20444 -46369 55839 -146862 -235489 92614 68735 -23357 62148 14144 -78197 -176265 7877 -77814 63128 100189 -72134 -17170 84836 89706 -224226 8809 -54246 101608 65586 102556 -43421 -97797 -19294 -16820 -195160 -164451 6120 37280 -92332 8183 -186131 41327 -243411 -22556 56216 54038 104799 -70749 50170 362 23716 -39465 91008 25923 39322 31387 -86981 138188 62211 22670 87992 -136029 -130598 69711 120994 -107818 -116966 -33162 61008 -163493 47960 132289 126112 -160944 -90941 -749 145702 14587 23889 77228 -156128 -174522 -42684 30783 -57958 73030 -8397 62351 80850 67496 600 141018 -91594 96160 -5171 -59768 50417 74706 -61473 -57046 -113795 97916 -241413 171978 -27543 75744 -59393 191913 -23817 -48943 -24822 -194742 -30914 227997 -48793 -143424 16349 65330 38509 -66005 7253 -120992 -57920 -149145 -93323 54512 -118367 -132843 90124 -83965 130273 -51641 -13660 10137 30814 228395 229937 37592 70391 217800 104249 -126864 67550 -29565 21549 -163365 -35856 103007 114709 -80181 -38227 -87238 22435 57785 24146 -83624 80605 -203242 -9350 -28269 -61528 66587 -14711 32230 39797 -67317 -53154 -22243 25640 -13398 -64497 62379 -81383 616 16333 67698 173161 104723 -151480 53430 920 -59406 -7614 9567 49375 -9253 -8522 11031 90528 69590 7046 -164492 52260 228676 191522 -85603 -103732 802 216145 92658 -6481 -44424 -137263 -44570 -213672 -161737 -128718 -14168 57938 -89275 51805 191620 4854 68528 -174361 -138079 -13339 -56279 126185 99553 -95641 -126235 -37948 103560 -181490 35179 49807 -24910 14603 -72663 10982 -300474 17493 -42901 -123454 88054 85706 -78050 
+-88021 -163913 -10494 88556 -93017 44776 96425 -12251 31010 43785 -10115 -37577 55197 -175872 -162782 80333 2663 -66548 58974 17808 -42998 -203275 46796 -89816 60948 90904 -48277 -24902 153049 -21726 -192588 69722 5699 99176 68889 205671 -67099 -150342 -37790 -42968 -165737 -133367 -57472 1653 -112589 -4222 -186760 8889 -245781 -32681 76469 -76842 11578 -38208 57461 -60924 -108040 -61840 96286 -3013 42802 36156 -181326 137451 73111 66750 -22588 35692 -122783 220377 99211 -54330 -155400 34213 69152 -31946 60462 114755 118310 -183835 -53927 27294 54486 -14962 53867 52985 -123810 -150156 -11208 23896 -22536 75401 10380 83293 36543 39777 7905 189745 -115519 228 -25974 -115035 49993 -10990 -75131 -17568 -109249 111801 -201395 88528 -17640 87105 128722 153140 -54240 -37987 -27999 -189008 -61357 227248 -96288 -125774 29758 116924 -85628 -79138 -2813 -141691 -168068 -119039 -6114 55017 -147403 -131066 27352 -55369 223822 -14051 12126 57232 32036 192871 193668 22554 45014 213020 -53172 71364 164219 -48233 -48675 -189708 -27392 422 119776 -73339 -71228 -66091 78368 160633 -12368 -86220 157579 -123138 1161 57855 -152974 80836 58638 63304 -4433 -115758 64862 52015 53460 -26540 -60640 86642 -78474 -2348 -18526 84363 109313 14487 -149782 8725 -32477 -16378 -1073 -12197 202866 4481 -87371 26428 58496 67431 30819 -172815 78549 230304 252587 -12087 -84671 72719 205091 92438 32477 -165463 -110303 -3967 -115763 -76962 -105308 -29224 80691 -79583 30168 232733 38572 -19831 -32800 -157961 -94013 -117384 126407 56189 -47373 -41822 -37626 108135 -108612 34888 50943 -18177 -93963 -54767 -56665 -265954 -10730 -28882 -89426 -92019 -7951 -32918 
+-65399 -111292 3687 3804 9647 52346 -77600 -32188 100611 17865 -3654 -41944 49522 -159345 -108697 72744 -18644 -124760 62019 40391 -14792 -178662 52285 -94511 59075 57586 333 -6304 175253 -85036 -120114 92726 -41940 105892 60466 108570 -70646 -127745 -94497 -39384 -49440 -108362 -100050 11460 -72666 10562 -64152 54768 -180387 -60219 100411 -33651 -63396 -52793 40902 -144349 -201525 -27880 105304 -35351 54147 62884 -139378 100066 71364 56640 1287 63677 -128027 165207 51746 6627 -75697 153373 84721 102444 59475 69114 123328 -167046 -15601 33553 -46248 -25919 73032 -133000 -52252 -135281 -554 31585 6814 75242 6537 92269 -51830 45946 -41165 126668 -108978 -124263 7697 -150818 36196 -26711 -66331 26753 -102719 20724 -20687 4085 -98985 87351 488 106409 4901 -32284 -35428 -164822 45727 103922 -92674 -232587 7736 183239 -56542 -24178 -18628 -128385 -132951 -30524 37399 57659 -167295 -106351 47899 -55671 250860 22653 -20714 59253 34720 103815 111616 -36383 20439 178351 -205479 176644 172953 -87800 -118144 -197835 -22682 -181691 107937 -88273 -63316 -71250 109954 193481 -59718 -55444 163640 -35198 -24475 126406 -212577 60271 128135 96739 -54819 -148275 123338 32659 71415 1934 -71294 87284 -73752 -45583 -11840 78655 38682 -146554 -147909 -34033 -94711 50031 -14320 -20893 156577 2742 -102016 -1561 2440 78440 -41414 -149804 64539 200109 239638 5133 -49676 144939 103069 35179 105423 -164850 -103847 73722 -18116 11714 -36737 -24562 89294 -60573 29772 251428 3822 -76189 103745 -160384 -86130 -48383 133523 77554 60666 85311 -47761 103649 -33496 25575 79046 -46923 -165178 -55072 -27805 -253864 -8559 -43547 -50428 -127237 -111076 -65324 
+-71335 -32878 -19341 -75012 -9895 53883 -161456 -20376 -26470 36116 21456 -51181 46770 -80073 -10677 1886 -31157 -173409 14248 39715 26676 -166569 33826 -85923 55366 48704 82357 -24910 169368 -95386 -50453 54298 -41647 98433 62854 8049 -87284 -106331 -110280 -43578 28374 -72801 -55451 55297 -4058 32290 28887 73688 -133529 -54235 116236 83233 -121804 -73286 -46110 -107649 -207587 -46464 108539 -43932 56708 42897 2187 24510 57275 34580 98632 -77804 -125771 7912 14388 43284 61440 210274 83423 56575 55858 -11111 84101 -114142 37686 -10869 -81319 -46469 68685 -260636 52495 -132575 15687 9605 39194 79472 14028 70312 -122320 29709 -64199 1331 -83074 -232587 29484 -163002 48821 37839 -38435 67180 -94898 -106145 115751 -33850 -117149 97311 -186704 44490 -41973 -68559 -33624 -128779 152622 -31116 -69312 -265966 -15202 188339 70467 -17131 -45226 -151824 -96681 64256 88642 62541 -176967 -102281 36493 -60149 194897 46837 -7297 82395 36819 8780 119059 -100564 4092 127001 -172341 24709 122509 -69090 -177555 -182756 -391 -210852 102632 -90952 -29424 -69348 87044 158228 -93915 -37697 89823 11678 -43881 14558 -242945 43764 148044 130357 -94462 -130898 82485 -42793 104701 -6403 -65078 16805 -51682 -65964 -12961 109427 -19668 -202564 -146079 -2339 -117004 134837 -4243 -26182 38528 8333 -115431 23028 25669 87621 -132435 -147287 57951 164188 241723 11161 -36617 160774 -14925 14202 130696 -43570 -55809 128143 109138 129409 20134 -62685 81715 -54607 53044 236944 26733 -96844 117293 -139279 -119068 66857 143063 107658 27096 247697 -53086 94341 -17266 30504 143729 -5315 -142290 -95168 42207 -202560 -53162 -31000 -28806 -63430 -96736 -60797 
+-89537 -13611 -8316 -1684 -46806 57391 -66568 20550 -156578 21177 38664 -62513 47050 37585 -495 -31145 7581 -201126 32094 60962 76982 -146660 9641 -93201 53534 5707 82196 -26991 139795 -5184 29841 -20632 -43700 102603 72049 -99992 -99830 -109036 -63470 -9520 -24424 -90598 17264 113530 15409 31229 -9647 39869 -46050 -43350 153804 192364 -149561 -38743 -118096 -41151 -110487 -14061 117816 -21141 47033 51806 129630 610 36967 -17452 152538 -222597 -132274 -60404 21839 48843 202730 145263 83894 -137802 62467 -71641 58245 -50825 45778 -80365 -27348 -52122 17581 -177146 90783 -99889 18841 36700 10043 84028 15644 59789 -122853 17348 -111558 -86793 -45753 -256142 -47205 -101422 51855 118937 2670 108598 -84819 -166946 72047 -13576 -128561 88743 -211435 -11817 -14525 -77628 -35483 -76348 100479 -40839 8870 -232805 2663 242097 160810 21292 -64068 -99187 -30349 119217 130663 76283 -174906 -67558 77453 -70575 68637 49438 15752 131201 36627 -47958 13068 -181029 3215 62763 13173 -164196 -24503 -151886 -184075 -224999 23864 -58992 116774 -64325 19953 -63385 81989 66076 -90258 -13029 7009 -27331 -83047 -52866 -237573 68280 124976 128842 -136849 -105100 -12060 -109271 144597 6279 -64784 -58957 -47002 -141036 39059 90547 -91969 -75200 -127097 50407 -123194 74856 -25292 -14744 -55111 -4578 -95335 -1020 59745 81543 -132145 -93109 69710 119285 236720 10646 7150 143787 -19383 74985 177561 68585 -29030 119543 159605 143514 77026 -63980 59697 -66222 43864 204212 23644 -88897 -24755 -106803 -81769 181258 117896 109714 -49791 237201 -54283 80809 -127386 28653 148184 -13724 -1205 -122030 79081 -162457 -46456 -26923 -58983 76423 -27086 -54768 
+-88827 -92493 -4751 123844 -164900 60169 93516 17323 -248839 52959 35612 -62004 49019 130316 75112 -96395 54952 -227636 27803 32707 77234 -146194 -36611 -89843 53520 -15405 -8892 -35590 108602 107389 83467 -74685 -62260 84218 85263 -100025 -106062 -113531 -71809 -2471 -156939 -24999 104278 162184 -16794 8812 -135447 21276 34419 -46912 127153 137723 -145330 -62536 -165941 8126 14662 -48077 115688 20472 21419 18700 142442 66019 21538 33860 143666 -171974 -104260 63164 26167 16943 228375 24866 45765 -164955 90578 -101935 15025 15362 76027 -85286 78592 -32758 1225 7869 63817 -100590 -7627 31647 -41208 83205 17516 17499 -63369 21888 -106966 -68829 -27058 -200445 -20507 -59418 50385 134767 44986 147645 -73134 -117669 -109335 61280 -149598 66613 -5375 -68022 -19436 -33437 -35707 -30773 -32595 69268 102533 -264477 23269 256732 69111 8835 -89566 -131021 -63191 99521 120631 65835 -162153 -61828 57692 -75522 -28212 8580 -6573 181962 34245 -41003 -16354 -178979 16556 4505 123894 -200497 -103144 -132358 -190651 -196518 48671 67844 113481 -29065 85653 -77664 87489 -35999 -63711 8501 -4854 -98878 -46583 -48006 -201344 7289 70602 106238 -187175 -18579 -146576 -84417 135782 -24114 -66877 -140383 -12313 -172356 17723 95561 -28205 85308 -135172 32752 -89001 43950 5702 3754 -11886 -1338 -33455 41070 104972 98962 -125579 -65453 55642 58556 227278 -17618 21440 100502 79011 -11766 196669 12987 -16397 86809 128566 180333 89154 -42583 25627 -85236 39518 150948 22759 -42960 -186968 -63666 -18460 171611 135601 147862 -96443 106622 -48479 68640 -154662 21790 156329 -3768 31756 -121309 29727 -125026 -60365 -46349 -109440 64474 74341 -38309 
+-96610 -141912 -38142 179329 -193457 31616 103142 59433 -137828 3771 -7410 -53257 54758 173791 120771 -146006 126632 -228281 14628 2291 93139 -115419 -42455 -76138 58787 -40997 -90223 -30011 34560 207504 116146 -24749 -41843 81110 90960 49208 -94518 -93207 -47984 -18739 -200442 -55746 176309 192971 -93203 -4268 -212385 22406 65700 -32430 111414 -8733 -91168 -50036 -220858 26069 64743 -39297 120151 38533 17473 32903 37601 123161 14693 57695 44727 -4060 -100770 200691 10994 -35875 181744 -31097 63160 -77626 112364 -93886 -12406 85959 79817 -116138 162914 -17805 19705 85098 -33714 -72814 -39963 -1442 -68059 84421 30498 -35671 26026 5912 -89681 41148 -17556 -97145 -6300 -44870 37098 71185 80046 190812 -60035 8896 -241711 147260 -167400 72762 130730 -101950 15532 -36385 -40962 6594 -71565 202990 174260 -204359 -7541 277296 -46999 3346 -113301 -107275 -81883 14088 72331 63158 -139134 -32771 51293 -50533 -49483 -24976 -1971 165457 31672 21243 -59228 -133697 40668 -54784 21573 42215 -39885 -118576 -122958 -201546 66072 115688 105911 6112 64584 -47669 57805 -29450 -26232 12699 67672 -178892 -72435 51576 -142392 8636 -18686 63872 -211385 8389 -199165 2130 144451 -19025 -65344 -176004 -3653 -200154 -16617 69948 -3223 86155 -133938 46405 -54996 -55317 -3378 28354 142237 -281 -27665 31608 145967 92707 -36185 -81489 91508 7543 194187 -20895 39405 42041 210329 -377 210732 -106347 40040 45861 28491 77055 37139 -14034 -14699 -90781 39717 90302 10222 22242 -188559 -40953 -2777 141569 167075 154441 -71142 -24365 -58100 62052 -134767 29765 148476 18337 -30384 -86969 -61088 -54854 -11528 -32383 -115963 -41991 106317 -51204 
+-93440 -127888 -54636 120635 -56748 36987 -50087 74977 51120 34333 6753 -41433 56005 154710 96532 -168297 119574 -219565 53438 31381 103494 -52405 -52512 -80335 51850 -69615 -68097 -6788 34751 263551 116771 26215 -31660 47259 93499 183488 -89614 -89800 -4263 8084 -112265 -98411 179493 186000 -113617 9889 -82879 -22302 121035 -9227 72978 -126997 9087 -56486 -254591 -5040 67323 -47125 129568 9116 8231 38767 -104845 147482 22629 75027 -28081 81472 -92725 178937 56962 -93041 20117 34111 63215 111385 132980 -25618 -72779 130459 70226 -85275 163839 -5449 44257 -16316 -106562 -80835 -41205 11208 -23425 83588 42277 -51757 74752 4165 -56847 156934 -20968 43457 18491 -95553 43092 -3511 97034 215473 -45732 107918 -193213 209972 -187059 64593 38549 -125784 -6616 -66919 -40879 17554 34280 250349 253925 -212089 4337 290132 -90018 -15277 -134736 -92253 -184964 -66616 -14556 58351 -107666 -12737 49985 -47606 -2521 -49523 -15803 155709 30822 118249 -87172 -56272 66612 -103223 -165801 207386 127414 -100403 -22978 -213435 78093 -21602 100458 -20233 125515 -68861 31510 54791 16110 33499 153281 -182540 -113656 111760 -59903 -3751 -99258 37432 -216850 74066 -108972 58226 153972 -59878 -66858 -212791 17195 -177793 -22511 91012 142341 -68053 -117802 -11433 -797 -41300 -1817 53316 184938 -12359 47351 48602 128057 111434 11596 -46617 67582 -25913 151143 -48535 69732 -20639 222968 39710 232928 -167373 71080 -11584 -79989 -58489 1849 -52734 -55199 -80056 43607 25145 30764 104190 -40696 -35621 86669 -3874 114611 106039 7062 -126810 -48412 62302 -73607 40923 193007 20320 -111940 -60481 -31058 5787 -8791 -33118 -112222 -141862 57354 -8354 
+-77359 -43691 -7159 21698 32697 39010 -154983 103202 133689 15351 -15610 -39363 55936 72275 83571 -211992 126485 -181432 68233 59279 83103 -25610 -53771 -97576 53823 -72320 -15701 -30107 -56792 234868 78442 88214 -25729 35240 78817 141960 -71039 -151297 68322 -38048 -268 -117182 139451 142867 -72828 31890 30247 -10065 94622 9882 -22154 -18113 112041 -51713 -228128 -40183 -50454 -51845 130264 -31631 27670 42319 -174283 91751 42240 -2146 36120 -43412 -78779 -11644 104209 -130959 -93273 153864 86571 92982 145889 12515 -58671 186535 59907 -16666 94602 12584 72718 -207275 -144006 -46955 -43578 13447 10846 80490 49478 -124312 58579 -9603 -58288 186299 -33422 87317 -14699 -132515 48458 -26921 95132 208914 -29950 111505 -12508 196869 -152264 68447 -143261 -127250 -16288 -79371 -43477 10669 131798 126960 264830 -132387 42772 261544 31971 -30444 -159786 -82980 -100486 -132056 -45654 51321 -69160 -5489 65482 -62437 82447 -58725 -19281 112234 32640 203498 -87401 14844 85649 -125213 -208131 115327 200289 -85645 57781 -213643 86035 -183459 99666 -24466 92097 -90759 46454 121571 50404 64221 166598 -172804 -94695 79522 37138 -27667 -147164 37596 -230865 112462 9194 20098 167994 -22836 -70504 -189945 26301 -140332 10562 76208 187410 -202432 -115353 -29005 2414 23884 -15817 63959 94486 -19184 90141 71610 94906 83628 34925 -31580 39555 -43851 97853 -70109 85849 -110877 119733 115935 193899 -90553 112408 -46503 -184954 -137845 -72732 2606 -89821 -61424 77607 -18642 41605 169009 111694 -51798 105989 -120651 147686 66674 56614 -57503 -51078 70697 -38228 10860 150618 26016 -115270 -41884 44934 17927 52262 -151 -33336 -48251 -48716 -6617 
+-75116 3978 -12429 -105209 32217 3674 -81953 121920 -3824 24210 27647 -43746 55031 -37345 14246 -220957 74136 -145128 50740 55385 75572 5241 13167 -104445 53102 -84400 71797 -13896 -81130 145130 8721 102145 -46208 16777 79095 65352 -60153 -115768 51668 -42172 20040 -120879 52627 73995 4789 31686 35441 -43500 53139 47582 -37917 132109 158157 -47340 -209902 -144368 -162193 -13334 126424 -43106 42371 33252 -138263 34179 60381 -6559 144858 -203783 -70810 -76655 144904 -140150 -154699 209125 88414 -102534 82665 96216 -42965 196450 33646 -9394 -16590 20952 65338 -249200 -109623 -73363 366 -21077 33935 79341 46112 -150093 3344 -7618 -37231 106838 -78370 106759 1259 -152607 50032 26982 70705 206224 -13456 7655 118493 126303 -129454 47397 -152950 -101685 -13461 -68179 -45296 -24535 96943 -32986 273012 -120496 -18350 257657 142671 -54334 -175251 -89243 -54840 -146174 -77649 53284 -27777 2106 46482 -55073 199482 -13705 -28779 105841 35423 233513 -33805 14662 88780 -114727 -59646 -161849 184396 -53596 59304 -171484 81603 -207643 93795 -68782 57190 -78372 30295 194036 51366 93480 96761 -50308 -122988 -53704 100841 3555 -170225 64293 -217116 106649 110926 -74700 237044 -44268 -70096 -140614 57894 -103125 23448 94301 202963 -156551 -123987 5637 -52004 119964 15799 67092 -40627 -13917 107539 45945 29570 93398 -7201 -35324 29686 -31788 78283 -114843 110878 -153371 -11744 116163 189685 1966 99195 -47663 -154502 -184214 -119969 -37591 -112879 -54456 73953 -49099 29350 219863 113705 -80095 112710 -98191 116671 45180 2290 75618 -57604 82914 -82476 3095 157595 8788 -50535 -75899 70709 94830 32649 -17602 -64065 77910 -106916 24296 
+-66339 -71379 -20172 12866 -80515 -12203 81665 129699 -159969 25154 29996 -55366 50363 -139626 -51141 -225147 21995 -105184 66144 40385 47949 58722 31367 -100379 51455 -83790 100577 6734 -97659 44338 -71844 -6318 -88805 -11822 73047 -96317 -51670 -111359 66844 -20195 -93274 -128213 -21861 26559 18325 9507 -97362 -33552 -23361 68279 -71161 204698 205280 -43123 -136720 -114455 -223787 -1581 132373 -29442 55332 -5698 21613 6108 72800 16870 154034 -195559 -63798 84491 128822 -104418 -116448 146706 87727 -191149 69232 120527 -22307 137532 386 27891 -72469 9715 71549 -105695 -15282 -21372 26425 4071 7901 76552 56396 -181271 -84333 22 39273 -19409 -117218 22980 -33369 -134718 44759 112611 32996 183513 4282 -115647 65084 30106 -97941 57576 -40961 -60690 -9074 -100332 -37165 -73363 -32883 -51221 248903 -78803 -7013 209587 72745 -23506 -189084 -75236 -44016 -33633 -96728 48216 15146 -2329 66667 -32697 246078 27533 13473 56081 36980 209260 24491 -22414 79188 -87421 95279 -207098 73963 -44892 53621 -148003 63805 -97209 94198 -79712 -11527 -56488 36686 157783 26569 109572 12821 23387 -91615 -102467 151522 -23319 -140132 101841 -175975 38315 132318 -114490 185699 -21447 -72176 -64294 77867 -9554 13391 45853 126158 15772 -98563 14866 -76846 154616 -1480 56592 -101977 -18291 47966 84216 7230 102579 -80183 8603 48090 -1023 189 -129821 100570 -194620 -39279 158226 121844 38984 114611 -1496 -92035 -200433 -116634 -51046 -122057 -65918 46143 -52712 37499 222059 -34334 -116658 185286 7488 115770 50571 -59718 200209 -39727 96386 -159631 2055 132675 11605 18461 -107936 26249 107788 78475 -28389 -59558 119335 -66823 26799 
+-79752 -120556 -22274 129819 -107950 -34829 112007 135098 -277338 37513 32333 -58969 49429 -188257 -85134 -199597 -46373 -42722 57564 20116 7534 73523 33882 -76162 54756 -65175 7581 -10817 -107011 -52988 -144263 -48245 -48827 -43554 66393 -127344 -48776 -85414 43009 1766 -203542 -152175 -87876 968 -33409 -4281 -183040 -51978 -88648 90221 -17553 144399 221440 -50010 -82098 -54342 -159357 -14133 130922 20116 59524 38186 137189 60314 71754 68332 32001 -23022 -59389 215471 99329 -56178 27011 20186 53844 -77097 69542 117899 3755 112512 -34291 41658 -53635 -10601 72393 75113 62054 -21024 -1690 10887 -37331 74156 61346 -179660 -142051 -14304 24477 -92152 -135574 -101035 -16562 -83288 61174 132681 -10223 159494 22205 -162605 -117723 -28626 -86230 42664 121501 -10418 -7027 -93174 -43157 -121676 -82372 79313 181697 -9829 -3820 173418 -63002 -54977 -187416 -48483 -89067 35874 -4038 53667 56080 3542 59560 -58489 220138 54097 8671 54642 36166 123633 66586 -87420 55135 -40393 79757 -45964 -75538 -33258 -16542 -136520 43115 88727 90308 -76892 -25223 -57426 39399 74972 -12232 96547 -4105 4012 -127913 50545 142977 -15812 -76814 130638 -146750 -12700 61732 -49677 188937 -3527 -66796 3603 77326 20543 -416 49287 100533 100290 -89788 22261 -126680 66678 27153 34703 81163 -11663 23370 110625 16486 92799 -152171 27403 13833 44393 -20264 -136890 77950 -210464 56896 128720 104285 -67078 143810 79618 61808 -112266 -88031 -49748 -114960 -83906 56253 -32638 42567 188564 -175692 -148398 122077 185206 137009 85440 -112103 227351 -33141 105156 -173177 2561 94885 41570 33636 -121534 -53371 106434 53685 -36882 -84309 7434 26142 35476 
+-76259 -141884 -22735 187019 -140507 -12790 -32036 136909 -153088 26806 -10185 -60432 46582 -167846 -166539 -185747 -18490 -1891 59173 23427 -16513 94889 48342 -109937 50846 -48346 -82473 -16012 -103898 -96311 -194664 -21888 -3062 -69567 77071 20203 -60805 -119832 -43695 14278 -173327 -117314 -83154 22491 -103696 9193 -154028 -57825 -161530 100169 -24959 -37711 169494 -60064 1976 -12761 -44820 -32130 127976 32939 45444 61507 127561 124414 58535 74623 -47280 81862 -70373 197176 79522 3962 166658 -30749 53951 85779 24666 56950 49335 46861 -72529 32144 36657 -28075 44561 69812 104214 -5937 -5607 -16131 -64904 73287 63472 -176945 -96287 -8887 8002 -54621 -116955 -219448 -17952 -41454 76077 75927 -47912 122593 40796 -101269 -245795 -21395 -29430 63226 49325 45859 -2684 -117592 -34324 -166922 40937 209350 92674 7359 41459 136405 -105088 -64385 -191269 -63787 -136702 106072 51856 59391 91966 -10167 58161 -37185 118070 49906 -7651 47546 33515 31847 105809 -181703 29991 16054 -97829 125686 -71360 -45072 -86706 -172968 30469 125129 86258 -49969 -41992 -77899 73316 -13655 -60301 112062 61016 -66028 -124717 121515 106374 -35721 2005 131078 -106395 -108478 -108898 24060 220081 35302 -71146 70363 93280 31114 -24790 35040 -6733 17115 -90740 11812 -116590 -6061 24188 9173 205564 -13039 -36715 121393 66507 107399 -134314 58232 31984 93064 -55428 -131028 69557 -187400 179324 147420 57039 -150352 127101 120177 114059 -38511 -22750 -55942 -92958 -91913 39943 12819 44945 134516 -186978 -155515 96225 172220 91733 103164 -54312 150389 -30397 107880 -69227 10182 98614 38969 -74803 -107230 -48274 79899 42621 -26968 -102092 -87225 91748 41725 
+-95872 -61569 -23269 133528 -78891 -9646 -158398 121796 28778 28531 -8256 -48191 49837 -93336 -251168 -137101 9443 34009 -23965 47336 -68784 111066 11321 -95822 47229 -23652 -78136 45685 -87704 -57690 -219179 35270 9196 -109009 89569 158562 -56934 -120176 -66434 -14976 -43109 -82819 -16106 56115 -119527 31486 -10228 -12259 -229517 118566 -5598 -86255 103292 -72754 36259 25022 61380 -56081 121337 21649 29052 83647 25492 139764 38486 43506 -8403 -4591 -70608 29026 47470 44471 236906 27257 62082 85612 73333 607 86014 -26809 -119444 -23320 147461 -43485 42392 -91490 55508 -10473 -25215 2285 -22545 72821 73257 -139881 -18069 -12149 -1357 63774 -78797 -264375 24329 -64055 77181 -5464 -70934 64652 59427 23151 -186592 40318 -10175 74437 -170658 103984 -11129 -154977 -34712 -191257 137813 237476 19652 46356 26645 133240 18833 -40307 -186385 -36560 -122381 112774 105844 59673 119980 -19902 33097 -45138 5746 -1608 1882 6663 31278 -35786 192738 -153817 9297 77688 -218867 145659 90 -106342 -194990 -130803 6849 -7570 74186 -30494 -65342 -83065 118918 -1156 -90353 132366 149545 -175101 -150164 67453 30787 -3571 76090 102412 -42863 -138640 -173794 72278 228255 -15215 -68674 112153 103000 -38780 -24608 53858 -74992 -147797 -75565 27127 -79106 -53552 40325 -11403 164714 -17799 -35311 117547 123067 88571 -83099 68580 53011 143432 -80347 -116933 40596 -127620 238050 68748 2694 -160447 148185 115276 164396 96871 40532 -50120 -59099 -77740 15850 69503 56010 66111 -33531 -148216 41575 157738 65197 168420 49516 -22513 -21458 101013 -10436 -3507 52045 23154 -171129 -73304 26347 28363 45845 -39953 -43303 -45495 101546 50136 
+-75098 9106 -33529 1420 6964 -25424 -80906 84005 111816 18623 16834 -38709 52942 18639 -241322 -80093 43589 59182 -36396 58910 -109826 123508 -2116 -102604 47282 6041 27909 26178 -54225 27055 -210200 84088 4340 -112140 69314 164257 -73397 -79935 -136999 879 33667 -34311 74098 130737 -52861 32069 63791 14835 -218203 125029 20529 13455 -22232 -50711 55833 5125 87073 -42969 120252 -25227 3325 31913 -132516 99431 20895 -10413 113980 -184327 -76580 -78569 -4729 52062 185885 149123 88612 -40067 80548 -87598 106529 -93503 -150722 -69919 177007 -51413 57549 -246471 -41454 -26329 -31478 5659 35267 73880 79672 -91043 65733 -36579 -1834 170027 -46890 -226106 28096 -111213 61635 -20838 -73067 34619 77920 114666 -3219 120510 22412 68485 -224033 154542 2929 -113804 -31139 -198623 99493 119168 -31640 115740 2312 46955 149097 -19204 -177273 -82768 -76112 48357 152663 68498 139590 -6177 66613 -16118 -74536 -28543 6657 100727 31133 -45311 164313 -134408 2552 141921 -133309 -68252 131101 -131647 -190247 -95743 -22221 -164402 78064 -36887 -40726 -79502 73529 43784 -95780 146475 169711 -212224 -130021 -10034 -46985 -13094 139501 66764 -18179 -143643 -192399 -17988 243198 3063 -61918 92509 91576 -68046 26308 77442 -76253 -226576 -49943 -309 -14539 -30167 41186 -22680 5408 -9727 -95358 129708 144328 92866 -5749 58333 9850 189821 -90992 -75984 6310 -21857 164212 52187 -33917 -55041 109976 99175 105022 176681 84472 -19092 -19007 -60526 60880 133041 5113 -16331 104957 -125437 -28723 -4546 96494 165009 55225 -130584 -15467 90496 -35036 -9489 31001 36020 -120070 -44986 69652 20834 24640 -42110 -59169 38046 14709 41438 
+-62520 -65603 -28359 -70982 -26353 -13904 82025 67522 53247 25002 28011 -36716 57241 119490 -290944 -24546 108565 76946 29145 61088 -140734 151063 -66804 -97543 46756 18503 90510 28669 -179 147447 -172942 79583 -71591 -142275 53848 95076 -77212 -100941 -99803 -24436 -23591 -59554 135307 188408 2063 10187 -61434 22299 -224817 166494 76916 140682 -83113 -47801 59657 -55226 6179 -24422 111970 -42173 9533 44781 -177653 45589 14794 2736 142225 -219206 -94201 38724 -29256 15476 77630 209650 82788 -197406 92096 -89821 100895 -156001 -200307 -80077 131954 -33927 58783 -220234 -134278 -50798 -25957 -22470 42293 76588 80161 -71572 67144 -49526 -32880 179711 -2110 -110271 28380 -161858 61355 37749 -54245 -636 96527 108137 119765 186249 44363 79640 1551 193113 -13597 -110896 -29990 -168881 -21334 -3655 -69815 85210 -14274 15592 121955 90 -157987 -82825 -52614 -60233 87559 61342 147804 -34602 48983 -42784 -23772 -49240 -8231 118817 33359 115 213368 -56500 9754 187825 52194 -207113 223942 -152671 -165037 -84028 -33718 -209603 74004 -2908 5540 -98483 134353 114090 -71988 136265 102664 -180677 -102855 -67869 -132177 -13666 139338 30793 39002 -136545 -85730 -89722 257152 -14417 -69179 -2468 86779 -92339 19971 93678 -52024 -84591 -49201 13143 6790 80810 44022 -25830 -46816 -8877 -97938 121132 122018 92826 17918 56900 57978 231372 -76260 -46358 10957 65514 17801 37591 -65231 54255 67106 43232 -39511 156923 86259 -17225 21297 -54709 64869 190831 37683 -82207 118972 -79754 -59793 -87886 93505 113017 -26675 -83772 -7234 77150 -138217 -15967 17658 56123 22094 -58761 28670 -20901 -73687 -37328 -56081 64199 -85126 66996 
+-93797 -128080 -22953 -6510 -71533 3065 121839 43797 -171152 8803 35083 -45783 57287 173881 -286641 23114 132399 67720 11241 26361 -171401 123035 -64734 -73709 45421 54539 91590 20416 33998 235969 -101678 47309 -47987 -161472 66612 -54942 -96904 -73488 -108655 -27207 -161010 -45058 183988 210065 12353 -4227 -174993 26705 -191103 150372 77416 186792 -148333 -32878 -7110 -98764 -126588 -20081 113022 -35875 32977 51809 -108280 14272 23194 21624 125343 -66086 -93237 204075 9032 -31396 -62484 151759 78991 -111579 96922 -77446 109349 -177872 -214676 -83326 18378 -22551 68099 -22825 -149505 -75437 -3816 -43922 17121 76300 95151 -7312 23108 -30308 -93054 85808 -19502 21955 3336 -138750 70738 109486 -19446 -51627 114484 -4170 59799 196200 76366 96933 117789 206760 13786 -129486 -24441 -134707 -63111 -39295 -83188 116666 9794 -26259 -12595 12912 -136853 -40352 -60673 -127358 38076 57854 145280 -48143 38772 -17736 41852 -43443 -7025 119232 35998 93536 203271 -5701 30691 211227 113209 -88235 152150 -115353 -103959 -61383 -44155 -112040 72774 -29472 64044 -39650 132337 207992 -24661 138537 14920 -58788 -119513 -12963 -208356 -9416 117846 39449 48625 -82665 46054 -108569 242378 -27904 -69686 -61298 82620 -185137 -20373 116330 56715 69317 -34580 23216 -9406 127451 32562 -16192 -17709 -4708 -72398 113551 70057 100207 -224 76154 58048 231799 -69073 -25215 -35898 113107 -33628 -3033 -92226 4018 51394 -44345 -124422 111162 32272 -12264 56362 -65275 3569 230516 48563 -103431 -28068 -49587 -97965 -104826 68774 83911 -105029 64731 -7210 67384 -183784 -15006 -46685 37357 20343 -92346 -40761 -87518 -69328 -61316 -64916 379 -105601 66192 
+-77890 -145968 -29207 83800 -160092 34457 -31715 22872 -266213 42351 -12676 -60648 55458 160270 -208481 69873 124946 47077 61695 14124 -186705 134484 -26896 -88111 45116 76748 -45032 29903 103181 256186 -18807 3548 -12033 -169382 101567 -139086 -101424 -62605 -78442 -40186 -202303 -60960 180209 168382 -20863 8535 -192502 55971 -118719 150741 136278 87352 -178306 -30803 -61271 -118760 -201694 -28835 103759 7340 38553 -3117 29357 54039 39980 89372 -17739 72572 -107716 178695 18132 -91971 -146900 25527 72065 57310 85332 -14717 94669 -179430 -226448 -67691 -61524 5760 99503 90522 -82109 -84855 14989 -27364 -35840 80466 87467 31464 -54512 -21706 -93777 -38274 -40463 96390 -25129 -86591 89719 138795 26188 -84829 131886 -121080 -127511 137999 62671 72313 45370 201807 -10059 -102437 -24032 -84872 26397 22325 -62044 61809 52038 -39511 -86782 -2164 -110928 -120570 -143637 -128227 -28782 65701 131447 -47155 114019 -34087 137435 -135 1542 138243 37080 183974 185056 16511 56898 221834 -28371 117800 2466 -104492 -19079 -29258 -44073 41989 69998 -86116 73913 -70272 79395 172485 18189 136268 -5557 -839 -71933 75480 -251215 27945 48141 72244 76013 -42768 154889 -10069 232939 -31685 -67074 -157650 61286 -197887 -8495 115645 126862 73980 -33321 30225 -53759 114704 63438 5779 147298 2794 -47039 89211 49882 84878 -75446 69258 58563 221242 -50976 -2684 -64159 145931 19237 16519 -109328 -127164 26875 -52457 -193066 2532 -28485 -56910 79780 -82508 22963 251817 62569 -94643 -180116 -35834 -106622 2813 30740 78213 -82104 203726 -2988 61793 -110820 -8723 -55433 30571 -51250 -120138 -40975 -150704 -57441 -31233 -83356 -122750 -35945 79806 
+-88251 -84442 9252 163771 -159102 38630 -158099 -16758 -162771 -5200 -31819 -66270 51505 81071 -170354 87585 65877 2692 74903 37148 -211845 109549 -21235 -75729 41419 93528 -81795 3290 131445 209146 53742 -38245 -30290 -199664 81832 -46273 -102158 -29017 -9254 -28087 -112746 -91587 112662 113633 -83680 31031 -54942 67001 -36932 123775 145423 -33348 -126116 -47335 -125261 -63320 -192371 -7527 96709 32458 46692 50356 141657 131154 60296 62381 -7099 22088 -107289 26139 70386 -134807 -138242 -23626 48697 120293 98945 47232 87405 -157169 -225772 -60866 -76684 16838 36861 4937 33933 -88627 41016 -18074 -40254 82654 111904 57828 -127387 -54536 -119112 -94058 -82246 102316 -9761 -76854 70172 85962 65768 -89921 148640 -162717 -246773 48375 50606 53999 -145893 175108 -15275 -108979 -17478 -32970 148219 191275 -4348 19072 29613 -85180 -6866 -30234 -88039 -97915 -125053 -88771 -101476 48026 107300 -92388 74668 -17597 228883 48043 6823 184707 35698 233131 137241 -30057 79597 206334 -195509 166558 -69834 -70351 28206 -13679 -31125 144469 85518 -71180 90544 -62820 72480 95135 45286 159544 57987 263 -81372 134963 -241824 -15837 -36765 102670 61153 46105 115107 58452 264210 -37069 -64378 -195056 40315 -183360 -1115 72385 159659 -69080 -20289 16044 -110608 7234 72494 32105 189286 1058 13380 86347 -2998 84793 -107345 94886 67105 190336 -12124 -12990 -70575 113171 163831 27080 -103549 -172648 -30089 -44480 -141627 -110414 -80200 -62745 89322 -90925 11364 238687 18663 -40217 -176342 -41223 -91704 104427 39123 74551 -35781 236556 8971 63781 -41302 -11432 -56300 33190 -114235 -122947 -11958 -181347 -33851 -31675 -108490 -117185 49414 60628 
+-92603 -5061 3801 134592 -82845 32917 -101611 -11512 17211 41978 -9879 -59419 48989 -28823 -76461 102850 5854 -42035 60168 43636 -199599 105169 28709 -83561 44639 95006 -82220 52274 158387 105697 102355 3790 -27791 -206660 81440 101037 -85749 -86494 26912 -20411 -16274 -159546 39075 59416 -130472 32480 31421 54997 69803 102717 106278 -97828 -54996 -58647 -175849 965 -88875 -32570 92592 27744 55297 29070 120402 151190 71817 -13223 77857 -146627 -124843 -59333 107173 -138068 -14371 38144 55396 -2894 105364 100199 -21875 -97437 -218956 -8045 -6577 21103 50130 -175229 94302 -100890 735 20026 -31895 84157 110475 94310 -116429 -30788 -94392 -37651 -98574 33146 -28023 -42756 67764 -1447 91796 -97793 163897 -91876 -179843 -20288 55671 66663 -171940 138023 -8338 -129925 -15767 6777 103822 241230 77190 16070 30556 -96544 111371 -25947 -65156 -90438 -78325 5440 -87787 44602 75272 -98649 46147 -39217 252903 64197 -41142 174284 32857 221124 71281 -120846 89961 158428 -186421 -6445 -66512 -34303 67913 -3442 -5757 6121 82933 -74155 101593 -75627 33744 6337 54141 147079 146713 -46063 -52046 2438 -222122 26917 -105716 131361 70037 89207 18697 33662 233143 -21785 -65941 -218751 26880 -168936 18263 101670 207072 -194519 -6534 -1065 -113957 -40257 61262 50237 61359 4502 78755 111355 27700 91226 -131771 90258 63013 132965 7690 19921 -80056 140887 230880 31811 -138384 -83281 -40442 -3091 -42072 -188590 -128984 -41301 82319 -79816 28993 207838 16183 27950 -37731 -65382 -81423 197655 39981 96191 52397 144165 9032 72952 -9053 5488 -111351 40015 -102440 -96291 62497 -225512 -7258 -25692 -95921 33172 111992 76203 
+-108383 -64890 -12692 14377 -10917 54222 79510 -16140 125920 44308 15579 -48547 44578 -124436 -41140 78766 -12521 -94933 68777 48038 -177493 74501 74277 -107898 37195 94324 2266 44291 173866 -11035 120186 72147 -54944 -206779 76760 206195 -78530 3984 80013 -11736 14039 -137769 -50568 3787 -65132 10885 -2588 57978 111783 83974 84546 -9162 22835 -44961 -228413 26023 19902 -20743 80888 -4579 46220 36833 -440 95319 72113 4328 153162 -222388 -121700 58609 109829 -110330 129736 149900 95836 -166985 112175 122190 -10936 -41816 -204276 33512 116794 9306 -2021 -250791 96726 -110842 -31273 34601 30707 83982 125369 84944 -44855 -32125 -56791 86187 -106110 -99039 -44205 -77994 50695 -33638 99689 -71198 177932 29621 6253 -33750 49505 61480 -46703 80811 -17535 -123069 -16537 16011 -20009 182937 164318 36980 16453 -71323 124801 -24664 -41483 -109325 -49004 96483 -41151 61006 36482 -113324 81141 -60544 168841 35653 -7417 119565 30999 149406 26137 -166562 84850 115589 -16107 -212818 78849 -32865 42937 -1463 14251 -146928 83581 -41836 66939 -112136 57589 -6535 31040 146406 173498 -154795 -53315 -59288 -148339 29351 -152030 122868 29667 106695 -96603 -55500 194984 3617 -71509 -192191 8068 -74172 27496 41141 115724 -165608 -5945 -37381 -127690 -55930 90608 65494 -49865 3291 75254 69154 83664 70625 -66706 77053 100573 79833 71358 -25668 -101391 86702 180824 130180 -72159 15558 -72462 58454 74964 -208157 -120103 -69042 60750 -61809 26555 154005 31765 116660 100370 -101447 -15926 172345 13956 100538 20965 -4099 6662 87060 -87779 10589 -104867 21718 -15744 -60238 46175 -259659 -7266 -37451 -22602 66317 85349 79562 
+-84966 -141650 -18044 -82389 -37185 44355 125390 -9884 12336 38131 12870 -34069 48442 -183149 56945 67556 -37264 -137682 -13545 68159 -156091 38538 75326 -74435 36650 97451 67071 31777 130954 -81412 101742 83934 -26371 -213805 60581 131439 -65280 -56241 58871 10411 -82384 -128283 -89752 -3882 15173 -4216 -137575 16805 117533 62085 27778 160091 109655 -61318 -233558 17511 81545 -58369 78564 -42321 23518 29173 -139856 34095 59527 15102 124156 -101793 -124857 210951 147226 -56113 209291 206015 77012 -127375 100956 122733 -62033 34506 -169410 40865 169248 -18775 32521 -131414 -25129 -97069 -42094 18007 34711 83716 116259 50870 27416 -54506 -15726 180224 -92235 -215901 25425 -117799 57332 18061 84514 -53602 190995 122250 121464 11788 4179 47854 118571 17206 -28650 -115751 -17498 11153 -75473 10248 222400 -91955 17226 -80494 5719 -58734 -22268 -144345 -53898 106892 19470 49253 -5632 -148276 55138 -63682 66339 -3975 -12719 64208 31457 56204 -33432 -191259 65770 48900 121026 -140902 140228 -55174 -48345 4680 45930 -268857 88986 -41282 38026 -36354 27363 18699 -11213 145355 110353 -232571 -14645 -41966 -59720 6236 -163290 101199 -4860 61479 -170301 -124431 220520 6939 -67309 -148588 -7085 -29333 1922 90912 76284 -4284 4576 30594 -49432 11440 83908 61561 -15095 -1895 83210 71033 101810 57064 -5814 76965 116092 18603 123619 -9574 -83223 -14603 55716 134392 -42550 60100 -92332 124342 148076 -115677 -67593 -20524 27603 -56325 -14783 93813 17213 174157 123996 -137569 31907 79070 -5279 139584 -49279 -95990 -1944 99307 -152586 11473 -91336 22297 41003 -46920 -23056 -286074 19550 -44889 -49192 28383 -31559 62960 
+-85483 -147189 -28502 -21759 -74393 38480 -21913 -1555 -200040 16473 13583 -40474 50210 -168288 60970 22915 -20753 -178952 59547 55036 -140268 -1683 24899 -99546 36316 75444 105108 33645 122489 -98069 55639 76073 -45418 -211399 76434 -35247 -61799 -26969 63701 -12305 -191112 -91746 -62665 31699 39822 7855 -220316 -3791 118907 29658 32570 197068 189598 -46584 -237896 -56870 52808 -10087 71441 -44617 5401 50968 -186373 -2457 39398 72815 22901 59260 -129125 194279 130588 6236 217026 146313 69536 11182 102095 64958 -28982 116412 -143105 7927 145224 -26864 58520 42956 -82826 -151030 -33306 5589 -1416 81602 129507 34274 76134 -49191 -9722 169716 -91397 -270660 -42264 -155161 43401 100990 52707 -20091 202280 90080 50539 109269 -19066 56275 36701 -32518 -19638 -102035 -19921 -24509 28837 -91655 258242 -103458 7686 -62242 -98248 -31094 -5501 -116014 -130451 61123 104937 60290 -47952 -153710 41698 -52999 -19755 -46377 -12051 35028 33989 -29140 -53584 -138410 39603 -15680 45039 68863 200829 -73832 -105072 19184 60784 -67099 88995 -61361 -11697 -76217 47136 94993 -54958 147004 21788 -200787 -6703 67409 9527 69321 -135543 55582 -60103 2524 -156376 -90870 241175 59550 -71571 -54538 -25862 33546 -11235 91433 -28084 95106 6658 31433 -20889 101158 92513 53896 107039 13148 39771 92932 147983 50767 32638 82490 69327 -11337 168295 -73789 -75660 -94805 -44890 127279 -43734 -49871 -124546 119973 101158 5159 -4402 -52131 -12600 -62943 6612 32402 26988 219206 -12681 -155546 56438 -63135 -36262 123357 -98916 -101692 -1903 106472 -161872 -4580 -117235 26430 3864 -65778 -47976 -299064 31248 -44575 -62264 -92574 -82112 53078 
+-64313 -86528 -13003 125204 -178106 60889 -144002 25755 -281017 44498 -2758 -52256 53634 -96493 113185 -14528 17878 -207952 8979 20035 -112317 -38730 -8313 -97910 33879 44645 -18592 50828 63136 -15372 -258 -27764 -2791 -216422 56891 -128782 -51783 -14294 17449 -45522 -168780 -65752 -11542 84720 -28718 30597 -116889 8372 52593 2919 -38976 41470 207916 -32276 -174145 -102981 -68850 -29521 62027 -6234 7293 45371 -105947 50041 21973 60845 -16633 47864 -126659 13399 92653 46840 129613 25986 68978 145602 68009 -32250 -29786 137560 -99936 -22774 61893 -52866 59312 77502 -136657 -156158 -2917 -9439 -45268 81350 131001 -4861 35582 -53475 28339 63238 -44115 -225368 -20165 -135703 49960 139784 10679 28469 211956 -26641 -136007 183078 -69422 60281 -147489 -88261 -20400 -73745 -20278 -64910 136906 17796 261524 -165233 -1299 -43840 -42484 -48777 9755 -107102 -145952 1527 120868 51041 -88552 -158789 59747 -73832 -58620 -53092 4121 34965 36460 -52192 -79759 -59177 15822 -65021 -148471 170628 109512 -123004 -162688 46491 72630 97005 87153 -15298 -12787 -68698 43548 179574 -88879 102840 -13824 -114798 1940 120363 81544 53952 -65548 43573 -106325 -25959 -41968 18156 207603 -6348 -76957 26610 -56576 -6701 -17024 91666 -81782 18695 4259 45442 -7429 156332 78199 32046 202175 3096 18540 87911 113703 55700 -23170 72904 106045 -38357 213334 -112908 -70039 -165563 -16584 137078 22017 -166746 -138027 86422 49215 114028 49810 -13245 -53139 -85333 36508 -16215 -2194 223473 -176542 -159153 118085 -97764 -32565 119803 -80288 51318 4466 106674 -65543 51431 -94663 70620 -88076 -107246 -2061 -284076 45010 -55930 -118075 -103509 -72228 53869 
+-78128 -9949 -20000 196145 -151944 62743 -113566 69258 -136479 43323 -6559 -60527 57604 19787 123845 -70871 91703 -222314 4215 21135 -60517 -21040 -29593 -86041 32734 18105 -117963 34638 -22804 84671 -89329 -61214 -21847 -196743 61474 -108795 -46375 1176 -48295 -40131 -48220 -46162 93493 148999 -82354 32831 13338 -358 -16135 -32121 -76350 -74478 214143 -28957 -145647 -119931 -177647 -31450 62707 29011 26133 51937 49879 126618 16788 35123 31822 -119296 -125603 -52078 53993 50570 -2057 -29322 55934 11167 67909 -77336 -1166 169754 -68735 -39322 -36618 -38174 79351 -60194 -113125 -170423 23596 -380 -45853 75100 138302 -33353 -36732 -72180 27841 -55032 -20723 -126318 370 -86559 33546 89229 -31892 73546 219680 -140004 -248466 204801 -97354 46407 -198147 -116113 -2196 -108038 -21771 -122030 96827 153209 242143 -137764 28244 20198 103963 -52894 14297 -137178 -116538 -100363 119034 54010 -123725 -164673 60519 -64516 -22248 -16732 -4544 63061 36907 -17042 -75146 -15346 2732 -97702 -228950 42624 -9678 -135861 -174740 12386 85267 126899 90268 -21028 -52911 -86372 93704 177237 -85760 113407 49923 -313 -11010 42361 135951 41982 16170 40978 -152121 -90951 103179 40181 166162 -35089 -63018 69487 -48908 -14319 14454 69021 -85432 -152694 3863 6900 -35542 86606 94946 7375 100118 -6212 -47682 -6591 72927 25326 -60277 43051 97968 -38516 218007 -137687 -50599 -193249 118696 111545 88445 -155966 -123746 30368 -83675 163163 86977 -25425 -88049 -89479 -889 -44860 3895 199531 -201532 -136001 157280 -45824 -18599 75520 21850 174758 -2119 99736 -17576 29135 -81810 51561 -118951 -126676 69784 -284154 45356 -36714 -93700 21133 -4523 55975 
+-81964 -37651 -48124 109325 -44859 50393 63645 84773 10524 62280 -4740 -56985 59589 109721 48902 -119824 129187 -214162 30337 61075 -34354 -93385 -67838 -128312 35227 -5991 -65386 30110 -34698 196797 -156517 26745 -26560 -190777 71979 28326 -56156 26105 -75924 -42892 31509 -27985 151965 179291 -116858 11568 13903 -35277 -83437 -29793 -50855 -51001 139156 -49262 -72774 -75414 -197521 -18799 57575 33535 37555 38752 146429 140233 21164 -21962 138316 -226519 -104000 19885 -12298 15413 -138524 33947 49427 -165685 49278 -112870 18480 179747 -23220 -82538 -79547 -32910 25994 -225369 -49884 -154026 3016 28631 -10515 74566 127007 -86843 -100974 -71343 23507 -92173 -5577 -6642 12611 -46775 31421 2039 -61390 119288 225800 -157917 -172681 153380 -156742 77972 -48878 -129767 -12757 -114302 -20058 -160933 -24850 231710 170778 -210231 41699 39879 141075 20376 14365 -81993 -46346 -139195 57853 59249 -151387 -173011 20917 -57293 109036 24036 -10904 64908 35049 70374 1370 49475 4911 -112125 -98475 -166666 -84569 -126735 -186382 39198 82787 33934 103947 -62506 -35051 -62745 88436 111034 -68242 80900 140523 25816 -52878 -50420 147538 95881 93579 71510 -171025 -158687 130175 -5953 150261 -36874 -64213 96259 -65102 -33390 36879 100473 -5905 -202402 3308 4672 -60454 -28873 44180 -15005 -14730 -24407 -68336 46105 18732 27664 -115519 7912 81695 -20971 251118 -118058 -17630 -182225 221662 99409 101426 -38921 -114611 -29331 -143092 169203 61616 -54510 -112166 -85067 34522 -53524 -1280 128927 -48623 -98726 150410 80250 7188 70374 39356 257001 -19951 86431 -24693 36267 -55365 63163 -52385 -107723 51440 -211207 60566 -25368 -64284 85796 93600 44461 
+-89961 -122536 -11599 3592 -8917 47402 134991 105629 98938 40254 31587 -55380 54671 174682 29649 -166519 96456 -204771 27660 45271 15699 -109090 -61073 -89025 31862 -39146 44118 5084 -71714 257188 -213363 70729 -49449 -157220 79784 174509 -69286 5557 -114513 -11128 -15430 -61632 179564 194659 -68861 -4141 -88517 -53776 -173329 -43701 -17884 69986 54311 -37538 14550 6354 -143168 -21042 50057 8150 56139 9953 116394 91842 39509 -9891 150261 -140714 -100916 176817 159 -38451 -153235 150170 51064 -160491 44289 -76124 55189 137991 15988 -94876 -32174 -8159 19938 -228086 67569 -165956 -221 16290 36149 74829 143429 -118934 -127531 -62841 21426 -18559 -31581 104201 13175 -54097 20597 -26680 -75867 155563 229733 -73953 13842 65714 -183519 78594 110934 -117301 -14380 -76787 -25090 -189713 -69810 192806 115677 -209403 5958 86784 35145 14181 7909 -96484 -55750 -110751 19584 60264 -169667 -176288 63080 -56422 214828 56327 -26051 139982 32132 164139 42088 -8225 20751 -102343 87895 -194458 14820 -100640 -103496 20350 66528 -166571 100072 -90901 10563 -93530 97774 26743 -25661 92835 174686 -45890 -10211 -43317 114281 95462 142560 113099 -211468 -150704 67057 -95735 135634 -49911 -61357 64881 -78582 -106855 3652 29666 34517 -77359 163 -25546 -111341 -32246 82035 -22950 -49101 -4589 -71944 5829 8595 28270 -147360 36180 107708 31931 240698 -171307 19402 -205696 204664 105877 151751 39433 -110532 -63544 -185156 126949 33271 -76672 -121906 -63498 2253 -32134 7487 58349 119740 -59504 122379 158072 -69442 44756 2257 179722 -20129 73518 -157697 22772 -11066 64710 1969 -78535 -25699 -186055 26291 -37860 -23583 24665 97766 33691 
+-95120 -165562 -39465 -61614 -5263 21742 4404 127101 20388 42585 31448 -43166 48800 167159 -21415 -198232 87532 -168734 14235 44019 53313 -142069 -10601 -86413 28261 -54464 116390 8186 -111324 242078 -222371 83671 -36544 -130494 71407 173707 -77927 33825 -99062 -30959 -147545 -61421 147725 152695 6501 7229 -196081 -28842 -208856 -59134 -13019 212723 -31298 -52271 48905 46992 -20680 -27335 52228 -31601 50247 60903 -15272 35239 59574 42038 57515 29593 -86227 181265 14255 -98497 -63308 209659 85189 -16583 43760 -16313 101172 98995 46175 -58510 67462 13858 43380 -59520 96018 -166841 -37446 28990 31645 71846 138504 -153818 -74724 -73990 -22825 107281 -46795 109907 -19920 -97388 29809 19104 -65980 191663 231912 51096 121445 -16880 -173627 82564 -267 -86415 -8297 -67921 -25961 -194232 22234 36795 46029 -245047 19058 145577 -104607 -29304 773 -83114 -90833 -28904 -71769 53790 -177012 -171164 103936 -51798 246709 60159 -24083 118651 30835 228203 97306 -71366 45417 -70868 93869 25435 88837 -80316 -22603 30101 48564 -191154 108518 -82902 31343 -71015 103490 -9674 12053 70429 115083 -132904 -38948 39132 56275 114827 138837 129353 -245331 -143480 -71478 -105891 119122 -6457 -62195 -18800 -71515 -124222 -13608 79307 162633 62853 15017 26304 -123070 -44678 93924 -20161 10714 -14333 -65121 17806 24116 19081 -89217 -37816 69490 74177 248985 -135591 55168 -129265 95423 50001 197634 10018 -64983 -44492 -83678 38876 -26025 -57443 -115463 -53727 17448 8550 -15576 -24863 116462 -30340 91402 205247 -63950 74607 -67902 17198 -23352 64022 -184098 37135 25158 92768 61629 -46473 -70049 -121627 26442 -24506 -66838 -131061 26424 29754 
+-72753 -115960 -21158 -34418 -121237 23521 -152933 138460 -184309 53040 830 -39030 46602 83579 -86486 -219237 10798 -124196 29869 29507 79874 -146510 4955 -50249 32991 -77533 65085 -7929 -128646 165898 -222216 76810 -9916 -111311 85721 24913 -89776 6657 -78463 -11616 -210594 -141746 83330 82624 21935 30115 -145002 -57455 -254338 -52251 15612 168283 -112004 -74039 55827 12405 75739 19789 45753 -44377 46078 22886 -151162 11712 72576 60705 -9065 69567 -76366 32554 32394 -136109 53100 142854 82315 113185 43327 58709 107008 12270 64262 -54489 161988 21168 32449 89115 67108 -154992 -16520 -4613 -8753 75011 145100 -179984 -6783 -59413 -47917 186612 -109008 30439 -21855 -146791 33263 95509 -35816 205499 232228 124829 42918 -31423 -162149 70493 -142398 -33669 -17763 -55456 -30544 -170607 134083 -48040 -39994 -195455 10850 189884 -53072 25426 -14274 -83162 -152832 51193 -90729 60935 -173716 -149527 68083 -72819 197579 19152 -11725 147808 31937 227099 139163 -140169 70173 -25245 -72674 189159 197428 -47953 24339 19684 26507 -96574 102656 -46596 71014 -68870 58549 -2592 45531 71529 17033 -206906 -35629 139225 -25576 86656 99493 126036 -222439 -51853 -145167 -76728 122512 -790 -70432 -78510 -73622 -175041 -17026 123674 175771 78098 7937 62283 -110230 31407 78810 -16472 163923 -3496 -55710 -5389 76443 22147 -12860 -6308 52555 129317 211562 -85367 53001 -80408 -11826 22145 193754 -109324 -96761 18851 -1622 -119968 -100208 -39695 -93870 -63638 27339 67139 22370 -76446 -17336 -36040 27849 125854 -57404 110259 -92044 -123547 -28972 61043 -133788 27497 29322 87232 -55206 -51017 -18237 -68355 -38650 -40281 -110555 -87026 -65894 7723 
+-77520 -27674 -33437 114736 -187004 -4770 -123763 141382 -271720 37154 -2287 -39360 47330 -19350 -172566 -234386 -12368 -78132 81304 22489 88387 -190634 47335 -73310 31015 -87146 -15548 17651 -115109 49995 -146127 -29899 -21614 -95810 76116 -88075 -94097 18427 -40651 10539 -117342 -140640 -484 33339 -33815 33191 -40082 -21117 -210120 -54145 -6704 36496 -158996 -26066 38390 -30687 73570 -27873 43105 -17223 23715 25020 -173156 57040 71560 36440 11509 -84838 -73417 -74098 44959 -135473 201631 22288 70421 36272 70630 118343 101337 -49823 74487 1351 175433 17957 71072 40813 11240 -132234 -20557 41246 -41364 74091 156170 -187862 55848 -55646 -55165 156478 -120536 -79464 -13312 -166869 64785 134822 4244 210530 230326 80125 -142832 7278 -192385 71983 -167541 17698 -27310 -60899 -28884 -138639 112068 -11736 -92409 -236560 21996 221676 100892 -34503 -33888 -78137 -139599 121421 -95363 57065 -158889 -138445 32444 -33986 107970 -23213 -8128 126501 34645 167062 189720 -166153 86535 42150 -222371 120783 189349 -42945 49058 -21922 3242 100002 107698 -39335 89340 -51601 75145 90700 54536 23059 -7632 -206057 -66342 81391 -117021 111931 28254 98550 -197558 28895 -164362 28078 55859 18685 -73753 -162542 -64144 -186085 2872 75382 180665 -64940 2169 34261 -63226 110917 57473 8894 173767 -13421 -22131 10513 132864 -6040 32795 -43018 46248 180007 180898 -69425 83397 28947 -30552 5486 195698 -160677 -17940 54860 124105 -181956 -119993 -19546 -60541 -82192 42014 129227 2621 -111400 -175725 -56849 -4767 -50533 -76225 121751 -46485 -78951 -39604 65610 -38493 29277 68963 72001 -120540 -89174 55028 -40117 -60181 -38534 -116785 4057 -97868 -19971 
+-87570 -15208 -37163 198374 -106005 -20719 42441 140247 -163036 36832 -861 -51697 47654 -126613 -262854 -219251 -2608 -32735 92022 19070 99332 -168424 43826 -69209 25212 -77740 -87083 23690 -90860 -48257 -80383 -58121 -23755 -75560 74999 -123000 -109326 -23992 25260 -36752 -10817 -138725 -66272 9225 -114659 12247 31517 -19910 -145319 -34147 109272 -78063 -166222 -60202 -10220 -111242 -7397 -44160 39389 23461 12712 73409 -81160 123500 61120 10677 98475 -222241 -67345 9491 130754 -105224 239924 -33871 50289 -138778 103108 134060 120033 -102442 73042 6730 99762 2585 62566 -152123 -89375 -105817 4757 23062 -69125 78141 157698 -148415 67306 -51959 -100432 40828 -115150 -198027 23984 -106325 57638 98553 46798 212508 226435 -42723 -247963 83010 -123666 82414 -22036 79533 -11483 -51543 -35319 -91558 -29376 141813 -82426 -191240 5262 246378 142783 -35005 -59347 -97831 -80410 81742 -22706 51392 -134393 -131869 24075 -60282 8342 -50065 8253 130245 36730 82655 189251 -142886 87634 102287 -158009 -105191 63854 -67179 36399 -26035 -15111 143039 106532 -32329 98824 -55302 -2171 174351 32676 3081 46378 -162422 -82995 -15216 -192054 114716 -46450 53019 -177148 65334 -102383 30515 51185 12368 -70243 -215008 -56327 -150092 16844 96357 114497 -208219 683 10257 -30325 112415 91093 32673 52410 -11334 33348 17914 125946 2933 3090 -80441 67259 213680 159304 -39986 87727 62733 86986 53902 222408 -96446 7588 119445 155173 -194799 -110680 -14401 -21005 -91623 78159 187713 35958 -85255 -188905 -90076 -50647 -117277 -108072 122819 43580 22402 -41437 76951 -7857 16202 81279 52764 -134657 -115902 49239 27780 -33272 -23165 -81371 101862 -63869 4887 
+-70199 -77068 -6618 108736 -38189 -6065 127940 135042 26233 50129 22360 -62765 52598 -185951 -271604 -204350 7357 18348 57712 32842 107583 -172457 51657 -47904 27381 -74158 -63707 -2054 -53595 -91428 1611 -50133 -32059 -40059 84994 16023 -87164 -5188 41870 -54508 22158 -116095 -84897 13808 -114624 -4043 -52581 16113 -77118 -19792 106440 -32702 -97266 -64565 -70543 -136268 -141645 -45835 37645 36538 13711 74233 61535 138818 41622 -5221 160048 -166002 -65594 191516 131392 -49798 152049 28747 45704 -189846 92147 106051 69258 -160210 66285 8496 1068 -29247 71300 -247397 -139757 -113722 38337 27820 -23929 80658 153721 -114757 9258 -63494 -94395 -69057 -77888 -250485 -21398 -46540 58700 3694 81673 191262 220760 -146541 -165649 173863 -111000 70983 107116 124277 -24438 -36490 -37793 -40768 -80423 258807 -73492 -175875 2567 281261 27277 -50980 -82379 -70004 -66962 45324 41430 56118 -101406 -123877 46948 -38505 -56829 -45239 -13201 74457 36594 -6771 209426 -86182 74286 151776 25438 -223503 -33768 -98688 -10382 -16961 -35532 -1064 102091 -44092 77049 -67414 16637 171141 -10977 -6958 139794 -36502 -103095 -54371 -228664 117135 -118488 30664 -141169 110208 -3380 -49764 34275 -6026 -70877 -210019 -40069 -82751 23067 80328 30314 -145810 -9865 11424 -8160 48202 53911 53249 -58191 -22193 49237 25714 131427 -27020 -44424 -80054 68646 230716 109380 -22010 104058 135869 198767 69983 185277 10835 15363 133785 73788 -125292 -53492 -35218 19607 -83579 22546 233073 -21077 -37310 -41423 -127003 -136258 -72456 -61167 106048 36949 216321 -54384 89759 -92322 11379 121971 50748 -35125 -126735 -12395 41769 -31020 -28911 -54316 56253 35523 -26982 
+-89761 -145908 -10839 21132 5324 -22861 25010 100383 127562 23975 50648 -58401 56423 -168834 -312487 -171034 33753 45076 24105 44232 68959 -175550 12622 -107785 22826 -66248 61079 3305 -3872 -66881 65475 50533 -45734 -871 61413 127184 -76112 9423 68459 -45201 -93681 -88603 -40784 52580 -75337 6572 -157669 44527 -20174 16412 147855 88583 -32847 -55899 -134675 -91259 -205702 -15927 38857 9042 26181 38165 139620 88005 22936 25215 86035 7270 -76886 183810 131740 8851 24168 151585 68380 10009 134967 29980 30814 -167364 48237 4091 -83892 -44424 49229 -155978 -84540 -102807 10390 33602 29180 81763 154437 -86682 -86278 -60299 -98190 -86649 -23280 -234438 36803 -41784 61216 -30237 98723 152529 212820 -158528 21543 197856 -83958 65713 17296 175387 -7367 -58975 -39945 6126 10684 196356 8641 -113628 -8052 304646 -74766 -53371 -109061 -65184 -77197 -72839 101313 48234 -62283 -81211 32700 -40459 -51553 -7052 -38353 62004 34355 -54465 203266 5038 50543 201504 114066 -40123 -87834 -92783 -112447 -61195 -37300 -151817 103288 -16953 57248 -92699 62093 138336 -57099 -28143 176543 18918 -104033 -8685 -257499 92281 -166301 48133 -83148 82694 112574 -121064 25723 -16235 -65277 -193856 -16063 -67782 -11318 93670 -57390 13995 -9052 22197 -46640 -26529 56418 65432 -16792 -8544 62163 40634 56171 -27506 -125167 -71107 18159 223732 55307 13775 84331 127690 225585 61530 205518 46365 82392 84292 7157 -29003 12078 -17263 54803 -63786 25345 248720 -3512 36099 106451 -153104 -91002 40922 -94425 50674 -17580 264163 -54175 101194 -166031 8468 141044 60639 34746 -91237 -42204 94366 -36350 -12026 -55642 -95111 107425 -41146 
+-99450 -131599 -15480 -77457 8502 -17934 -142482 78899 8766 38699 32088 -55726 58782 -99602 -304099 -124686 103510 63895 2668 46252 43463 -138169 -31284 -98183 24107 -30363 119132 -9426 17848 25460 115303 90665 -8197 25325 98263 193718 -76551 13222 55652 -44987 -190679 -50817 25097 100904 9682 29597 -192811 63357 64148 37096 162906 174494 63536 -65698 -186729 -21177 -181952 -36498 36143 -27830 46704 14869 112361 11102 16503 71011 5552 75968 -64424 32295 100736 47005 -109663 208460 75538 100769 117725 -42545 10683 -174680 11122 -20437 -58204 -46730 33918 14895 -8886 -79583 53 28017 21651 84031 152962 -19560 -146031 -63135 -47177 1949 -19952 -118042 -15934 -82891 53207 20338 94911 125390 203424 -58587 125039 177335 -28148 24442 -153064 200246 2123 -24010 -36193 28544 140991 47443 87534 -69102 15272 248218 -73008 -54850 -132076 -91239 -135373 -152472 134242 51334 -20113 -96334 21229 -19804 51685 35401 -17938 44998 31678 -30607 164297 21562 24653 222116 8461 153999 30766 -123536 -172108 -104564 -30588 -220118 106063 -59586 -12999 -114202 20007 35128 -85901 -40558 121570 -1749 -110010 102852 -232351 79625 -156829 74073 -37762 70505 94481 -102362 24673 -19487 -72360 -129743 -1153 15275 -7923 104450 -75232 113232 -21848 40734 -52546 -28169 35311 66647 121550 -833 57298 62505 25082 -21743 -128591 -147224 56617 197053 23201 46586 76625 115544 119868 161038 108444 -52777 70462 28087 -127144 48164 53203 -50194 78910 -54614 34601 242971 3528 108245 111212 -161298 -94802 153571 -89017 40230 -95377 204429 -50462 107615 -151936 3097 160248 81271 -7533 -60542 -10627 64420 -9073 -24732 -52682 -117142 99365 -48499 
+-68408 -55155 -26218 -3094 -122201 -10763 -123794 42981 -160443 40933 -8972 -43676 56691 8075 -257166 -50280 150164 71488 16342 29766 34434 -114271 -50580 -69878 21261 -9723 68553 -39563 64918 139749 115765 52467 342 35603 75399 81042 -54740 22334 41775 -15436 -173731 -33042 118021 148388 39186 33515 -64892 48104 135889 67817 76114 150849 147082 -61811 -240984 32662 -83064 -16603 42371 -40943 59191 66667 -27409 25064 21573 68282 -9525 -42832 -82978 -64816 66187 45542 -152530 147435 73960 84267 123110 -70573 -11619 -136836 -30916 -77639 36739 -46734 6594 94507 43884 -80372 -37016 48044 550 83878 153736 -3800 -97780 -84389 -48642 126738 -27383 -3718 -34937 -134103 83158 108428 69974 64664 192273 69814 35110 89889 -25803 49045 -207769 208523 -14046 -28469 -37474 16872 98842 -65636 157550 1044 11308 253817 38375 -41591 -155426 -79780 -158757 -145079 107467 54438 22719 -51611 45847 -38233 172397 66848 14051 31237 30923 43200 80513 1014 6671 216698 -179262 128919 107349 -107091 -191350 -103656 -19048 -89325 108162 -69854 -30858 -100354 42778 -24968 -93284 -80101 30406 -83388 -136842 90695 -161520 93554 -116415 118875 7942 8797 52797 -29547 -13395 -30097 -75141 -28376 15770 28963 10538 83679 -70189 30613 -40252 30646 -150710 -11339 28824 51002 200070 -3201 38395 50648 4394 -27868 -143128 -152032 46459 155755 -9393 -2050 40435 78429 -8518 126282 70366 -188764 120557 -21204 -175318 160704 84537 -35673 89125 -63934 17409 204590 9797 185033 -21926 -148969 -61822 193023 -114646 54766 -100686 14910 -51886 106874 -73805 -6067 159844 91147 -89064 -49260 64419 86872 27934 -25527 -112718 -10146 -2292 -44352 
+-83074 -23412 6786 123604 -175350 -6427 25623 -8245 -246226 26460 -21228 -44528 51435 114957 -196108 1085 142377 56626 468 12650 -3694 -83012 -48973 -85686 20903 24102 -44063 -16437 123008 228857 82526 26090 -42539 59234 70808 -39356 -50749 -19862 -31259 203 -66972 -31431 159887 211319 -59313 12955 33105 62354 125145 81877 90501 -16050 211938 -53900 -249924 7604 28401 -38002 48353 -32697 59817 37314 -157737 48182 38753 31184 70854 -205431 -93395 7917 76291 15421 -100764 30551 45577 -118466 46934 -96182 -57647 -88158 -70611 -88892 133870 -33522 8931 -38373 74952 -50029 -31460 32939 -54926 83255 155200 47505 -31075 -54180 -29166 189774 -31598 91339 -6033 -166460 69734 139451 31341 14183 179580 124240 -152850 3499 -1041 40728 -10775 185229 -10197 -41001 -46757 -12501 -16599 -11936 237284 10802 2394 268762 155081 -27990 -172043 -90707 -118382 -52664 59136 62215 62869 -37139 48112 -44589 221739 52228 -2510 39455 32596 135809 -1456 -77137 2270 193298 -202968 -41158 193205 -138939 -164266 -122172 -1653 90884 108342 -100330 -62259 -68973 40055 625 -72233 -95292 -9243 -184016 -158502 -22792 -93818 78406 -49497 134556 14125 -54912 -54871 39648 -8531 -41843 -71398 19297 49056 4025 21768 94185 36348 -136541 -54648 -21626 -145987 57089 25530 29565 91156 9986 14164 71390 47872 -46029 -43587 -135095 55589 105418 -52345 -16351 15401 18054 -44171 114530 34387 -145392 127888 -59358 -145218 179133 60992 -38550 83182 -83061 23914 160641 -1238 218256 -173088 -104031 -37470 136605 -77680 72117 -34707 -93268 -46557 97315 6664 -20825 171879 59635 -114118 -72551 73713 70791 32407 -25450 -115225 86068 -78759 -45182 
+-72675 -77557 -14749 191733 -142685 -1193 125465 -4238 -192034 47565 1310 -48293 48713 171095 -121029 44621 104460 38850 44360 38308 -50654 -68829 -35351 -94450 24156 35542 -84702 -50538 146512 254838 37929 -43933 -33204 88221 65981 -144390 -39565 32205 -70691 2337 30298 -86760 189573 172971 -102850 -3925 -9354 44870 65001 95923 67304 -91453 217134 -60493 -226977 -35564 97526 -29864 49599 13802 38906 48084 -183744 152149 58825 -10848 164469 -198095 -98102 169479 6237 -36780 45094 -30218 76798 -219148 41619 -69422 -26654 -16872 -93043 -64959 179930 -8321 41274 -211075 28318 -52343 -22557 37446 -63375 81435 155609 86052 64674 -60837 24897 140626 -53977 106981 -16111 -143588 66842 105566 -13270 -14347 165698 70438 -249665 -39110 48441 60792 88722 153133 -17333 -4288 -38329 -60839 -76058 134455 247032 53824 3703 201068 81374 -17564 -180412 -63157 -60985 36567 -29699 53603 97178 -15137 23405 -34415 261853 -2341 3856 68966 35345 213536 -28340 -138080 13248 144195 -45724 -223319 126401 -85649 -82687 -125066 19204 105327 96964 -54722 -78252 -67044 90539 30404 -29228 -96199 39024 -237507 -138414 -60678 2127 50861 39320 121589 51034 -140794 -156089 15524 -41328 -36960 -69729 64924 68148 -37439 8128 100734 120406 -202365 -40530 11673 -110903 142294 2851 6736 -26462 -364 -34484 96527 79990 -39328 16403 -163123 55262 50441 -67065 -22666 -11452 -65034 39192 131166 14112 -37524 143734 -45498 -38685 127647 9071 -64374 62043 -89425 56814 96265 38369 223010 -180010 -74791 44805 -1920 -106137 94930 42620 -80483 -45640 84088 -38442 11011 158049 110375 -31207 -104160 -1537 60318 47876 -27942 -104209 12676 -101062 -49257 
+-85112 -135153 25894 113858 -35846 8078 28759 -8521 20590 59719 22744 -59523 47610 169261 -14021 74646 38345 -14630 60479 54288 -97367 -19806 44 -64437 16867 62867 -33181 -12738 151494 218972 -31045 -41162 -81908 86091 69578 -49030 -53370 38222 -83112 -6094 -17016 -118872 153404 130067 -120357 5941 -121698 28155 19144 131750 25559 -12160 190886 -27120 -182977 -95879 30016 -11034 55169 35072 24331 67833 -65771 149896 71400 13263 137034 -28286 -105046 200597 3532 -94391 177067 34358 57942 -53264 67280 -8973 -20926 67496 -133391 -69873 130862 16844 57037 -235157 -56267 -30416 -253 16503 -9290 80518 157908 108068 89497 -51622 8632 18274 -102026 48122 -5346 -100770 80244 13073 -48801 -65036 150025 -53288 -155363 -17829 69716 94916 37393 108283 -13123 -30826 -43303 -106525 8546 246749 282717 72566 11144 158499 -53778 -13512 -190516 -66844 -54581 116055 -69060 70710 124262 -11709 41159 -38678 173078 -35087 -7468 119578 37125 234549 -76362 -173944 36052 89762 110298 -113460 6878 -61749 -36493 -163251 43515 23191 89847 -65498 -26166 -90263 90312 168964 16095 -111948 130418 -160653 -134042 -10946 74283 26181 111679 91393 60314 -140691 -174918 -76160 -32200 -28894 -61297 95163 68445 -67363 -14289 90315 168498 -72667 -62817 -16803 -45168 120329 27429 -15251 -42608 -17981 -93517 87090 125057 -45342 29286 -162433 20511 3210 -99569 -51440 -42861 -131867 160410 111715 -40145 47360 126352 6184 45464 53176 -45669 -28053 29222 -82694 42309 37441 10902 189594 -60302 -38083 93352 -88685 -92981 95943 22260 14835 -45894 70882 -142712 -3341 166404 99877 42894 -120130 -36604 20337 51038 -2151 -41705 -94169 4787 -67704 
+-81021 -139319 -20711 -1582 15909 35868 -135544 -25809 87762 56862 31716 -64613 46767 101520 36660 96068 -18885 -61063 47076 60484 -121255 -1640 34524 -134788 17410 88905 66716 -15601 121834 114255 -110797 -7895 -50002 101109 93106 86075 -57428 -7699 -121741 -41256 -160877 -121167 53771 73464 -45507 29114 -192440 -2293 -34170 124763 -27498 123183 124611 -60878 -122445 -120289 -78873 -8109 60255 20373 13041 51428 76607 75723 72983 30705 6537 83910 -113019 28084 6894 -135993 233756 152078 79898 114220 74931 77744 -8357 118519 -179605 -43626 28984 12404 41829 -98004 -132338 -54827 25050 30200 24138 78029 147816 83309 32412 -59658 32430 -80425 -107608 -67800 -5924 -34850 80192 -18432 -70946 -76639 133806 -151411 31733 61065 80448 84480 -159879 44563 -13845 -63750 -42552 -159667 129551 215374 251824 95904 38855 133615 -78249 4491 -194913 -113480 -119591 104128 -93453 69094 141800 9411 66687 -8307 41710 -59906 -46950 158911 36237 191460 -115116 -143089 61303 25582 66921 96070 -99020 -46739 18283 -172756 62842 -175118 93835 -67549 34479 -51521 106236 176058 44691 -132610 176046 -67990 -112064 118095 127736 11284 146344 56621 81432 -145916 -61394 -115591 -78081 -1530 -65765 53471 86799 -128311 -21030 106608 228978 93103 -93011 -755 3583 21496 1554 -29402 44379 11524 -104074 92513 132574 -50741 -23596 -175061 66023 -27263 -90735 -122556 -48166 -158778 231459 85597 -63971 9298 108478 61440 159354 -90372 -115042 -27302 -10931 -62909 44064 -14541 13410 126952 93875 -32718 122819 -79075 -86216 149845 -23100 159195 -37868 62752 -165407 -41024 125891 66271 49459 -114812 -17338 -24654 38094 -44071 -31360 -99292 93662 -72611 
+-98119 -31344 -14776 -101861 -24114 48677 -142598 -37117 1751 35131 20464 -54990 53638 -6301 100301 98097 -32323 -113131 52294 32706 -149839 46307 29864 -77455 13682 106695 103489 -26806 129908 3143 -179959 49889 -8493 111563 83795 183000 -77779 21399 -104786 -70194 -194595 -141130 -33911 22009 20587 33794 -134563 15365 -113512 137145 -54126 202659 25770 -35389 -53080 -60682 -179724 -17376 65612 -19415 17561 51673 136618 28384 62046 63918 -28394 -3096 -127710 -55808 16179 -141155 202559 205352 67855 74404 63212 117887 33128 165246 -211446 4344 -60551 13459 74686 75979 -132628 -48590 19146 11248 54270 75554 160134 63283 -59350 -44748 8442 -77769 -125295 -184798 -26820 -63524 84006 7745 -72703 -88590 116405 -150898 125169 151752 76546 82592 -215954 -13740 -28212 -41046 -36539 -196647 121931 68150 181988 98702 13313 83444 41405 16253 -190424 -94704 -136615 47892 -32239 72945 148352 8090 61756 -49980 -29839 -41113 -24849 150634 33672 106431 -74891 -72100 81321 -31344 -122957 159862 -52209 -38621 61702 -189293 81395 -230796 92155 4109 59537 -70923 84267 155085 54011 -150853 134684 18793 -115438 110141 143780 2485 140989 26313 50404 -97076 86436 -65853 -78374 -7424 -66786 -15706 86722 -179991 30019 88643 138051 80900 -102454 26830 10647 -49899 -5285 -23564 195508 -7888 -87405 113908 105606 -46830 -99118 -186850 48022 -37633 -61498 -138506 -83844 -208397 152455 34573 -108680 -130149 104907 121653 119941 -212532 -119615 -7847 -51278 -52212 56923 -47402 17149 46882 119327 -43490 149249 -7415 -74058 107675 -94434 251719 -43037 62465 -98104 1097 137098 106307 -45420 -76898 59722 -104128 42678 -67112 -72684 -6879 105342 -69646 
+-111720 -2417 -18017 -14239 -144989 64137 13824 -12066 -157915 35135 -26804 -49023 55604 -122314 52912 72611 -3620 -157102 57620 19463 -184637 78176 46446 -111803 17140 100680 91365 -27590 108085 -83223 -235565 87324 11241 113364 78349 173709 -89794 18938 -71639 -49432 -121319 -149029 -76898 -2084 22180 13685 1144 -17977 -188159 146563 -77551 128082 -63278 -78999 -6742 -17520 -199400 -39817 77097 -53695 28187 47652 89555 -2123 40907 36436 37073 -185311 -133691 6108 86598 -104576 62853 146577 72042 -87074 84748 144363 45554 183334 -217939 22607 -80265 -7977 69311 57814 -100250 -18541 -3657 -11600 -33107 74503 150273 26841 -111606 -58314 -10 23815 -101721 -257796 -13349 -90020 66717 78831 -52020 -98301 98758 -46500 28531 203659 57523 91193 -17579 -67627 -16190 -11322 -39976 -200004 -12686 -46831 132194 73227 18806 20292 143056 -25707 -182289 -121874 -103798 -35621 20885 48588 143590 10042 50998 -48076 -42269 18855 -13492 159717 31270 11251 -31294 -11376 89460 -84097 -223873 -14470 34362 -53778 51770 -197832 83905 -92055 86674 -23747 73325 -84238 104321 47547 29977 -169689 33951 15263 -120555 30144 118081 33141 97020 42543 1627 -43883 125969 27087 -96904 33098 -64079 -101571 92206 -172329 18351 99389 93110 -65780 -111327 21365 -33034 -43568 -19962 -10493 151885 -7235 -26154 127389 46145 -50446 -104807 -200949 67000 -31683 -38405 -130173 -93150 -249343 41420 68988 -110995 -153178 79136 109541 27814 -192130 -92821 -43988 -87003 -63063 49823 -52587 36257 -32059 -12684 -73217 169855 114339 -78232 88251 -62589 197050 -32838 68301 -22038 8931 104878 79241 -142349 -45497 72122 -136911 -10062 -47811 -102189 75565 53159 -51635 
+-86858 -64084 -1364 110019 -174216 52501 130377 25258 -255010 22362 -15408 -38376 59396 -178184 96646 54527 52449 -189688 26970 26800 -191496 123598 14774 -107896 12797 98665 -36583 -21409 28355 -96199 -227652 18644 -24838 104842 81496 -17820 -104826 28833 -11496 -60908 -2896 -94139 -69467 28702 -60705 -3790 62836 -16989 -238498 133782 -78935 -68248 -133934 -34624 47699 2360 -136629 -21192 79954 -37275 39307 38067 -56234 61358 24223 11463 158951 -219035 -132065 144304 106652 -48044 -74513 22788 60434 -231242 53886 86828 126709 186214 -236291 5862 -6322 -24252 7468 -110751 35750 -28311 -33541 23966 -28175 70590 137949 -11014 -125035 -40989 -21419 144995 -63485 -252915 -14639 -154452 77400 135235 -16708 -89771 80292 74859 -160780 191689 32699 72833 148073 -109048 -1930 -4800 -36625 -182375 -73594 -42930 27884 55059 33244 -3657 118883 -18341 -163581 -110834 -69278 -132964 65049 43237 127873 -1051 84897 -39754 18989 51940 24675 143972 31158 -43504 19926 43066 81877 -111305 -120207 -172161 140633 -102715 -7897 -193971 83888 83893 78942 -11964 108707 -81296 57288 16526 -7860 -191578 -7907 -55820 -79203 -58554 77141 -11254 16071 84728 -30518 42792 105601 55808 -137277 26516 -70623 -160326 97015 -153917 17915 97920 -42760 -195187 -106691 10554 -93941 24602 -21128 13268 28149 5794 -46937 117413 10308 -60481 -140223 -190529 29621 3095 -36667 -147571 -111742 -178987 -35094 -16836 -112764 -90016 50268 97973 -40908 -127714 -34176 -59079 -111565 -81372 31468 -31951 36684 -88005 -162681 -114879 120364 181618 -109183 63371 7617 25804 -30165 80072 -3590 3909 59523 92654 -130699 -53416 -3741 -193225 -29612 -43798 -103096 28450 -50886 -68291 
+-84694 -144327 -7795 200392 -151520 68649 45532 62174 -198740 48584 17114 -38720 57664 -178582 90429 541 108432 -219522 14431 54561 -192219 118052 -24044 -85279 11368 88760 -96458 -38559 -28099 -24421 -182469 -51675 -69752 90742 68918 -135644 -102682 16962 36505 -22488 20372 -79054 -6569 55482 -104983 5339 -53316 -35743 -231272 130372 -50171 -76560 -176374 -38060 56426 6938 -12726 -14681 86069 5438 41179 44226 -167547 134247 15598 -13164 169505 -66996 -120936 199105 101246 8166 -141663 -31623 43871 -121590 129386 36412 101106 146772 -222868 -3410 101005 -32091 13270 -250415 48094 -60017 -21745 14875 -59534 74855 136298 -59470 -62392 -54950 -74963 189231 -36226 -154395 14858 -147703 82152 100196 27053 -49176 61520 122100 -248603 115915 11745 64739 21458 -129273 -25564 -44765 -37014 -143058 17245 105592 -29255 49346 14783 -41860 -6488 -42937 -141802 -116866 -84907 -140296 131119 47830 102440 10080 59527 -26942 88931 61565 -33 125184 33194 -44603 63172 -8126 61096 -113633 64096 -159234 189662 -122863 -109666 -203603 71327 145364 84114 -63245 113702 -83221 40178 -24562 -56407 -217511 28195 -167409 -103398 -58122 -11531 13255 -58797 116617 -69668 66668 -8775 -16924 -85390 -34666 -66504 -216563 92064 -109589 -26298 89084 -70683 -134522 -118691 -28140 -121315 120829 6582 34585 -47740 -6184 51076 147790 21563 -49067 -52900 -176156 113398 50988 15983 -109618 -94281 -113156 8455 2415 -110078 40516 31252 45806 -145001 -15129 23144 -73974 -121817 -92730 41771 9897 35968 -108925 -189353 -141990 73164 136277 -77844 38596 29240 -53290 -21552 92947 -132622 -7586 39363 63285 -5854 -84298 -48212 -214311 -30318 -37290 -61824 -87812 -104392 -60683 
+-90091 -135442 -18341 100534 -49898 41222 -119681 86708 5627 63794 21153 -47320 54764 -102721 30922 -37260 127747 -234270 19357 48656 -188305 133525 -52486 -103659 16772 58492 -43550 -20410 -73185 66964 -133191 -46243 -60957 83187 63751 -76743 -87807 16172 59890 3134 -78686 -24831 66305 128008 -105936 28559 -188269 -62345 -199766 118195 14873 44088 -145233 -52749 26928 -41994 78019 4630 96624 35776 54980 41226 -172497 133855 19312 16795 67028 71935 -124871 46612 153734 44911 -132260 29787 43516 65430 119785 -53926 113032 72501 -216054 -4497 165145 -52423 78717 -197123 105197 -60535 -9425 34927 -9935 75333 131671 -121223 24132 -50381 -84838 122488 -17748 -30103 31685 -124729 43697 25982 66232 -17363 42924 57649 -149286 30581 -17366 69118 -158237 -126619 -12664 4102 -28810 -92809 133448 228395 -77530 5303 41432 -77916 -110578 -53097 -119855 -131188 -105207 -50236 132234 53979 68751 -8067 53830 -49707 199003 25462 -7813 68376 35946 23250 118399 -66488 34475 -101029 111238 15336 116978 -138722 -170053 -209460 45347 -25421 64191 -66060 40703 -70628 26307 51478 -82435 -215703 123814 -228686 -49616 50522 -105683 -18678 -139678 133169 -122830 120627 -145565 -108605 -159134 -43653 -62421 -207095 74610 -87544 -23501 114560 -77779 30239 -121202 1064 -135437 139997 -13274 55864 -18641 -11277 77121 104109 44267 -62072 -2007 -159755 79501 103109 69942 -118582 -68863 10508 149727 2515 -68377 40710 9430 -33349 -178029 33763 67488 -32451 -116229 -84854 65453 65772 25622 -80605 -45928 -165401 34234 36694 -11435 74649 -14318 -106746 -13240 103921 -182406 17774 19919 100692 45637 -112515 -41049 -266569 -28777 -51818 -40850 -153889 -63078 -56518 
+-101097 -80018 -12782 903 6821 47051 -150371 95381 110915 39201 39303 -60513 49707 -1949 -39308 -96339 129758 -214742 14995 46697 -183070 164793 -88470 -77940 9915 25934 37587 -31013 -109088 178866 -63233 -18699 -37591 62432 76025 76289 -78463 -8643 60215 -2023 -182953 -25569 145128 192737 -38943 34074 -189423 -1586 -154384 109948 84078 185824 -68458 -43132 3469 -97579 70131 -27459 99651 30077 46789 3614 -46722 105433 36120 83623 -20047 26597 -114382 -39675 101656 49879 204 152309 60932 146309 98655 -94486 123385 -6423 -188444 -71254 155615 -46388 43079 -6970 13767 -75857 246 4365 36016 76211 133539 -130980 76266 -49955 -95153 -3196 -23562 90682 9438 -69433 36143 -21451 92767 33829 24331 -69765 38455 -23386 -60294 46368 -189107 -100974 -6160 -20007 -27877 -45867 121318 244849 -77157 -2448 2260 -74626 1521 -77451 -90066 -97028 -145881 18382 91712 59409 29420 -12080 53257 -62211 261726 -12744 -23020 35018 36939 116640 158448 -152400 12490 -57784 -50474 198847 -25295 -131689 -185481 -210134 35055 -167475 72686 -46896 14668 -70575 2024 144848 -92396 -218161 176014 -199456 -40641 111619 -177660 -32609 -174795 123902 -169764 99721 -197347 -95688 -107082 -31558 -67951 -159927 62190 -59793 -4707 112368 -9966 110045 -135518 29059 -104837 106202 -6465 66363 148597 -13226 42105 101031 78134 -62974 20686 -191644 70847 158772 105551 -68844 -63956 27952 228293 85457 -75359 -61092 -47637 -60608 -121522 156620 78652 -42178 -95494 -66113 14582 130762 64257 -28598 97339 -151126 18871 -79157 -60242 102652 -58013 16749 -10828 108018 -140518 8649 6429 96588 -23860 -120363 51509 -294952 -37460 -41072 -57738 -26883 9112 -38832 
+-87430 -3276 31627 -88798 -26245 48141 -2592 127561 27336 37280 -7224 -60689 48354 118002 -165629 -153095 89795 -200088 -8073 37803 -167829 145952 -74976 -103641 8721 3288 78187 -5360 -143399 253150 22426 70651 -10488 39774 82115 142261 -81118 -56367 52495 23919 -169681 -70012 185996 182839 27436 14370 -35915 -40718 -57957 56714 103790 180932 -3786 -45393 -84762 -139058 -30141 13056 102382 -10169 28620 23471 90047 15169 56433 51129 25686 -144089 -108789 -2421 91338 15934 140617 210343 85264 -63452 89243 -98499 83962 -85505 -148913 -72623 67685 -25580 47700 90868 -89075 -74400 32058 11933 49040 78451 121867 -152590 61126 -36581 -95835 -88306 -58581 120182 -2422 -52705 44138 1885 98830 69229 6194 -158356 123501 -35379 -99214 59071 -24281 -67845 -28985 -42510 -26788 -4792 -11008 102042 -79112 -93154 3862 -122905 138661 -60814 -65824 -98060 -112071 81565 -1244 66153 -13050 -32139 50108 -60994 208919 -48025 -5696 19162 35716 199786 194455 -203892 2053 -7529 -211304 66009 -107481 -102677 -142280 -209893 -2533 -225088 86712 -78093 -6012 -67181 32866 185908 -72658 -234725 132321 -84954 -44981 55345 -235989 -19428 -155423 81335 -198785 24516 -117362 -51734 -110299 -41312 -64856 -92814 46990 13026 34446 101594 63576 10514 -132666 34942 -50940 -623 -13900 62211 192911 -4116 67939 92873 132577 -62253 -12187 -136930 51978 202798 159681 -26082 -36947 98105 185694 131943 12163 -167813 -67691 -41605 -24954 166080 55696 -40974 -62876 -52498 -7084 186881 51927 43230 115254 -127675 -78645 -71510 -15303 136892 -93127 168522 -2197 103722 -80887 24923 -37197 68620 -97296 -107956 77979 -294679 -19185 -56331 -79821 77624 103355 -39189 
+-103564 -44722 -49177 -31120 -121603 11122 126407 158356 -187762 37406 -9625 -58347 46588 167784 -176112 -189546 27830 -155665 44402 -11583 -109152 142248 -25839 -96457 10409 -31623 53552 3067 -108406 245773 85344 74833 -31099 25937 46746 183068 -55347 -2914 22666 -9047 -61369 -66630 182315 180011 36330 -3579 51909 8293 -6979 36553 128670 87339 79201 -51736 -153508 -85013 -140187 2243 107435 -43766 19622 12575 143498 19293 69934 -2112 127239 -226877 -91288 167260 28726 -41001 235174 149366 94519 -181751 90537 -67212 43901 -128850 -115874 -126720 -30973 -3068 75215 9098 -140101 -91929 9896 19523 -17202 80937 119756 -193851 -9706 -52912 -82367 -66184 -100679 53042 -3166 -73745 35716 86986 83042 116648 -11436 -136258 19406 41917 -111393 44528 93997 -10566 -2127 -46243 -20327 17338 -71644 -37677 -13829 -124004 35106 -102046 108464 -23919 -45245 -98099 -74051 98369 -39918 73860 -55208 -53426 42207 -66405 97711 -50879 -3246 30034 33022 235737 214640 -160150 6555 54269 -179446 -125349 -84705 -92305 -99328 -187768 -17014 -92240 84500 -37480 -52150 -80302 74631 152507 -33815 -220085 41496 -17230 -22075 -45302 -254564 -18444 -100240 44269 -209784 -38591 -29101 62640 -125881 -36197 -62286 -17829 18797 33769 37575 123025 121610 -152456 -134251 38700 29566 -78707 -6879 53568 79054 -11819 35554 87218 118025 -59530 -98559 -125205 82220 221524 194352 19347 6104 180291 53300 110920 47412 -156099 -92684 18321 102175 119254 -15009 -24215 -22943 -61609 34337 224243 48662 114422 -8149 -81330 -112206 -21248 -19189 113843 -55388 250097 -8271 95087 1634 35316 -63353 70341 -152680 -63507 19604 -265001 39520 -53436 -127812 5957 93233 -5629 
+-76805 -118910 -39362 109058 -139764 30927 69745 157780 -257412 29419 547 -43054 50238 164990 -190108 -231686 -18257 -107096 24799 26653 -91341 97375 -9158 -107983 6017 -39629 -38764 -269 -93528 171433 122472 77323 -35955 2631 77639 56610 -51467 -67990 -39673 -11892 31698 -96737 99312 117230 -53490 4743 -10422 -16622 78200 25540 141707 -54718 165589 -61424 -205267 -11136 -217147 -12921 114861 -42978 19727 45470 70363 64222 71704 -27543 158518 -112123 -79622 186027 -23040 -99426 220599 28156 73257 -155235 36226 2368 17593 -180675 -76950 -82682 -78257 11850 47760 -182798 -111302 -114724 10001 13537 -62680 83943 112255 -165365 -105639 -19988 -60195 46523 -121410 -64694 -4116 -120605 40823 138556 51112 156769 -28472 -30997 -169389 128155 -152286 58744 51799 47059 -15505 -85157 -11755 19129 21524 -57823 76798 -163617 27123 -55601 -23220 4073 -27365 -85872 -40812 62369 -98269 62669 -95159 -82193 100961 -61126 -16330 -17867 5477 47013 30945 207061 192695 -87018 25159 113485 6224 -196216 48892 -55959 -35034 -175205 -31205 60388 70940 -8955 -67040 -65054 73691 75470 10853 -255027 -9295 47959 -1183 -49148 -229794 -12662 -35459 34023 -215185 -102264 83468 44491 -149761 -14288 -63899 50029 -6595 4117 7604 92837 200824 -194263 -147615 -2519 14561 -57471 -1828 30134 -45960 -13663 16020 73339 107129 -61436 -174137 -119562 87656 226809 253362 7702 21814 140074 -31850 114560 102067 -23728 -124075 71386 136778 37892 -76349 604 17858 -80396 53697 248522 25106 184920 -177250 -55757 -75301 49591 1468 138075 21269 184094 -6611 80592 -90201 32533 -86382 82861 -30275 -48938 -39521 -231156 59863 -42079 -97700 -35165 -2753 -3670 
+-100407 -155350 26357 169648 -124885 -18809 -108144 140576 -175654 35265 19024 -35622 54164 108108 -278545 -226984 -37190 -69690 58477 39907 -48669 77479 52644 -92902 9242 -64143 -118150 4283 -56337 62146 107066 11622 -51329 -16096 80156 -124859 -54098 -20707 -58804 -49331 -4326 -133582 20484 55555 -124965 28011 -151374 29667 109303 2230 111008 -72760 203798 -53586 -242543 489 -170526 9484 121603 -6913 36775 31198 -69914 113718 62697 26975 85827 60706 -76133 56049 37172 -132817 99555 -25335 59061 35545 73040 89478 -64905 -195165 -39141 -26289 -33982 24572 -9036 -248777 -24950 -115122 -36720 -15532 -33681 83926 103170 -162817 -124442 -15289 -10994 159927 -128278 -172997 38640 -143688 23162 110388 7698 205963 -44074 87547 -248447 198622 -130256 58860 -145750 102236 -18439 -48912 -16685 -12994 126567 88103 137384 -187799 -24839 -48726 -90130 18472 -6489 -82059 -80100 -26610 -95246 61406 -129399 -103771 54219 -56066 -66427 22131 6261 126915 31419 128782 155089 -28646 50710 175657 125571 -15670 184144 -30976 58123 -171355 -41165 128473 88406 15255 -75535 -77641 79942 -6029 44316 -243993 25991 -46223 4813 50684 -190465 -24122 61176 49539 -209991 -130323 105426 -37028 -128738 -17060 -68096 82411 -18326 -74519 -13320 108563 211158 -68367 -142479 -9559 -32155 99950 1748 5415 -51578 -21666 -45395 36633 53596 -54094 -140934 -96378 80345 210548 244216 -6918 52581 113659 -13877 193448 109809 45441 -125025 111539 148393 -119471 -121969 -37308 53184 -90721 5103 243568 41790 221925 -176905 -34129 -93931 163376 1932 105415 58372 42470 1643 68531 -147407 37820 -77901 76487 65924 -66321 -39518 -196276 55216 -48975 -64240 -142240 -86088 -8402 
+-74147 -80258 -17103 124769 -21936 -14392 -164305 119001 -9174 32606 33973 -38477 56027 -5917 -307098 -219922 -24616 -12181 66282 60715 -17633 56683 43790 -122505 3198 -79247 -38677 34035 -20233 -36822 83660 -27025 -4363 -52721 56773 -121242 -52646 -47816 -117398 -33665 -128354 -151021 -44134 6696 -93106 34332 -200623 75852 89200 -26993 129340 42918 224810 -47562 -243434 28978 -56758 -39150 125906 28030 46696 27490 -177960 142528 44109 51258 -13577 42758 -68753 -93862 33494 -137788 -52598 30231 46439 98523 37542 136131 -54187 -169220 3292 18798 65668 8275 12526 -129242 35182 -144981 -50588 3566 4365 84862 88833 -133805 -110034 -20357 -3693 185451 -100730 -256449 6052 -130721 39651 24624 -34377 203238 -58350 118895 -140340 201217 -193979 69614 -180934 155102 3182 -19526 -17813 -53059 124748 222945 207833 -185435 6280 -2094 -5648 42356 8475 -94584 -134877 -104063 -27556 59599 -155399 -110097 78369 -57015 -31293 55304 1594 153285 33846 28891 106017 22494 74563 209044 21221 179432 199404 -46290 52304 -146350 -33374 -2270 88982 -8278 -12269 -65737 111145 -28259 55358 -248300 118531 -131897 -4712 99905 -110956 -16979 116427 86547 -192116 -150026 86876 -130119 -103942 9804 -67546 89169 -34138 -125985 -11534 86700 174141 93897 -152974 8618 -76130 121472 1202 -18115 99822 -5986 -92824 39796 8143 -47893 -71339 -86505 81985 171458 257518 29013 67228 61973 123098 128751 144734 -10422 -130132 119929 26492 -152114 -115567 -34232 78356 -84604 30376 213781 16622 219070 -59254 -37090 -45264 179604 3149 54903 -15610 -64316 -2345 62108 -189919 68576 -93291 70940 47161 -98465 40523 -183535 80751 -39747 -51944 -48448 -100695 6133 
+-60253 -34657 -9670 34279 21229 136 -15837 105607 95434 4765 11419 -54464 57968 -112799 -285692 -210787 29136 28055 40347 41935 37528 9469 31966 -95460 4589 -85212 20792 29089 46674 -91380 18677 8756 -16658 -76764 66890 3081 -60957 -76158 -117127 -29830 -196037 -93821 -82036 288 -48816 15094 -106367 61709 70552 -34607 42756 187083 161247 -16597 -219841 -64829 61415 1730 130576 32038 54905 36707 -158950 83543 24210 93884 -8383 -116268 -64052 -21316 54813 -102253 -141315 160685 65362 -16215 72496 126939 -60139 -138009 36647 12961 147061 -12795 26809 67292 105373 -168921 -17372 -21742 19046 81353 92065 -91248 2379 -21384 30022 102342 -68896 -240514 -34521 -87671 37706 -18628 -63510 208564 -71879 39068 46433 139696 -159054 90049 -30076 192327 -16172 -51136 -19266 -108536 432 212445 259045 -236665 7321 43046 124884 11341 8136 -80885 -133667 -113128 38781 63569 -172024 -129981 47090 -74110 74444 52071 -4682 158813 36415 -29451 39825 -8952 88819 218697 -163207 120315 71004 -73375 38357 -133636 -18681 -162776 90444 -33590 20496 -48133 90625 35621 30262 -247354 169556 -205623 -20438 53144 -19662 3435 148995 113797 -154978 -146937 -45566 -88279 -135418 24770 -61999 55771 -51460 -162487 10816 77630 72207 90507 -145929 41788 -106786 103163 -10839 -25095 161660 -19070 -114802 22852 18091 -32139 24249 -79752 85935 119017 263066 3627 88624 -35872 224151 93240 189748 -120529 -105885 92325 -107614 -206429 -82544 -57009 89420 -66010 22377 157716 25101 177709 99680 -67679 1069 58099 49399 36083 -106522 -120360 1825 62579 -106077 32435 -78698 56456 -20125 -129774 80526 -100829 51288 -35590 -73773 41675 -33336 29801 
+-70807 -45681 -28284 -75910 -52849 -33462 128188 73576 37180 27189 4009 -64126 53667 -177198 -276154 -165561 90755 58695 55029 34686 53259 24722 785 -82145 7379 -81212 110669 46088 68300 -81578 -70362 36817 -28612 -108305 66071 133029 -71509 -69420 -94352 -763 -126267 -94413 -65994 21462 22415 -3414 27706 76402 3950 -48108 11926 182605 80159 -60289 -151122 -122479 85642 -33917 127696 4637 57975 14457 -26047 24321 15509 9691 72302 -229701 -61017 167910 34084 -49778 -145885 212376 75871 -197458 79846 91386 -25933 -63942 59323 20028 167399 -27980 50261 80104 57689 -151629 16561 -5725 5202 80539 88026 -35836 70407 -7570 59142 -23648 -33637 -162666 -62482 -61253 47433 -1288 -75233 201317 -83602 -84972 122285 40169 -137006 72569 119451 207532 -24957 -91880 -18835 -154931 -75662 98697 262114 -239467 -15182 89020 138050 -26535 13072 -24051 -71302 -91410 112560 52243 -177443 -139145 46075 -55604 199737 7112 -34637 124161 36991 -48839 34940 -68979 85902 213649 -216912 -101421 -47080 -117738 -30729 -84072 3172 -225123 99706 -99858 96297 -57249 80380 115070 -5849 -234740 138055 -194861 -37582 -31186 50146 33847 130473 139375 -118596 -101776 -153076 -22656 -131032 -14442 -61887 -44877 -66380 -184896 21270 89010 -42031 -80675 -144001 18617 -104974 42894 20622 -26692 117313 -10793 -81135 -4703 45156 -41201 34090 -19833 113077 67254 218400 -31099 91064 -90335 210849 57883 194803 -172686 -104099 11824 -165349 -191796 -27398 -75007 83809 -53207 59761 102432 23209 121429 128022 -101996 32227 -48423 32497 88766 -83969 -12701 -3929 70012 -15039 27867 -100632 46407 -134777 -118250 31805 -65600 23937 -23920 -101049 74936 52981 47856 
+-89591 -122745 -50108 -12350 -94224 -34396 58002 57740 -129516 12544 -9185 -60877 52144 -179977 -194543 -129629 139905 68939 18390 23972 82357 -42747 -18825 -79154 3458 -59838 58732 32454 125425 11646 -137014 78696 -54247 -137043 108761 177432 -72940 -72340 -54925 -27483 -206 -26643 -5857 90792 17298 4136 28234 72296 -34565 -53107 -38376 36302 -18698 -44781 -104811 -113064 16719 -4789 129607 -34305 41175 64220 103037 9385 20103 -10460 160049 -137350 -67325 216822 91521 8057 -34507 147822 74098 -120301 94623 28575 27129 10656 76605 17267 109320 -47368 75589 -75684 -38582 -167902 21838 -3689 -11188 77739 77925 34175 85007 3335 32530 -92925 -29300 -56810 -25185 -56006 49077 84782 -64101 175421 -93881 -159631 10355 -29121 -139084 85462 8628 202719 -6848 -59496 -21965 -191814 -1685 -29641 258918 -243735 9202 118990 15867 -28307 11114 -56217 -55012 -30563 124986 55544 -171661 -165670 81763 -53885 219899 -38671 -10016 151096 35094 4846 -57256 -132456 70586 186631 -67746 -215180 -99937 -136436 -109743 -101804 22842 -107999 94598 -114672 114332 -64980 49623 189129 -53055 -244471 45904 -121731 -33673 -62153 115014 -10355 80354 116665 -74706 -16096 -187796 60964 -102305 -42477 -66034 -104260 -78091 -196951 231 142960 -69730 -199796 -141288 12979 -83731 -37086 22002 -11759 4827 -3444 -50430 14849 96946 -34220 23352 -31364 71067 18797 204546 -86502 106475 -184962 100538 15290 232162 -91095 -79995 -28561 -189413 -99890 33559 -51357 63354 -60353 30801 41518 38834 32263 -16938 -131081 77197 -149814 67934 108907 -35292 174401 -9977 83684 1774 42452 -82291 65615 -79899 -80499 -40640 -25515 31827 -19065 -105669 -20667 106831 26143 
+-102238 -150184 -12071 103884 -189923 -16414 -100112 29443 -264661 24346 12976 -51263 47833 -116031 -131953 -73581 107213 68600 41496 26284 83425 -68224 -57368 -105585 -542 -44614 -53488 52712 152851 125873 -191516 86574 -64527 -159563 85661 112499 -87127 -78870 24534 -24405 19636 -34577 93712 147147 -32728 27420 -92433 37001 -163907 -57438 -9851 -77121 -99724 -46973 -31876 -83952 -96633 18544 131611 -49337 27563 13632 145722 57573 35408 -30462 126094 41609 -67889 52823 150593 46608 101381 27043 75437 -12812 101936 -42217 58206 93332 85183 -26773 7629 -48220 68550 -243284 -108873 -149645 30326 -17331 -36341 76631 69701 56054 10895 1405 -17183 -50936 -26247 67928 5188 -87911 66328 137834 -33893 156138 -102196 -134432 -175801 -30572 -79198 70829 -146528 178168 -15429 -88837 -21013 -195415 122960 -53840 180786 -223857 -2177 182276 -65884 -42485 -2113 -61478 -79645 50215 125276 48441 -155382 -168181 21933 -58535 246223 -50540 -5883 111806 32303 92097 -58014 -193496 45588 128390 99419 -78758 -2404 -129763 -175847 -70200 41042 72305 98220 -87601 116225 -68886 71424 182870 -89119 -256202 -10081 -45703 -32072 16943 139117 42440 3162 84572 -33959 70610 -123593 35356 -99375 -42578 -57180 -177340 -89000 -132344 -10841 75127 -108865 -149144 -130483 -11091 -24248 -49437 16524 10786 -81604 -341 -9691 -11211 137661 -18229 -72806 18546 49901 -26785 159126 -110616 85294 -210233 -23248 31402 190434 15455 -32392 -79949 -90737 24500 70524 -30353 30757 -78250 47128 -12401 12253 -31051 -169326 -163261 135351 -16565 79954 133559 35710 258927 -8402 96935 -110178 25514 -63643 80104 -19370 -52797 -37281 21914 -22114 -23391 -137334 -95421 84224 37576 
+-90081 -99108 -31169 158580 -114913 -996 -149019 8382 -220164 43681 40685 -40509 45602 -7232 -9336 -37529 95983 54638 12089 61997 91075 -102370 -47259 -70467 1488 -24840 -77827 54607 162639 226614 -227383 4793 -63378 -176414 77170 -84535 -102339 -86713 57729 -40809 -84175 -61651 156508 182848 -121603 34590 -173203 11165 -212521 -29574 -70723 -37979 -158912 -70185 33350 -11619 -187576 15477 128120 -25117 11595 34949 63488 136624 55417 65007 21920 72103 -80256 -45232 142606 51195 201436 -26778 58377 131512 86308 -99350 97993 137137 73363 -85590 -73800 -32552 38922 -221526 -159362 -147163 -9656 -3068 -29377 73674 71684 72617 -83519 -8398 -55278 68814 -41824 125987 6999 -148542 65838 103743 6852 100954 -108799 -14525 -245323 28429 -58238 70408 -211207 133328 -15348 -45378 -26914 -187477 120659 47230 107106 -138171 4397 200396 -55652 -54535 -15525 -64289 -118507 122546 60119 43796 -128922 -170721 72030 -28395 147917 -44339 -5947 41292 30824 183424 -69779 -137416 19567 73487 83552 110898 129927 -121856 -203885 -39212 66281 113668 109986 -45678 76947 -63851 34644 105900 -90639 -240416 16203 27778 -65502 103215 144518 65205 -78860 51227 18896 86932 21097 -81127 -82276 -36394 -61118 -218722 -67947 -86889 -1959 126550 -60892 24174 -128152 -10279 6470 9260 35408 32464 34992 -7333 79146 25980 121793 -27196 -130113 29577 64733 -42923 90799 -107070 73051 -207756 -10889 18306 226019 44972 -583 -43617 -3603 127521 76180 -24698 -8743 -90097 11696 -46551 9150 -81609 -190002 -160951 176972 40968 58419 170489 29615 207242 -16087 105528 -192282 4016 -6573 100990 51378 -65514 36296 61862 -31769 -44064 -82088 -54002 -31589 71387 
+-87964 -6800 46788 121580 -23307 -12160 -20918 -10638 -16853 20327 28188 -36172 49396 100269 11432 23159 28760 13021 -2319 65892 101553 -102377 -19629 -95759 4039 8513 -43449 29773 147085 255847 -230525 -26685 -18376 -191546 60136 -139824 -96120 -77678 76034 -14505 -189051 -54848 170601 175964 -79796 15789 -142810 -7317 -252783 -21473 -69883 87126 -153961 -32504 47964 36826 -215034 -32687 126270 18632 20278 17444 -87504 161461 70774 74973 -27661 -84315 -86171 -13497 106447 13291 236656 32143 39521 7011 92585 -100085 132268 173295 55157 -104946 -63192 -19820 15588 -31660 -109362 -146951 -30177 -19222 -5568 73922 61908 79700 -126608 -10700 -57440 172409 -58015 84758 3216 -137643 55502 21932 49783 52353 -113196 101825 -130583 111788 -37911 54038 -70401 78992 12504 -101958 -24885 -146732 2835 194539 31440 -126165 14742 248632 92397 -62298 -30232 -113110 -154492 94109 -7462 50442 -94703 -178349 54954 -59236 31552 -3639 10404 66251 31874 236284 -54044 -82169 4569 10333 -93940 148923 182253 -88438 -159665 -48657 84473 -17952 97338 -14693 19335 -62916 22926 35661 -75050 -198012 115155 -18629 -75537 45469 88621 48175 -143771 32735 52077 106387 90762 -137474 -56217 -21400 -59837 -216064 -76741 -64919 7177 141735 80587 101935 -110851 5763 10872 93316 32718 52382 167416 1880 92551 62445 79725 -8866 -162555 39845 70399 -36514 67732 -118823 42928 -176089 95283 36334 146956 -48131 33460 16063 151233 182267 37281 -32616 -49994 -85348 23483 -53560 24100 -106238 -69745 -136583 132963 156135 95331 103165 -26175 97748 -18964 107733 -133485 19495 7068 44556 -42181 -84823 75076 91127 -8166 -22782 -36696 62650 -98652 91655 
+-74062 -32992 -27870 -11821 17193 13501 114542 -23984 128167 11097 3233 -38565 51327 173875 53934 61227 -2541 -26334 37737 26403 67658 -149011 -24005 -77678 -4366 30173 40671 54423 127704 227347 -170995 -76252 23608 -194819 55537 -49558 -83911 -61149 43228 -47112 -183365 -95461 156833 158441 -38638 -3214 -18117 -34304 -224731 2849 -92576 218037 -140705 -35914 57552 35543 -117156 196 123707 31433 24871 26049 -171906 103391 73812 26507 35974 -224493 -100868 149962 87330 -41292 135965 153208 59124 -137367 110684 -67288 109719 188119 28764 -76434 18266 7538 9321 82471 -3971 -136316 -23065 11261 43832 71459 61398 83014 -121115 8276 -73894 177856 -116087 -33916 10623 -123034 67994 -21513 82940 19570 -116116 121140 54050 177426 -2297 60941 101297 17774 -10737 -134139 -27839 -102223 -74287 249754 -58323 -102129 10623 283205 157059 -51276 -53242 -83466 -101799 14303 -72985 52590 -55010 -169768 51653 -34449 -49802 50011 19601 40920 34594 215578 -12832 -8467 3741 -48362 -225134 -36513 139508 -48025 -87892 -31566 95874 -146202 100553 -22115 -7795 -82372 11284 -5339 -39096 -193495 173503 -105720 -54592 -11610 5654 75221 -174475 47465 64183 75595 154574 -68852 -51262 -11575 -62505 -137919 -69725 -28926 19168 99726 152965 15638 -104537 46050 -44324 140211 36708 65623 173732 1738 98270 25792 38589 -3804 -113299 33806 68621 -11764 4617 -148295 19010 -111604 202951 67689 124203 -168307 28194 68946 129831 139984 -32654 -55030 -85566 -67677 9941 -32493 21766 -78265 92928 -99605 120183 211816 101175 90044 -87763 -71231 -25914 101966 -43687 -13884 29303 61317 -111391 -121209 34031 108553 -38442 -62802 -53841 75953 -94842 50294 
+-81689 -96786 -34972 -85508 -53277 19176 67573 -8759 55420 21969 -118 -53203 54549 178646 115374 85335 -25632 -69726 86174 20470 53617 -181574 26268 -87123 1170 60925 97653 39191 72341 125698 -105750 44515 -45144 -200433 54707 129261 -79520 -96701 69031 -11752 -57438 -134401 76958 105391 15577 3570 31263 -58675 -183772 27283 -9065 136750 -51689 -43339 47430 -39513 13650 21170 116827 14117 42926 37262 -152064 26991 62822 -7284 147036 -162721 -108539 221736 12185 -95363 3644 210429 79839 -200161 132044 11623 130233 164528 -18470 -81421 124462 12838 51486 30748 55189 -141982 -21021 -43850 6372 76042 51065 81684 -43082 9922 -86055 80665 -112435 -167778 -24688 -84242 74285 3663 99368 -26748 -116617 31748 121746 199855 29044 52140 16315 -42307 -25120 -124935 -31052 -53101 -447 131246 -98277 -28253 34930 304539 36359 -43789 -77757 -114117 -48689 -48357 -94518 61419 -12636 -173164 70840 -30297 -65018 63423 -7055 74350 36791 155777 19421 41733 16633 -92882 -139004 -185493 4270 -61621 -24904 -28860 81771 -192543 109943 -21716 -28391 -57621 21771 37605 9045 -182154 145935 -190179 -100365 -76937 -82153 72120 -147453 93470 64230 1967 48154 46085 -14405 -19427 -63771 -73201 -47030 26046 23495 120655 189784 -148530 -88973 14742 -95138 75280 59297 63757 57079 -3293 91208 45359 1643 -3974 -4710 19066 73852 34525 -24415 -149493 -4149 -54758 220955 73723 68023 -162994 62196 110790 67107 33318 -86456 -67631 -110436 -55439 41638 7097 -9107 -37754 131768 -66088 100777 122128 111997 58059 -82887 -104302 -32348 90801 -23073 -7172 35958 60582 -110521 -123815 -52373 107108 -21891 -34089 -98398 -7597 3539 75882 
+-90957 -155769 -47882 -16610 -146543 28841 -82063 -5256 -149055 35180 -16888 -62612 57138 112795 121238 110244 -14761 -142011 65036 36988 10116 -176111 38182 -105554 -2404 84942 51751 45117 17999 16843 -24691 72101 -43709 -215510 69703 184817 -65848 -149402 15844 -8820 26064 -163803 -19651 36798 13273 26844 -28966 -59393 -137514 46100 65934 4242 13916 -58168 -41670 -114768 87487 -4346 118110 -30042 55303 57160 -11552 10663 43990 -18598 174809 5753 -113129 89191 -3893 -129668 -122816 152321 84186 -17544 91853 92402 80026 118597 -49403 -23707 175219 22667 47995 -159963 94275 -117181 17655 -25513 -22050 77711 33839 12164 39761 27505 -98283 -42077 -113765 -255922 9634 -43212 73204 90521 94542 -66177 -115681 -98377 1345 141989 68831 65177 -174756 -86611 -12949 -123438 -40958 -3491 126740 2550 -102572 13385 7324 278101 -78540 -28320 -99495 -77752 -47925 -127713 -63304 65717 29820 -152507 61704 -34148 1323 37113 -21404 52958 36804 64038 114282 15903 38865 -117520 51370 -123583 -71023 -46216 22188 -4233 75917 -101535 112544 -43450 -56554 -84173 41787 95315 47567 -179315 52577 -210168 -125132 12506 -159916 80777 -98496 125892 50180 -56281 -79548 36723 40211 29464 -60942 4701 -33744 53725 -11668 104609 202721 -190695 -75914 5951 -130269 -37890 69694 48792 -52281 -1178 41301 66770 13718 9195 28479 63558 33517 72875 -55289 -108510 -36023 33813 130942 113621 16344 -23571 90586 119346 -61852 -64036 -118092 -66976 -121631 -58678 9466 66281 18368 47411 3080 -36504 -11480 -18603 106921 61261 6222 -2970 -44772 77162 -76705 -20965 87503 38824 -43684 -103275 -44161 67277 14488 -16027 -100333 -108510 90285 69305 
+-99156 -122740 -27069 110165 -147939 46087 -161032 19252 -270606 16467 16466 -60535 56428 3803 84016 76029 26787 -168751 47381 40274 -21102 -181909 35585 -125882 -7232 98459 -33915 21336 -27942 -69485 43564 71294 -52674 -204485 75255 155738 -60366 -116146 -51387 8556 -11518 -162768 -79287 -3875 -60459 34798 -168315 -15797 -34570 67567 79307 -90869 126046 -61990 -105041 -114647 70858 4904 109364 -51364 48033 49725 119245 59373 25180 20525 60208 76426 -128044 -56935 -13971 -137400 -150654 25491 76256 78407 52044 133936 63261 47505 -92893 27414 140832 14328 87672 -265270 46759 -64775 11661 -28600 -51336 78635 35456 -34297 77241 8998 -66620 -93806 -63161 -250694 -30617 -70125 95899 140945 68500 -76568 -112074 -165812 -185016 63186 67969 37834 -198182 -115903 -9709 -95294 -36233 14102 118620 -56606 -69209 43851 21186 258157 -71615 -5494 -128710 -102447 -101465 -145975 -12213 57198 69066 -139983 66858 -38418 144230 -15091 -6062 63804 34410 -24361 152685 -66031 66280 -113111 115450 106184 -36081 -65780 69404 -1251 57010 39564 98561 -88398 -80611 -98286 80755 179217 57834 -150888 -4571 -187563 -100098 55726 -213897 134487 -14017 133289 33032 -101513 -172862 -22995 -11218 3005 -55614 54489 -6303 -38389 285 111492 134178 -53208 -85140 -8316 -119750 -70485 48521 26874 -21555 -9235 -7788 92737 70418 14635 30931 90023 78421 137493 -77476 -62412 -59294 90345 6856 106127 -22514 53192 114700 93131 -151793 -161875 -116483 -53458 -116497 -76057 25421 126394 3363 122531 -164572 -35256 -13832 -115235 119349 81517 29641 138082 -44166 65895 -150385 -13957 115197 90234 36590 -66891 12573 20663 -15893 -19773 -96473 -74196 106385 71200 
+-100059 -34517 -18120 179985 -121877 62199 -33266 32358 -193156 7902 30117 -49251 52423 -99122 30116 68195 76040 -212915 46645 61181 -49172 -163708 23656 -94367 -5283 101503 -75561 1930 -70895 -94861 96872 23413 -9982 -209196 68188 -11167 -48912 -110839 -74690 19827 -138852 -98449 -77230 9730 -110033 16520 -177671 -35349 11378 84051 116176 -31141 190698 -65963 -153181 -93701 -53774 -11062 107452 -27716 42242 25230 144949 137444 14140 71489 -16187 -42159 -127902 -10520 14056 -102598 -72773 -31947 57468 67119 59563 127680 8774 -24979 -134556 45872 45102 -18174 104045 -149100 -48308 -116585 19887 -10972 -27977 78140 20078 -84761 33140 25544 -39476 -33889 -53060 -180098 10648 -122279 62905 109542 28724 -89328 -106909 -117867 -244292 -12438 78084 39571 -20809 -129331 -9134 -148126 -42223 19969 -2248 49297 -26321 83316 -1714 243457 88705 -2138 -150012 -108683 -136882 -60674 83631 64736 102707 -135188 56548 -31182 206148 -41881 -7945 117291 31743 -50391 163806 -150319 85450 -90814 -25839 166417 16070 -103868 36806 41067 36354 162110 109431 -102382 -35961 -53193 104867 212635 37351 -128193 17559 -53980 -140860 108422 -254849 91097 63199 109640 13596 -159389 -169644 -98386 37941 -15329 -57232 95323 8787 -104937 -11187 89614 53294 69924 -71091 -1330 -55330 -32094 44983 2607 128726 -11863 -83073 71509 106120 13638 -26308 103794 53246 186673 -95429 -21433 -95242 121690 -38183 146343 -33562 11100 129094 14069 -216442 -184906 -69035 -55208 -96654 -91144 34441 184847 13246 181726 -199626 -54715 -113305 -103475 136030 97952 42594 236638 -47672 60908 -188226 -3053 115778 60577 4416 -53615 78535 -3515 58433 -41272 -20950 50237 39695 37975 
+-80432 -21559 1798 104132 -34647 63752 109095 69666 -23604 4561 13996 -39714 49464 -171425 -4540 30136 82865 -232252 61653 55905 -96871 -177813 -17302 -101904 -753 93649 -23207 22500 -92261 -46046 125843 -39996 32837 -201439 53360 -129736 -46865 -94297 -108712 -43914 -194102 -68087 -42592 52718 -93574 -2998 -61043 -30890 104448 121356 131464 136924 208508 -60022 -197663 -16738 -146872 1410 96983 9028 21430 40145 41964 136800 19351 51843 29288 -203329 -128126 176661 22987 -50971 75343 35138 38441 -108340 56180 80163 -10383 -100229 -177713 32043 -54846 -39872 55738 25595 -132815 -88830 -10775 9196 19032 82963 21210 -134074 -44033 22290 2160 90759 -36033 -59392 -7988 -142892 57187 26682 -14715 -98255 -99533 2129 -121402 -39409 42253 72444 129302 -122653 -12394 -100013 -40013 1219 -86288 186127 46187 56942 -5880 212744 147415 -28468 -165506 -123432 -134748 48114 143728 60215 127739 -115113 86285 -46808 238554 -58171 6288 130965 30858 -17022 215401 -181411 89613 -45817 -200134 22900 132393 -141852 -7940 35574 7150 24635 105165 -91382 13544 -73730 116769 101360 -9207 -114274 108758 20033 -123248 15115 -241175 106521 123070 75050 -42123 -135069 -62245 -109562 59900 -38024 -57604 94470 23116 -161393 27471 86557 -50156 83357 -52218 18675 -16520 34478 86799 -12517 176822 -9137 -60019 84700 138761 32174 -80082 101271 37410 223388 -82620 -19712 -91628 143292 51037 150930 -98418 -119184 142449 -41655 -145176 -195947 -2684 -29685 -64106 -85752 -5582 229139 -10695 218510 -71606 -80398 -96809 7823 123434 125929 -83722 218443 -52453 63915 -99636 -2238 170238 73886 -71000 -60161 46109 -65844 28720 -34839 -24371 70138 -54643 67059 
+-78443 -79413 -42536 7548 35125 57194 79480 98046 91590 45361 -1601 -38298 47604 -187493 -67860 -33211 116632 -231583 -1145 23823 -138603 -152682 -49107 -73148 -2217 85654 71918 23158 -87111 59596 110055 -68951 2861 -192908 55406 -81898 -51756 -136053 -110580 -40683 -133023 -57813 34993 100470 -34017 3005 18946 -31974 127541 114723 118283 204608 187944 -40511 -240008 34961 -208558 32547 93400 33235 6566 6356 -107440 92315 33838 14525 120144 -192803 -122374 209953 79901 11067 190532 145605 40094 -201184 66092 886 -58215 -139069 -198602 -10863 -67658 -48529 46424 85359 -140262 -69922 -48666 443 52432 83830 25145 -156631 -121501 36391 10322 181524 -36438 55287 -9683 -156113 71258 -18769 -51739 -80822 -90822 106589 61411 3171 32084 93884 16988 -88641 -31158 -120537 -44769 -41844 135 262130 128857 113248 -9718 155366 56464 -4724 -181080 -118667 -64584 111451 121643 52424 143344 -93758 90012 -44084 197401 -27270 -9575 140573 32513 67753 213171 -159773 78145 12782 -187772 -156597 176725 -139698 -98822 31038 -10555 -153062 105782 -39108 41758 -40130 95597 11710 -52086 -102874 172319 -2259 -106499 -49140 -204501 102883 150058 43674 -108316 -118755 64995 -44045 65326 -36574 -53374 34324 40415 -152412 41520 120986 -115334 -75846 -38181 26800 13757 153870 67932 -25444 81443 2829 -108177 87687 130542 27657 -136150 114548 42840 234778 -82136 3386 -113334 147435 177323 97151 -100485 -161708 127807 -56537 -41834 -135229 46268 -18850 -24715 -69054 33416 248472 10881 218883 88722 -124840 -103183 130148 119743 128292 -90941 97301 -49384 74727 1286 -39413 157721 46044 -131213 -103267 -43718 -114490 49166 -49710 -72593 -80215 -110543 29806 
+-79143 -148471 -9459 -82967 -51487 58945 -75096 126047 76962 24998 -20969 -48394 48415 -118423 -171174 -66372 93387 -208984 5006 12113 -162294 -119119 -49162 -103065 -4353 60889 111702 -1785 -102703 174881 52941 5180 -55613 -170490 82198 100008 -74485 -108840 -94175 -44420 -16646 -34289 118920 177403 38045 26267 18277 -9205 147252 130492 109659 120643 159903 -28632 -231884 41086 -159747 -35245 83882 19915 1836 23189 -192183 19934 54043 -29757 145268 -32836 -116043 95295 110236 44479 236680 204476 81060 -60934 75099 -60426 -55527 -173232 -213495 -52321 -20165 -44254 3684 -48052 -56408 -55916 -43597 -18040 9875 85489 4866 -207644 -133412 48660 24978 167163 -35582 110522 -34457 -95618 44890 1091 -72075 -44870 -79970 114254 119389 90513 40873 85193 -124241 -41595 -25651 -128899 -46631 -97499 122414 146089 214246 65868 3531 141764 -34407 -21538 -191049 -90709 -33947 83714 84202 52801 148368 -69850 39252 -27920 69582 22717 -9133 152183 35255 161238 176072 -64193 56014 68814 -18945 -160886 129523 -126264 -158390 -12262 -22218 -188014 101335 -55823 69013 -68799 92059 -46236 -85516 -58530 149763 -82262 -135325 -53980 -129073 106904 118847 41143 -131783 -58777 93178 38501 124110 -33217 -58633 -46438 71284 -171812 5231 106138 -79473 -210918 -27789 6187 -14242 149880 76394 -24276 -38719 -4212 -98316 112762 79949 34978 -114240 94193 47540 223712 -65929 -11643 -96352 83673 237933 68843 -121937 -85162 105015 -62939 88857 23408 87476 -39562 15903 -56411 22033 241115 -7995 173965 119541 -154670 -85415 185508 143089 134643 -56314 -86052 -46986 87232 -51679 -10378 186369 43221 -108884 -123424 -50838 -175443 48763 -46503 -119494 -161515 -58898 65310 
+-91054 -129083 6145 -24830 -173862 45635 -153380 140490 -138660 35862 -10353 -54982 48929 -21447 -233632 -119708 31545 -183425 16410 20767 -180924 -85298 -48891 -58724 -1252 47309 60674 -30222 -113296 248989 -20501 57247 -32335 -149030 72266 163294 -78491 -99388 -25127 -35779 21291 -33617 192612 193735 -20141 34967 -142680 29649 48495 145032 83660 -19147 52205 -63686 -201662 -26562 -52205 14463 77022 -15520 26821 37268 -145478 -1702 70628 11115 107168 79465 -116351 -64524 137648 45337 187106 145963 88905 138843 68141 -95807 -40632 -170831 -225172 -83804 91819 -34892 64842 -215323 5651 -38802 -44932 6447 -22612 83243 10637 -172171 -82864 63037 45819 58344 -65491 76444 -12718 -44540 69606 89333 -71695 -4850 -67837 17923 -8177 172438 -5661 106719 -180996 15246 -15119 -103102 -44762 -141655 119761 4231 255706 67495 -1162 80440 -70973 -42682 -191100 -144183 -75861 62237 55955 44489 141699 -49326 20551 -55177 -27148 51289 -21154 101367 37001 219182 141579 -11016 29875 142445 111881 39302 27898 -105632 -202155 52478 -47427 -103076 98825 -34581 92090 -56701 46770 12337 -100478 -28763 56762 -190402 -117116 71779 -43406 83925 67029 54410 -180216 8923 106306 13885 98947 -24922 -56075 -119677 86347 -148235 -13053 90609 22174 -149291 -35037 8619 -70664 68722 73027 -8011 -63507 -5855 -18039 89965 21636 28992 -60991 97068 56652 193412 -9691 2402 -66841 3545 168280 27794 -110099 48125 79839 19583 137865 127696 75260 -43035 52061 -57773 16438 211283 -5649 109461 -5619 -163281 -52995 158518 131442 82702 42084 -127019 -64077 99110 -156418 -13474 180560 61682 31394 -125855 7449 -226351 59714 -59222 -116332 -84711 40712 33438 
+-70256 -60509 -50000 138271 -161383 27570 -61333 154857 -266237 4028 41171 -65640 53200 92070 -287844 -161508 -7188 -139798 46749 60868 -201408 -52988 -18224 -81532 -4194 21228 -63302 -16261 -61486 253422 -91978 76723 3907 -120598 70955 189709 -94351 -122456 21229 -38310 -73218 -42746 186420 178984 -73297 17201 -200059 50087 748 130774 45905 -87742 -21798 -73414 -142732 -112133 65094 -1063 75191 -48620 54561 47628 -11154 76002 72180 81250 -16746 -13283 -105538 -41709 145354 15162 31769 24937 80055 53450 105389 -95967 -16554 -164602 -230350 -95285 169625 -10223 66505 -239231 65698 -28194 8754 14285 -48309 81966 6913 -185804 14622 53106 9332 -58251 -97960 -24337 1426 -48801 46791 136986 -50023 27170 -54050 -107515 -190619 205179 -69940 85301 -45973 76864 -13510 -108163 -40730 -185609 -3907 -69999 262272 66582 29427 30959 22491 -41200 -190003 -89127 -140583 -29617 -44766 58360 124027 -15710 68075 -39556 -57360 56873 -25521 82635 36311 230925 72271 40539 9564 181955 50748 167505 -106797 -83222 -161387 9434 -35060 60686 92722 -16400 94084 -89401 18777 95874 -74046 -36110 -6362 -225805 -93150 116889 41031 108767 -12677 94476 -205828 50340 -18041 -50724 119091 -3130 -58631 -192350 80593 -110821 23844 113820 102752 25993 -10804 -30020 -88836 -44838 94304 14071 82258 -15866 22142 102697 22584 50468 29013 58468 84344 144624 17792 -12181 -68916 -74969 28621 31178 -121101 41786 50925 71335 107835 156626 19555 -90873 77552 -76366 22320 161721 5441 29465 -159205 -148788 3812 13974 137426 84849 36789 -24300 -45479 107225 -204525 -2012 160700 35515 39544 -86274 69161 -249773 25930 -16888 -91042 15140 107331 34416 
+-88755 -20404 -21908 178223 -90909 18699 109280 145990 -208212 4125 59425 -55480 57900 163797 -271044 -203720 -31640 -89978 16762 52481 -204682 2791 33330 -96806 -7504 -10199 -91992 -29245 -34456 183943 -150042 6939 5378 -102793 68303 18435 -95255 -112784 59746 -5094 -192180 -92599 136815 139285 -115625 -2703 -106800 61580 -78476 116615 43703 -3402 -128749 -74722 -58796 -117277 78833 17187 69856 -38417 64944 28898 122662 139425 64606 57994 -7554 -185634 -88414 151280 93471 -43500 -95427 -30422 83035 -71363 110938 -40075 37951 -110168 -222924 -100916 169553 9482 49774 -71742 70275 -35204 27399 -2782 -15519 78422 1071 -149133 70896 79344 -8587 -91270 -122625 -157798 22309 -67238 47687 112005 -14329 91187 -38910 -167608 -242580 162506 -53198 83361 122978 129986 -12307 -119521 -41458 -203512 -62821 35905 247403 3353 16024 -11679 129219 -32617 -182832 -86300 -154332 -115192 -65200 54770 97130 -24024 57227 -43619 -31299 31391 -3835 31883 33666 176217 320 11792 1813 217373 -144540 78523 -81390 -42403 -118904 -18153 -16704 119789 87484 -34110 68766 -63888 22765 193222 -33513 1959 11302 -173282 -92921 36706 110515 80544 -95420 119883 -223483 104676 -116838 -109984 160932 -21039 -61433 -226631 88832 -38561 3226 81608 169485 112838 -19235 20364 -149957 -69209 81885 39707 178252 -19295 73950 146372 16847 66961 18560 50783 87227 93585 65530 -36231 -40188 -111875 -43507 3559 -71149 -71226 38794 106957 30126 137906 -43860 -58336 89036 -89906 45742 101348 7655 -36050 -204171 -117480 72802 -61515 160746 80531 -15094 89748 -51273 108001 -175357 12312 173684 31196 -33246 -53586 59712 -272765 -49678 -17426 -36751 55501 88849 -16816 
+-87687 -47849 -100 124347 -53571 26045 102724 112854 -31355 35239 16320 -52001 58187 182829 -273612 -220232 -33351 -39771 28174 41135 -188094 14949 44994 -66765 -5042 -52459 -17442 -21229 16736 80749 -210700 -49764 -20985 -78843 86371 -102663 -96856 -145211 91175 2302 -187254 -123766 48425 77023 -82575 2476 2488 81414 -163364 117295 -30030 167941 -162793 -32789 -28543 -111835 7323 -22754 61827 4441 57314 15820 137308 137799 46003 5783 83316 -210391 -92186 226082 93758 -101378 -137822 32500 56946 -182413 113388 24297 74945 -44381 -200861 -46329 79163 16496 65444 74273 7397 -21992 6283 18435 -1540 77743 -2364 -103248 67220 78441 -68077 -14415 -114340 -248342 -5896 -137148 51626 37538 29395 116788 -22829 -112436 -112072 79329 -133265 67262 6962 175493 -11125 -89220 -36076 -185575 -16 165522 207521 -22396 -987 -30046 95617 -33702 -165409 -66803 -66026 -145415 -112955 47567 62249 -4696 75669 -70878 73808 -21417 -4633 26799 31382 81437 -5496 -64275 8890 217699 -220667 -107874 56749 -24161 -45075 -20612 -9107 26453 91161 -34465 -3470 -52795 41585 162217 18097 22684 104353 -110817 -90718 -42528 131368 72937 -150007 133876 -216232 81166 -163621 -99830 198708 -3652 -55677 -196210 91753 -18489 21631 118417 187399 16525 -21048 13757 -106652 4175 91825 54506 158674 -5441 93437 169226 79447 70451 -12198 28784 45372 38555 122250 -73509 -1884 -174492 24424 21264 -18427 -158510 22606 123470 -77075 98518 -103756 -58525 84254 -85230 24640 41155 18244 -95763 -63449 -83528 126394 -131803 147484 77193 -79291 243214 -46509 98842 -51909 9027 128774 45562 -129009 -54600 -7549 -301368 -43346 -15866 -32536 -9975 15905 -521 
+-87488 -143248 -13091 17039 18486 25761 -53816 101587 134364 22576 -28042 -37823 54149 115513 -245734 -222473 22275 7099 67408 14695 -175683 55195 53131 -143625 -6370 -53947 62506 -23216 94081 -18030 -219440 -45239 -61369 -58599 73922 -142641 -86784 -97477 34345 -26485 -61527 -137155 -36894 24117 -28794 25669 38986 38436 -211544 105232 -77141 222036 -147605 -62052 52415 -23085 -114023 5964 54354 30193 36279 14146 20126 94935 28141 -27127 175788 -65111 -71481 76468 40168 -135137 -123691 154533 62001 -92332 118274 107335 79723 36427 -167502 1550 -30461 21793 50685 51059 -93326 -37162 -18493 18807 28592 75272 -2766 -76873 -21909 73188 -73100 111722 -102114 -249856 -24693 -162300 33051 -15563 68487 166607 -5791 10197 68631 -13653 -133367 63960 -185986 200622 -11722 -116728 -36850 -149809 113641 234920 121552 -91602 41042 -74882 -45065 -9283 -145832 -84520 -47903 -94138 -42894 66984 22017 -9000 77669 -83902 180703 -53978 -550 15723 31060 -2046 -39704 -139216 30418 208439 -96228 -198137 189145 -66849 41360 -30030 10783 -153541 83106 -89467 -10689 -76013 54752 153876 43948 17329 174472 12587 -67436 -9314 138066 54298 -171053 115660 -203202 38078 -146705 2899 176569 30091 -53211 -140779 91813 1294 18117 135412 199294 -156847 11118 34015 -68453 77955 68800 65050 39144 -11826 80851 110032 109414 69609 -78200 14325 66351 -1882 157447 -80026 18673 -199856 140419 25123 13736 -129343 -22407 93011 -166523 -10178 -110594 -65911 64587 -69746 -8217 -9392 20916 -109491 96577 -44378 134172 -12244 139674 101420 -107616 238468 -40760 86789 -18685 12463 96038 41030 -154917 -85184 -57628 -277857 -26384 -42670 -93842 -138946 -74802 -5325 
+-92435 -145017 15793 -61352 -54604 421 -170175 80020 60647 7579 -25768 -36901 54399 25181 -144735 -201821 65061 49898 73019 21852 -150316 99165 16961 -76786 -11312 -74693 105330 -43935 143477 -84340 -206744 -10571 -65474 -24118 54462 -7517 -77748 -117310 17910 -24963 23448 -130103 -76043 8274 22745 35110 -74381 44061 -217169 68022 -38826 119925 -96926 -76468 70750 35144 -205929 -26368 50274 24956 29002 14035 -116185 9265 16714 -11052 118253 76379 -70072 -47140 -3879 -132959 18500 212168 60641 85518 128931 140377 101609 91510 -121332 -15099 -76623 4373 60677 -129107 -126135 -47612 -30878 13053 -6575 76412 -5337 -24979 -100157 81022 -97903 187482 -41633 -194170 -31334 -106844 50834 -10405 94100 192527 11844 109990 117086 -32740 -160702 65974 -202386 208430 -3002 -96164 -27546 -103076 130477 166692 47455 -129882 16034 -109495 -76268 -10827 -125643 -92276 -75812 735 29295 60421 -20300 5380 47255 -52874 239354 -45189 -32180 40804 33135 -52979 -64968 -159288 56122 167810 83528 -37928 175132 -62658 55495 -29692 37671 -229412 76412 -79183 -41920 -82033 41125 64043 55201 72336 152499 10201 -37609 24317 99788 40783 -144422 70110 -197284 -6197 -31015 59449 241701 4110 -53921 -53009 91578 6960 -36770 123968 93948 -205026 200 15419 753 106027 90906 64565 -50241 -14377 73312 108677 152340 61108 -143972 30816 86897 -34993 204514 -140374 48163 -190325 233587 19243 40944 -16576 -57150 31625 -150980 -138260 -98930 -43248 32464 -55957 44917 -42486 27590 -85392 125817 -29312 98189 120526 140318 133767 -15694 116966 -28660 73727 -91916 19226 86258 48199 -37930 -111946 -3755 -289308 -54851 -10181 -108300 -63676 -85383 -19059 
+-78385 -73563 -5773 -26890 -160919 -4286 -84337 43819 -153901 30722 12519 -51176 48211 -92562 -152068 -174699 106169 72193 58840 21850 -121102 83089 -1437 -85060 -9956 -79070 30152 -25289 166800 -75709 -152181 57070 -24589 702 41827 125099 -65309 -151906 -19103 -31235 -2313 -124701 -71351 23358 11308 17921 -199640 38034 -203017 68486 -27747 -34160 -32371 -53803 39445 13646 -198057 -18136 40865 -4713 12703 37895 -179544 15206 18806 36960 12049 25300 -65186 -35605 8843 -100801 157775 152228 94588 82230 130152 129055 135214 154168 -95965 -4410 -44309 -18274 31456 -257507 -106582 -51770 -36572 24967 -28470 73385 -13049 28987 -128570 95313 -86749 153428 -24954 -64376 29153 -86071 24605 66880 98507 219726 30355 104897 -16537 -3660 -189205 44440 -44550 189670 -14670 -102527 -29495 -52535 5037 25643 -37928 -146966 19225 -95722 19350 18598 -101227 -57258 -150720 79025 75049 54832 -62861 1299 46493 -62213 225293 -3194 8872 71367 35777 -32852 -49903 -170656 78645 111285 91880 163560 78348 -107351 33297 -77366 62047 -94233 82620 -21372 -60621 -88129 107678 -12786 34624 54529 63664 -32594 21785 112582 15976 26664 -82996 48470 -150226 -61155 83985 -1957 220976 -11357 -54487 4599 75500 -33610 -18173 118676 385 -54586 18728 -8294 -5979 127077 71961 46288 11711 -16061 1658 93488 124907 89144 -134624 -33904 77593 -37278 237240 -134383 52327 -162781 183674 111798 91775 40930 -88691 -32784 -117937 -169007 -59545 -42450 -6913 -58701 50211 -52054 -3249 -28639 -5609 -39940 137859 191681 110745 118994 26839 -47599 -31240 64495 -175333 31138 61630 30462 23533 -125119 67938 -227943 -22645 -40941 -79300 14885 -18921 -5876 
+-87599 -10774 -21727 109672 -151782 -27133 98532 28029 -238512 8414 46006 -61273 46993 -163253 -40434 -164814 136355 63953 4453 54102 -79476 121981 -70614 -100963 -14296 -82700 -52820 -36499 159905 -471 -75939 87056 -5225 28998 56161 184365 -47553 -102877 -60363 -33885 -137742 -68261 -10155 66251 -45278 -2374 -171717 27158 -192972 31223 -15163 -71124 40472 -73147 14767 -34053 -99353 -7151 44456 -44392 15645 27113 -132132 68664 34103 106802 -12149 -147364 -66940 139154 23446 -46496 235136 26546 97505 -59082 75402 86198 102640 176758 -53756 14361 49811 -43122 17461 -192169 -22094 -71217 -7948 46159 -39313 73572 -5566 51398 -101660 71871 -97797 35847 -18695 51004 -3422 -33301 38080 130586 80970 220122 48609 -1301 -196186 79130 -201528 59780 122451 154692 -7487 -85497 -33033 -7273 -79016 -63888 -79056 -163944 40170 -100844 133666 -18115 -78053 -65040 -134654 119738 119317 67912 -101418 -8281 60795 -59921 152954 44177 15362 137634 37044 35790 -22702 -103538 88420 52311 -70043 123135 -33368 -135395 -40175 -86377 75970 37312 64063 -53249 -41478 -89144 81189 1373 -2022 75335 -4947 -126700 -34062 64288 -57869 18403 8135 41299 -94350 -134459 122506 -67851 256106 -30599 -50313 71332 60320 -75954 28740 100858 -78303 99288 15489 -21599 9581 8272 63549 22812 172461 1492 -27999 86984 72707 81602 -48865 -53651 48005 -25274 254038 -109312 86626 -95627 67085 125638 148460 -243 -113280 -56493 51742 -176022 2638 -33670 -47662 -76609 29209 -37563 44718 53493 -150745 -73733 107341 195279 109440 123719 23747 -139241 -28241 61096 -148909 49503 13429 41726 -26257 -108593 48452 -198836 11451 -25608 -84179 61630 76187 -46391 
+-109092 -32106 -19085 176983 -124690 -42530 104244 8904 -209819 30499 48742 -58050 47941 -186772 19131 -98490 94587 59519 -29355 65713 -47587 136411 -64019 -82616 -11417 -80316 -105245 -47233 167506 109063 -11203 59026 -76023 37001 86773 106072 -53739 -88321 -107609 -17069 -209390 -31570 65988 115744 -111349 1952 -41823 -39858 -101406 -5289 43713 56379 152204 -58120 -34801 -97779 22702 -14925 44424 -43718 33510 10332 16111 127180 53705 26687 36748 -220997 -67924 203333 46798 8885 209790 -30895 71398 -193925 74934 1112 85767 171997 -25095 9095 146688 -46257 47700 5385 54955 -91552 19705 9361 -29453 75648 -10704 82519 -6626 105165 -83471 -71976 -33623 113061 -28694 -53821 31460 120251 48269 208976 67302 -125454 -241743 158125 -134830 50699 44021 112088 -17169 -85764 -26795 10664 -9795 9150 -92429 -229503 -9422 -67130 122426 -3942 -53988 -43474 -119592 81595 132196 68731 -134597 -1713 30093 -81263 14166 57339 -14370 148373 35732 132969 27891 -12123 84323 -10167 -213050 -103820 -111341 -129788 -107270 -116301 85763 129147 78778 -31375 -1568 -63317 100126 61547 -50495 108428 8292 -194378 -27677 -24756 -141717 11630 70724 54371 -52303 -162293 95996 -108503 242940 -40716 -54583 103218 45234 -123854 17651 103023 -87425 73837 9452 32660 -76987 -9796 61438 1782 190805 1451 -46892 48618 25775 93341 7609 -40327 87771 17876 261156 -125965 85420 -6033 -34085 133045 213529 -126005 -122329 -35761 125422 -91559 57232 -60053 -83716 -89390 38645 2977 21111 141629 -176613 -111938 67114 72888 103501 113891 -20711 -56629 -17454 65360 -80869 29386 -22468 41786 -91560 -74290 -17018 -175952 380 -28839 -47452 -2076 112202 -37864 
+-84803 -128303 -28587 143382 21376 -7079 -46483 -2263 -37053 23312 -2367 -55232 51610 -133492 50864 -47452 52503 39918 -11836 40995 -3076 130164 -62170 -108924 -8538 -57038 -26658 -28415 117359 215328 77499 -4542 -32989 65100 88343 -63516 -47716 -111481 -102001 -14139 -139797 -45658 131894 168897 -78393 25027 41230 -37265 -43484 -15021 58329 216966 203419 -52439 -118097 -134651 79746 -55871 36539 -11326 51914 33771 135721 142506 68501 2445 127227 -96738 -85423 66826 74080 45285 94730 34198 65235 -122243 31293 -56538 30858 138989 27853 -32281 174357 -46291 59306 80843 79914 -87432 26108 34534 30310 77315 -12433 105897 55470 106454 -80341 -85041 -68427 90268 -18799 -120364 64411 43074 5973 182675 86060 -163463 -104207 220508 -153069 58565 -135202 52621 -22789 -65885 -20742 15119 110806 145867 -73694 -252359 14025 -44812 -1969 -23940 -29012 -44877 -45300 -17257 110021 59413 -159033 -5726 63647 -42153 -52262 52876 -19400 180819 33074 212601 54389 27825 65672 -62567 -161796 -228171 -11657 -126705 -169925 -139702 89484 2514 82015 -12260 53013 -95937 94116 144598 -85426 108871 91340 -197667 14689 -120633 -208439 -2750 139069 93421 1802 -135578 -46670 -61444 231754 -47049 -48864 81608 18352 -165563 -8067 76821 -73466 -83965 4846 52713 -106575 -46135 38003 -17406 71381 -11387 -79982 43919 14758 96723 49223 -79887 68132 59373 251735 -104371 96025 39139 -6152 126496 175519 -178409 -147869 15266 169324 4728 87853 -69923 -109708 -86885 32564 62205 39191 185263 -64735 -146157 1939 -55153 104158 80845 -96567 116602 -14110 76816 -15996 332 -29024 32506 -141860 -51631 -42293 -106980 43507 -33572 -31468 -84180 68234 -33192 
+-95706 -157233 -3261 1075 -12624 -27990 -165114 -15655 107503 13600 -31343 -46118 55165 -22969 98586 2419 1486 -4199 -10896 16015 31328 139184 -26408 -89801 -9425 -26879 74084 -3808 79726 258474 126588 -37756 -37518 85915 86423 -113064 -56163 -101093 -116449 -6407 -8309 -67373 187688 193740 -34716 35257 -58863 -15749 23881 -33340 141983 157420 212130 -66962 -199510 -68817 54318 -29611 39740 24446 58975 75177 121656 85644 73992 -27152 146515 57965 -90200 -66034 126630 49053 -36360 152620 36793 56252 28277 -98749 1026 103630 58257 -41986 124187 -29368 34626 -13092 74475 -91801 209 19330 32268 80053 -11079 55651 70966 125688 -26215 6683 -101592 -655 -47270 -156463 47975 -23011 -36642 156860 104261 -94304 75671 176570 -138385 68964 -208827 -7397 -11887 -11509 -14981 -2431 123521 232902 -16053 -224573 21456 -28693 -99066 -22209 -10398 -91018 -52559 -121978 5033 49934 -173646 -38847 31276 -78391 -54893 5375 -27562 161541 30975 228803 104667 19243 39458 -100912 25562 -68167 106944 -101803 -192708 -171076 71301 -152130 66669 -19015 93237 -74050 74296 193059 -96757 121990 169664 -99554 -3936 -18563 -234335 -34901 146575 127115 28149 -114765 -124631 9412 230636 -46004 -50587 29567 3506 -172110 -9334 91427 55950 -198883 3193 22318 -125526 72 48226 -25344 -58807 -356 -60578 56795 30530 97760 -36898 -102456 109325 118560 227856 -56994 80603 101014 118131 103927 213580 -103958 -138994 73134 132847 112776 52512 -50458 -121195 -70295 30701 121638 31343 216972 103762 -158105 -81506 -127425 75515 59294 -72192 212839 -7928 90880 -49944 20894 -64184 24755 -80062 -57573 -9591 -37834 33693 -41459 -62723 -96057 -31691 -45748 
+-89774 -84288 -8455 -78671 -68108 20891 -79569 -13764 58564 -7801 -11718 -38525 56191 88949 116829 43733 -38291 -43135 -7269 6036 61379 118571 -1159 -76938 -13090 -3813 84887 -2205 46130 227020 122802 -39994 -52540 86285 52436 -75220 -60479 -90016 -86010 -33249 15763 -86624 170430 166410 24702 18626 -167481 -45023 93165 -58549 111081 59733 186229 -64213 -225261 -35761 -65935 -18872 38882 35549 49874 68738 3903 26835 65073 -329 48271 49978 -86025 -31398 119323 11857 -150511 211383 61540 112037 68367 -91782 -24115 29546 61359 -90528 9374 -5472 56015 -194640 -24098 -115603 -21615 23863 6539 82325 -9650 46353 22249 112519 -3731 131063 -107783 -128340 11829 -148681 53452 -13215 -65540 118081 122112 28726 114168 114030 -63668 87260 -48535 -62203 -10659 -70905 -21589 -41332 8974 202190 59335 -209521 -26239 36424 12890 -58487 711 -110233 -75939 -151579 -72649 43440 -177133 -37170 12729 -50499 56733 -30940 -777 133232 31383 193081 164412 -60265 16486 -124017 116951 120986 177118 -69140 -173329 -176811 61465 -257884 81373 -42510 105550 -91295 69462 144317 -78314 136014 158904 -20350 -20883 111125 -243047 -29244 114848 131958 50719 -16807 -165318 43767 238852 -2056 -48199 -78066 -25072 -190721 -6380 88016 121898 -131425 -3235 23647 -109001 127456 50455 -22657 -38415 -26 -63058 5173 104269 93721 -99804 -95233 45780 174622 201746 -35409 69439 140906 212610 132473 186675 43178 -110082 131943 544 151685 4831 -53428 -117116 -54877 41170 182435 44737 221274 136619 -150053 -84343 -60376 64723 53249 -2410 248030 -4506 101440 -138907 33395 -79454 42443 35139 -88165 55155 21467 52913 -30041 -116822 36159 -91898 -82853 
+-78491 -5607 -22386 -11077 -169816 29539 85815 -14766 -122820 3820 28103 -39130 58303 162286 79424 90684 -28696 -100046 76864 48445 80414 127662 28499 -86878 -8530 29490 39105 -6454 -7837 143479 86219 31591 -36923 93922 74149 68342 -80323 -59354 6814 -27557 -78361 -113965 75515 119549 -24674 -2097 -195011 -38072 134212 -55395 90207 -70461 122713 -76741 -248674 30345 -172401 568 45241 -3298 38538 63265 -129023 1668 47887 77692 -17962 -117633 -101520 149248 140360 -42219 -136888 147494 69062 -13416 55237 -42578 -33532 -44300 73269 -85863 -66296 11858 36684 -245872 -112796 -136079 -36988 39750 -10975 82978 -7069 10936 -70917 119868 39429 190153 -133568 -227367 -739 -102282 79882 65464 -74997 64284 139168 121856 -25843 24240 -50343 80296 115001 -104511 -17740 -50114 -13948 -93099 -79968 31150 140495 -205695 31274 53070 135486 -53875 12247 -111254 -139222 -124149 -105682 53872 -169578 -60690 33078 -72794 146046 -55242 -13617 58963 33778 109275 197859 -131679 2007 -111969 6344 140375 161110 -39062 -85751 -164627 36934 -96859 91502 -80238 104187 -59282 33362 52696 -36430 151628 73333 30333 -43086 76300 -214485 -19629 47230 104932 60961 43643 -94726 -34021 225847 -624 -52339 -147600 -43719 -138930 21337 114492 182520 30171 -8820 -18182 -69481 125212 38706 -2767 94515 11701 -22303 -29642 110972 91409 -140820 -150903 113434 207801 159503 -19071 56588 146958 219594 53351 188400 51851 -79708 125867 -147485 135345 -54142 -44060 -97763 -57716 57198 226795 22255 174183 3702 -128922 -126206 66026 53350 81390 73224 120276 -3256 107324 -152973 15708 -106220 10572 19556 -123161 59612 46148 45209 -31353 -97337 65538 -79387 -88693 
+-93285 -40309 -18283 88704 -159930 26479 106826 8191 -294050 -16692 44944 -52778 52979 178754 39637 114118 22990 -144104 50664 46332 74874 84839 45204 -74802 -13052 58722 -62945 20741 -71512 25879 43389 73801 6484 103803 80807 200084 -92357 -54763 30415 2915 -178934 -168347 29021 56067 -82574 1437 -74475 -33512 101242 -39410 120282 -65484 35066 -60922 -229010 53089 -205170 -8220 43520 -35795 22377 19538 -189915 52050 27140 58827 43586 -226495 -99862 210549 98987 -97984 -15131 24861 83747 -212535 97872 44410 -71630 -128433 61978 -97987 -67398 24227 30463 -103407 -147007 -174975 -35606 54857 -65256 84491 -796 -57285 -133499 134731 32021 136915 -97878 -252742 14138 -63204 71686 129918 -63195 10202 155233 100889 -204690 -27550 -33281 73269 54413 -124103 3629 -45122 -13922 -136342 -14118 -56250 198572 -182782 12179 99622 142269 -50070 16793 -94095 -128775 -18088 -73061 47967 -151128 -84933 51062 -43800 248586 -28236 -2092 69173 36412 11745 204117 -196065 4677 -82563 -179193 -37144 10240 -45303 -49225 -180855 7154 65406 93082 -74848 105737 -76663 48917 359 7144 148925 -5453 -23548 -22515 5514 -142837 -8407 -30691 63050 71623 69583 16446 -120537 243925 -7142 -53379 -210772 -55587 -104001 18415 111598 206413 110826 -12113 -23303 -591 95364 13282 17917 214028 -2358 52046 17223 145347 104176 -135281 -152191 45631 235823 121763 16001 22728 100925 92753 50543 159538 -90593 -97257 90674 -207688 84597 -101139 -39020 -66100 -74390 17663 250591 29114 103356 -171474 -90648 -169498 185022 42032 100029 -1282 -31306 -3114 105393 -106493 3950 -109793 9823 -58016 -121045 3330 66498 24261 -51145 -74139 14799 8587 -77537 
+-89441 -99519 -38923 163719 -79709 51115 -39590 39515 -214598 -12759 9191 -53705 50802 126135 10364 85489 77566 -194036 84373 51821 72800 79266 37459 -91443 -10496 77231 -81753 -9572 -87873 -53683 -34871 81385 -7515 98659 81621 127624 -97818 -23031 66677 -35601 -174917 -145695 -57124 8846 -126075 24401 26552 -2982 64405 -39058 75319 75062 -74699 -48730 -201462 -25607 -133832 -29619 44115 -48850 13883 39885 -104702 146572 16230 22963 140238 -137034 -118547 103792 57757 -137866 115424 -25506 79845 -132356 96162 95368 -15847 -152599 54504 -10589 11863 14858 3869 58893 -92162 -137438 -430 25637 -19186 83171 -5629 -91750 -119858 123175 4942 13733 -40545 -203341 -15989 -48685 64922 121027 -32003 -27571 170157 -17408 -237233 -16768 -24626 79569 -139601 -122878 -29700 -27197 -16984 -180804 118143 -22065 280102 -114646 27455 148837 18467 -46107 15822 -144416 -46848 49788 -18110 59037 -123618 -98334 63151 -24154 244387 12164 7442 31313 36990 -44936 192821 -164379 20616 -35345 -207403 -203004 -75126 -61865 33872 -219453 -8310 139670 94999 -71915 44886 -80398 37658 -13514 40246 149147 7480 -108606 -42206 -36995 -47787 -24634 -100394 39003 46019 82703 105392 -106941 231769 11523 -46551 -214927 -68690 -33191 -12854 130807 159211 8800 -20375 9565 12760 -33856 21742 40008 153565 -4121 60442 13977 122221 92440 -65074 -162521 43952 231896 51906 6818 -7678 60850 -11943 8963 149240 -165849 -43006 17872 -156284 -26168 -114064 -12044 -26661 -90103 51385 245683 -3206 19543 -199213 -58659 -62706 194741 10811 146655 -89553 -122269 -5985 97030 -38732 3837 -125406 34821 -97759 -83130 -65760 96558 2322 -40864 -51591 -111705 77772 -43976 
+-96559 -162096 -52287 116074 20753 48222 -170186 75488 -38491 21324 -7389 -64626 45859 19598 -110284 56604 109011 -217682 84697 46113 74002 18355 1470 -89084 -12734 101120 -13434 26423 -124698 -99737 -114758 38877 -76760 96571 75797 -40797 -97458 -39110 104362 -37766 -71393 -115915 -91507 -3167 -74911 35412 17016 -23301 -49543 -26468 48270 193125 -132208 -44136 -134906 -102356 -26668 -13948 49352 -25311 19397 14913 29528 150040 17655 -18393 160011 29320 -131217 -33596 29616 -136589 202258 31211 59348 7932 115938 129498 3964 -176023 28491 34855 115749 242 43735 76218 -4116 -151534 26359 18920 31421 80372 1906 -136355 -51035 134567 -19213 -82416 -25035 -86845 -40002 -63937 76234 40946 8714 -70197 183797 -135796 -94238 47594 60682 78295 -205347 -105893 -25182 -38442 -16291 -204279 125715 120897 261523 -58429 30889 186110 -85689 -35622 9072 -121498 -49598 116955 65836 66044 -88194 -116579 76042 -21595 171832 52423 5753 34565 35224 -39619 161396 -84056 46534 23236 -43762 -139358 -91909 -84336 43941 -192211 -24667 20803 93492 -84615 -15754 -76842 9071 74496 52229 138414 90771 -209595 -62084 -37477 20255 -46599 -157092 41090 18974 55768 100499 -9943 203334 3429 -45008 -191222 -70153 12825 4020 56864 26824 -163217 -25117 29318 -1933 -69854 14468 59436 3346 -10497 57220 16052 43009 112867 16550 -196768 44605 199515 30285 17198 -47410 -40230 -23500 -2210 113395 -143886 -17657 -17018 -36040 -93578 -92406 -21861 14048 -86995 53156 217465 43038 -45180 -75272 -40723 -18706 141828 -4414 152915 -88415 -65542 -3781 84083 24976 27746 -96110 13160 -111153 -57444 -18929 106021 -30010 -38397 -26892 -109770 97307 -84285 
+-89187 -91582 -12600 -33176 42742 62231 -100630 81451 96441 -21418 1823 -54125 46676 -92782 -165993 38793 144180 -228551 27388 20193 72221 -8785 -37948 -92363 -12654 104648 81566 28009 -134436 -53658 -181656 -72302 -40804 85624 87073 -116492 -84271 -44395 28288 -51502 27071 -81868 -63785 43737 -39895 19362 -115211 59680 -110168 -22371 -2782 149444 -180811 -33867 -56091 -117039 77164 -14280 55577 12571 34168 65937 136174 93754 31743 -14959 76576 59769 -126815 -33291 6575 -102822 233101 154324 46436 129592 95732 112905 34660 -177706 5099 32934 181175 -19086 78248 -93133 69483 -168126 25344 19594 27331 78155 124 -165412 44550 141036 -15184 -75602 -20027 35121 -20088 -124172 89742 -19206 52257 -84449 196040 -160528 81786 135551 55701 58032 -46055 -62947 3468 -9369 -18600 -195669 6736 234343 245335 -41017 22562 253061 -23644 -3702 -6184 -131245 -91560 99767 85359 64284 -47504 -125436 99103 -60715 76010 60717 -32917 78168 32439 17775 120638 -24050 70826 92445 107362 73456 -12727 -132061 69234 -215191 -45149 -172502 100572 -16435 -38032 -57285 64085 121769 39557 138250 161743 -206818 -77397 68004 98945 -55127 -160281 68206 -17305 26702 42415 56793 220927 -23774 -46425 -115205 -71687 24832 -7343 112565 -20797 -196394 -35344 34996 -73278 3055 3222 64784 -67208 -5544 76477 22550 2763 85124 56444 -167539 75862 163288 -25601 -6553 -57719 -74929 72460 31276 61239 -20691 9728 -29115 87747 -187485 -39362 -53094 50439 -72296 41879 165421 15399 -95300 89573 -37248 27201 -17031 -29633 107916 -45433 72680 -1461 71104 -104916 -14602 -80508 9053 18041 -54743 47806 75180 -26936 -38581 -84473 10239 28406 -61475 
+-89787 -34228 8515 -77342 -99332 71216 71361 116876 44526 5656 25 -41046 49298 -172845 -212609 508 113934 -218277 47923 22772 31976 -66876 -57576 -105149 -7893 96454 91497 14890 -90780 46867 -239811 -59135 -47916 66285 56370 -126175 -84434 -16361 20108 -22861 -14504 -68467 7496 81546 23074 -1733 -168011 27820 -174100 11970 -21375 45207 -147579 -47331 -13695 -93084 71973 -6358 63203 36449 45769 36254 110940 29464 52061 71723 15948 -84406 -125440 118075 10529 -47303 121196 213744 70635 29664 101723 69629 55110 -152729 -28906 26407 145159 -35991 88964 -239431 88065 -153984 5037 42696 3154 77934 4139 -184144 75396 151701 -46609 28659 -10011 115240 19043 -140071 76749 -13025 83542 -105366 206648 -88423 111313 200779 71981 68281 111865 -15098 -10748 -40509 -22722 -151015 -73354 212278 204164 23961 12700 258825 125976 9940 -26568 -128188 -132140 17413 129382 61184 -4968 -163548 52942 -28763 -39008 32873 -14107 99572 30859 106182 2394 44350 87103 153128 65842 131570 167369 -137775 -33794 -203586 -31838 -188650 100535 -23674 -49552 -83411 78084 195203 -4850 143082 164074 -144928 -75096 101241 137310 -19283 -137937 94415 -65279 -18096 -57828 46395 238231 -32330 -38984 -56349 -73376 11653 12617 109998 -80392 -63385 -48236 -9255 -124544 83895 9203 63404 21857 -3970 53180 22899 10106 111470 11184 -153307 87266 109279 -57752 -40563 -83857 -116272 206754 45606 27078 49901 40923 -39665 130478 -175506 22635 -47049 76576 -54970 39372 105055 31118 -105359 134461 -56289 108639 -98148 -7927 80035 32723 233579 -11287 63604 -170486 -18260 -64233 18229 37496 -81501 69092 56043 -64046 -33424 -107297 84724 -78316 -59828 
+-94919 -30136 -19737 -31920 -171724 48884 124462 140607 -106221 -17017 42148 -36381 53531 -195823 -262993 -63496 42930 -197979 -15351 60947 9188 -86305 -51346 -59036 -13902 78701 29961 33169 -65980 156280 -212295 -24092 16286 63624 69977 24384 -75938 -23085 -51414 -12525 -137888 -56522 90876 151452 -15706 961 -132426 71998 -219823 35296 -66400 -81267 -85616 -70404 34245 -32330 8780 -24875 67495 11894 55724 23280 -1170 21324 68919 88477 962 -223536 -113335 228830 40869 15601 -7190 149663 98833 -161467 91136 -12815 101733 -93614 -77726 -8253 52251 -40528 61916 -209241 60315 -163492 -930 24360 -27268 75809 11218 -177807 42332 166052 -82116 148083 -50027 97454 -25419 -128029 70351 71203 100151 -90584 215374 46540 -36444 189223 83919 30658 61912 46100 -29805 -23613 -24186 -114388 -18602 62557 130648 36104 -42572 261156 141804 533 -47538 -143824 -140003 -66595 108618 61107 37248 -151891 76115 -35382 -54826 -22729 -22251 132698 31841 198009 479 -19040 87272 191559 -118213 51700 163832 -134192 -90366 -206004 -34475 -108780 114632 1588 -44845 -55203 50017 171600 -45800 142913 77450 -41304 -118882 43726 141779 -11633 -64415 124990 -103261 -96217 -170487 -53667 229750 -43506 -47834 41244 -68605 -70031 20743 106008 -86436 91492 -53739 -40216 -149503 130493 -16790 44144 166286 -1872 20644 52661 48571 82328 -55434 -196550 78460 59429 -91655 -52676 -95951 -206878 227549 74899 -39285 -20699 66330 15539 133269 -107799 79005 -66804 88954 -56291 -1957 43267 9619 -78246 8717 -99838 84653 -86584 -23957 10987 39492 240358 -3090 62217 -186920 1611 -33474 -14040 12591 -116711 14501 6870 -58379 -44947 -121418 7429 -106163 -38209 
+-86905 -99076 14779 111543 -205482 46889 -21269 144598 -268488 5142 32277 -48026 56004 -140155 -332149 -112587 -11247 -169580 -3511 59876 -31102 -111140 -38468 -101163 -5522 78301 -82338 14057 -48024 244896 -183984 68327 -5532 36431 80060 173546 -58975 3076 -65328 -16395 -208475 -33244 153783 193754 -60846 23769 8169 57125 -223386 54606 -14059 -50372 -5812 -51580 44592 49500 -125327 -9073 69468 -31887 51628 45834 -137656 74373 73881 43878 101380 -171477 -113952 119308 31364 46978 -115661 19519 80860 -170760 79746 -70499 111591 -22098 -118181 -21253 -49478 -39711 43035 -34567 -51762 -140056 -37193 -13719 -35742 72530 18280 -157900 -34741 161675 -119402 188739 -108281 7851 -483 -83029 59365 132806 92627 -86010 222683 119438 -211337 125537 52545 30255 -131108 105649 -19416 -36912 -30922 -64390 106415 -29960 21361 49705 -1246 282070 22418 -29068 -71480 -140026 -108722 -133466 56774 54609 75557 -173473 47426 -20512 9498 -45641 -25664 147941 34452 233202 -54256 -76243 74214 220083 -226829 -191375 127886 -109229 -163473 -203704 4341 28874 108579 -50418 -24292 -67818 102217 95591 -80468 147819 -529 3107 -158691 -59775 99105 26700 15171 135739 -139478 -134561 -179313 -112817 192610 -16273 -45750 98573 -50212 -101537 -30709 100928 7895 85919 -54725 6830 -102622 106581 -1399 24124 190652 -13155 -27831 35744 86523 89349 -149343 -209378 89155 12225 -69318 -134220 -96428 -210894 128668 99626 -63796 -133884 134984 71587 57936 -35168 77025 -57154 84959 -73817 18521 -10157 31100 -26306 -154201 -136816 123911 16858 -52520 87494 -17445 117528 -9445 68865 -40761 -8716 -21181 -32589 -129019 -126032 -45898 -54566 -56709 -49975 -61404 -88647 -41797 -43012 
+-56459 -156655 -19916 182962 -88700 61956 -155868 131513 -194122 22444 3366 -56255 57401 -38749 -283633 -158264 -52630 -122587 -1839 49844 -68733 -135214 -10739 -67400 -10737 50746 -82050 40629 22192 253899 -112097 96012 -70875 6826 72783 165466 -50625 -21734 -99560 -11330 -146062 -79077 180726 184152 -116930 35454 15686 29185 -225755 67800 -68067 110831 89037 -55755 26398 25538 -209316 -29088 79303 -50684 37783 8205 -184639 134509 65275 -6619 182469 12875 -109506 -59315 70522 49861 -154029 -36427 67358 2071 65513 -95549 128969 64023 -157725 -97279 -83101 -26482 32001 91677 -134835 -160140 -25798 9549 -16385 71948 17252 -129284 -110042 164121 -71409 118143 -122490 -121187 13212 -40933 75435 119233 64995 -45574 227995 86687 -236043 35799 51073 49157 -222253 154058 -15197 -48442 -27653 -15674 129399 -15589 -35174 102253 16457 277915 -79820 -13351 -100088 -81612 -75264 -148945 -37588 56068 107520 -176744 77930 -12357 107817 -58788 -2805 159823 36742 205216 -73843 -149682 50585 215761 -119256 -173438 39381 -84798 -190764 -148429 17322 135333 121471 -69126 30237 -84536 84807 26761 -93759 143833 3234 6287 -113190 -38585 49201 30795 90502 96679 -190247 -141662 -66121 -87315 184553 -23042 -45216 89350 -36989 -183961 -17552 106918 59486 -85313 -75294 20171 -58491 18203 -34454 340 47632 -4355 -70615 71500 141470 82629 -126461 -190580 37361 -25667 -94153 -96320 -92104 -183518 2241 163881 -99805 -186061 138914 119392 -44970 80228 56027 -12081 65705 -89018 28173 -44681 -663 53902 -197660 -160306 138472 107012 -51744 106111 -92666 -47722 -21394 80598 -20955 -348 18638 28951 -131997 -106690 -24366 -72384 5298 -34629 -40824 -140838 58708 -27721 
+-79032 -116934 -29127 109546 -15170 29300 -118724 129536 -50599 7159 -15740 -64165 56147 74717 -262053 -187117 -30958 -77417 31755 22520 -105247 -159043 16003 -42309 -14922 24246 -25226 33892 94956 200148 -44492 37603 -35472 -1735 86536 47942 -51282 5319 -116667 -53469 -17324 -120213 149323 156770 -69507 20003 -56809 51100 -163952 95258 -46416 211263 160269 -65095 5801 -10562 -169089 -15528 89573 -35812 29779 16156 -90273 152239 49247 -10587 123386 83576 -95362 -18684 116893 10676 -70662 35092 65790 128262 46744 -91477 107895 129527 -188329 -106589 -20821 -3135 52539 19800 -122304 -125497 101 12989 37158 72735 29458 -105632 -129531 174769 -59925 -7834 -119471 -237780 -42246 -64954 41764 45669 26428 -4468 230823 -31388 -83704 -27079 14761 64151 -80494 186456 -7837 -36891 -37462 11711 27101 102463 -58247 90447 8849 271023 -62078 -38195 -128823 -109709 -83789 -72666 -57084 47820 131384 -158816 26705 -46244 187468 -10432 5934 148100 36755 134796 -32923 -188474 24709 194119 67516 27230 -49603 -52114 -161353 -195860 30065 17782 113761 -84024 76476 -53934 75229 -17085 -80175 139801 82169 -70610 -144941 75474 -38375 7370 140357 66705 -214304 -130752 49838 14132 187733 -2725 -51299 79250 -20727 -155977 -29595 115449 131190 -193244 -89043 -2527 -21887 -50088 -49706 -17897 -60850 -7067 -86719 74399 126506 76437 -68634 -213988 32728 -39936 -68615 -136499 -74207 -117463 -44914 135700 -114150 -67363 132065 130250 -150062 182502 -6172 -30804 34044 -89071 24832 -55359 27827 133840 -74158 -162153 133549 200791 -60271 116427 -91281 -112502 -28307 93176 -23592 4232 70474 2273 -42019 -73240 47582 -132522 29109 -17651 -56733 -4582 99902 -15572 
+-94525 -52636 -6634 23190 -3287 22167 70237 113555 87423 -17245 -12713 -59535 52232 152889 -172671 -221436 13428 -25979 71057 3041 -142798 -164488 60002 -80597 -11194 -2259 90536 17175 113023 89606 27406 -43176 -21626 -41268 84183 -96159 -52139 11786 -104829 -62140 18353 -136349 67633 95199 -4219 -1412 -186592 52703 -117672 129841 -12794 155972 218068 -33876 -64636 -82672 -81354 -57780 95273 12199 19926 60403 50281 97165 27692 23417 15248 -44387 -81647 97792 116713 -39171 91171 156688 69827 76710 22238 -27324 85393 148498 -218300 -101658 86117 12666 76429 -165930 -42137 -107445 19801 -5886 11305 76712 34210 -42715 -80665 167706 -26279 -89829 -92369 -266068 -12897 -116852 59968 -13570 -17805 45666 232292 -142686 86639 -21048 -10360 60690 94074 204643 -14748 -44084 -41022 12975 -76321 247692 -82207 93467 16120 228417 93085 -50301 -144923 -84560 -121898 24562 -72743 43698 145218 -172607 80590 -27016 269618 24381 3119 100341 34465 40135 -25188 -194607 6377 157162 112567 181476 -38107 -24105 -97735 -163485 64962 -146964 102683 -71269 92503 -74486 110874 19914 -40144 99019 159052 -166340 -129512 101435 -129061 48770 143994 33362 -221126 -72956 138507 86887 135553 -11802 -47568 5046 -4565 -192660 21639 104056 172798 -136104 -120566 -1370 18138 -36656 -13056 -24133 -28410 426 -76680 53714 115862 79069 -24568 -176866 40146 -39848 -49361 -111949 -62163 -51315 44801 147152 -76356 34378 123836 87958 -173973 164418 -76314 -21059 -4928 -70720 44517 -37859 -790 198439 84813 -140523 58668 138226 -71033 134010 -40260 -66952 -32287 103488 -112358 830 75654 -8294 18987 -43937 66141 -175236 61478 -49298 -94777 104052 90704 -21398 
+-84764 -13518 -14654 -97926 -48446 -23563 114187 104891 55402 -28392 24407 -48954 50392 183650 -88502 -233037 58823 26493 58541 36385 -158803 -181659 60289 -107130 -9459 -31604 113583 20576 163468 -11393 88542 -25014 -31250 -63988 72037 -80998 -57132 21744 -45789 -46369 -66277 -139218 -15022 48958 30127 472 -161496 18837 -17467 129310 67702 -41561 217593 -62909 -122467 -131200 39242 -1709 100311 26319 17139 70243 131467 20133 17081 90424 -13229 -205703 -94823 209282 121760 -99206 204731 208418 65686 -129219 41678 47486 11602 176135 -226250 -79505 157755 13245 95156 -254393 2318 -106322 28216 199 3010 76506 45788 -2577 10177 176373 -16580 -63127 -71048 -215294 23238 -143883 35332 -15136 -53092 88934 231309 -159049 107363 34505 -60599 81593 12178 201400 -23255 -44541 -46987 -1030 -12179 215005 -85012 33496 31985 217501 155030 -42562 -162037 -75750 -148809 111650 -60442 48949 147951 -157681 44411 -29352 200364 45352 -3920 95028 31815 -31625 7640 -143129 2215 97233 -42892 89016 59333 -63364 -31007 -143265 80357 -237857 113305 -59483 121148 -52112 26731 108581 3653 61713 162872 -212146 -137023 57826 -195512 42122 105340 37014 -214190 -7523 114882 -5610 131730 -5232 -44565 -89838 5581 -150250 26081 134838 194784 42589 -109312 2101 -28637 11015 -19715 -19315 98171 -18420 -46061 111650 53130 73933 41793 -153051 67483 2678 -11793 -142281 -33409 71464 177375 118476 -109805 36330 123860 24420 -90664 123124 -113256 -23042 -45917 -56021 6723 2410 16062 224739 124682 -105342 50217 52973 -64289 139982 39504 61202 -38117 108284 -200443 -1709 108822 -13125 36952 -57809 3654 -247350 39922 -18878 -130805 -93 -25701 4889 
+-78518 -79424 -42391 -36289 -150718 -35205 -5156 69868 -78852 -3676 15847 -38884 48456 124127 -36145 -216357 106736 55456 68793 49164 -189175 -158243 16984 -72900 -10332 -58348 33632 21347 178762 -77264 126534 16999 -9930 -81625 80648 -35742 -75616 -6868 5029 -59149 -186883 -139516 -65661 5693 -23717 23094 -65197 -611 66489 145580 112182 -89166 161738 -46796 -207205 -102980 91636 -13514 110474 18473 31480 62806 113713 9246 17196 83838 42814 -200465 -82895 117542 85498 -133309 239430 148635 74760 -204033 89186 114675 9724 180097 -233321 -13173 170092 8091 57997 -122115 64737 -83633 -3852 -18322 -55331 77608 40600 42949 78665 158369 8002 51023 -41419 -93918 8980 -149097 60326 47917 -73440 128172 228770 -69877 -44760 124798 -74978 76930 -147954 176362 -19618 -40831 -41550 -40289 124148 85993 -9340 37772 14020 152372 66437 -77480 -174921 -55348 -110368 94955 21075 56164 139344 -161993 33371 -60555 113779 56298 -33157 57375 30858 -52213 74423 -32560 13212 36572 -207998 -125352 166696 -62392 31778 -103381 82168 -143329 114539 -43741 78865 -75878 44849 160492 45297 81576 85813 -171511 -129178 -56630 -237993 64079 35113 68195 -212593 61614 31373 -95919 140258 -2802 -46083 -155856 29166 -101986 -2192 117538 152875 98046 -120716 -45535 -69051 86252 -14893 -3477 222645 -4204 -16433 94974 5324 55429 27853 -120735 55782 37862 11186 -104044 -15572 77618 248410 51355 -94038 -82523 101161 -18248 8079 21234 -109934 -49325 -82454 -56200 13959 59509 34927 212825 3595 -75471 -13618 -96537 -103269 84281 42009 216306 -43279 104355 -129628 4736 141586 2733 -42897 -98340 -34411 -273846 58874 -5754 -113158 -81535 -93665 12971 
+-80466 -162864 -29397 101727 -150306 -27890 -161395 42241 -238369 4969 29249 -41821 49313 34427 41675 -188700 131291 72383 32773 47234 -195934 -177259 -6949 -100013 -14011 -79025 -55144 38867 174136 -84504 129853 34582 -23684 -112748 64904 108718 -88836 18576 52414 -13973 -192617 -122428 -75662 -4021 -84890 35508 40203 -16483 104621 124974 122302 -37624 81537 -32243 -252286 -38194 26704 -16295 116104 -17740 58970 26108 -19553 65814 31663 -473 148164 -34268 -73667 -63354 72278 -138917 168675 29045 85506 -45621 109140 147956 -48462 143565 -227320 5538 87433 -163 71725 52436 70457 -53152 -29979 -713 -50357 81718 49331 65823 66190 177302 20947 162711 10654 15501 8109 -105403 22077 119128 -71371 173517 224015 58042 -215496 194299 -107888 75773 -179339 142797 -2508 -28857 -43901 -79166 129565 -43439 53528 -14945 1230 90285 -62766 -47523 -184706 -52353 -56475 67683 89352 57814 119938 -125391 56187 -51597 4805 15222 -11078 23119 32397 -4262 100527 19102 34976 -25979 -178352 -195539 203913 -106096 81772 -126797 75392 72136 110479 -11749 61925 -58790 20563 160961 55882 61767 4129 -62714 -122729 -51834 -254730 30471 -43706 99763 -178136 87467 -109902 -111048 119807 -16489 -43927 -204033 64188 -27811 -32784 93671 43384 7035 -128070 13819 -121136 179320 -1246 17187 115687 -14007 18421 114438 21378 63536 -68983 -142142 77526 97965 65544 -49692 20496 166017 164071 57981 -29999 -166505 92337 -67936 112033 -109380 -78961 -73925 -108512 -71406 27419 118774 20570 169658 -156733 -41616 -101550 -134194 -101787 73718 -52113 238428 -41469 93255 -28886 4947 162036 -19587 -130301 -124179 -26343 -287625 60263 -27279 -54336 -148679 -92940 23102 
+-63245 -148979 -31436 173089 -69683 -23193 -112907 10023 -244684 -22699 589 -52411 49678 -87129 21906 -174641 92651 67730 29198 55821 -193408 -129152 -39358 -79420 -14440 -73546 -104249 43636 125163 -14641 88227 89953 -54346 -145990 74417 213928 -100255 46349 81785 -7447 -68827 -71595 -44918 46528 -110873 20718 -25234 -36529 112121 147022 131648 149709 -5619 -45999 -263330 12847 -74648 -36305 119136 -43932 55713 1785 -160617 136984 49932 -18382 148190 77014 -69840 -43104 33529 -99487 44824 -33365 82286 131231 148680 125770 -36297 74333 -198838 36697 -21007 -27239 33064 82656 3328 -26902 -40492 -26229 -8452 82406 57273 93131 10227 197792 38064 183811 -24662 100215 5373 -67395 27291 123203 -49079 197372 217471 122733 -231542 200578 -108351 96932 -68087 78888 5777 -32890 -40304 -134637 16042 -44033 127813 6332 -286 51532 -59424 -25932 -189951 -51325 -62513 -37950 116749 62925 91776 -135295 61085 -76850 -59670 -35409 1163 37672 35181 86757 153545 12784 61578 -71045 3280 -35356 118813 -119859 56104 -99193 76060 142790 104284 -37268 16774 -82881 42938 99289 41400 51579 -4878 13739 -106452 14099 -211948 83121 -117577 139121 -123398 125096 -172221 -34222 68010 -20263 -47057 -223089 74190 4767 7491 76684 -39131 -155803 -133879 40377 -137532 103555 7231 43201 -15637 -20130 85047 139056 48258 57360 -116972 -99194 49135 153124 106763 -39615 39818 143649 27523 8728 -21553 -162074 77358 -49044 151732 -136512 -8758 -56152 -121452 -89027 24205 182869 10394 101577 -194775 -39371 -98632 -13525 -78492 68608 -109297 138474 -50336 80716 -23057 34354 164439 -31619 -119577 -122080 32717 -300287 64528 -19326 -35017 -17069 -7293 1619 
+-74119 -50075 -20496 129889 -7801 -11897 48068 -5610 -30134 -14260 -17746 -59455 55853 -168220 52373 -131315 46540 41313 26191 23796 -188167 -144440 -52364 -73061 -14820 -87163 -48349 15891 100274 101699 21383 51452 -71516 -155791 88842 114040 -99090 33606 63626 -27999 16436 -44109 29604 109880 -69385 -1029 -110906 -14187 89070 130868 138753 214484 -86107 -58673 -244801 10867 -192560 -21238 124228 -36249 58121 27248 -179007 134531 67566 13679 61628 -9933 -74802 127780 -10885 -44540 -103958 32467 64811 69999 85061 63526 -19487 4046 -176132 32393 -77668 -37619 19171 -66239 -89959 -62797 -43690 21758 56476 83431 62922 90687 -91321 201414 49949 97605 -68100 97330 -10589 -60068 27746 56765 -11044 209331 208958 76138 -75029 145005 -151809 88956 127794 18853 -35920 -54449 -44765 -175802 -73723 92383 228315 -106078 54392 -18107 66183 -6450 -187895 -51404 -130581 -113709 129149 63033 55518 -106417 -3160 -52746 -19877 -46775 -6601 66591 36895 169527 222887 -46026 82234 -104816 124695 161517 -16588 -142472 -31322 -56880 59636 26832 103083 -29685 -23924 -75075 33757 22695 1743 36113 76045 19332 -70671 101917 -163640 76924 -164670 132240 -82865 75951 -132011 32845 49335 -45703 -40407 -170569 77271 20212 10519 112119 -96131 -193456 -123100 19393 -131622 14543 -14480 57192 -58165 -763 97853 123616 99901 33467 -166419 -107192 59700 190746 172680 -11 75289 139479 -42112 4189 20294 -14285 46345 26886 104694 -182355 47617 -53380 -117630 -89773 59731 223613 31779 24054 -77902 -49485 -106391 112742 -94022 90409 -76338 2330 -48780 68885 -140775 43552 176487 -12888 -34341 -96307 69572 -315801 28101 -43069 -61857 88535 83571 71170 
+-87763 -3916 -28729 23105 36075 -15454 123043 -7020 93827 16079 -3575 -61245 58883 -187288 90343 -58046 14658 12750 -11832 8073 -163530 -97872 -39383 -110257 -12473 -69979 88816 33437 59429 199690 -55618 -3680 -18145 -179529 57814 -30822 -96720 32551 47746 -12702 1625 -54728 117448 164387 -3252 59 -197583 -52178 14172 120722 111267 109803 -172611 -77986 -180052 -20818 -228805 -44885 122887 -912 34537 33804 -78232 89667 75056 55163 -16275 -175613 -79998 203481 18259 13451 -152349 149656 44874 -92328 135126 -13547 -22757 -58184 -135680 29182 -51120 -45679 28197 -218845 -136755 -51479 -12142 -24136 52421 81511 74595 70404 -129857 186393 -12251 -27648 -99087 26759 -5395 -63889 56756 -14747 32000 212570 198894 -41753 92827 58077 -144412 52365 45751 -35569 -25736 -52887 -49267 -189634 -21198 230683 259877 -132508 -5596 -33187 156630 -4313 -187439 -70037 -134450 -152050 63311 51401 14973 -80951 64952 -43217 36654 -33188 3585 86646 36354 231971 187137 -128955 89601 -118290 32899 127434 -102345 -102234 -91729 -49430 39264 -172140 100231 -66204 -58568 -74546 37036 -35620 -43588 12836 154837 -51222 -59916 60427 -85497 36956 -163159 101671 -43155 11862 -19987 56151 23291 -23058 -44139 -109936 88939 15177 47086 120686 -69048 -53704 -136558 -12665 -68757 -75839 -2246 67326 49331 -10498 61105 137137 135709 35568 -128520 -75522 62310 226359 208508 4552 94169 88680 20948 25398 64886 45439 -15337 81401 -2743 -152952 69660 -46492 -98940 -73689 -4942 247776 -5421 -41776 87447 -77726 -122871 193599 -94156 114666 7740 -106763 -44824 61872 -207381 41611 161481 -26155 16941 -64448 25626 -274512 -26992 -35822 -115996 -5455 88526 38106 
+-93807 -66963 -46882 -79258 -83146 -9362 1108 -19492 86191 6737 39875 -54959 56711 -145497 63031 -16228 -12184 -13332 7159 38064 -146975 -94351 -19684 -96750 -10195 -60162 97316 4000 -16144 255728 -139287 -29445 -7900 -190644 69442 -131871 -88015 -1803 -33980 -28240 -140824 -6867 163548 201814 36583 22462 -94478 -25315 -67688 100596 92106 -52348 -172468 -48824 -125549 -97166 -128995 -23139 132217 35225 18624 35133 55458 27949 66475 83039 10434 -213180 -73538 139456 -17819 52697 -89413 214717 69233 -184470 118026 -74243 12365 -117225 -100240 -28068 42887 -42286 49062 -233439 -102892 -39646 14799 6242 855 81779 78150 22722 -106039 186309 -21979 -93354 -98865 -94170 326 -125774 48201 -16410 71169 206371 187011 -148667 102748 -8745 -206732 66890 -147969 -84785 -16055 -81361 -39450 -196817 114948 239936 300525 -133979 -15662 -37280 81840 -4158 -171967 -83542 -137518 -102140 16479 58316 -27768 -67806 52416 -65093 148173 -11065 -8153 89034 33828 224488 183898 -187363 81568 -103360 -161575 -23816 -33700 -91937 -174404 -28169 17458 -237309 84740 -77528 -35272 -69727 47682 8640 -81222 -10461 165614 -178533 -40315 -55530 5387 134540 -116270 60561 15809 -80773 92908 -40551 12634 -9136 -41763 -29568 104150 -14768 2881 93867 -3099 100835 -143092 -21354 -472 -15527 3882 62295 192025 -2405 33440 94459 123532 22580 -37615 -64360 72759 234424 215631 -4391 88307 39877 147356 28638 138495 -5398 -37935 146132 -116516 -72762 76184 -9710 -67693 -56756 51 245733 -25273 -88116 132618 -120848 -92410 148338 -93943 110035 63138 -79058 -51469 62173 -171516 27319 162773 -3409 8272 -50274 -51567 -212357 -35317 -28247 -116329 -92334 25352 58299 
+-103231 -148025 -20392 -37651 -190640 -10043 -156924 -8497 -155173 -21338 32033 -49920 53899 -50530 52882 28449 -18297 -68100 2421 71022 -110218 -46645 13668 -82456 -14817 -41199 39979 13828 -65799 240958 -199055 -28687 203 -211677 67360 -99733 -84582 28150 -86377 -39402 -203024 -75873 173412 187067 -5119 35532 27096 1115 -92997 54011 26951 -97120 -134303 -71087 -41596 -136526 -10436 -61580 127193 28235 12019 66810 146466 -6381 49561 49400 128958 -66925 -87061 -41491 14164 47417 30963 144075 64481 -50217 84987 -100525 44638 -176974 -64436 -92932 144202 -21721 31349 -50613 -14181 -31574 24543 -41749 -38345 79856 90331 -17693 -28218 181662 -74770 -47663 -123984 -209471 -3942 -163603 66922 47412 94523 175051 173708 -153922 -53423 -27190 -158121 41619 -189622 -119984 -23249 -38000 -44486 -163828 136070 98247 254840 -205066 -1645 -100724 -68210 -4542 -151588 -30457 -66697 -2169 -49356 52405 -69729 -34763 84416 -68742 223898 43029 -22759 140178 31435 158388 174917 -183862 61465 -72291 -215059 -192088 74840 -56390 -203230 500 -9389 -136249 83477 -64088 -47185 -62887 104551 106519 -94494 -25038 97139 -218516 -39099 -48698 80132 100217 -45799 36157 29857 -118913 110008 -115470 22798 13304 -40984 40985 101408 -90863 -11096 95803 106599 77997 -147626 2753 23329 34678 19623 41641 181380 -12418 -4760 110470 101790 23158 19360 -29444 58724 224004 226307 15208 84954 -90938 242657 53168 146328 -149507 -52286 116081 -161921 48685 28124 -29009 -28623 -57615 44308 216598 4414 -108681 -1900 -149151 -12510 38801 -83251 165817 41652 67197 -58218 70103 -56707 30224 160559 -19345 -101128 -75903 -52391 -211659 -43971 -22092 -89186 -135785 -54725 65602 
+-81384 -155284 -11014 111892 -175422 26444 -128803 12243 -254620 1048 29922 -42942 50590 69246 -40324 57977 11644 -118151 9987 48673 -76889 6820 29835 -71764 -7909 -14331 -49894 -12444 -94315 159485 -211368 33824 -40165 -206698 61369 87469 -68397 37405 -128383 -23009 -139697 -121188 125242 140279 -100396 21403 28490 6755 -196394 51717 22351 20925 -58838 -68971 18373 -108352 70411 -8674 132531 -10953 13639 8925 95511 58055 28997 -19821 156449 76509 -92890 -29669 59634 10027 163996 27956 61970 112050 66106 -75521 62609 -188194 -21844 -107603 174722 296 84655 96624 52346 -33113 11213 -9005 -77781 76637 87939 -77425 58350 209372 -94865 73615 -96282 -270953 6568 -135315 52060 122820 98741 150072 159164 -50486 -221091 5211 -181259 72280 -51836 -129915 -19694 -58284 -36210 -121238 25056 -30314 202825 -192710 28865 -104420 -106735 -47928 -126997 -105399 -50735 74641 -88062 50400 -107922 -32284 57745 -54731 247623 49111 -25973 174551 31029 61221 113583 -106770 34939 -16796 -75670 -117593 191918 -53226 -161126 20926 -21309 77262 84482 -32182 -2736 -60452 119447 143610 -82275 -46456 8837 -188709 -45301 40821 124727 117795 34709 36815 49198 -164593 94225 -117562 -36706 -4404 -44153 98100 95158 -143293 -6984 130599 190801 -90761 -147657 11150 -29658 142292 33823 20136 10291 2068 -36465 109759 48526 11816 30401 -5597 98283 185837 249378 -16966 79827 -159384 187026 88912 196273 -172826 -94324 84374 -175040 109483 -34774 -39950 12461 -73241 37488 168475 -7416 -71138 -151365 -166316 60393 -60359 -79639 111233 -56494 198176 -52543 83123 -29717 10840 141927 -49325 -143934 -108398 31329 -139603 -38687 -25155 -52694 -24397 -112314 66830 
+-79057 -76792 -30554 179284 -61009 46670 41724 33570 -215390 9717 -25497 -38824 47736 159035 -91286 91654 70613 -168541 24800 34119 -7595 42628 33079 -85383 -11869 18527 -90917 -2598 -128109 36230 -217824 93303 -59509 -214445 64475 175762 -66230 -6561 -152312 -36943 -26088 -148686 57180 80533 -112268 -612 -75471 12054 -244616 7906 -54756 202257 28872 -46622 50588 -43895 67221 8246 129745 -40863 34242 23566 -34159 145519 17893 -6154 97785 14754 -112701 90229 139265 -46819 241807 -30170 70962 89098 56144 -2223 93475 -165527 14198 -109166 106619 12799 53461 42013 96253 -54727 -10732 -18730 6834 74579 93923 -109352 77378 197565 -114261 174976 -69607 -225029 -19179 -90156 58973 129905 80424 92192 143484 73295 -226304 102861 -121895 19592 132119 -120531 -521 -43836 -27098 -69344 -78337 -41392 117945 -242432 24521 -106836 23135 -24351 -106364 -92448 -77125 117149 -102684 49240 -139594 -2895 58937 -55486 157786 43904 -6520 148863 33085 -21673 25007 -42135 12773 50656 95454 104386 186825 -37861 -90748 2764 -45895 117078 68129 -35951 39463 -74325 67441 161298 -45692 -68786 -2414 -107288 -17567 101680 140522 109503 104534 67611 71069 -168303 -43973 10336 -56595 -16870 -38858 68961 73148 -167038 -9734 153396 206113 -212240 -139268 53404 -79394 109896 34530 -3197 -60335 -8379 -79758 87449 9666 2097 -30115 14845 94364 133635 261378 -30971 61333 -188696 73065 152253 207696 -96080 -110326 15115 -100377 174785 -89861 -63487 48899 -89529 52280 107088 16075 -20656 -192199 -151146 97035 -108971 -86552 94796 -96890 246312 -50751 96553 -48100 32315 114939 -58359 -30860 -126653 50873 -74847 -41181 -64054 -22532 65080 -47205 89607 
+-79493 -14578 -32892 134358 5918 42804 134875 63419 -61166 -8796 -25147 -48523 47304 175255 -138358 89120 102726 -195597 26178 26281 14238 61156 17735 -102761 -7159 47583 4588 -42445 -113943 -58711 -175577 80663 -54312 -212854 65763 140171 -53871 24386 -129874 -18796 45087 -162961 -34004 20377 -75110 -397 -195265 18409 -245345 -18136 -48798 211825 147728 -43157 79323 18755 -33952 -34668 129558 -29841 52519 13430 -149035 150394 16053 38156 1471 -154833 -105225 197872 114946 -100231 199346 31781 60645 -71590 74590 69933 109161 -133850 42850 -87690 17812 22443 38359 -141007 48602 -42733 -38440 -26518 48619 74751 104276 -119925 43530 197548 -109661 175831 -36179 -110236 -6616 -51608 67121 63193 45922 55768 126388 120604 -66040 169402 -81595 61638 70429 -90300 6539 -45180 -32558 -21922 -32717 59095 76227 -215549 26997 -75266 137428 -68308 -82097 -105294 -167277 100424 -45368 41935 -162613 13592 32741 -76292 50815 6028 4244 103134 35885 -52665 24966 16916 2043 107072 82799 167020 69254 -57519 -45043 6753 -36214 11638 75595 -10106 101810 -32250 83391 111074 2632 -80200 70182 -25812 -22143 84520 116509 93403 144831 101857 68360 -92698 -147320 65829 -98851 22761 -40691 49846 61109 -162705 20106 111938 164376 -146159 -137543 14701 -135425 64691 22863 -23076 -3500 -226 -94834 65494 10627 14827 -118801 34274 104825 77484 250129 -49864 57252 -216467 -28793 205341 201867 36549 -115236 -35675 59020 112549 -127183 -48933 75809 -89706 53320 43711 17720 60623 -94814 -126348 137236 -54030 -73039 81901 -55879 192215 -34282 105594 -148964 22513 101639 -26464 57047 -112521 25472 -49893 -14554 -57166 -54994 10015 33228 90139 
+-76745 -31300 -30754 -14610 -4656 59918 27099 75993 96538 7937 16090 -62485 50253 136694 -234207 78890 142570 -228307 45755 26830 45449 77055 10369 -67424 -9760 70238 78024 -19770 -95513 -88101 -103497 187 -14303 -209575 75001 -2689 -48857 8214 -51701 123 -70714 -117203 -79392 -1257 18309 21773 -151387 48468 -219812 -26807 -78744 87363 193538 -66836 42534 27913 -140751 -3185 129798 -8810 57650 38661 -172421 90860 30929 58440 7010 -230006 -122392 143949 110789 -136903 64934 150905 49555 -203496 53686 110691 108672 -55597 65927 -25678 -69544 13094 -20005 -256726 -47879 -54716 -23060 -14472 19458 73821 108050 -172002 -50481 204144 -67817 76031 3151 17740 477 -41765 87776 -8746 2702 19520 108834 66855 96942 205962 -27213 76067 -139203 -41098 -1778 -65929 -29184 12953 112585 214216 -23035 -214974 42470 -68305 106223 -60736 -55982 -118485 -143556 -3347 38761 47769 -175056 -677 51331 -44303 -37128 -31797 -20545 79484 37075 -19746 -80440 37227 6513 166036 -87629 -6332 -84625 -90388 12920 8562 -27801 -136931 79959 -22305 93073 -69490 71821 73880 40022 -99376 150293 41702 -1050 23999 58359 98364 139184 130986 48235 -48775 -178924 13748 -50860 10070 -37310 -7638 47069 -157885 20052 121973 123177 31395 -140615 11245 -110931 -42457 17676 -28727 146031 -15486 -81530 52531 56392 -8236 -152212 47267 78293 15430 212024 -114181 17380 -218201 -12121 118229 200581 35323 -119911 -52143 130866 -4964 -115865 -58841 88309 -73878 2443 -8073 178 135652 82177 -84047 132247 73284 -81498 53063 19802 8631 -33104 107984 -196029 7315 37384 -27567 18305 -77754 -42056 7893 2087 -24852 -79675 -103799 103957 63763 
+-85527 -119411 -34739 -91714 -106277 74270 -126778 127730 68666 28973 29739 -59240 54096 35444 -277931 61289 114312 -222519 26097 58327 73149 98057 -42933 -113681 -12220 77726 79781 -7816 -63799 -66341 -32835 -40872 -5467 -191457 72038 -116800 -63408 21829 -20632 -43289 -180365 -111350 -65859 8741 26010 35556 -10872 43365 -130225 -54064 -59252 -39698 232288 -61312 -16326 -21314 -220111 -19190 123060 25579 51569 53723 -52559 43083 48744 54149 93085 -101590 -132742 -22025 89328 -134871 -79320 215758 65157 -103327 72803 135876 89650 15997 77946 10670 -73390 -13202 46097 -162284 -121004 -84303 -18760 -12004 5402 72605 118956 -191432 -126815 191504 -49027 -45727 -6750 108965 -17948 -91093 75427 -22561 -37096 -29928 90796 -62626 97327 160556 -22490 79250 -208170 18360 -6415 -66432 -19227 18078 133332 237728 -93884 -183068 19822 -37863 -26918 -47564 -34448 -98780 -69817 -90319 112519 58138 -176942 18091 9715 -70650 -61165 -50756 2078 54859 35814 55850 -61552 -20164 24676 201524 -223588 -184280 -77852 -124289 72217 4607 -9928 -246047 73335 -52790 91122 -53831 19486 -22666 59983 -113297 164803 -44321 -20951 -63766 -19724 91277 93721 113380 719 36432 -72749 -65410 -68968 -24096 -39937 -102234 23311 -142578 5096 141468 -11007 99497 -124089 -28718 -80607 -56859 41984 -18744 176387 -5572 -43921 44671 99129 -10446 -103565 47266 86949 -13959 142136 -110937 3044 -119487 126894 100572 189802 -74244 -141960 -39668 110002 -83210 -58248 -23844 85225 -55790 70594 -45821 34932 198363 128933 -51174 152878 199625 -69713 61018 64739 -109781 -34147 102542 -87226 20095 32566 -80301 -55824 -50993 -53372 79268 66836 -46684 -81201 -128682 90455 73632 
+-102221 -152198 -22390 -14576 -183760 55210 -134973 127328 -117287 -27260 4332 -50589 57527 -69954 -313878 16921 61045 -218917 82532 39283 99501 153020 -53566 -78075 -10445 98430 -4042 -22086 -15778 31276 23154 -59821 -24265 -186770 75713 -89679 -64987 6445 28377 -29420 -187702 -64448 -10864 53436 -34703 22075 65024 79468 -57127 -47299 -17825 -64626 205339 -69506 -69749 -85373 -180681 -22755 122017 35439 40858 18010 90132 -2350 67237 11329 182948 60668 -126570 -51770 68134 -101296 -126372 145109 75505 74811 86878 98977 46718 65984 74097 67844 10388 -29305 33092 9564 -136383 -53595 32005 -6817 -62909 74222 119705 -183066 -125325 213100 -8462 -93711 -50625 104753 23175 -145566 77199 38409 -66065 -69937 72284 -155813 -64674 77137 12316 115972 -31231 74236 4449 -91955 -22245 272 28594 113201 -91570 -124554 9051 -11153 -77920 -62577 -10910 -140095 -43406 -145988 133914 55802 -166997 3525 37832 -32298 668 -29092 -29243 45944 33067 160470 -55291 -129218 50485 218639 -143727 -160199 20250 -126972 47241 45621 9140 -81871 67970 -38908 81632 -73838 29345 357 42331 -153007 98684 -115491 -7864 -29055 -108030 73413 16074 86966 -19958 80788 33800 -118571 -83593 -29644 -34900 -180394 9055 -61642 -14250 163135 -65568 -578 -132784 15818 -53241 -2465 41868 -4957 107677 -9582 -212 21217 119472 -34939 -9594 74026 73834 -36950 135985 -137514 -34519 -85838 211392 87001 154382 -171975 -115610 17013 56668 -201527 5766 -34988 66527 -54953 30266 -54713 23722 219064 24404 -32012 140360 201411 -76109 50009 -11627 -92318 -20007 90609 -38014 12947 -2202 -43400 -130749 -52416 24711 82300 60237 -52061 -89290 -52345 -12653 68651 
+-96956 -88191 28930 106179 -159939 46246 17729 137377 -263996 -29370 -9727 -41881 55805 -161373 -268004 -48744 23749 -181172 11782 45907 105825 117411 -40781 -80309 -7549 106328 -77269 -16505 18322 138322 92629 27559 -38690 -169476 106878 29929 -77662 664 41423 -48066 -86967 -35114 69199 130772 -95768 -182 -48014 90257 5270 -48892 4878 48783 124527 -40659 -134420 -113473 -71355 -25043 120976 4370 11943 40647 135457 55351 73092 -22547 91911 48118 -131868 74518 17109 -44547 -114181 22614 87450 101272 85428 30664 22903 129000 52169 14429 110867 -45956 54420 93337 -105405 -112232 10083 8069 -31157 75584 116574 -159044 -61491 198929 18542 -29515 -67680 36963 -20876 -153967 86715 119416 -74931 -88090 53445 -142988 -225573 -12889 78357 83436 80987 134762 -12705 -106456 -23887 -25693 -66684 -16863 -57192 -137404 18752 46855 -338 -19306 1893 -163055 -72195 -130844 125205 58149 -147390 936 66950 -36641 117017 22149 -28422 12765 31075 227092 -37697 -162636 75277 213452 49754 63361 132248 -132175 -17582 21014 38903 90533 75607 -72225 39097 -93208 30203 81549 6260 -149128 11662 -206678 -49373 93229 -183518 39425 -61194 62897 -73808 89705 90522 -46021 -124301 -25088 -33767 -223495 -17853 -23957 3615 113040 -86643 -185899 -120431 40603 -20450 99132 51382 24242 -35026 -4697 45176 51493 128685 -26077 28599 82534 65237 -25263 70151 -132828 -64090 37951 210485 69757 113968 -135133 -106470 84346 -42475 -219768 65920 -47667 35476 -71024 6496 -36754 5256 214031 -156321 -36038 74641 95784 -71482 117144 -111897 16128 -14554 77176 -4539 -19127 -27411 -99179 -80828 -96390 73531 72037 45694 -45150 -47008 70847 -80247 51477 
+-75208 -30066 -10572 175260 -54331 56944 130502 140304 -217750 -25508 -19837 -39914 56098 -188180 -249049 -88854 -24323 -157234 -14920 33149 96353 150990 -29563 -89823 -6613 80204 -104902 -36572 58157 235709 111319 66995 -31996 -138328 53834 164561 -87107 28899 34077 -62675 22930 -42929 137668 174419 -100987 -786 -181364 21071 63861 -48003 73727 223443 61014 -45343 -186554 -83442 44369 -11244 106412 -40570 5347 29167 81168 125567 68025 37014 24061 -112845 -133112 205054 -9566 13699 -26646 -25735 88524 -27311 134676 -28989 -1470 181422 45260 -7253 173032 -42000 48783 -18844 1491 -112127 478 -10222 -4172 78989 131519 -105036 27524 196195 5260 95381 -91434 -85237 20545 -115210 87300 141766 -61994 -93277 34780 -33631 -221892 -29432 83035 77309 86049 171577 -20072 -82260 -27598 -84184 -23635 -79548 -29248 -99508 16722 82585 143415 -15713 10815 -140632 -149543 -37767 49996 54782 -117948 3514 38420 -38954 236869 37279 -15910 24727 31321 230986 -25005 -167145 88109 184467 120620 169306 171286 -125761 -97699 24920 53182 119462 80367 -86095 2072 -101994 46593 157499 -42551 -168934 -8425 -171935 -61920 96515 -234805 65115 -139739 27915 -129671 117681 120946 7859 -153449 -31423 -44750 -218944 -17183 16547 32244 106204 -31753 -188509 -108973 28367 5740 139159 69893 43004 -62664 5463 54069 -324 95860 -30803 44104 76444 99237 -21959 23517 -140158 -96409 90281 98801 65457 68291 -17245 -85370 107812 -149615 -150678 74753 -27121 -3231 -86510 23099 1316 6771 165030 -188574 -68121 4711 -41716 -66680 166186 -84228 169149 -15594 66695 -105973 3161 -48145 -59660 18439 -117422 31722 86808 38775 -55955 -42898 63421 -107282 44746 
+-79078 -12740 -13510 144004 9190 42684 36930 126928 -70316 12005 7915 -41489 49848 -143105 -188836 -130215 -19625 -98112 25201 11148 67534 128154 -11825 -78094 -5600 86038 17024 -35686 124499 261939 106947 101942 3074 -119251 77420 163977 -95689 -27202 48793 -58351 -7377 -40382 187547 197180 -65533 21088 -206434 16644 97289 -37278 90941 177831 -39649 -54203 -240739 -36781 88507 -40419 99007 -43722 17522 40275 -59909 130380 51351 44182 -26654 -227248 -107196 134709 4599 45141 131702 35222 72707 -170196 123456 -87260 -53738 181613 14986 -35809 146202 -41038 60923 -215123 59349 -108807 -25372 -13906 34157 81749 135438 -69590 86115 189867 39388 183240 -140020 -214521 -15654 -50785 58932 67650 -31378 -86227 16361 77992 -55998 -9445 62025 77742 -139514 202306 -1046 -72851 -17550 -127917 105502 44320 62930 -25760 10813 165857 138753 6312 10574 -117482 -136606 53451 -8437 67349 -81186 -14556 57177 -55009 221073 61244 10904 77536 33724 181809 31554 -101024 87169 143531 -16668 83968 151007 -105828 -165815 8729 69960 47766 81257 -103014 -43855 -59241 43876 163764 -81579 -188704 60494 -124257 -72251 22424 -252246 29501 -167494 31918 -166138 25871 53163 51470 -122992 -4162 -38027 -170016 -49915 25271 15937 114422 14777 -37060 -99052 13998 -5522 131661 91917 59941 81690 -7279 52694 1955 30484 -24510 -1532 107196 67158 21274 -33232 -95602 -103327 102110 -18650 13713 42974 50683 -47223 115898 -172969 -69125 73116 -42242 -43908 -92594 45214 56072 16711 94498 -90885 -101795 -72073 -123729 -26220 134739 -42753 248726 -1333 62166 -203453 7411 -78475 -56879 55990 -108787 -26223 64141 36416 -27683 -93286 -70971 -28530 62839 
+-86044 -116935 -56478 -2944 -2283 36276 -125901 105238 85700 15328 34058 -61436 46493 -46463 -123352 -190684 -4953 -51229 16710 29248 52267 114151 20651 -74792 -7824 59592 105891 -34859 133729 201703 67446 24850 -25909 -92959 67626 89453 -94075 -14386 38070 -49705 -133025 -80466 149309 165058 5677 35537 -61157 -4155 111211 -17527 161874 23627 -119581 -54322 -258358 22437 22885 -48265 96098 -26697 34396 50654 -160482 89293 30202 61712 73410 -142328 -110756 -27637 43976 51211 218750 149754 69292 -94621 114902 -84130 -40917 156060 -20982 -78318 57945 -24746 60348 -243160 67870 -139684 -42358 -10112 10946 83600 139905 -36996 63584 194398 38418 164430 -107352 -260898 -30226 -43957 64113 1373 10579 -78738 -1518 125851 102937 83999 46681 44842 -203150 207070 -20752 -146083 -16948 -174466 144058 206946 116482 3493 -27114 183817 -3771 -8933 12718 -107829 -91434 127026 -50477 59788 -40242 -50407 33007 -15911 197907 11477 25989 105447 36319 87994 133891 -30948 71287 81257 -193903 -127173 57735 -56463 -196123 8592 74499 -186336 87787 -59243 -69397 -75536 58426 147559 -93866 -216459 147655 -59396 -30681 -43247 -233492 24587 -154398 68392 -211654 -17797 -65336 -6369 -143077 -19194 -28779 -108786 -56796 -27758 3133 98369 130368 91174 -90839 -4777 -94278 10379 93207 62614 230051 -17276 49226 8258 1404 -43300 -94414 102133 57717 78624 -71090 -72983 -88043 121439 -21366 -25197 12032 -3371 924 86156 -150822 33957 16798 -46626 -80778 -73281 64551 119421 15201 19607 75554 -142950 -61594 -108496 -430 108676 40100 182194 -3552 64304 -136192 -5545 -77908 -58493 -33633 -98236 -29183 33354 32263 -56830 -111970 -124074 70624 36725 
+-83770 -153145 -27765 -96936 -103984 6944 -136179 89309 61020 -21618 29901 -57255 48090 49382 -57320 -207232 46892 -6896 21492 55337 23408 90857 63068 -129377 -10035 34289 103810 -8632 163793 109288 -5536 -41910 -40002 -59239 75690 -104150 -96052 -39945 -10634 -33161 -215526 -144335 97006 108596 11805 22754 42736 -35233 83271 8111 133904 -76016 -161875 -36234 -223060 11633 -83310 -2694 90846 17537 41327 35457 -162535 6412 17958 22210 153534 30874 -99230 -55878 19239 12842 230026 207846 52385 35036 86798 -57713 -22453 130122 -66097 -82419 -42934 5374 1243 -91384 35091 -127369 -22059 -8886 -28258 81321 139709 21627 -26514 201183 -1080 53542 -95792 -244932 23917 -73349 58731 -27398 53639 -39350 -18555 54549 93624 155491 37532 64193 -36581 191072 -11464 -114841 -9397 -198312 36688 227958 202642 26747 3666 235281 -91727 -6860 5889 -124430 -66033 103088 -85689 60150 2113 -64592 78420 -24106 105432 -24661 49 130446 36992 -5408 125383 24613 45849 19633 -193678 -200100 -57934 -46017 -184064 -20282 93204 -221249 94874 -18103 -50422 -68783 116309 48560 -81142 -209902 171280 8669 -85854 4816 -174956 13635 -110176 107219 -210753 -56070 -171561 -98857 -145647 6834 -34674 -18813 -74288 -49051 -13964 131494 202539 79455 -80736 -2162 -145955 -56050 67695 59988 156022 -20568 21939 2291 17156 -50705 -122619 92766 58177 130076 -98224 -9771 -88115 130316 70295 43993 -86938 -146318 43133 25588 -30331 154644 -48310 -74091 -108051 -59585 36875 177932 35964 -58740 135766 -163726 -104084 7770 -18099 77514 30851 17314 5321 74031 -49611 -19119 -112495 -75627 -104548 -59012 -1403 -14430 -14345 -51865 -95062 -45169 116084 13734 
+-84481 -104411 -1201 -49134 -152740 -1772 15897 62743 -111246 -21198 14651 -58555 48742 148828 12888 -223853 89527 36033 33577 64000 488 97761 55137 -95337 -9420 -1314 3016 -8754 127570 -6672 -69361 -66055 -55544 -38382 95234 -102768 -78270 -27814 -60473 -4468 -139766 -139103 13276 65857 -12562 245 -3722 -21841 15253 10731 113438 -38865 -160416 -54037 -151061 -23865 -195222 -11925 86426 36682 46020 23903 -55252 10690 17216 8290 164250 74502 -87995 73732 73230 -41095 116485 147260 79126 119799 103563 3605 -11512 54548 -96109 -98450 -81939 27688 26841 66825 -66575 -165149 259 -12839 -47446 83882 151829 43875 -107036 178911 -25520 -61620 -31931 -127167 12564 -117359 56309 39088 85912 -7620 -35064 -76869 -73041 202502 39879 49615 127783 155158 -39198 -68153 -18325 -189761 -78479 157429 269773 69452 25991 267080 -32209 -23338 -9592 -69549 -67922 61554 -81883 47531 44221 -67928 69189 -50143 -1422 -49606 -27004 131814 35240 -51249 170627 9333 20779 -37957 -31634 11685 -88008 -53375 -142152 -37979 74239 -123554 92501 -17658 -22678 -77901 120947 -26755 -49312 -213451 105015 -31701 -104510 61671 -97155 13914 -26189 136371 -219984 -117357 -186822 -116094 -108479 -6634 -33159 52002 -67147 -144550 23628 141854 203820 -97537 -65892 -2115 -129764 -65408 60051 42756 799 -16597 -27839 39931 57587 -47437 -119219 100415 41911 177724 -88626 -34726 -75206 101744 192553 27733 -102569 -181058 59441 -39109 110043 179195 -107882 -31982 -121046 -55888 67866 221863 39625 -101754 23949 -156077 -128569 158408 7159 43634 -53918 -97365 -5162 87013 -11697 -8206 -88447 -71340 -130432 -47922 66002 -38284 -54863 -38342 -75084 67857 38178 16460 
+-84575 -36543 -24502 88333 -134576 -37580 120851 27639 -245941 9030 -11609 -45230 50592 177402 82905 -229069 134072 63049 91811 66388 -30829 37283 37081 -93363 -5798 -25113 -89827 -18362 128405 -85108 -166665 -31180 -14590 -12244 66258 -44135 -69156 -14743 -120033 -38683 -21770 -145597 -67212 -2415 -89918 -1196 -138316 -48742 -36582 53273 84565 91738 -91138 -54138 -96914 -74569 -211029 -27080 79516 16122 49513 17572 96258 62083 29139 -3749 31028 -81223 -78063 213713 116397 -101516 -34672 28198 90074 -6092 58646 84470 2728 -38451 -140074 -79453 -24370 21609 45572 52403 -123849 -147389 11393 10123 -50269 80863 161945 66566 -140072 178747 -77761 -90306 -21025 -1378 -24700 -136794 52891 115781 100069 39272 -50249 -160529 -229680 183047 -12698 60312 64567 109208 13674 -144272 -20561 -164690 -25927 3530 282259 151709 36058 247838 110805 -51360 -18183 -71606 -104238 -56353 10426 57340 81559 -69750 23370 -24779 -52815 -53703 -4395 130601 32501 -33696 216342 -37038 4642 -82745 121865 147149 60471 -92053 -16036 -87045 52324 60394 101647 7503 7203 -68953 75080 -23869 386 -238414 17978 -88932 -123880 110086 -8115 -32440 55869 120392 -223129 -163578 -57835 -34636 -151504 7387 -28738 82383 -78200 -185992 51523 133918 168925 -190610 -62133 41874 -75349 64279 58177 16213 -58384 -12781 -92986 3169 118212 -36069 -37870 85931 30759 209234 -98370 54 -53608 55656 221218 103599 -86924 -86924 92462 -58114 109020 111456 -124160 -37315 -118450 -69857 25974 245878 37263 -104103 -136070 -131585 -92321 216659 11386 69740 -116603 -88286 5819 99581 -102989 -17572 -106665 -85915 -68578 -70345 60581 -105829 -27569 -42873 -37649 61343 -36411 -35181 
+-88710 -25284 12945 182227 -68951 -19571 58217 -3349 -224939 -14144 -11990 -41394 55285 143554 105924 -217542 126055 74818 47232 15997 -83629 19045 -23212 -67781 -8711 -38644 -88087 10022 86875 -96972 -208666 75271 -47972 18228 76239 121598 -55094 -27423 -137300 -21890 20581 -122932 -77937 -6401 -112057 20408 -207108 -49773 -146886 62016 38125 200083 -38825 -48227 -32367 -135638 -112067 -8055 78969 -28843 37691 38181 145227 120430 48438 58576 -20847 -221007 -71902 168296 132263 -133631 -133038 -32372 81871 -173568 73519 116287 64315 -90577 -176481 -21195 70432 -5207 54092 -114398 -147888 -147943 15358 31465 5767 78372 158446 91998 -93144 192501 -85630 -9917 -19354 95092 -25642 -143689 47371 138339 92114 83835 -64228 -137808 -214871 96559 -42813 47117 -126091 46463 -17408 -97710 -23079 -125388 93702 -77579 256350 102448 4628 283698 129821 -53493 -42140 -65836 -148072 -128258 73299 51729 112776 -94693 88011 -25388 -61531 -16964 -27118 125244 30888 37658 188978 -102711 3750 -114653 51128 93337 148820 -81286 26292 -45791 41513 98065 107695 -57141 63857 -62701 79880 50594 33801 -253851 -5201 -171815 -135854 41764 80121 894 118309 89921 -208048 -140224 74615 39100 -158579 -14552 -37307 91234 -82430 -172217 1970 136928 57033 -119885 -48180 26947 -36303 136208 84906 -5961 58915 -4643 -86964 -2297 133863 -43939 24960 72701 62119 235349 -63886 19579 -37039 -35798 135604 102890 -101482 31114 116694 -46078 118321 30389 -103249 -19977 -100136 -87567 43976 245390 24362 -79596 -191734 -93950 -35008 178282 21859 87640 -86117 9292 13 105962 -169056 -19744 -100769 -113214 46764 -107917 -26943 -139865 -30718 -17650 -21432 -34061 -111732 -2684 
+-80061 -107423 -24952 154910 -38 -32024 -138462 -19971 -34377 -1999 87 -37579 58192 48574 120944 -179395 66042 64879 54576 28448 -119146 -42232 -62059 -107803 -9604 -68509 3834 1136 41340 -34817 -212578 75746 -8558 42567 62312 203947 -54174 -48843 -101627 -40538 -53718 -98910 -61429 30296 -72434 35494 -117264 -9659 -214751 96801 29201 134564 74177 -32364 9376 -130385 28882 -27846 64514 -47115 21483 80949 70112 150146 65458 76534 40639 -164828 -76746 -29441 106902 -133604 -143954 36790 69165 -182730 13084 130589 39082 -137580 -201685 31411 164621 -13790 73825 -253274 -52929 -148606 5639 15213 31958 80433 153802 82583 -4360 182230 -70242 115927 -35511 101074 4493 -94540 29505 74260 64606 132336 -76893 -27932 -47688 18498 -76745 64133 -209758 -10417 -28394 -127550 -26228 -74626 148244 20310 211331 70869 -1282 270118 18044 -44308 -67722 -68588 -119285 -117191 120188 43132 134388 -113764 24839 -68028 63285 43817 7226 80944 31663 133652 169351 -163800 15981 -118242 -136176 -104535 210867 -146624 72169 -94442 19622 38964 118025 -81824 91692 -89816 57333 178088 57445 -240435 57295 -199092 -159911 -43903 123072 722 145830 48332 -171185 -80878 136023 60021 -119148 -29576 -32396 50834 -67032 -171092 5414 63425 -31553 49373 -37129 5225 6397 127317 84040 -21554 175518 -3490 -86485 53199 112496 -52383 34738 70200 57399 232477 -55637 3417 -9091 -116413 -635 107603 -114425 44838 106825 8913 14211 -70034 -50783 -19111 -69215 -92235 58639 218975 21007 -19559 -90946 -58357 -19541 -7925 34948 115279 -10663 189104 2685 106524 -152755 -433 -46935 -31164 52245 -115017 -50465 -203783 17838 -24715 -124972 -132126 -86618 -44917 
+-81456 -152783 -32024 4519 -47084 -22274 -146458 -12744 95620 36625 39939 -50195 56727 -61823 79572 -146727 30183 39826 31034 18995 -163088 -60017 -58128 -55443 -4801 -77738 96466 13668 -38602 82977 -205026 76269 -25144 57285 56607 120982 -42345 -62665 -87697 -39046 -187962 -80734 17915 82713 2550 23422 4574 8368 -206606 124275 -52834 -10433 144291 -43379 52866 -51459 86153 -24876 66235 -30199 11725 41735 -82246 71150 73361 32942 155470 242 -65146 -63035 115484 -101205 -38383 151672 69181 38260 62955 90586 93349 -180172 -211817 59123 174298 -31345 47954 -187331 20583 -140310 -14816 14663 32602 75595 152318 32432 61553 169370 -77772 188334 -66591 26969 14077 -50241 35489 -5408 24409 171651 -88161 91506 107523 -38647 -135564 65121 -24749 -65644 -7723 -134109 -27111 -20854 38335 191108 149695 87940 9315 290178 -85761 -43214 -93854 -76091 -40434 -94919 131306 48625 146482 -133625 58532 -56173 174567 66239 -20089 35900 34355 211823 136626 -162752 40613 -94565 -226837 -202925 108912 -148040 50093 -115338 -13201 -128322 113404 -42466 95815 -82127 38187 167841 43163 -263167 143859 -158994 -123285 -47151 134431 19090 129848 31351 -122877 -16912 84619 -33569 -128521 -14246 -29739 -35046 -49591 -143182 -12165 96961 -58618 86854 -29683 -39826 -10073 57663 72170 -26841 178936 -17228 -46693 86390 70029 -56782 23429 40426 25025 202654 -32884 -7285 5279 -161363 -22128 122838 -81272 -108150 129135 75262 -103972 -165493 18306 -34986 -30230 -74686 58382 168933 46995 65765 60491 -40056 49335 -96350 41558 158295 49244 242042 -3824 100262 -84792 16847 -51777 -106451 -79457 -111678 210 -234289 1595 -32075 -96258 -69961 13759 -20652 
+-75000 -120596 -14453 -110668 -70263 -18746 -7835 -14382 81830 -7273 40685 -61921 53772 -153621 47240 -90429 -29519 3653 -29925 40353 -184296 -91824 -30272 -126566 429 -84993 100927 43041 -84187 192834 -148118 -17818 -34860 67096 58646 -69499 -45213 -61022 -552 -27017 -183464 -26657 100216 143546 11077 687 31939 -7796 -227268 124547 -67690 -57147 217678 -39032 50212 21491 54514 -9400 54873 8464 17993 769 -183731 35778 68438 -21243 158338 76814 -62768 77267 94317 -45230 85906 209475 69098 96675 99889 31550 131944 -180073 -231302 62776 104129 -50720 50901 -14241 104006 -102053 -43457 17968 -1906 73095 167649 17936 78948 178757 -64936 150008 -98695 -67201 -18976 -60838 41987 -20932 -19683 213514 -97704 121654 88134 -12217 -125623 71918 79182 -97865 -20236 -85527 -29848 7345 -77470 222185 49512 39672 29045 242025 -46923 -24599 -117861 -16810 -39783 26637 106238 49468 147038 -137038 44812 -30188 229239 55479 9014 42321 36692 238414 52565 -111916 66188 -54358 -97327 -69345 10019 -123941 -390 -139607 -30223 -242025 118569 -97254 96306 -85305 46241 169378 7814 -232075 168750 -82535 -118431 64909 124852 -41233 79628 44917 -68174 37209 -41540 -101425 -72457 -3058 -35180 -106992 -34813 -87563 -9982 108339 -107520 -15041 -25436 22125 -29333 -52046 48645 -19256 68893 6419 -5748 71305 33597 -55927 -85314 46658 10189 160071 25187 -39695 56439 -235374 48252 101135 -83519 -173607 136368 134894 -177645 -197315 67583 -64070 10554 -59494 66641 109518 23704 140586 128396 -34773 95720 -83541 63802 140900 9018 212242 -2117 86936 -33464 7394 -18842 -81431 -112092 -72962 58184 -271150 -2962 -7125 -104032 49668 115331 -36241 
+-93259 -39539 -43621 -54821 -150827 8450 115833 -11986 -128416 -42340 -17194 -63812 48669 -183206 15715 -29733 -50440 -39114 -11173 63308 -197775 -109254 -32333 -73009 765 -73445 -44010 1682 -112610 252917 -82977 -53470 -37390 95079 72273 -130377 -64919 -53838 41376 -30168 -89305 -24196 150274 181927 -44801 -1554 -77641 22359 -173299 149755 -16624 -17326 226913 -27029 32413 39197 -43102 -12039 49861 30028 32700 31652 -152850 20477 51492 11012 65902 -39300 -70614 193563 31827 10633 205124 148842 70224 69520 96935 -35740 112140 -167498 -228959 34471 -11077 -49191 50422 104206 54155 -124124 -34432 16904 -50000 73120 171475 -18264 28679 184864 -41563 31142 -120889 -185832 -29047 -97564 49873 33932 -54407 204551 -105147 38846 -82456 61353 -179287 82070 85705 -125442 -24256 -104788 -31939 22996 -44631 158100 -11704 -9371 83 212828 100005 -53150 -141601 -63526 -105381 89575 29096 55799 136651 -153073 34901 -72177 236435 15039 -16304 54614 36697 197817 38067 -58298 85074 -1564 78119 138500 -68092 -88995 -85425 -161166 -37383 -97445 102122 -53311 38130 -94106 29236 79348 -45102 -240069 110540 -5586 -123576 115436 63914 -27959 3546 75445 -36697 93096 -125279 -83648 -106195 -31378 -29012 -194679 -19637 -37397 10330 140959 -14199 -167556 -11559 37371 -89335 -32823 72966 -2125 -73649 -5502 24286 89335 19392 -55485 -145611 34440 74714 104975 72282 -77609 81412 -185943 170793 136197 -34902 -121747 105507 127799 -182626 -157650 76539 -56550 47273 -53645 45993 48877 49573 194970 23341 -57528 119439 35242 71152 150759 -78519 42726 -16006 74089 -47536 14305 18515 -98100 -127000 -49929 47553 -286812 38037 -50684 -58995 72805 91623 -47450 
+-92281 -7063 -31436 75785 -136994 3029 52434 1764 -242772 13697 -30751 -57585 45797 -154503 -88729 6344 -14665 -89817 -9928 31246 -199458 -142595 17983 -110320 -3344 -59748 -105826 20327 -127937 250073 -1096 5504 -19319 104668 78812 -82507 -77700 -85265 59627 -9991 18857 -49005 177571 195350 -81974 19694 -182556 50845 -120126 147951 -68518 135885 175815 -46284 -22416 -24434 -162915 -40822 47407 20871 48100 65215 -21901 74100 31029 14656 707 -204226 -78842 170302 22272 47693 236158 23029 92363 -150994 75615 -78131 68198 -122903 -210887 -67531 -75665 -29986 21055 2052 6030 -104629 -3190 26143 -42580 73467 150765 -77104 -72791 184353 -19026 -74182 -123155 -262886 -27456 -156039 48614 116543 -73363 217571 -110801 -84958 -232947 138214 -165674 75097 -140027 -130644 -13529 -102112 -38022 4072 93892 -8595 -78501 -8867 3378 154023 162429 -54 -160376 -44449 -126181 137721 -15645 67868 115863 -169938 84798 -71212 154907 -16504 -7311 51405 34528 111505 -72132 22482 88668 64025 97667 183606 -1845 -69445 -149166 -165913 -41891 45984 123822 -36495 -3214 -70214 33665 -2820 -75214 -224819 22700 23391 -129412 98634 -7761 -32370 -78683 114029 11205 115961 -150144 -13302 -89138 -26986 -30578 -216916 -11826 43010 34793 149518 52615 -194861 -2873 1753 -146271 -13180 64263 21099 -23026 4354 28958 120543 13422 -60292 -137955 -25723 7284 43824 128144 -89423 94322 -157276 223529 118573 17018 -25920 103454 85732 -45030 -49613 60133 -60805 74967 -69242 36644 -4604 11108 225468 -133124 -92437 160103 128578 75630 79587 -123543 -101750 -27720 64012 -145506 44824 29982 -113158 10489 -60084 -20558 -306686 56812 -10991 -8434 -31763 36920 -64415 
+-82777 -75091 -13198 184844 -33290 26238 -107577 40825 -250825 21958 12518 -47654 48563 -52289 -153795 62691 41142 -144187 3629 24724 -187732 -165272 79733 -108446 -187 -46369 -73470 57855 -124613 170215 67435 66994 -3491 106396 55961 78365 -83599 -104326 38118 -10703 -2393 -119041 143319 141808 -131751 35414 -182386 31018 -54194 144033 -32381 180186 95637 -43018 -86369 -75970 -214533 -68114 42580 -14810 52491 51469 115456 133941 17274 54860 12418 -193628 -93925 -2447 961 42564 142205 -21587 81957 -190259 95951 -94873 60174 -35073 -201193 -70214 -56461 -13610 44916 -185247 -102095 -126992 23231 55731 17916 74501 156531 -136794 -122977 177240 -11745 -83261 -94700 -229205 -66161 -146398 39624 131292 -69769 194950 -114768 -163939 -211334 195939 -201797 82928 -196415 -109056 795 -61319 -40022 -23167 142093 -59755 -99538 -74043 10389 123307 59383 -6015 -176254 -91599 -142238 80259 -86116 64134 85671 -157985 75833 -85675 63886 -48332 -33881 107666 31844 14182 -70803 29224 78809 124573 -69212 -56641 79523 -32610 -198189 -171094 -28394 125153 121557 10773 -28363 -47231 30712 1847 -96252 -234854 -15373 -75210 -110132 -16286 -87530 -15058 -145894 132399 54450 85765 -114246 62060 -80243 14680 -28265 -220457 25116 24471 17578 88455 140963 -57266 11608 5293 -114486 64076 60426 43774 118502 -5839 79190 104185 87148 -68689 -46270 -41543 50948 -1896 152809 -142575 105667 -67725 174983 62935 58347 47278 91544 5785 5309 40110 2563 -62605 87970 -86432 39145 -41620 40252 209314 -201647 -129785 125853 211024 110825 52865 -61429 -103249 -19267 61298 -168077 22918 78174 -92003 55965 -90017 -65612 -273215 55652 -41832 -59241 -115653 -59750 -64788 
+-90021 -150241 -35146 117061 -3219 28691 -158748 64447 -61381 3940 28017 -39720 50079 50034 -241865 88654 102109 -187620 4198 10332 -188980 -180138 51305 -80015 -1513 -26354 22830 43134 -117329 60854 92416 71042 -7706 107390 61327 194302 -103735 -95326 63175 3394 -129732 -124351 59825 98627 -42973 24064 -60045 54758 12057 122142 22198 117511 37103 -56552 -170792 -131635 -163961 -50748 43743 -45105 60684 48700 150071 142699 17918 65415 79445 -32542 -96379 -32229 7253 11726 8637 29467 50413 -28549 94739 -64271 3145 31062 -153829 -105032 30114 9577 58340 -241263 -143067 -73761 13296 31599 49759 75458 157202 -145247 -125309 154928 24859 11498 -42537 -142753 -40458 -110464 50141 82704 -47210 177298 -116514 -123496 -36875 189474 -153796 75173 -72860 -65571 -18669 -44932 -43048 -70576 46699 20909 -53153 -119808 14913 90853 -69071 10201 -185302 -86457 -71812 -42869 -88569 65657 48768 -162302 64310 -67161 -35509 -41182 -17568 115521 30822 -41639 -78764 -52892 55432 175234 -211759 -170075 170505 -30547 -172403 -201437 -17229 30721 104656 -20867 -68407 -59938 57469 44003 -84863 -218759 49138 -177188 -92236 -81561 -176723 -1457 -170297 122357 53262 54419 -30030 5939 -73652 13464 -25673 -159905 49448 -16272 -11150 104025 239030 87923 -4113 -13645 -67116 138124 61433 62350 202569 -6398 49777 137640 124061 -49848 -16941 -76678 50672 -23352 195618 -153143 84824 -32130 37124 37639 106306 -20540 37892 -42068 117498 138069 -60360 -49034 85731 -91150 26027 -53027 57592 157995 -100385 -151160 108643 185024 89590 39875 -101 6250 -31279 65768 -146635 9054 103918 -76393 -51661 -118538 -22854 -234429 64322 -39508 -108499 -87550 -113336 -63954 
+-71652 -129977 -924 212 -72956 50006 -15987 89255 74574 1671 20291 -42369 52468 148852 -297558 91074 130795 -216427 46331 40270 -141981 -172673 41359 -114850 -3587 -5552 82174 51478 -66383 -45913 125342 49481 -35373 102869 56218 152499 -96179 -106377 -10854 -14614 -192237 -149355 -12469 37347 -5298 1161 40535 44590 85939 111920 4734 -24100 -50016 -71853 -223661 -100431 -35448 -67311 41429 -44777 38990 49837 53463 97615 27385 15961 164467 76082 -102343 106847 32723 -45615 -107312 157935 54836 127877 111055 1036 -7527 110793 -115995 -62018 136040 20275 84643 -120002 -115937 -93705 776 9353 19486 78577 157763 -165673 -39490 150164 11617 134839 -30093 -10406 20944 -61900 48639 -712 -9638 145313 -116373 -8830 110517 115317 -124288 79455 114749 -17241 -5778 -83386 -41791 -125745 -64001 164777 -12218 -147310 22156 35622 -63674 589 -189637 -113592 -19978 -135887 -47231 55899 7331 -170396 71317 -35446 -57013 14543 -12023 130810 32328 -42346 -60063 -130271 29148 207172 -159954 -105103 184318 -58031 -131710 -200066 11096 -156717 107762 -4525 -44707 -60099 89469 119673 -46979 -211449 139346 -236782 -76655 28977 -225219 -15471 -148758 90877 61145 -48692 116744 -79233 -50554 -40683 -28366 -94990 61654 -23475 212 121336 163435 64827 2164 -37948 11263 62165 46291 68915 153649 -8914 51017 132388 110020 -50970 52202 -62991 63151 -40549 211237 -165917 84347 62645 -54561 16674 142728 -124982 5994 -44494 140314 174450 -99532 -16558 68105 -77723 29616 -39459 13991 88409 60476 -157638 84166 50099 149309 90155 56129 185999 -38744 77249 -60614 45051 115735 -117973 -117482 -122314 37564 -186748 11769 -61632 -109407 38068 -54762 -66498 
+-77861 -50479 -25618 -52104 -100456 60842 115091 121548 67351 -20421 18736 -52232 56824 171478 -289451 96057 128302 -213194 83179 65595 -127450 -185872 286 -111471 -5502 38382 90441 43035 -57583 -93281 106891 24617 -27078 84195 61777 30563 -90562 -100950 -44893 -32588 -144004 -130166 -60468 2484 16793 -1942 -30687 42515 116323 96869 100501 -105626 -133884 -41617 -252552 -76043 64697 -39196 36394 1133 24005 34337 -88890 21856 47639 -34115 112078 -3100 -120806 234393 82390 -98705 -145023 208772 59857 64439 86758 71258 -53244 150620 -89669 -62475 180932 17969 88599 66669 -17332 -77364 -19000 15189 -5332 80653 149977 -192919 40653 144148 45111 190021 -19208 90753 -4361 -43741 56161 -21882 33801 107631 -114161 100546 82686 23859 -119460 56969 55678 38900 -12314 -69689 -46208 -167896 -33689 243868 41528 -152232 10477 1151 69698 -23731 -189971 -131123 -72736 -160508 17139 54553 -35154 -155053 54319 -68749 20769 43786 -18648 142463 35137 14251 -42472 -176527 9993 222192 25787 85262 89147 -83455 -39496 -185355 28905 -236958 104716 -43627 -37668 -108463 70608 186166 -5093 -153469 178649 -163921 -87994 97642 -252450 30035 -87629 48822 46622 -116227 134092 -115392 -48359 -11034 -29789 -863 68468 -107197 13899 105647 126649 -93454 13158 11218 12861 50673 38322 56102 -22123 -9195 24164 153771 109869 -48734 11648 -117515 66890 -29032 226590 -112545 61992 103943 1693 -4596 158307 -186106 -2471 -35956 86106 156371 -128410 -9387 37418 -59019 34956 -2383 30390 11524 143413 -145883 16209 -75803 126144 108675 -27359 236717 -42035 89685 -21463 15625 151828 -100718 -144274 -91807 54931 -186551 12314 -28809 -92651 92765 35408 -53989 
+-73600 -17367 -5203 -54196 -161231 64852 67706 143650 -83503 4505 -14106 -58414 58065 141295 -284844 60774 87887 -226762 56565 42038 -86013 -164781 -47926 -119188 7013 46410 -28320 11938 24417 -70948 50168 -46563 -57499 83054 68444 -107201 -78378 -128420 -99171 -81199 -14462 -128743 -81105 6633 -47236 18975 -173869 53917 105365 76434 114907 24913 -149885 -17737 -232711 -6782 89008 -41616 39058 33595 15472 57550 -176949 24625 65101 8502 35810 -186991 -122714 172711 123692 -142522 -87399 150223 74459 -110054 66555 124407 -74622 200132 -41308 -27480 125976 2937 49051 78139 81817 -35971 -29895 21959 -53366 81290 149963 -171247 84447 153728 8798 133038 -36915 114065 -415 -59704 43282 28043 71992 58005 -110070 110743 -92204 -23925 -66223 54171 -132918 99112 -27117 -70615 -44131 -195339 92513 207206 148906 -249441 13094 -71119 122407 -24475 -185094 -127160 -131135 -125043 72258 57325 -77118 -153623 59784 -64936 110799 56534 -681 140525 37057 111365 22309 -161485 1835 208705 121667 172126 -56966 -140432 77217 -229457 52257 -111773 102417 -70561 6549 -101171 72860 146026 40603 -192776 122494 -77700 -51143 91208 -239222 48035 -12433 29244 33018 -127496 68488 -59563 25876 -11828 -28411 50026 88553 -126524 26373 100971 35386 -215314 17632 13044 15655 -74032 39488 38320 -53544 5435 -34066 88835 60134 -27474 -72941 -120014 54741 7820 245418 -128119 51436 146160 144883 51558 189695 -81394 -27350 15488 -24222 91857 -97256 -41898 -1588 -56753 35168 53196 19052 -49000 25214 -112880 -21915 -79671 135129 125864 -96744 200356 -45813 102138 -72395 28256 164466 -106774 -2269 -63471 5388 -108617 -43543 -24182 -42067 -34890 117186 -84255 
+-88163 -61056 -35763 89560 -129642 73766 -104423 145598 -254489 30345 -33127 -63867 54439 53477 -261766 15531 20476 -197323 83510 29439 -51774 -146327 -57053 -88699 -794 75642 -93996 50467 78702 21100 -36468 -39374 10862 65632 96274 -113641 -68772 -109448 -133371 -2550 21663 -68628 -29776 46776 -90599 35323 -159389 26700 63997 41470 157876 143274 -144454 -62041 -209346 42277 17402 -38394 40960 23889 5017 26620 -153100 78638 73951 65375 -13054 -211702 -130497 -21181 121008 -138854 34235 28077 99577 -196637 67092 132453 -33735 191848 -3892 18393 24643 -31990 26395 -78751 76692 -44748 -20255 22336 -16508 83962 139647 -150498 44972 168256 -15072 9142 -64055 57202 -3735 -117405 69405 105187 94895 3838 -103849 15390 -236773 -23912 -65115 50360 -211941 157712 305 -77413 -43523 -194474 146556 37212 204691 -217346 -14526 -87984 71563 -45583 -172069 -110523 -132544 -14592 135250 52079 -113845 -116850 24309 -61819 212882 29557 -33515 120303 36423 197441 37171 -129296 9392 174772 6382 13115 -58642 -154201 45196 -207702 71104 80400 87878 -90119 36255 -72406 95980 88613 58008 -172832 25267 -6040 -42362 3818 -197817 31071 71796 44156 13408 -146325 -51887 12984 9849 -34472 -29335 103242 89055 -188174 21179 119542 -27224 -134522 13815 37118 -53083 -75853 7044 13864 54577 -4639 -80484 82448 28948 -41334 -130252 -131993 80836 47624 255663 -103002 11352 142840 226074 70438 231970 39621 -56066 79535 -133435 -51342 -36657 -66246 -42249 -67562 16631 116536 31349 -92739 -139007 -71717 -93126 -21013 139499 140242 -106936 58434 -49089 107496 -195880 46845 170416 -124787 43907 -45713 -39578 -34821 -33246 -50381 -59373 -122995 88329 -56384 
+-104647 -140023 -2347 165607 -13148 51838 -157539 135355 -230140 -15012 5023 -52911 52166 -59659 -163641 -12998 -23660 -168429 65933 -2858 5745 -138409 -54095 -120149 102 88102 -67836 17585 141127 133417 -120732 3828 -13622 43709 100399 401 -58948 -125199 -130042 -3877 -53444 -48353 39079 110303 -92114 24672 -76914 1342 26932 20414 155363 175481 -92362 -75005 -152772 6979 -106543 -7840 45043 -6877 36306 34483 -20185 131367 68821 65647 47505 -66156 -131398 -40973 124066 -101439 178376 -31381 73605 -92539 42929 88571 -11654 148427 38238 41785 -60494 -42409 44198 -242882 53909 -38008 -393 18108 20034 81737 139133 -100204 -41993 140077 -76107 -84290 -85705 -48123 -36604 -153369 72626 130863 96669 -29949 -95723 -103346 -203997 34239 -51871 64444 -68784 187980 7274 -23390 -39833 -163789 40568 -51744 259221 -263593 37462 -108600 -71456 -63216 -154870 -146933 -74931 62968 133178 62711 -143966 -112539 72526 -42259 251300 -19482 -27159 96457 33833 236950 123496 -37744 29774 119865 -180335 -192551 51220 -144594 38240 -188783 85189 166040 102709 -57497 90836 -74148 86617 37841 40624 -151480 -11767 20622 -30054 -69330 -103986 100564 127470 77051 -48777 -127958 -153608 47957 47785 -55413 -21683 72040 100008 -165663 -12242 131865 -49706 37963 13827 -14770 -82422 37877 26041 -4169 181723 -8596 -82893 64712 22301 -20695 -112732 -107092 69083 99261 231283 -74217 -9012 108948 200329 61528 222735 28869 -68760 116354 -183026 -96383 35227 -63671 -79344 -87090 46335 175172 7923 -97465 -208927 -50159 -91536 71420 152844 124539 -14990 -83229 -49676 105928 -192988 20481 165805 -115189 -19978 -64408 -10014 13835 -53034 -41806 -98227 -105244 -14858 -38797 
+-103791 -160536 -12703 164816 18620 63442 -31536 131964 -46375 14219 39498 -43171 47363 -155506 -129894 -66305 -19234 -130257 21297 19220 19705 -89664 -48063 -112281 5876 109797 16404 10732 151278 230701 -182705 108021 3046 25526 71962 182854 -57007 -94510 -65596 -11211 -172134 -50590 120925 173847 -42337 1676 39169 -44431 -105490 -16032 123689 85570 -5048 -48610 -97715 -71331 -195150 -24176 46123 -37924 59768 36113 116592 135909 53433 25470 165911 69388 -127724 45434 85259 -46467 235490 35221 49074 129251 62469 17302 48110 103792 54300 61860 -76658 -49315 16509 -219938 -50567 -15960 30890 25868 41076 78557 143070 -70956 -120247 142838 -94598 -73013 -117451 -170227 6986 -144565 82813 86265 79826 -62307 -86299 -169643 -28697 124218 16087 64925 113134 206738 -13003 -77579 -37668 -132471 -65499 -12121 271811 -246983 21967 -82703 -63033 -55689 -127609 -157074 -25414 108519 61696 57184 -165431 -98051 60918 -58911 173720 -45646 -5674 51868 31410 214446 184518 8215 56374 64758 -210152 -183867 143445 -120718 5900 -217114 83135 -10762 91011 -45595 119500 -56575 101531 -16328 5875 -123785 46927 -35645 -6891 -14912 -32679 65625 150469 106883 -92257 -66350 -179319 -31311 21033 -41533 -26470 28963 90469 -164902 14244 96358 -56251 100981 9028 7885 -126974 89299 -10790 -20963 191648 -8744 -104857 97212 17675 -27174 -83205 -170408 99304 154568 211162 -22694 -42016 71872 62959 132815 205301 -72656 -113012 148642 -146879 -179518 77825 -67390 -106997 -90058 10221 220678 2345 -70040 -109311 -37217 -119955 196873 141013 98000 40328 -108193 -51055 96735 -76789 33858 181292 -108807 -88465 -100922 41176 49775 -55039 -15698 -106576 29203 -103786 -53299 
+-83145 -75816 -41900 -10278 -43518 34875 114996 129532 88240 8873 8858 -36621 47070 -192061 -29526 -108730 378 -88245 18355 52194 55166 -78291 5779 -100392 3730 96244 89545 12575 129507 258265 -219337 86466 -31348 -962 50363 179515 -51759 -92473 -20969 -690 -195690 -51025 185772 190685 18551 -2233 1940 -3704 -137790 -5152 97286 -34234 90382 -69508 -20406 -148220 -197849 -25401 49506 -45476 63734 35778 138936 81518 31259 19157 159126 22120 -125667 217668 57581 11349 173771 156541 54334 66185 52322 -49932 68727 41988 60945 -4460 794 -47568 39432 -41945 -127669 -12137 16870 20025 5300 81151 135438 -24402 -126309 126861 -79580 33471 -119480 -249548 17792 -87374 83318 3058 43856 -83160 -74979 -115577 112769 189406 43685 80942 60063 203553 -19977 -55617 -36668 -76493 -50484 123033 273587 -216111 38998 -100010 44457 -23593 -109022 -112072 -43443 108084 13530 68415 -176094 -76699 61629 -35330 103438 -56408 -7221 -1850 30974 139339 191310 12039 78639 -214 -59532 14666 241621 -85116 -131128 -206251 72594 -158242 90689 -7331 108721 -71870 45896 14623 -39454 -118123 133122 -149825 -12937 85360 43496 104732 125508 137985 -148134 -38265 -109358 -77790 87328 -34089 -28658 -60426 84612 -112268 51479 133592 -17205 -24261 -11496 -9970 -129071 144188 3452 -24685 23403 -7070 -67937 84109 87120 -125 -10106 -182152 99517 199535 146988 34473 -53393 -19434 -38503 188667 180048 -167706 -118410 92861 -54850 -170725 73049 -54961 -120804 -75596 30369 250535 8395 -5317 79335 -48248 -84569 195676 153700 43653 48906 8962 -50189 83532 1912 10340 152883 -111160 -131119 -122582 64513 78027 -51444 -28889 -118150 82550 -85744 -27182 
+-91765 1120 -34947 -89657 -141352 45609 79978 89939 91092 987 -12408 -47790 48901 -158151 39987 -168467 39070 -39409 3466 58383 79848 -56562 39714 -89901 8374 84104 72747 12415 157615 227999 -221425 12196 -52148 -24025 78541 53713 -57486 -136659 21253 -34707 -79413 -72040 177441 174363 -977 18316 -122237 -33854 -219828 -33617 34115 -68538 176293 -52810 23404 -116070 -88539 -22156 61547 -9985 55789 15659 42338 14083 18750 12396 52984 -147025 -110234 184044 35317 46748 60226 214773 63255 -60555 48527 -93561 75710 -42231 61601 -62884 103622 -25152 82991 77750 -130305 -26300 -2193 23123 -27471 77044 127948 14637 -66407 136624 -112839 151609 -107734 -252686 23667 -43613 53588 -34440 443 -98469 -61931 8099 77973 191558 42213 67108 -158056 174371 -23016 -47233 -36471 -32884 92124 252823 205762 -139370 3467 -67416 130406 -24505 -88424 -87912 -102283 1076 -43759 71240 -175981 -67379 42174 -53164 -35893 -26838 10073 23531 33032 45811 215091 -24172 89053 -53975 111425 191200 140380 -59428 -149781 -181424 59786 -254167 85849 13157 61506 -79511 3832 127007 -75686 -121141 178399 -209316 -6611 103222 112543 130283 61221 118348 -168907 52938 25421 -117094 97989 3989 -27413 -126968 72835 -25397 9299 101163 99071 -157470 -10205 39112 -58125 82133 2836 -19199 -54886 3449 -28603 90359 126620 -16969 45567 -164010 109939 239181 102862 13320 -78690 -74316 -2611 144007 134414 -104799 -140096 21983 66274 -100598 51381 -24906 -118931 -60020 -5270 249709 45659 70124 141253 -77294 -86871 84761 156283 19616 -27979 142108 -59746 70811 -20103 11897 159146 -93179 -91893 -113367 18192 85676 -9087 -38582 -84025 16282 -14192 -65827 
+-72513 -49249 -16401 -25148 -186015 -1085 -91744 41992 -99856 12127 -26783 -53906 52762 -69950 75449 -203501 106510 11180 51319 40438 95536 -17430 44920 -83995 6336 58652 -26954 42454 129216 135174 -196257 -34346 -32439 -43269 70252 -102711 -57968 -98293 47084 -32987 16996 -115876 123327 126583 -41515 35198 -192918 -40139 -233922 -55698 1245 33509 207812 -56165 51888 -46299 31117 -19863 65555 28150 28162 42927 -105131 24040 17151 42065 -29907 -224585 -107365 -19117 3308 46424 -80813 154273 64471 -190572 78149 -92136 106324 -94779 69409 -71796 169335 3178 88167 40411 -91865 -54146 -14685 -6081 -48777 74276 122850 54323 15919 119690 -89473 188145 -71900 -154676 2009 -35019 77523 21994 -37688 -85458 -47598 109216 -101045 143082 61207 98042 -186580 133430 -12921 -36437 -35693 220 136094 193146 149009 -132469 -8956 -32212 90673 -31712 -61434 -74429 -153954 -91979 -79577 70166 -164180 -35622 73042 -22856 -55625 17834 -15621 29681 35705 -31223 165861 -110899 84148 -98767 69308 67350 18485 -55698 -189254 -154384 40111 -117257 78736 -45044 39452 -91445 33200 144688 -91377 -70541 127094 -220384 -32687 42755 137047 80502 -23167 90586 -201784 98750 133464 -20124 127620 18331 -22138 -210002 55562 2107 -15108 111462 195701 -190568 -8221 35729 -15211 -21652 19329 -329 -21234 -4227 31919 87486 138472 -18989 37697 -161079 61599 240652 48398 6512 -90497 -142239 124350 121906 112861 8152 -133847 -47119 186488 43096 -13607 -34257 -101242 -55953 31870 218979 -6559 154659 30858 -110841 5739 -45104 149112 78139 -74833 244590 -44463 62597 -116299 -1980 95781 -93332 27054 -83637 -53261 98052 33094 -48016 -72491 -109015 75232 -29670 
+-87658 -121056 -10052 51668 -112300 1903 -180158 28252 -250635 5402 -91 -68101 57263 48053 103892 -222324 122906 50463 -4113 25306 95404 31502 36925 -85586 6386 48144 -65859 3402 108739 12974 -137205 -60327 -26195 -76417 80290 -144615 -72457 -116937 65405 -56489 -1858 -121667 26624 78068 -123763 25365 -115938 -26881 -241720 -40732 -11565 193449 209676 -62292 49823 2138 81608 -25465 67736 30361 14473 17375 -184527 63543 27563 72241 -1459 -104410 -92734 -80633 10247 8717 -154102 22928 70088 -110010 84818 -47932 116299 -160994 49228 -71297 162258 9737 40197 -145900 10923 -59731 -28995 28255 -23854 76340 117142 95082 65583 116258 -66523 114024 -20617 -25167 1296 -94148 71502 106881 -65905 -81755 -32197 108007 -241006 48928 83186 104736 -83908 82087 -17443 -65478 -31676 24335 41070 34863 60523 -98044 15785 -36192 -44829 29737 -38418 -98219 -108814 -145692 -78262 68067 -142536 -23389 119661 -32041 -6578 63834 -38781 46637 37079 -52806 142045 -159428 66356 -114192 -115106 -129571 -66114 -73278 -171264 -161939 20363 67146 76019 -61196 -41335 -91249 10006 166991 -80721 -67056 37116 -120515 -2341 -66471 122918 95800 -102974 51339 -218607 97613 100878 59907 137945 -24935 -30367 -215095 49099 20712 -19869 112891 193924 -42858 -17632 40130 19831 -72351 -18717 26913 143332 -9233 41980 9382 117170 5186 -55426 -188728 70597 214217 24198 -13714 -95415 -194670 227010 119321 56407 29743 -128280 -53427 131526 100038 -78647 -43003 -70692 -68034 15005 172460 -10791 203399 -137969 -147601 84823 -142997 110488 117464 -71482 226512 -48385 60923 -207446 502 96694 -124116 28004 -56256 -29037 120093 28634 -41461 -48093 -92234 108447 -423 
+-89669 -161219 11096 173598 -14472 11434 -44891 11022 -235974 22173 14781 -56250 57698 141191 110764 -230258 117359 61341 50176 22792 85780 48115 -3269 -85257 8848 12075 -39194 -2719 39812 -76884 -68739 -10591 -18954 -103620 79987 -42037 -87556 -130190 84771 -37523 -119351 -127755 -53193 21024 -119733 2202 -21952 -8881 -185076 -42770 -51405 187901 162468 -62364 25932 33571 59941 -18472 76360 5539 20207 63463 -135149 131540 46661 29419 122030 61197 -83825 31552 20207 -43464 -116770 -32036 81944 74084 82112 27057 84332 -185522 15447 -71699 59337 23471 61014 -259631 78630 -77488 -20766 13699 16591 71409 102909 88314 73411 105745 -19056 -12244 -6761 85622 -33273 -131854 75798 146144 -73818 -24491 -15879 8363 -198774 -23190 62017 65205 90600 22295 -17407 -38901 -30545 13725 -70917 -63392 -18871 -50069 -1271 46621 -85843 14927 -16169 -52664 -65999 -111112 -24567 64933 -112047 -5780 51563 -38900 61863 57103 -5114 102741 35899 -3267 116947 -182622 40541 -115302 -225549 -199007 -94839 -88342 -126168 -145668 -1482 133469 85635 -31514 -51447 -69607 59849 98971 -51194 -49330 -14040 -23556 -46301 1661 78750 103172 -151596 26834 -229431 58487 18972 34753 151647 -2736 -19324 -203770 28039 17106 -967 122436 188703 89508 -41161 -9024 -29100 -25083 -20512 47667 184539 -10769 61714 -16025 66953 -7816 -125725 -205329 75119 174601 -15329 -52163 -105594 -228005 211332 39074 7006 -41149 -86510 -37118 43274 185838 -115736 -59127 -32153 -83269 21768 111940 10431 223941 -198392 -157968 105044 -59794 127810 154789 479 92656 -40905 67804 -126398 -33122 35047 -109662 -82406 -52668 27881 65438 76671 -26409 -82138 41006 38043 4737 
+-95385 -94023 -15130 148130 -6942 -20097 99734 -6195 -73208 16401 27633 -56898 57122 179077 87467 -222853 73455 74141 54750 46570 67978 88029 -11421 -106161 13429 -22463 45085 27703 -5260 -96755 4473 77739 -32500 -111783 74714 124890 -93571 -109021 9083 -43535 -208436 -144083 -86827 532 -44563 -2524 37908 36650 -119777 -30726 -30378 49407 78428 -52772 -70740 -12184 -60435 -56573 81404 -36618 23851 38170 5429 155089 63466 -7474 164457 52177 -76482 219180 36317 -99596 9733 35783 74043 76716 113117 87561 80534 -170193 -1962 -66001 -32675 13153 30429 -156168 91621 -52710 3867 13309 45085 71351 106170 88737 -21658 110094 12569 -90693 -6919 106267 18346 -161193 78141 96913 -61071 -2909 1448 -117965 -19780 -31682 50150 73904 75456 -30294 -34422 -34625 -23254 -17869 -39202 -17845 -70841 -20188 -3709 96814 16499 -12840 -2642 -72850 -41810 -41970 40764 46840 -74535 1686 48368 -38707 185121 25514 2727 144701 33198 86835 44636 -132822 16838 -92553 -124863 -36880 50074 -106564 -42781 -112539 -23678 52574 78976 -84039 -77284 -68469 69358 25972 -1209 -772 35047 18859 -34598 63397 11070 85698 -159849 46718 -219641 -26576 -94274 -63151 162622 -12837 -23034 -137029 14512 -23033 24253 92973 105684 64137 -56781 14115 -73393 55034 15013 60684 88791 -12456 47683 32249 13885 11237 -134740 -160589 45296 118872 -73759 -60161 -69155 -203082 101634 67487 -51010 -137194 -63448 16086 -69120 134188 -111350 -54569 8736 -89900 44010 50239 -6043 201889 -105049 -149313 136194 72908 126202 140249 35490 -101250 -29573 79609 -93108 2100 45314 -144596 -97405 -84158 86626 44495 56073 -33836 -113424 52203 -45275 34595 
+-81368 -22375 -12168 -24484 -14944 -10660 99007 -27514 102154 -11268 10441 -41230 53633 148967 60096 -202004 9677 50025 48087 43166 56165 130033 -60325 -150724 15035 -40349 65936 609 -56082 -27518 86004 68750 -59979 -139754 90389 176808 -103671 -86199 -49548 10936 -142410 -113811 -65928 11103 3866 17632 -63637 4692 -31953 -31424 -37127 -95736 -1356 -69911 -131559 -78974 -184160 -851 84820 -52367 34785 -23279 122962 81451 74087 -13359 63585 -117533 -75801 194550 45340 -137078 127913 153725 58782 -29364 110239 127262 43957 -160217 -43953 -3424 -73770 792 36812 33056 29969 -108660 14349 21326 24766 73990 96507 46337 -88212 107386 30670 -60015 -59126 63312 -5040 -113746 68742 1888 -28907 52506 19601 -167016 116151 21103 15917 69830 -121871 -88410 -17642 -6505 -17623 -66606 82718 134649 -102093 2143 -8370 136030 158151 -20237 11697 -60227 -90792 61550 113282 50798 -33291 -6021 46956 -42422 242321 -29877 11660 145900 31115 178566 1056 -51234 3561 -38427 61333 175717 144106 -127116 30706 -98436 -36263 -140439 77956 -45024 -58111 -59660 67892 -20577 38730 7336 129042 -30250 -53908 149599 -70386 114842 -145730 82210 -181628 -90241 -186519 -122212 204768 -22819 -22568 -62000 -18050 -93520 19154 82401 15221 -105345 -39563 -3464 -101044 127487 604 62670 -30138 -9308 53333 13153 13467 7614 -106402 -179492 91067 65385 -111649 -103289 -57245 -120077 -25452 5368 -50928 -163362 -29832 69237 -138459 92248 -73229 -31980 46232 -79709 32794 -4989 12396 169150 63584 -122701 156475 170568 139848 114058 28987 -109009 -28146 93349 1400 6732 16196 -97510 -142519 -111315 30826 580 30069 -13766 -84326 -32452 -109239 15018 
+-72037 -24285 -46878 -71941 -128633 -14106 -77481 -12079 77718 19055 741 -33667 50129 63611 -21259 -154832 -5691 28456 24000 61310 12376 115676 -61799 -60842 13650 -59523 61944 -46578 -88406 55637 117728 21849 -62606 -159283 55927 110839 -87444 -120817 -53832 -3300 -16032 -87910 -22674 73179 4172 35075 -166363 16992 28634 -7344 -19754 -80299 -105691 -42030 -152780 -123299 -202189 -19771 93533 -21487 53452 1935 121672 13726 70063 15764 -22771 -233599 -61881 16315 135111 -135487 242133 206702 50324 -186959 105037 122394 -13866 -78747 -86067 33319 -43992 -21520 30565 91981 -63910 -120805 23904 -7686 -26631 75013 93061 -387 -129327 93068 24891 55979 -71032 -47143 11597 -57749 47007 -24423 13323 88570 38303 -100696 70231 103196 -45851 62370 -216014 -112838 -14842 -37564 -22453 -120519 143500 229961 -93823 60045 14571 175128 115177 -54846 21766 -47938 -154383 109127 146864 55174 9837 4545 36012 -13887 211775 -46480 397 161227 31390 228216 -19098 10290 5127 13744 113306 122103 189153 -144314 77774 -74864 -34272 -195489 81596 -38550 -2015 -49425 85314 13467 53892 19291 176624 -114508 -93923 57827 -138004 117640 -77187 118122 -162326 -127697 -155064 -93449 219552 -37849 -21926 11833 -36366 -163458 7698 84488 -57661 -215781 -50309 19793 -132753 132536 -6702 53990 -41140 -12766 -9259 25354 36410 32198 -26089 -185482 83528 25542 -111448 -116351 -37039 -39038 -25483 -5945 -101555 -62018 -10554 121634 -181941 -24139 -20286 -46335 73729 -60000 10523 -39986 13535 75336 130524 -84754 122244 211456 112303 76193 -69460 -43526 -15524 103952 -90129 -16796 -42262 -106346 -14400 -122344 -56390 -51310 7312 -25242 -72655 -122788 -62296 15631 
+-88663 -116853 -13828 -19003 -175698 -5423 -156148 -12333 -105977 23949 -11543 -47366 46041 -50180 -69286 -112285 -17398 -4394 22202 56455 -32937 122129 -43473 -45360 16027 -76959 364 -26937 -114852 173477 121281 -24958 -43711 -182169 49087 -63281 -81733 -96519 -98918 -13658 25476 -30532 92078 121254 -36741 25937 -172943 56258 89453 24383 58419 45595 -140513 -69163 -246180 -107903 -153018 -8450 100818 10350 59002 38895 26156 23303 54148 64969 -2796 -135643 -77074 -54015 139180 -99776 214677 145485 57371 -127918 85052 82610 -24064 -11441 -131876 37969 64990 -38282 49629 -47020 -118960 -104448 17071 -3638 -51178 79760 83708 -33750 -98095 92550 35845 165598 -94212 -162247 -54304 -36254 68840 19675 54934 140598 56676 22862 -111588 174767 -88058 42399 -65479 -130276 -18164 -7366 -16115 -157719 54556 220612 -15875 109361 38492 225264 -9039 -57761 16296 -70659 -109500 115706 103931 45191 50903 -1065 55585 -44106 124434 -46788 9787 114990 33750 224719 -59417 25004 20573 80593 -35278 -70108 106701 -126861 21640 -29154 -18558 -118174 82324 -12613 14623 -57465 127092 110024 41441 32857 129206 -211576 -108158 -37878 -224748 67670 2244 134014 -96471 -122447 -56630 -546 223819 -35270 -25593 77352 -50974 -166665 -21717 80996 -63752 -112968 -70611 37469 -100462 48985 -9935 41377 93557 -8892 -34539 -25321 82550 37613 35365 -123053 48078 -19191 -99355 -133643 10265 6804 74043 35147 -106721 36633 32353 121950 -104049 -152168 48898 -37688 87911 -53815 17751 -55134 -3700 826 33499 -61341 88058 141766 125926 72696 -73768 143431 -13733 108097 -155228 -17811 -55840 -104477 30840 -94986 -51743 -142469 -23270 -44357 -46181 -94171 25326 45197 
+-76110 -166799 -42873 67696 -117322 3324 -60869 6693 -269937 22876 3098 -59414 48479 -143406 -199046 -58592 -11434 -66030 39814 24179 -66414 127394 -8144 -96792 16788 -79053 -105022 -5442 -124498 253225 59102 -59438 -33133 -205364 90004 -126935 -72831 -92308 -123875 -2755 -60282 -44800 159996 187487 -99073 2711 -42410 29593 109821 49428 47442 179927 -155015 -45177 -225448 -53248 -25739 -34382 104407 36595 42866 19208 -130576 69795 33099 45001 90863 30642 -70401 43473 135125 -44362 103211 23967 58628 45925 73391 9928 -20696 50525 -163132 18959 156782 -44046 60107 -210954 -131414 -121837 -22260 -17519 -37078 79928 80939 -96667 -14135 106852 -4917 182450 -108600 -258151 -19134 -62223 43299 102317 87093 173632 75348 119233 -242917 212623 -100937 45016 116907 -122062 -18021 -52145 -16804 -189506 -65150 53090 46327 100188 27137 246089 -103442 -48888 10747 -54651 -51156 43789 51813 56539 87306 -18252 71407 -42640 45848 -18636 -14238 81893 36306 165650 -55195 -27869 45540 141774 -203140 -209373 -22941 -88593 -1655 -38876 -11567 39965 80762 -33646 84789 -79816 121146 181442 13081 53405 38920 -203971 -111999 -81481 -249133 88835 80716 116164 -57350 -147863 52181 69671 201428 -480 -28750 106799 -66178 -209309 -10232 119793 -9998 43434 -86230 18660 -71572 -57075 -5208 12602 190311 -4434 -60742 42832 130786 43072 23655 -147647 74395 -46437 -62239 -131663 7677 61533 186734 7316 -128823 29261 51199 89476 21965 -173387 75788 -24517 86553 -66343 -16041 -38913 9036 -61501 -140157 -25163 13760 20380 102639 52153 -49418 222453 -12327 102825 -158339 -15326 -81886 -93714 12390 -61978 29493 -170071 -18751 -37368 -56982 33453 103320 69037 
+-94146 -104391 -63552 183687 -13936 7831 99482 33765 -250684 16988 24714 -61996 50303 -188743 -218990 -1634 32023 -119900 11153 29946 -102870 125301 27201 -93558 14824 -87449 -40886 -40503 -141318 251209 16722 -1185 -1725 -205263 77144 -76460 -54617 -106350 -92096 -50231 -182301 -57766 184855 203995 -117395 -2817 49795 47954 109209 68422 90788 153521 -117229 -58685 -247896 9118 67275 -48321 113005 15141 26052 56840 -183934 131318 18926 55940 159131 63391 -74704 195427 85540 17104 -43707 -26343 73158 108230 100112 -56707 -49218 115987 -187650 -24383 172173 -42775 45738 -234785 -52603 -131288 -42905 -9429 30820 83112 72732 -107148 55628 98336 -44496 92987 -105320 -274349 -30270 -126462 49294 134811 99175 193979 93804 105341 -191744 158125 -85886 42051 69879 -90022 -31163 -24408 -18556 -195000 -30797 -39586 101391 97157 19687 276818 -27559 -49306 -4329 -49768 -43600 -64026 -31905 62682 117061 922 59413 -41665 -54919 47448 -17918 60900 37078 70841 -76411 -104135 70697 187702 -186489 -93789 -89198 -67995 -88093 -13845 4024 129138 96138 -18224 98650 -71808 100421 178277 -39243 74704 -6062 -143228 -90507 32965 -252217 59053 134145 77369 -25982 -107073 122125 295 280698 -14875 -20023 62735 -80317 -179690 29147 89961 22258 97318 -90497 -15687 2267 -60361 -29324 -10773 151209 -3682 -91107 14476 114519 47151 -4753 -102640 57796 -24457 -73326 -116317 48500 151009 226523 69270 -116669 -83781 88483 23958 137410 -202866 78341 -21186 69279 -85685 34224 -3314 12923 -98209 -197422 -41825 -13709 -117923 64741 59249 9771 238438 -1923 94144 -46071 18751 -98024 -126671 -85686 -54415 54618 -205935 -33667 -36290 -58800 63328 110044 61072 
+-98179 -24865 -30961 110561 -1176 16423 109662 68174 -56373 9133 43194 -57011 55219 -164688 -247926 47816 88494 -168292 17007 39453 -127013 86687 56691 -85497 17741 -63830 22848 -8734 -80249 175811 -41282 84136 -62907 -221772 73827 36657 -59573 -131045 -60451 -49547 -190769 -63774 160279 174951 -39933 16886 3088 56581 73679 93790 103408 10193 -51351 -69060 -198988 33112 79309 2934 118427 -29037 21390 41804 -132224 149254 17486 -35520 117025 -75715 -88055 208161 67174 47618 -142543 36139 75008 -5376 37241 -104251 -21940 166539 -225989 -9169 107384 -18653 49817 -82213 -4722 -180552 -21327 -1617 48831 83349 59665 -163618 88371 85055 -55096 -31915 -69042 -170679 -41 -136381 31292 89409 90371 223888 112114 -5199 -9717 73983 -161530 53601 -116672 -38377 723 -29870 -15939 -177096 78302 -35816 206619 77782 22314 250100 120169 -43132 -21302 -78563 -70923 -149122 -72982 65904 137675 -12284 26622 -51192 -60551 63122 907 21784 35399 -16363 -7657 -169534 86541 215431 -5040 137251 -59269 -53375 -160484 7570 31410 46068 96657 -57107 107048 -58025 42473 122625 -76493 88958 33885 -65127 -140579 110798 -203606 65142 142454 51619 24379 -57477 75283 -90472 241351 14440 -28778 19802 -80679 -136694 24330 87787 109039 -18916 -106229 -25249 -526 -4211 -10047 -21034 13887 13481 -45836 44457 108657 56960 -103996 -122832 63069 -18679 -21344 -99675 61444 158777 123613 108584 -101067 -184475 99357 -19959 140047 -135496 20053 -34366 38731 -90503 14997 53147 26260 -98060 -103164 -59197 -80771 -88301 87204 109634 31461 100095 -2045 81100 -22528 -827 -93789 -113075 -152893 -65131 24085 -235444 -38443 -20451 -73968 -7918 -1689 50736 
+-101541 -5916 33716 -5201 -42049 9510 -48227 85266 99347 -11807 10134 -49425 56497 -78399 -248242 79155 158238 -192130 8579 47338 -172652 104200 41814 -106110 18838 -54253 124240 -2838 -43663 73635 -144380 102277 -54440 -221257 81764 211567 -53310 -96237 -26610 -43918 -92877 -119817 102696 119069 15315 34883 -130807 31603 -11656 102569 123834 -87592 38462 -65795 -138350 22475 -4108 -15301 121944 -39738 13068 67420 21797 83543 24710 14827 6509 -221340 -95579 -18516 13135 47321 -156533 155904 71131 -204234 91027 -94613 -21821 173521 -229877 -60076 -12214 -4455 25722 84437 65466 -163466 -2474 3199 4415 82430 60978 -168053 28455 76786 -82584 -93871 -25862 -57560 1416 -136410 61430 10955 63148 214340 129313 -126240 118217 -14838 -160207 53993 -218435 14417 -23971 -35220 -20986 -132863 143549 92876 275234 44350 17029 284650 140223 -27983 -38803 -92075 -127330 -125812 -93564 68505 147375 -38477 50393 -59765 41601 43422 12650 11638 32507 -55094 59744 -177895 88226 230498 113397 157317 65833 -36652 -192995 675 52379 -123858 92644 -76623 87013 -68193 7828 15876 -96522 113321 125696 -23265 -136648 99235 -126154 55793 110747 35737 58645 26343 -32689 -103610 233776 -15619 -24774 -57960 -73993 -74234 -5034 82947 211748 -163957 -103973 17669 -14902 112850 -2492 -26297 -46447 15845 -53552 60499 69503 74428 -139902 -101431 35520 33372 40577 -65432 84652 160705 15211 149811 -93452 -129089 125092 -53628 86727 -22270 -27515 -44432 703 -79311 31394 114146 3874 -65999 82855 -99643 -93102 -11395 105507 127173 -26348 -48956 849 68417 -28523 13092 -114573 -134306 -91771 -108638 -36469 -295164 -38759 -32804 -110637 -93590 -90461 56698 
+-77023 -85773 -39598 -58597 -161538 35336 -177300 108984 82240 -11455 -1290 -39302 59722 31925 -294232 87853 120031 -219569 78048 50297 -186617 58484 22463 -78065 18931 -40178 63992 -4858 14370 -34409 -192547 49608 -6697 -217210 74588 167637 -51548 -110121 33941 -3457 18938 -152085 11650 50103 22659 26529 -193641 26193 -70921 128442 91275 -24153 101776 -43702 -79510 -70278 -143202 -10575 128914 -35300 20222 46528 134230 16669 44798 42914 -19107 -180556 -100722 -72485 -14557 13811 -33523 212865 72966 -156136 74037 -35936 51894 174750 -227409 -113356 -76567 13869 5928 62730 80474 -152200 30052 -14192 -29219 81530 45948 -187289 -62719 66113 -81798 -43927 -12743 62149 8676 -100865 52595 -35327 20683 197866 146054 -164191 63600 -35536 -172890 69975 -88387 76208 -14640 -27077 -22491 -88753 55757 218182 262651 44350 8004 265283 9978 -8871 -60309 -110942 -144804 -51758 -65298 62033 146217 -41809 89444 -78714 151933 -2791 -5721 38649 30964 -19596 88638 -138008 74885 202022 33500 -37149 174377 -55855 -155465 17007 75146 -240688 97617 -104821 42157 -67704 20164 -24779 -80784 125863 173205 8623 -134285 -9222 -37512 12191 43693 54337 73177 82715 -129564 -48106 266143 9983 -23821 -141865 -78005 6268 417 95948 185603 -192352 -126195 17770 -65161 152757 10599 -15081 5018 7866 -9699 65752 12379 66961 -110840 -97984 31179 96833 67105 -35388 97916 111960 -35936 168058 -25364 -8464 134562 -33651 1703 108663 -95245 -59266 -40548 -59781 28317 170628 14037 -10186 136467 -136523 -136996 150810 63252 143645 -67035 -123343 2265 62580 -142079 505 -93338 -95262 37431 -129777 -32856 -314437 4166 -51751 -74922 -124541 -95418 63986 
+-89471 -148978 14239 -46927 -185433 39411 -69321 136112 -89682 24373 -17508 -39161 53506 125208 -305644 100322 80685 -222982 36208 15439 -204453 16017 -23127 -67140 21285 -1082 -2143 -29289 52187 -91517 -224840 -13826 -17216 -194424 69778 8184 -59070 -62142 50105 -21822 8364 -146861 -62946 7655 -51527 3293 -135478 -13517 -196020 130277 105439 127439 199182 -54048 -1587 -126083 -205806 -29006 127020 8345 30119 42518 130687 26145 63662 71306 52949 7763 -112448 54300 -17419 -44713 105685 145714 52903 28513 54708 21741 78358 146968 -219779 -63298 -60619 16979 5933 -129310 3326 -193803 11551 -3481 -55367 80921 46205 -161289 -127178 43527 -96991 78557 -8819 119490 -26133 -47209 33562 16136 -21447 177452 161885 -91912 -120385 -2464 -168887 92020 74679 123873 -23620 -70046 -27432 -37958 -59600 225745 249541 -6497 30658 284611 -80882 -6448 -89160 -81503 -74020 19206 15981 66024 133541 -73313 50007 -56653 204872 -33983 16200 74435 31733 54889 153916 -56902 50564 162780 -155641 -206167 161763 -111142 -115562 44525 85168 -100609 101240 -100793 -3 -79371 23256 11953 -47019 124662 135280 -69258 -123711 -66871 30784 37806 -30030 93544 67839 100131 -146996 21891 297549 -7620 -25102 -214164 -70741 -6938 8543 102599 152533 -43366 -123065 38155 -115840 117933 1939 -22 152126 1471 28303 112348 -7821 77704 -53464 -56578 38954 147438 126486 -14909 88418 41953 45632 131453 29192 55172 139574 22670 -101273 153682 -119214 -66120 -77809 -55125 20654 223719 25754 76111 38372 -162532 -111500 212039 48342 122125 -90207 -29453 -257 63302 -191951 23996 -103174 -114901 31821 -117377 9626 -282403 3909 -22258 -35373 -6438 -33224 96667 
+-87080 -125939 -28152 85598 -90351 77611 88313 159179 -230403 19837 20197 -50942 50380 180698 -188786 71214 27609 -213336 42492 16317 -192856 5622 -40344 -59637 24973 21015 -97781 -32686 102193 -69525 -222189 -44291 -14888 -185904 60872 -133442 -67549 -72268 61461 -18813 -113629 -152648 -87676 7 -114393 -3074 17328 4209 -199475 146428 69132 199501 226449 -59993 42698 -129566 -186833 -23088 126052 35549 50498 72266 12276 66335 74456 27021 157114 87657 -119716 211596 -2877 -104810 217056 25826 75182 148017 108362 93711 143224 72415 -189275 -67962 23378 18800 85867 -261251 -103757 -149087 -377 -15326 -8240 78757 33334 -118799 -119307 63171 -98491 176809 -52495 74260 17486 -47600 59271 94882 -55756 139482 176039 30874 -244559 86769 -171360 66229 36583 173795 -18569 -68890 -26540 -5174 -38537 69166 217852 -32639 26988 217226 -47405 16286 -116349 -123735 -35864 87996 64324 51010 111283 -96211 40655 -54167 235909 -60309 3917 141760 34387 150118 166958 25834 25162 101437 -219130 -152900 103662 -120779 -23080 43738 88047 54637 111135 -76831 -51431 -75714 20450 68397 -6208 121298 43888 -159285 -138052 -1861 103288 45064 -104233 119953 58916 77094 -120179 45256 220692 -32841 -21045 -222189 -53968 40893 37340 78643 86920 106056 -133117 -8113 -141986 18058 31913 21709 198791 -10654 59149 112039 34892 72861 2833 -12449 42848 188395 166208 -12047 81997 -39775 167088 111875 55458 -23062 139923 101396 -160667 137800 -106991 -70157 -105874 -65734 48704 248632 40834 157516 -113624 -160419 -30024 181126 30388 105078 -32945 119952 2927 71390 -126123 26461 -82833 -126556 -79279 -79126 78105 -265482 23816 -37641 -40348 104747 70371 68809 
+-84176 -47923 -27996 183549 7031 75002 112215 146100 -232681 23165 46892 -57746 49767 154527 -101450 50497 -16206 -191486 35630 10553 -195012 -26196 -48320 -81932 25384 43114 -65088 -12007 141971 6632 -178447 3726 -48981 -174274 74130 -72195 -87246 -33306 54025 -29156 -203954 -84638 -47466 32369 -98615 16204 39764 -26020 -229633 138374 46999 127840 184868 -42779 69901 -69016 -78778 -14348 131447 28739 55457 61643 -142349 136285 70301 -18849 163993 -37975 -121967 194662 33438 -138132 221245 -26735 76552 23874 94384 141619 121529 8114 -175712 -17408 129188 -7830 50332 -181766 -147919 -127351 -18503 -16694 23036 78465 31289 -101109 -49151 53275 -62527 173582 -75016 -35925 -14404 -77905 56109 142294 -73062 103223 189130 123989 -185720 164696 -144644 89500 -159976 200916 -19022 -20946 -30574 18374 79585 -32980 122820 -108530 28834 163587 101304 -12770 -137622 -136329 -46409 125738 140723 51512 79650 -101746 43358 -90224 189066 -36613 -24264 141548 36656 220885 194411 43640 6779 40188 -71030 86725 1338 -140547 41041 29484 72773 127520 102170 -4153 -84078 -119437 35114 156490 32677 118487 -11918 -195206 -136211 81862 137568 12579 -157994 132442 25347 26674 -7618 -48614 238682 -41451 -20421 -187120 -30950 7874 11651 109038 -4651 48474 -143919 -8160 -100300 -67549 18677 48892 47065 2567 80117 75323 87833 86700 39931 -12950 45714 219576 199242 29807 68330 -126475 242590 98644 90003 -142293 99610 116716 -186586 77507 -57507 -27805 -120486 -85007 47780 248209 4692 208540 -208678 -134503 -32234 27691 26412 63298 28857 231805 -3083 82691 -20947 42983 -58057 -111520 -186269 -54681 17800 -217046 32785 -46867 -81588 15366 124549 80522 
+-80873 -17724 -20423 130313 28669 66402 -45971 142613 -85410 29455 39129 -62278 46258 66080 -76431 9116 -43675 -160678 69643 52752 -164250 -45111 -52262 -97483 27503 72409 36585 4351 147171 117490 -108396 49983 -67349 -151520 99702 11146 -99084 -10101 -13957 -32521 -153514 -16229 18175 82281 -32535 34672 -86681 -9865 -201532 145767 -21182 48900 155566 -25151 28993 4765 28858 -36955 126675 -11035 44597 11505 -181075 143398 54947 -11527 41458 -204620 -129155 -223 74036 -135814 151279 34576 74127 -120945 119950 124438 130135 -49557 -135129 -11418 174205 -29636 71508 -2151 -119925 -130586 -34481 -15445 49707 76252 30449 -47367 35567 52156 -17989 71321 -105049 -150103 4502 -139562 66959 99057 -70377 37836 200767 89281 -1451 201742 -108173 69061 -222926 209567 -12722 -70059 -31326 11436 144282 -26067 70271 -156610 13155 118064 132520 397 -157654 -122040 -130371 73137 134934 45102 41642 -139404 77756 -84016 81471 21260 -31133 167150 36831 231704 194902 -21860 2327 -13400 99219 193048 -82212 -126826 50779 23696 61348 58664 108328 -4065 -31439 -75779 52947 213947 55965 143576 23582 -153605 -114456 115684 143315 2595 -168399 117270 -4883 -23709 119199 -109242 220299 -45379 -20954 -145056 -8327 -57791 20046 87608 -49503 -129427 -144231 -4253 -31238 -81834 47587 60180 -54475 -4839 56314 108514 132493 93305 -34871 -3752 70250 234828 205176 9242 43854 -191394 163084 17695 165281 -153846 68934 104986 -63241 -28181 -1387 -35363 -119041 -90953 25230 222349 53621 227711 -106529 -103900 32290 -114003 10345 53394 35257 267007 -10770 96690 -40626 41647 -36493 -97941 -98569 -58191 -35942 -200370 33861 -43602 -135231 -91868 71799 60979 
+-103863 -72695 3917 -22546 -79650 53584 -161536 108544 71736 888 7026 -58515 48191 -39768 29829 -30403 -13821 -108738 19622 42004 -137885 -104211 -24777 -73068 24259 87583 99137 -5959 144964 214802 -15660 105480 -41243 -128262 62953 170923 -97845 -87554 -52116 -41328 -19554 -52921 97951 148583 11636 27114 -180132 -26862 -155060 136700 -68699 -69923 50335 -57283 2304 35557 102503 -8112 125886 -46450 33399 2060 -108240 77022 34635 30219 -5441 -197557 -125814 -91723 123445 -99366 -9765 155927 53079 -173920 109771 75476 74844 -127927 -92888 56205 134816 -40600 71277 99858 -42012 -125498 -8592 4073 10866 74627 31644 12325 70856 16574 1018 -49287 -114921 -249927 3409 -147272 60882 13427 -45652 -183 210494 -20948 120660 172376 -100016 82880 -70482 196167 -10402 -70342 -35022 -17076 53783 47936 -38878 -206472 26711 83804 44465 -36530 -172769 -134719 -142739 -6134 97576 52265 -64 -169590 48243 -83363 -20990 41128 -6692 160368 34655 184183 159626 -99553 12239 -71162 93322 40295 -53520 -103490 40392 31480 41488 -139081 108731 -13760 -35395 -99448 57179 145744 43985 136141 120621 -79799 -88535 16591 107557 -32371 -132288 78719 -61841 -84806 124831 -123099 249278 -3704 -22374 -46416 3047 -110745 -2229 133236 -75369 -213319 -151671 8479 -3989 41402 31655 67417 -15462 -5064 36055 116341 111530 85753 -95661 17057 63352 225251 252351 -25633 23528 -176586 42099 22097 194788 -53805 57623 92913 45719 -135719 56802 -14955 -102365 -80875 25533 178018 42118 199313 55807 -56132 74850 -80174 4510 46545 -54702 109132 -9525 105376 -134245 38314 4348 -128775 19213 -86938 -45720 -130828 67087 -46875 -114072 -113873 -38915 51893 
+-90567 -153983 -27769 -76456 -154009 56096 -75095 100102 91361 20298 -26248 -45936 52252 -138746 52279 -89968 42807 -62963 13704 40667 -117560 -120090 22889 -98474 26548 97304 89189 -25048 127292 261981 37901 91156 -5549 -110560 90536 180324 -86391 -48728 -74892 -31917 30407 -34239 172287 179608 28933 3846 -158118 -34285 -112962 97578 -57733 -16671 -40788 -76030 -66519 -12035 30889 -24427 130114 -38806 27896 1687 35764 16613 20522 71955 29734 -31187 -121884 43933 155484 -39315 -121788 208098 60443 -19183 112985 -1491 43232 -166572 -48697 30336 25020 -49797 27516 -18465 36095 -100647 8473 -13227 -31272 70871 17155 24357 49979 18109 -2606 -93167 -91175 -250383 16089 -135072 80115 -25961 -6778 -44002 218642 -134934 56084 93752 -27070 48263 131087 160233 -13711 -48058 -37709 -63755 -74037 217310 -67289 -203299 4511 50231 -79144 -68190 -183559 -130770 -99570 -90457 12101 47584 -42502 -162011 36946 -63710 -47081 44139 -2693 143456 31943 94464 119078 -161148 34822 -106691 -90892 -167602 96239 -87305 -15975 -5103 11331 -221350 105098 -13343 40098 -47597 118613 90458 10509 155092 173622 27028 -66690 -78628 14063 15787 -59530 42729 -88102 -121994 37116 -18217 213752 -3385 -24654 24627 23694 -154594 -11136 83903 -18600 -116773 -144394 42976 21570 142292 52341 58413 118647 -16947 22610 146028 91359 100956 -135547 30055 60387 189444 243411 -23479 2258 -176421 -28701 13659 195173 35189 5038 22583 136993 -205905 78590 -40125 -72399 -61530 25012 114865 42284 153985 135934 -33720 136741 -3795 -5686 104821 -106955 -40591 -14252 107598 -149524 29243 47583 -138209 45913 -113189 19076 -112944 10775 -54881 -64900 -8791 -107564 55296 
+-93913 -139474 -11874 -40390 -202591 34089 87711 62347 -60998 7810 -10354 -36496 54914 -182382 117380 -144824 87221 -7667 24562 11483 -69470 -160916 54570 -97214 29728 93563 -25314 -12887 97576 232100 92820 24246 -13658 -84300 56466 105069 -93452 -68002 -117493 -46041 -54591 -46149 184741 189232 -71694 -3296 -42135 -43417 -36115 89461 -42754 166518 -110933 -44803 -131802 -48071 -83435 -39185 120330 -1760 16393 49878 137290 16208 15306 68681 121621 78790 -128093 181197 124542 16129 -148698 146576 76340 124334 116126 -51632 41232 -184420 -2821 -24900 -55122 -41288 55242 -187880 82624 -81302 17724 3721 -46398 73512 15276 55323 -28488 6442 25694 -25574 -58312 -187434 -22204 -62529 71718 16369 36903 -70047 225081 -156642 -128590 -2079 -16090 48733 53802 110910 -3018 -66252 -42205 -103548 -57303 232404 -109953 -207358 28148 -8142 -50882 -89603 -189073 -131063 -32237 -149109 -55724 63578 -83728 -173232 51534 -48891 -9936 39277 14138 87519 30843 1718 73712 -191827 60710 -116205 -220156 -161522 185822 -40405 -93934 -10221 -4717 -128745 113651 -88853 62245 -59502 87995 -28040 -32268 130710 142529 35688 -69519 -25691 -58828 -38441 17795 30225 -169868 -167333 -71859 49940 192899 25424 -10768 86730 43820 -192118 22615 118488 61884 55607 -151224 8453 -21552 138572 65703 36089 157774 -19218 -65535 105346 36988 116715 -124245 72807 74287 151401 244094 -70849 -42096 -150309 13519 3767 217684 25048 -139 -41063 133289 -190575 69227 -57134 -34052 -53944 40936 53041 16451 84025 32558 -40037 124868 71565 -25065 117436 -99674 -132800 -19592 101318 -152434 27140 50196 -120881 -23845 -124567 58107 -48424 11593 -31774 -66322 74212 -81533 43122 
+-102138 -46509 4619 87232 -91568 41739 112111 32616 -246620 9936 23650 -36645 57685 -169583 121244 -189464 127052 28120 -4572 5229 -38115 -197581 63049 -84546 30059 80661 -68938 -13685 73440 135029 121874 -37827 -32641 -44929 43747 -101493 -79651 -55448 -92732 -49587 -161330 -89591 146961 150128 -104477 15486 49151 -17096 81986 46433 -23557 190440 -159284 -46794 -181924 -111579 -194574 -7156 122493 28811 23993 59938 111263 83901 25882 6708 143919 -10769 -116160 209788 94156 50464 -76284 20684 79604 90391 59435 -99744 -9801 -188907 23485 -16666 -73890 -28397 38186 -236686 41845 -84052 27773 14886 -14132 76105 7919 69062 -102550 18845 24323 100088 -56644 -71186 -895 -47833 78509 98548 73519 -99699 229505 -80396 -246990 -41419 -4112 63561 -108523 50508 -25194 -97501 -43011 -163677 78318 129921 -83932 -262884 -13489 -45587 83199 -33966 -191140 -96108 -68970 -99053 -56032 58019 -119886 -163584 50106 -75453 76224 -27268 -7209 59419 32243 -42629 -13671 -159833 81721 -106429 -144998 11673 142337 -29122 -155478 -28160 -31910 43379 112152 -73205 93362 -84619 84940 15478 -79664 127980 49038 -55291 -31871 88300 -139053 -45840 98365 56341 -184312 -133359 -167318 30981 226124 25427 -17456 91348 67491 -199870 39032 103094 149455 95165 -145237 24974 -87022 57417 54400 13244 145641 -11843 -85692 96672 16609 103256 -87992 83909 66440 96539 225748 -107370 -64178 -80794 150209 5107 214459 -88466 -49901 -75167 109587 -149395 9672 -58972 7075 -64303 54450 715 62507 1186 -131400 -53249 150274 166965 -82297 136047 2587 -43719 -16093 90702 -43500 12730 98710 -118361 -102408 -102938 51192 11094 2681 -25795 -29818 8065 13774 63055 
+-80175 2240 -12928 179652 1328 5378 -32001 9348 -267193 27426 40791 -43380 55391 -93518 107898 -202994 137894 58032 -27431 13365 12368 -155707 13222 -85296 31488 73196 -33258 -7183 -13226 23622 112080 -47464 -48673 -20425 65079 -161324 -60574 7778 -82327 -26260 -199362 -127899 61864 101196 -93447 34477 -28814 22726 94341 46724 381 119248 -154762 -55009 -214000 -102187 -208294 -21348 117001 29135 37152 36154 -11092 145521 42587 -21816 68484 -185497 -101387 34534 65323 48837 50934 -29945 68265 -142202 49822 -76962 -34854 -131565 36385 -74189 -8688 3455 52781 -105334 -32260 -50206 -648 31457 22126 75793 -3770 67363 -127181 26576 1700 184793 -34524 54356 6110 -62727 74780 129946 96167 -91722 231816 54608 -177978 -11632 47686 56937 -225716 -11360 -24668 -99690 -48372 -188314 136111 -32994 -28662 -216511 1831 -78903 155200 -34146 -188446 -81705 -117989 -14882 -71346 64573 -148413 -166760 76916 -43617 206312 -56872 -3661 43921 35007 -37695 -41047 -74311 89574 -77538 43502 191013 23473 -58394 -213883 -20386 -43237 114066 117214 -81104 109896 -78238 83953 46446 -98567 145995 -11091 -178643 -33331 125538 -203919 -65715 139385 89787 -209932 -74814 -195770 -89303 182413 13666 -20762 61073 72224 -129677 -4625 88949 200812 -7733 -145434 -9151 -130586 -52695 69578 -11310 -6189 -7588 -114272 88941 23192 90318 21860 90580 82665 39762 205581 -121138 -70033 -29322 234529 66517 188545 -197316 -78591 -49683 -54245 -14666 -48445 -26988 44744 -84594 23097 -39436 21063 -62125 -184719 -86755 136894 140027 -47360 128496 47090 92159 -34386 76698 -26706 31841 115255 -142334 -118977 -63592 -30729 55072 -4193 -28357 -98498 -100418 89438 24038 
+-83477 -58776 -16644 149976 17686 -20742 -161526 -7026 -96086 26043 9530 -57073 52640 28797 53473 -236640 66752 72056 32666 42258 30855 -188939 -20273 -105428 35555 52233 10274 24950 -55462 -71644 60705 36775 -34790 -11522 79813 -19853 -55017 459 -43670 -18293 -91921 -155941 -31179 37665 -45164 27698 -149473 24313 112820 5416 45606 -28605 -129476 -55229 -248751 -59957 -127382 -10980 106935 -8013 52685 32914 -147438 133098 61167 40167 -22939 -222872 -92576 -60408 34625 19415 189685 32275 80833 -200555 53449 -36067 -41008 -68512 63859 -127305 98184 14422 54239 64788 -97853 -46846 -17337 -636 56358 82035 -4846 55607 -84685 10578 -41844 161432 -24922 113528 24485 -103151 71450 98602 97014 -97403 232212 119559 7570 65498 64341 31303 -45250 -61609 -15723 -100485 -48056 -192533 68269 -62834 23611 -232955 28984 -94486 76736 -16226 -177724 -111048 -157927 104755 -31594 66276 -167751 -171752 13721 -53033 272370 -58335 3505 11956 36936 32899 -47670 5579 82854 -25723 117456 96270 -72188 -79925 -171485 -50594 -32084 2899 94616 -84672 83101 -94776 61416 161743 -84934 142832 19850 -216275 -23721 30316 -247503 -29979 143728 121345 -226451 -12878 -83349 -131500 183601 -38858 -20855 4806 94123 -98032 14265 124342 167969 -171098 -130802 -14697 -124458 -74991 66066 -22046 -51204 -19432 -72406 93571 75036 101366 45959 105573 86951 -10283 156659 -147386 -104407 62433 193016 67646 166966 -132501 -84020 11280 -156861 63739 -98079 -28289 73007 -94198 33907 -52287 31567 -99139 -106044 -124434 120417 65999 -94077 130059 12993 233319 -30512 65993 -84478 -4207 145352 -112972 -75811 -51516 -39016 116565 -64384 -6579 -95893 -160440 104798 24845 
+-87029 -132535 -18735 4727 -81532 -5739 -98289 -21262 67115 9955 -15779 -58281 48950 129955 -34347 -229076 22227 57772 54316 50225 69741 -160812 -37129 -102734 32590 14113 109588 26955 -80676 -105057 -4956 68297 -19534 20592 93791 96988 -43729 772 24524 -11861 7463 -145966 -72228 1245 23359 4424 -191645 5821 101978 3108 82648 -78898 -4297 -54142 -240042 -9374 -12916 -15069 103531 -44301 55288 14045 -194271 88284 74237 57585 -8502 -72327 -77076 21673 8785 -50250 230219 152647 56904 -36701 74885 37380 -36830 5754 76098 -90353 166043 20699 79539 69112 -154448 -25193 -51772 29599 17712 82141 -638 40239 -35 -509 -69308 48719 -36310 98476 -4226 -145416 87305 13392 77475 -58614 230546 86500 121693 145309 97430 73309 124618 -102033 3634 -99992 -47778 -178356 -70222 76007 129380 -179335 18164 -97011 -78642 -7217 -159187 -65714 -132558 112383 44844 63804 -177098 -157635 50211 -34077 239112 -24262 17463 38251 36449 130601 -71105 19802 61311 32807 -11609 -138498 -127579 -123875 -106980 -96507 -28262 -138294 104319 -25881 56966 -74228 27318 194077 -52378 141530 110810 -184503 -19289 -85281 -260914 -9870 108768 133763 -223995 49856 26846 -67069 150525 -38811 -14033 -102792 103762 -42865 -24464 99260 112888 -174328 -127465 9439 -90221 -36566 84810 -27763 24426 -13005 -23751 56974 103393 104410 1063 91670 115742 -22870 117091 -161104 -96740 113163 83287 147740 111704 17196 -122966 80155 -163312 133204 -124887 -30196 87482 -80776 42094 -42560 62867 -100066 60181 -150729 19158 -42776 -78430 85592 -66543 230464 -47635 60765 -159079 12449 166611 -80127 32590 -64782 14109 108317 -48181 -19212 -102804 -10354 39584 6017 
+-86686 -142567 -40261 -89662 -159051 -10110 75087 -9813 83134 10545 -8372 -55206 46454 178359 -61139 -208372 -2157 51462 55831 46029 92667 -146969 -82280 -94438 39207 13391 46315 39970 -109441 -51751 -87864 88674 -20249 50299 85213 162698 -44804 9844 56379 -2036 3243 -97470 -90365 19969 -7893 -3517 -70598 13824 39702 -34105 127021 8373 75194 -40495 -157316 36433 87750 5871 93852 -44468 57664 28562 -104404 9476 71437 55924 106907 70360 -72482 179044 -6783 -104290 170586 210107 46752 117137 58609 105650 -28592 84761 70107 -42294 153602 3778 50835 -106467 -86458 -46845 -22442 22405 -23452 83804 -2201 -33221 70763 674 -81724 -64584 -64262 -15184 3980 -145668 91824 -34572 42065 -41208 227107 -37545 50377 194812 73430 77542 87957 -126980 -27611 -104824 -42292 -142701 -49680 209321 191209 -137869 5919 -106072 -77197 2473 -142270 -91530 -68899 79080 93916 68735 -174641 -166570 69101 -33003 121436 29255 -2333 36435 34014 205072 -64242 -40767 35778 98065 -190220 -203034 33323 -153335 -42066 -111879 -14936 -186476 104007 -20823 34434 -79532 22622 163771 1946 114212 172967 -117448 -17055 -24345 -218479 2139 36492 109291 -204053 99424 119389 20981 119616 -29113 -20152 -152734 99664 -18372 27587 60603 48122 -31241 -131428 45427 -33916 81617 83819 -13069 165485 -10677 -27453 74981 132177 89914 -65387 93359 90372 -49820 63205 -104842 -90565 150793 -20841 132615 86481 56524 -124687 117741 -113764 149403 -97843 -14555 86902 -60863 23792 -5220 16634 -62273 135307 -157484 -15207 -104001 -74252 67342 -125303 121199 -43387 63661 -185523 -11379 198335 -92846 19449 -101447 66594 101919 -38233 -21834 -34412 85711 -81975 -20628 
+-89240 -78382 -25361 -55418 -171551 -20984 117691 -2303 -81464 70950 15969 -37971 47617 165480 -165060 -171225 -28468 11298 74581 23687 95381 -125637 -35499 -116644 29246 -31233 -33134 56186 -114953 59805 -156123 62971 4303 61945 77817 102926 -56063 -19334 55197 -33212 -105733 -75399 -37543 45413 -58238 14780 29016 25083 -28748 -63484 132562 172156 152951 -63560 -112020 -527 65128 9314 91846 -8419 33448 27787 53664 6197 56998 27373 182104 21677 -69489 191476 12523 -135481 33469 148088 35059 37199 93140 143095 -76 131016 47733 -10439 66673 -11052 30581 -246242 -7583 -20644 -6449 17488 -51633 83172 -8188 -46120 60332 -4744 -114278 -89055 -84380 -138296 -5019 -86816 73021 501 -2246 -134 221500 -145963 -137457 189073 54625 81198 -150286 -126163 -21066 -116816 -32106 -93247 74183 248747 249409 -118427 34910 -80446 72743 5930 -111152 -51028 -57610 -521 126335 61568 -161430 -145831 91246 -48011 4202 45641 -11691 112690 31498 234384 -15222 -99023 12801 155621 -201556 -3256 174767 -145780 41574 -97892 -6540 -78883 94308 -35985 -36726 -56845 47787 70615 36633 98237 142264 -11713 -36337 48673 -149718 7183 -55166 72488 -146025 78405 123398 49803 133508 -27055 -16234 -207477 96057 31829 12643 108624 -36593 96261 -117237 -5253 -39096 92296 70400 7145 190881 -2442 60670 50752 121712 99818 -126633 99633 72834 -25147 33970 -87968 -79574 127572 3555 142125 1936 -25306 -132540 134882 -5056 104697 -56508 -2127 70322 -53544 38580 49930 18956 1842 41534 -151472 -83269 -65857 -107571 56973 -56821 -18119 -48220 73628 -111984 -13918 165356 -82983 -66048 -121097 44079 81711 -24821 -20964 -27577 29227 -105742 -16619 
+-78915 -13058 -53044 68697 -74433 -26908 -19951 6184 -234802 39842 46692 -39038 50254 78371 -252263 -138629 -34115 -31835 29653 23240 96811 -101803 -25430 -124932 36204 -59747 -101018 46526 -107029 161312 -212719 -16067 -62853 84524 62208 -49579 -61445 24702 78020 -17809 -206458 -39548 57095 101296 -118259 34247 13843 14859 -107759 -45262 137023 213684 221216 -46322 -43518 -61601 -13195 -25420 85158 32233 16156 33112 147980 77964 34833 -27190 99140 -148129 -72929 36134 22851 -133059 -110248 28685 70497 -88923 111179 103044 65666 158164 18435 2493 -40660 -28672 28458 -201213 113557 -50361 16465 23259 -25226 83943 -7990 -92187 -2464 -30186 -84105 -5416 -108342 -238801 -14329 -57500 59252 86442 -41758 50412 214061 -157937 -247871 113498 44246 95950 -185076 -108704 -1082 -126901 -41063 -43726 135301 131851 278533 -68069 3193 -62643 131901 -3375 -91184 -56578 -115481 -98624 122166 64810 -137993 -134421 56196 -23642 -48809 46404 3520 144194 30995 199743 29688 -147269 2106 198900 -34172 170626 196748 -98112 57266 -114846 20975 58179 80710 -40937 -65817 -48478 30177 -4565 53691 104125 55376 19486 -17229 122168 -63399 24374 -117980 44895 -153940 87471 4775 2449 100661 -39352 -22757 -216642 86257 -892 14175 105622 -77055 63550 -97729 -19294 15791 105613 85666 25414 31934 -9312 65033 70442 99281 90379 -111997 88879 94556 19522 -34441 -68626 -47397 63056 101210 148475 -11661 -126186 -135703 82040 74331 -5862 23373 -38184 40595 -64070 20949 112399 -1509 84494 -129010 -112722 -100791 57141 -90624 110237 33677 -106341 -51756 87306 -27149 -10861 183975 -101254 -145862 -112131 -25676 40425 4979 -9890 -58885 -123089 -62095 -22900 
+-81228 -32884 -21349 194821 2189 -5853 -165644 40470 -241151 22217 34594 -39254 55030 -27584 -259070 -72340 33576 -86013 2326 66718 91672 -105158 6419 -105595 37773 -67480 -50875 17562 -74393 240116 -229237 -69476 -56862 92595 65471 -108543 -78084 30390 26828 -33926 -163141 -53715 131435 170545 -92265 28272 -136961 39917 -194143 -52336 166273 62815 226906 -70304 4085 -122274 -137569 -6624 73922 41134 13541 19565 104910 106494 20156 4302 -3090 -224958 -75227 -68274 84963 -98337 -144430 -31453 79701 -197725 99541 56561 90895 184195 1025 29916 -79104 -45817 54880 -11540 97586 -14309 19842 36961 9437 79572 -13272 -136971 -93544 -26826 -79803 120358 -118345 -256492 -10739 -47178 39032 135703 -67991 89336 204981 -62592 -170703 16947 -3475 84168 -30115 -70790 -35144 -96934 -39067 4992 67537 -6820 260020 -20076 3834 -33492 69346 -32031 -70093 -35147 -155780 -162691 66761 51620 -105835 -94927 56791 -50053 -68883 6711 6986 137781 32957 119511 49234 -181620 6946 215492 116359 116494 121379 -95917 56530 -144906 40944 116264 91794 -64667 -56178 -75390 49353 -4796 48929 71895 -6260 -17903 -20361 59122 23207 -2585 -156442 32832 -93671 25129 -100418 -104846 79858 1743 -21174 -185979 64232 -21323 -12300 105923 -53833 -104907 -84383 -15079 -33578 51516 67825 50947 -50723 3357 76563 44597 49549 89088 -80996 87107 86551 66055 -43978 -53121 -45524 76459 223443 111870 -64980 -159949 -107464 7496 150122 -131764 65484 -34009 2483 -83087 41371 171440 23533 158235 -209147 -78216 -102618 170852 -81477 103179 41896 -53886 -50008 99363 -31862 -19590 184401 -92634 -88737 -84421 -54154 26738 -5450 -33510 -101055 -139814 58491 -24239 
+-74846 -111780 -25292 107200 -27004 1822 -121889 56380 -121943 36571 8914 -61173 56775 -129396 -296146 -22936 79837 -127907 51941 65771 63186 -62030 33847 -128091 42579 -68616 46250 32421 -40266 254438 -206553 -20356 11671 100137 95988 -78521 -96543 14085 -15004 -40561 -27265 -55417 164392 198690 -27805 4991 -192375 -3160 -219806 -49043 105769 -71579 174199 -67150 46153 -124854 -208516 -51163 72816 7858 27020 8584 -36493 140183 14784 61683 -7629 -103656 -68994 94 97725 -40488 -102399 39081 70136 -111820 112290 -4455 113489 170548 -39427 35975 -38994 -42129 63751 78470 32956 -43041 17246 36879 14664 79812 -3992 -161865 -123314 -8323 -47871 189137 -83718 -193711 44137 -85408 53983 100773 -74298 144165 194020 66778 15976 -37568 -71080 92739 116696 -17302 -17141 -65589 -32691 23594 -69741 -47478 206246 30066 9036 30078 -68308 -47394 -39453 -82115 -128201 -132075 -20360 50819 -67501 -93525 41081 -36258 6923 -30656 -16378 162870 35621 21784 144141 -160787 25242 219622 61805 -47314 -4265 -81542 -32772 -177184 77562 57616 97138 -114429 -39328 -87113 95121 40865 13483 66362 15037 -151253 -61358 -34090 95531 66414 -153814 54410 -43669 -65604 -174387 -120103 27231 -10367 -26974 -121536 62379 -101388 -1037 119353 26694 -196997 -83162 61 -84791 -39383 69076 63547 -24098 -7409 69918 26871 15448 80453 28917 54545 92988 120490 -86154 -8799 -31668 -15344 215632 65723 -105254 -58890 -89960 -37123 150713 -202908 79946 -49581 -38959 -91617 32920 217379 27889 198163 -120329 -45912 -118614 212131 -66309 122597 -4987 94127 -56758 105966 -117520 -1387 148727 -80830 -9955 -54682 -2257 -36071 81970 -34058 -117999 -65653 111197 -14253 
+-80221 -143718 -25421 27760 -44120 20441 71391 89258 70961 36079 -10170 -61149 58472 -188933 -263402 31796 111431 -177029 1431 56673 29529 -11325 54324 -83598 41312 -83810 93968 44907 12669 192210 -145307 55650 -22559 94665 72814 49886 -96963 37288 -71936 -1731 34436 -53859 177614 187664 31641 -3711 -131793 16686 -213882 -16103 71595 -72249 117415 -61379 62878 -74321 -174585 13902 64963 -39971 38291 17392 -147384 73292 23119 79468 77481 57883 -78329 180734 124611 17544 9916 150435 68784 68395 118024 -70725 119903 120670 -75698 -26347 59495 -33270 52386 31587 -57537 -24215 -24051 19487 12300 74680 -18318 -171095 -106744 -5387 -65972 146302 -60737 -79085 17441 -137436 35182 20719 -61143 182004 181546 122578 124185 -19073 -39279 68718 64190 44961 -13510 -99776 -30493 19913 -46497 42113 156715 59965 26779 64751 -76817 -81146 -17633 -86002 -73533 -56220 -78888 46619 -25366 -67071 36784 -32105 141647 -53771 -8804 155366 37093 -44315 174005 -82496 50485 191729 -134961 -212407 -89068 -64016 -73997 -204252 75966 -135553 76771 -97666 -19556 -91518 82255 101879 -37201 37215 110112 -192802 -58154 -42993 137261 83198 -124729 98174 14354 -103859 -142664 -52471 23228 11148 -20391 -31971 32733 -132320 10110 99202 123658 -123747 -88585 40905 -124551 -62939 66078 63746 133512 -3769 25371 51893 -2653 97622 33047 53862 81553 167954 -94850 50896 20589 -77693 97308 28370 -100738 41857 -61814 -55645 42464 -213066 62514 -79161 -76414 -81931 43331 243408 13362 223577 43316 -37560 -67616 114959 -86647 111138 -91732 243108 -51227 106615 -203039 -7278 121683 -99793 44213 -53498 61937 -100020 56749 -32475 -65661 77245 92706 -53180 
+-92532 -80162 -34864 -60399 -144073 16810 139221 106543 77884 12085 4719 -56939 55619 -165351 -235151 58208 128222 -209347 -17995 47491 2870 1632 43427 -90918 47655 -76891 58301 30809 71195 80472 -84949 90338 -15099 103192 66158 198016 -93311 46816 -121291 -24113 -45983 -104091 124286 131480 6272 14046 -4114 -16570 -213124 -31494 -23349 63209 26982 -62154 40773 4373 -58629 -8526 60090 -47156 48976 50145 -182396 3962 42199 34278 152388 48870 -76980 183842 154032 52671 166330 205879 51953 116884 112167 -102026 102869 60337 -110834 -33251 153976 -15938 46404 -176698 -129455 -66271 -36118 58680 -46194 75147 -7293 -180618 -40838 -36283 9463 26243 -37257 48845 -13933 -147626 42111 -24494 -26495 198339 167519 65927 38443 41463 -104128 65805 -94706 101853 411 -108490 -20433 -9012 72143 182621 66302 75944 28000 101870 49689 -76372 -9045 -81112 -53991 47462 -94126 49578 17338 -46013 56046 -36410 223829 -45256 -17591 102746 36033 -42617 186490 -5785 74389 149163 -224660 -89943 -61662 -37514 -165039 -199950 75983 -211199 83341 -71424 34039 -89197 93627 182507 -74537 21752 170579 -207567 -63374 23965 138071 60342 -56503 131049 39601 -148177 -50508 37985 -13268 -1707 -23139 52344 16570 -214635 63797 112688 172271 48666 -59191 -243 -143554 4448 59928 54640 203934 -4272 -5659 11614 42673 67175 17837 31831 70089 210155 -89673 37577 46047 -149960 -18311 -755 -113358 36298 -28643 -33668 -79630 -128340 -7569 -27983 -105096 -61407 58649 247668 33129 210173 130497 -45419 -19605 -7493 -106567 119601 -103122 229900 -42001 99994 -166489 -17576 88448 -81971 -36880 -81162 56252 -128663 42160 -43479 -59611 81883 -15296 -57920 
+-107309 -12819 -20254 -7373 -163907 58921 -4229 129436 -43692 39757 15243 -52546 51314 -96301 -208722 86035 101415 -221289 -6509 18944 -42028 59367 24625 -88804 37354 -61483 -65061 21427 116217 -20000 -4013 74882 -50314 96041 74025 166750 -91557 38216 -77760 -7764 -172375 -142404 23970 73846 -68781 33936 56843 -5227 -167143 15077 -32630 189447 -74796 -46539 -24637 41472 57494 -40721 55771 -23513 47419 47937 -70136 20172 59708 25202 138795 -122969 -90178 54478 79852 43713 216678 144715 58437 -50990 98335 -90256 83339 -143 -150281 -109934 167491 7758 55537 -249361 -140183 -63247 -25059 53114 -62422 73991 -7723 -176361 49868 -45603 36515 -76786 -16282 126927 -46714 -144897 49491 -2560 16566 219429 152510 -53519 -144708 129594 -125454 59710 -241824 154952 -14426 -125307 -20851 -52968 154071 241685 -17727 68532 1318 158944 152623 -63741 12827 -89085 -97532 105570 -55317 55855 57782 -25135 46003 -32937 245953 -260 3851 99608 33324 13951 187261 24680 87626 98741 -106157 121724 102603 -65609 -191401 -204815 67479 -110189 89550 -48684 51915 -77507 92833 153150 -99860 16360 148880 -140733 -85733 108979 103897 41493 45440 132154 54275 -137937 65048 48887 -3945 8581 -16194 94862 -2841 -182475 26402 93352 211988 103194 -46459 -7091 -106219 90285 70057 32131 113675 -8102 -65952 33440 124798 80715 -47033 63596 30040 232437 -75558 24287 70274 -206050 -29147 18829 -114279 -105649 6921 25361 -147737 -43392 -69550 -31382 -119855 -54434 27544 221237 26085 145623 30382 -67440 46886 -80523 -103068 70462 -13238 106362 -43251 86976 -62607 -10892 82497 -89286 -96647 -109312 -14125 -179944 64870 -48919 -53136 -54053 -93476 -87081 
+-113557 -28250 -18823 103073 -102093 45076 -149553 147805 -232262 36081 10152 -41995 48823 19804 -147807 104197 43591 -228883 47981 44604 -82770 79119 -13796 -77507 45781 -28885 -94511 26182 121109 -90787 56708 -22452 -39535 82940 69379 9985 -83846 24789 -91569 -17013 -194219 -152868 -27721 21881 -89638 28801 -70519 -38900 -96920 26620 -58254 183260 -132339 -52456 -96249 -9171 93615 -20526 47253 23572 47090 50666 62265 88989 73533 -9713 42840 -223821 -114158 -108039 83857 4309 180041 27539 62480 -217588 61375 -35982 73317 -78926 -179627 -116940 104060 21310 12543 -141697 -52579 -84160 12182 58509 -25678 73307 -2490 -110694 86665 -49072 21292 -81237 -40138 82292 21869 -84246 49084 81654 57048 204363 136200 -152738 -249819 196214 -164465 63632 -70804 188866 4741 -132239 -22964 -98876 76023 148223 -76604 101522 24984 202302 137705 -27539 15442 -89743 -111607 128139 -12002 63686 93149 -11250 5369 -24493 182718 35314 13239 28987 31114 102026 180181 -25961 86217 24210 78126 149769 171291 -102638 -179285 -208087 59811 60746 70698 -42236 86197 -60066 38713 71963 -81789 -24391 65844 -34999 -131966 100341 55634 101772 106982 105510 68734 -84025 134360 -49552 -15496 -34185 -16394 90487 -14237 -177163 -8053 109434 187522 -27170 -28570 -34029 -8161 107002 62327 8485 -42804 4671 -73692 -3446 145526 67438 -125910 12847 80004 228130 -62891 -6357 78860 -179938 76143 19029 -102583 -189072 27879 83860 -154890 93749 -116690 -29352 -119638 -63085 19061 174876 6460 74533 -117827 -108109 121950 -75351 -94938 55268 35383 2798 -47557 74184 15122 -5183 68740 -118791 -106359 -123174 -45784 -231516 30754 -46292 -86103 -103977 -96194 -58896 
+-77600 -127931 -4177 154021 14420 50376 -112050 141202 -263752 31979 27275 -41218 45943 123491 -72189 80819 -11309 -205581 69773 50871 -107896 89859 -51326 -57321 45222 -12830 -55256 13794 162726 -89034 113180 -54317 -20377 79499 48606 -135676 -73346 29933 -38252 -51209 -104068 -141651 -81911 1008 -104412 5601 -187373 -51612 6147 71333 -83106 56387 -159021 -83520 -132768 -53692 24398 -27144 46133 33874 27707 49154 151733 147823 70005 13261 -34566 -142455 -116606 9582 15987 -48321 80633 -25948 77677 -98327 72338 36369 -13627 -141038 -209381 -93812 3773 18223 36024 42095 22004 -109544 16049 18993 4599 72585 254 -80703 30674 -42097 43710 16177 -60024 -9433 19507 -51576 45138 138858 87900 198338 119166 -153114 -162275 193462 -172628 69619 115040 202796 -39389 -97580 -20117 -156859 -51926 4039 -83813 106832 42465 249297 -18379 -11069 12803 -132021 -137522 56884 65411 67921 121114 -18721 71196 -41708 82715 63102 -24978 10425 31319 194161 132628 -93942 70885 -35500 102881 35380 215403 -119209 -152401 -193233 42636 148388 84902 11440 101221 -78797 82348 23703 -51898 -51750 -9266 10926 -117027 -10026 -39819 89415 141945 70279 66578 -61114 89634 -109217 -41527 -39285 -25801 50352 -26813 -113790 17225 92005 126138 -182870 -23649 -13968 -7574 64621 66921 -10123 -59483 -8798 -99983 4725 115305 59514 -115957 -22467 24136 208439 -15071 -28329 108294 -141388 219770 68278 -87344 -126475 64640 119861 -85899 156865 -121020 -32369 -103068 -84419 29128 120736 1075 -333 -203250 -143097 123061 13366 -79011 43405 39664 -101584 -40850 65153 -96214 12681 10231 -78754 -46457 -99552 -9939 -254269 -21147 -49259 -80708 -25208 -11064 -93555 
+-84096 -161871 -55781 119738 -13510 41234 56290 149949 -100623 40456 -6102 -47161 48410 169494 -7502 53885 -37426 -173541 61232 60390 -143429 112432 -56667 -75722 41974 10990 49329 -6334 150457 -7768 126475 -18203 -50612 58170 76231 -119491 -53426 28701 -33879 -48408 25790 -81160 -59852 26012 -23091 -3844 -164027 -29025 70897 75154 -22836 -58310 -116942 -43688 -224326 -107813 -94094 -27031 45504 15128 20059 44849 88766 146856 56077 94605 29464 41563 -119773 146647 -8798 -104130 -69326 36368 74193 49624 85070 114714 1752 -169835 -215891 -49572 -71589 4401 23141 89244 110983 -87652 16842 26682 23737 74373 -887 -40111 -45312 -63412 14128 138687 -94434 -104180 2042 -62182 28748 103055 99956 167545 101289 -40953 22869 134663 -173330 63283 68105 203812 -14858 -90663 -19921 -185244 -64518 -58873 -71586 68802 20842 262125 -75475 -7470 13061 -92032 -109846 -34360 120798 62957 140394 -3838 39398 -37696 -6368 39600 -20957 34358 33529 234945 49590 -170460 45878 -79018 -57779 -181244 112161 -151702 -42883 -211529 26674 38130 79162 -21737 70573 -64324 37912 -41035 -4859 -57356 13446 -9790 -115150 -77234 -122774 95428 130098 38221 46841 -6522 -22258 -109367 -40006 -36294 -16973 -13070 -62145 -41958 26093 86025 35931 -183508 -22020 7662 -15692 13559 55058 -26623 91245 -2787 -70797 32772 82282 67323 -84515 -41171 43624 162001 14869 -65169 90057 -116175 231278 42917 -57340 2072 113913 126490 44511 158914 -82383 -51702 -73916 -91496 25438 52659 17609 -68324 -118814 -160416 154589 173439 -65538 86881 -40183 -54910 -23162 61175 -155392 18414 -17411 -95288 30628 -63347 65766 -275254 -24009 -53606 -129989 79615 79927 -99459 
+-84595 -108307 -24482 14358 -63650 56830 136560 117314 68712 26678 -21753 -59582 54240 164252 54863 32474 -28165 -127272 77018 43069 -169578 145740 -56267 -80851 46385 30561 114923 -23626 138664 97945 111259 42434 -5960 40986 75776 44220 -49108 16168 23846 -24306 3087 -64366 -10262 61167 28722 13376 -58405 -28389 92342 104581 -20003 -36409 -90151 -65408 -222169 -97383 -195182 -5893 38691 -24255 12860 34067 -46556 66306 37276 67518 142274 66949 -124175 197835 -52 -139424 -160756 150165 65451 123879 55669 126939 -47799 -191297 -221169 -16707 -73520 -16356 74890 -54357 68027 -112731 -15500 40596 13511 76071 -6146 20719 -124903 -41302 -25030 189808 -131015 -223468 -22612 -94271 32025 25734 90059 114171 82908 82438 123544 45982 -131568 68206 -148189 176434 -13989 -106198 -18242 -197501 69755 19991 -28721 -11961 44037 261218 3136 -3211 3409 -124576 -86719 -137622 119351 65508 148218 18156 72492 -43538 -64397 -7775 -914 65916 36226 212454 32655 -172434 20493 -106692 -213894 -147257 -23067 -139118 17509 -222431 -10077 -142619 74175 -11548 58887 -64636 13329 32477 33053 -77675 100979 -86383 -114082 -5240 -193703 83904 97463 38545 8455 68137 -111046 -6513 -75147 -19563 -24976 -114537 -62610 -18684 23759 100935 -123622 -20042 -16060 22574 -29066 -63440 52064 -26915 192952 -8679 1228 48151 15115 35349 -12146 -57829 31847 106828 45118 -95736 93570 -27351 137222 98821 9530 59127 124026 95901 143987 103331 -25987 -33723 -36171 -85308 2402 -1182 21413 -108856 56718 -156684 179499 198623 -66212 127929 -89120 36226 -23143 66817 -168658 35281 -44568 -101710 96 -45816 59615 -284162 -78220 -26856 -32794 30735 101889 -68079 
+-97422 -30043 -41370 -57296 -155511 51644 -8464 118326 43908 42328 1708 -61763 55942 81210 81976 -36054 12016 -87138 -19776 24802 -168994 136770 -3342 -84210 44239 66005 61956 -26764 99654 207083 42521 79099 -35756 29483 74403 166889 -50768 -12336 52181 -35376 -118857 -40505 82547 123994 539 33699 24136 -28221 102927 109974 12959 82307 -1389 -50167 -243814 -71172 -214867 -52597 43447 -44815 21292 58632 -164690 24589 21754 2940 156942 -72691 -128504 71085 -2916 -135650 -134798 209068 50041 -22444 91683 107481 -43950 -154853 -213911 6540 13656 -39972 43095 -223670 -27971 -118660 -26793 77687 -58478 80323 1389 56149 -126040 -58432 -51898 128832 -129587 -270365 -17757 -156201 55340 -27320 60476 99130 64107 122151 33731 -27874 -137636 59130 -214869 139245 -5098 -144716 -20036 -183708 150002 149579 52870 15551 54204 287111 135539 22254 -14015 -125736 -20186 -147931 84420 59981 144805 5941 55123 -60048 -8303 -46694 2752 78675 37071 142968 -39903 -147949 4442 -116208 -163608 86013 -55380 -92474 54806 -185317 -17152 -229372 87727 -69766 -12357 -59608 39291 143263 53151 -96847 172034 -184513 -157637 90700 -251594 145264 22346 54707 -30475 82968 -171869 40335 -79169 -25934 -19547 -184268 -63649 46381 21785 80678 -102679 104713 1259 50244 -93395 -8113 28674 -14013 150321 -1063 29167 45057 9109 47420 15274 -59552 29303 62612 117149 -113665 51300 34665 8234 124688 74121 -28378 122081 13509 129668 32795 38072 -62933 5149 -62887 8464 -37891 -11712 -89709 136008 -134470 125930 159105 -79231 131247 -64787 223306 -12752 77210 -109244 46249 -68573 -97462 -80230 -65851 -19506 -288048 -34757 -34158 -68711 -63606 57493 -48238 
+-108362 -2706 -44426 -65612 -179368 55069 -143825 79647 -54223 -3263 28340 -56860 59254 -22053 110038 -77938 65867 -36710 40374 18917 -193339 123105 17732 -89148 52476 77667 -42800 -17285 63407 257465 -27780 48290 -79643 -6038 84096 184741 -52936 -16279 90629 -31263 -216115 -55095 164807 181321 -76084 29327 -5028 -6552 64602 129984 79492 206596 105681 -52033 -206983 259 -114102 10741 39468 -32476 32683 35106 -177313 14050 14637 -17549 49616 -219409 -130835 -56278 36463 -95640 -15854 145242 49001 -178677 71894 60970 -39254 -109284 -201442 64112 126988 -49207 76287 -231727 -86615 -153976 -44008 37230 -48695 81622 12391 63420 -61095 -46636 -70814 4229 -100078 -202591 -3270 -143163 54313 6484 19124 34380 45559 59379 -154515 -36602 -132303 94626 -55964 84164 -17429 -81925 -14827 -146185 67162 240898 113009 -17935 11238 285547 127377 -22674 -38311 -121840 -109718 -81463 14275 60770 130426 8896 36581 -56488 96305 -43469 11691 133711 35397 47817 -52668 -86689 3101 -102975 21094 178132 -36903 -82095 44311 -199084 -34346 -133160 94380 -79379 -53781 -91738 50530 162368 45677 -117155 158021 -191623 -136289 94191 -242587 103587 -67852 98222 -66727 103211 -110198 19372 -89367 -12354 -16971 -218242 -64995 -8273 -9498 53881 -38476 43905 22795 1110 -125805 48622 36843 5742 33825 -9556 57407 64227 20509 33087 13275 -100210 66041 6749 146949 -112002 47967 92222 -32758 141292 105425 -154423 120178 -52680 101748 -93825 68229 -20327 42793 -54432 19090 -52422 181 -53977 49321 -90024 87264 12303 -54060 117377 8962 271963 -10702 89658 -42293 19600 -101526 -76670 -134184 -96796 -57858 -244413 -46429 -33443 -71188 -140091 -53785 -64202 
+-99454 -96404 -321 57508 -21676 46476 -139960 45874 -242938 54342 29428 -45766 56449 -126953 91471 -142017 121670 11149 6184 12285 -194363 143071 37252 -123621 53248 87800 -107136 -25404 20016 238408 -111290 20781 -49090 -35679 82841 77568 -67262 2851 53698 -4229 -147948 -7465 188049 191785 -102112 6237 -159727 25206 25758 157898 70897 144257 176439 -54397 -190572 29133 1122 -19682 37665 8811 49843 30192 -53884 82270 23058 1584 -22270 -174133 -117757 -10613 108422 -40741 115843 29328 60456 -141856 108988 -17734 -18625 -53541 -178737 14075 173470 -45861 48930 -52715 -151827 -176218 -26962 30128 5511 82210 2697 78154 27041 -60259 -72631 -85795 -36276 -97023 -40688 -102344 44903 81190 -23774 1859 26940 -67927 -250300 25359 -79340 96200 116837 21227 -1458 -68863 -18337 -101818 -58565 175580 183958 -100278 -6927 263335 -12037 -14517 -58908 -102625 -151562 35879 -44911 48891 105981 4721 34123 -62117 210422 -30627 23133 159408 32579 -32896 -71644 14097 16483 -60162 119759 61460 137781 -42913 -30557 -152831 -43351 5331 86965 -52847 -60265 -89919 60142 182529 12546 -117412 67402 -159262 -98182 12000 -223625 97050 -130357 131383 -102957 58769 -16991 -78710 -117057 21889 -14142 -207439 -84659 -15692 -29490 145250 27795 -123353 28897 -6250 -134934 110876 29891 32387 -63552 -13158 91057 78908 65852 19356 -35547 -94929 57690 -26837 182450 -117127 9992 107686 46410 147604 147022 -166431 150957 -45439 -11915 -163160 75108 -37578 71666 -62024 6494 -40394 21533 17425 -121812 -49787 -8361 -95151 -39876 88297 57232 160362 -8268 101734 -27902 26275 -107529 -82841 -78166 -122768 -25621 -229399 9737 -43311 -63472 -76743 -108812 -52377 
+-60770 -149788 -4902 165529 2559 38489 41478 29490 -248103 41270 15581 -37182 52577 -183837 67246 -170567 137032 43562 21220 63171 -194879 100868 70273 -54973 54190 92977 -24241 -25956 -57644 146820 -185462 -41010 -30187 -72156 65592 -92652 -75198 15543 14568 18078 -26164 -95946 157484 179520 -69666 -3981 -188435 36714 -49821 119455 104752 28189 210432 -85338 -113837 17510 89264 3996 45973 28082 53661 41057 76062 139197 40359 50352 13855 2255 -108082 196153 132277 20994 215053 -28166 79640 42911 107942 -78591 -2626 32693 -143631 -13659 137959 -32557 17667 84604 -128749 -135693 13449 -13191 47828 83025 11966 87846 79675 -41992 -122141 -70597 -28636 19972 -10768 -51910 55909 142454 -57951 -42803 8841 -156181 -154036 113480 -82954 73080 61232 -30811 -933 -94269 -17582 -57391 -63009 25490 244446 -119611 15807 246206 -74960 -52849 -83701 -102746 -110608 105695 -81069 51053 73563 -30017 69352 -52999 249831 19199 -17372 151791 30940 -52209 -82941 26648 39379 -15061 12210 -149713 219756 -30972 -78595 -132783 -30429 134730 109124 -56468 -54547 -69058 11714 110472 -37092 -148745 -3494 -81864 -127451 -68590 -165839 114592 -163257 132385 -157440 2546 81035 -130487 -149025 -11024 -15322 -177888 -68469 -50226 20680 55683 128200 -206757 -2130 -14048 -88044 122338 15719 51957 10751 -17249 73806 101771 108635 14229 -120033 -108454 28848 -39815 221393 -147008 -4721 131217 168968 156779 162050 -56582 148709 -26890 -142448 -186790 40775 -29823 87410 -82229 27127 -6958 -18837 95195 -224107 -40310 -4048 -92686 -28696 101326 18358 -13998 -8241 107854 -145684 19031 -111170 -75331 27347 -123926 52319 -186146 23097 -44130 -106898 52852 -81197 -69707 
+-75160 -126863 -35736 149405 -41513 26779 138586 -5844 -115590 63654 -19578 -43889 50068 -172934 -2749 -206656 94504 55644 11682 41609 -155031 84172 17065 -68155 51108 96162 69040 -28375 -99167 41188 -215352 -64048 4556 -83532 44202 -133082 -80980 -28933 -21597 -27708 28848 -116858 102361 120584 -8431 12636 -81598 75993 -131091 133624 132028 -60665 207215 -39023 -33185 -51620 69154 -15837 49067 25151 57143 41628 149458 143085 60671 68272 125186 75840 -111216 223676 143819 55379 212268 34200 78157 133619 141881 -92021 49108 98755 -107590 -36903 36122 -3619 19368 48703 -8771 -137068 14617 6240 49800 83350 24481 47211 67748 -53348 -115729 38344 -18936 109052 14273 -35381 87092 119392 -74047 -72896 -8865 -137470 32165 175942 -37720 70819 -118352 -83937 -22841 -30517 -25211 -5632 55230 -61658 276573 -192445 19421 188144 -16122 -69108 -108368 -99328 -51150 115830 -70625 55416 34614 -34454 92571 -82110 210476 53835 -24444 132181 31683 -8889 -23420 9036 65252 55121 -174062 -181221 151603 -57104 -155682 -140409 -20464 34178 94558 11846 -45892 -59029 61727 49863 -72501 -174156 8571 21406 -97402 -24734 -80762 102265 -163018 107098 -202668 -59034 83794 -63058 -98060 -29351 -27051 -96600 -63783 -140564 30040 58291 163671 -111112 5813 35770 -49065 45625 19621 65624 157558 -15107 45852 82360 126248 11066 -169956 -120599 67623 -35506 233256 -75453 -44317 138308 228699 81064 201960 44813 95180 18783 -155803 -171586 -22124 -21071 87303 -91725 -4567 45772 5899 161856 -101211 -38524 -95388 -45620 -20753 93486 -38408 -92603 -1482 106197 -201285 33239 -102072 -97245 11826 -94709 67145 -117584 64596 -47994 -85882 69572 7200 -25459 
+-74370 -60290 -23194 23452 -84607 -4421 24997 -33122 84349 10870 -7788 -50121 45877 -97572 -109610 -231791 46418 68923 36420 25400 -129473 48997 -10979 -64919 58829 82784 96330 -41866 -123663 -55056 -236462 34072 -15717 -113556 53718 -58161 -97203 -18323 -80081 -22588 -35203 -152095 -814 54213 22792 33365 22053 92456 -215334 127778 174268 -22440 147792 -49196 10636 -113881 -41430 -26276 48318 -18068 33166 58628 84573 84095 73213 59618 165912 -45748 -102022 63293 122595 45177 110732 159214 59072 -39493 100018 -71494 71599 154098 -66032 -86145 -51367 8060 25549 -130038 72358 -164467 25885 12918 -29408 81890 26544 27101 -21522 -56022 -53162 154897 -20709 89023 2214 -72635 80689 27505 -69075 -89449 -25696 -30825 123896 200922 4423 82873 -251645 -115675 -10291 -43606 -22038 15615 134061 -10734 263820 -172742 -13350 155587 121978 -59625 -128008 -109098 -41738 59467 -45928 51952 -7306 -37786 82473 -68668 114256 48883 -36117 111324 34230 80931 26312 -97312 84046 111458 -215999 2348 39446 -90755 -193089 -111720 7562 -155762 104116 -37731 32431 -64872 100649 -5682 -91042 -194227 96712 16547 -113692 57583 2500 87685 -111638 63307 -231033 -134339 65962 5185 -115375 -28598 -14592 -17552 -45279 -155870 32192 77884 171828 53449 17473 31798 11206 -69828 3942 61136 176941 -8636 15084 105519 102701 3759 -123747 -155295 93306 -5576 267371 -94467 -74473 123221 160833 41530 193128 36260 101043 96773 -123327 -109479 -88936 -48495 71321 -82418 53653 109447 26361 213943 54710 -64426 -93862 97983 -40646 62411 -96673 -105778 -681 96548 -162055 53908 -85093 -73992 -27494 -57584 1870 -73692 61496 -25968 -92040 -25730 101745 -13149 
+-83819 -15418 18295 -76742 -169578 -24208 -140494 -17334 91316 35597 39343 -60881 47100 11110 -181648 -224885 6129 55520 30525 27638 -96567 513 -31305 -84798 54183 70887 96481 -41816 -122923 -102404 -189706 47955 -81515 -133653 89756 125889 -92201 16838 -121784 -43678 -167251 -152089 -64200 24689 -15288 29821 33422 43044 -213718 103944 97666 139510 58799 -64478 40294 -103488 -160099 -16417 51660 -45915 13782 37248 -82673 18006 72923 -22165 105947 -204842 -89884 -80503 104245 3330 -22684 208960 67107 -130898 73437 -2508 127208 161889 -40689 -88754 -73592 25026 34188 -242824 105009 -159347 -15025 14883 -41672 80263 30253 -39021 -95643 -67423 -34978 187115 -70427 9424 -24256 -122409 80248 -14994 -43758 -99133 -41884 87955 25186 163762 28721 64166 -38799 -129595 -18626 -7510 -29700 17028 88143 156266 217204 -193117 -12476 103318 159460 -64300 -154953 -74797 -121578 -37673 17348 46744 -50063 -64690 105403 -57213 6748 14519 -20510 50232 36510 167576 66240 -142035 88196 173986 -46875 213886 -40782 -115516 -176735 -100933 21173 -231764 111609 -9842 71524 -70964 92370 663 -89811 -220886 165928 -73702 -75703 123360 77525 71632 -26214 32392 -209307 -133702 -69113 45450 -101874 -41617 -19889 41900 -23783 -219660 11730 112686 165177 98221 28114 9157 -1167 -69992 -3052 52431 90451 -13514 -5266 122292 68885 -10404 -47283 -184032 96084 35148 241879 -59225 -78361 9843 33879 22598 213280 -110101 83920 117755 -16362 48635 -119395 -66392 42239 -63634 24907 169767 33517 217750 136554 -98691 -99071 164020 -5952 80442 -64181 55371 8534 83758 -62418 32332 -74134 -41596 -85363 -46979 -72615 -10395 55173 -7469 -46661 -136609 90529 -11691 
+-84544 -78225 -48392 -42014 -145695 -23212 -154837 -14412 -81974 20873 46629 -59758 48191 111995 -237059 -219095 -20211 33574 98587 9770 -68148 -11847 -65448 -87751 58341 43955 -45750 -9084 -123125 -54703 -138319 61774 -79209 -156493 83613 224636 -96797 -6958 -105818 -57396 -186013 -118082 -92362 -8145 -57130 6841 -91063 47305 -242388 86155 55286 211187 -9114 -54359 56553 -72280 -210683 -16475 57631 -43905 17081 38983 -173364 24817 60044 -11626 21499 -201992 -81900 -8855 73954 -49837 -136921 146861 33658 -138335 52344 69594 123077 177015 9036 -71351 -22886 13728 60821 -172227 70017 -136303 -24611 16724 -65687 76478 32707 -78179 -135069 -64503 26703 109479 -95786 -127948 7040 -147619 82462 -18584 -4791 -93875 -56517 120271 -161198 58699 92330 64715 141925 -116595 -22368 -99560 -36004 -4185 -55699 249082 154760 -232632 37485 52483 15907 7126 -173697 -63380 -179907 -87660 73365 58784 -90227 -66101 59619 -51932 -53933 -26490 32163 44378 36782 222626 109028 -167683 79133 208741 106701 123424 -47150 -122955 -116815 -76567 51422 -115112 125452 4138 102098 -94623 89996 91179 -54360 -205508 159789 -157560 -70828 26645 126450 50787 48014 29532 -219294 -124164 -162592 -14296 -131831 -56094 -13995 88602 -12400 -185801 -10605 56426 80079 -34701 11444 17780 -40777 42954 -1793 31440 -56443 -6645 -51059 115239 32162 -14995 51883 -190627 76903 95798 208485 -29325 -88357 -92595 -35788 31528 226786 -179788 18973 121771 105456 103688 -117609 -92829 4062 -54049 61518 216631 15278 205686 44932 -130723 -97358 139948 -6318 127645 29945 223377 4458 71739 23025 20144 -55058 -56292 -170096 -72792 -15060 16091 25348 -53210 -41758 -88998 4754 -11573 
+-82136 -146734 -7111 68324 -60507 -15505 26346 -1418 -231045 24635 17763 -51958 55945 170355 -257724 -186280 -35783 -2692 79469 19714 -14721 -45140 -61797 -89519 55517 14049 -106627 -28723 -67095 54266 -69659 16569 -28568 -177454 80986 97239 -88742 -39986 -73126 -4264 -106813 -82546 -59302 23774 -108080 -4103 -204932 12181 -189141 52931 37901 107949 -101292 -45574 21665 -14580 -163134 -9602 62935 -1684 18191 37154 -161791 82149 39736 -6358 14847 -32681 -79155 190041 37041 -105783 -135130 21369 48856 -16186 72371 110670 134140 136092 38934 -52235 91938 5405 45167 10832 -35932 -120097 -28446 -4592 3916 76461 42043 -102434 -81358 -47487 24425 -16574 -90325 -209465 -20911 -122967 82514 73800 38948 -64696 -69981 41789 -248496 -10285 56957 54044 36077 -89711 6455 -59516 -33331 -54182 -55217 174388 55942 -220172 47285 9463 -82183 -3187 -184583 -65335 -118345 -164194 124246 64595 -125462 -102121 68819 -65906 -62387 -58684 -6611 41090 34769 231498 184601 -146667 56661 223913 75668 -120812 -3668 -138946 -54312 -72733 68992 46206 113525 -59714 103181 -48379 96149 160856 -5578 -193748 70085 -207881 -30650 -88428 148790 52320 112214 58573 -190772 -100599 -196407 -99996 -133697 -31641 -18670 71916 4388 -124276 -18572 116193 20847 -178219 2028 -15916 -71779 93404 -2224 8991 -40232 3983 -93200 120915 23303 -21082 5655 -186825 72824 146049 208510 14979 -95318 -139185 23185 11746 176947 -128043 -5543 96295 118238 161050 -66851 -90079 -37011 -62183 63795 247808 18804 142076 -125859 -154054 -51212 65281 7722 133890 47232 251435 -7292 63919 -90780 29836 -29914 -53759 -37023 -115213 31403 79811 58614 -37102 -82427 32538 -82355 3622 
+-80143 -137303 -45262 179647 27129 -18225 130461 20103 -257105 49122 2299 -45173 57229 168041 -285258 -150837 17326 -52999 95922 64079 19413 -70345 -11718 -74770 61289 -10259 -35332 -2147 -29821 158994 5793 -44546 33235 -179685 45098 10947 -71414 -68282 -78178 7804 22618 -48511 19089 71543 -54318 11963 -157436 63 -121975 43168 -36921 -19559 -150323 -39410 -37625 2395 -48052 -12458 73003 35274 37428 53937 -40581 146419 21482 65832 65755 86896 -76285 215055 444 -140324 -50220 -29849 87908 143178 55109 124782 84418 95588 61032 -38054 168613 -24589 83886 95778 -101717 -101323 -33306 -89 24556 72449 50228 -140099 -6687 -64564 32829 -91759 -101926 -256979 -3125 -88421 89625 125959 76199 -27014 -82013 -86680 -147507 -45285 49956 43992 -120628 -44643 -10508 6551 -31837 -98899 56967 28987 -3805 -227464 8087 -35028 -22017 5981 -190654 -67426 -90036 -91758 102351 64575 -152580 -114113 39274 -24016 52885 -55595 -11555 37880 32028 168984 218720 -96122 29677 212817 -110898 -191096 166068 -130685 37937 -46250 75051 116252 119842 -125758 90869 -111966 25343 181622 28602 -229259 765 -189946 -69525 -40259 116714 17195 146575 98666 -160907 -45261 -71402 -131136 -88689 -18649 -15952 44275 25156 -68791 21258 89982 -108533 -180057 9244 -2047 -115855 166661 19249 -11993 106797 -4847 -61932 114921 23891 -20937 -46572 -186574 95211 200597 153534 13162 -93725 -192894 140161 35379 138856 14286 -36566 29008 122163 130813 -1416 -54851 -74921 -80823 62180 248267 16520 64787 -213392 -155433 8956 -47282 16120 144968 -18518 182238 -4713 62048 -162013 -3339 -14337 -41962 42841 -125210 57449 78908 19067 -24330 -129276 41699 -85756 21430 
+-105149 -60509 -15377 137982 -37900 -11650 47615 54055 -134833 26267 -3742 -42912 54847 101585 -281615 -93618 65319 -105089 50428 56342 59650 -114667 6782 -96171 58937 -44705 63254 -39312 191 237496 96838 -73153 -20024 -209140 76960 -110388 -65778 -27187 660 14930 6926 -37827 115265 142955 -24416 33057 -6372 -26489 -76362 33526 -52525 -67400 -156683 -54841 -83048 20608 64149 -14584 75775 31530 49793 31822 105771 142188 15586 74016 153496 -4572 -68582 50552 -10621 -136669 79852 33711 90121 28075 29167 97070 20286 45152 65312 24163 161363 -37690 45287 -34224 -169124 -72731 3406 -14590 33270 74140 48179 -174762 63330 -76901 54731 -56415 -87096 -211339 12067 -41403 67568 117701 96556 12600 -92604 -165374 39855 13660 21163 64106 -250573 11767 -17087 -2751 -45058 -148581 154922 -45736 -65597 -184437 17355 -49228 98411 42988 -189887 -72264 -3915 -5717 62224 58217 -170347 -130252 52235 -37395 173408 6139 -12684 47844 30774 72155 206802 1783 9994 186447 -224045 -73275 205245 -103112 73621 -16471 78176 24972 109075 -60290 44716 -86745 23211 138281 51370 -243497 -2356 -117154 -20815 48581 54899 33604 127972 134204 -129350 24600 71037 -40725 -151332 -13291 -16835 -24495 58206 -2456 37 126836 -110248 -27430 -5722 56989 -126753 81857 -15607 -22096 219491 5358 -44388 102442 70236 -19643 -127169 -186625 95840 232898 98796 -15192 -87386 -212168 220175 27727 98733 37987 -108246 -45361 47517 43218 41858 -36040 -104203 -92208 20164 224557 32454 -13868 -115148 -141791 66224 -98965 40437 98668 -88781 -10410 -6583 68875 -172683 9564 23022 -92272 16325 -111551 13008 114050 -26702 -36506 -94582 -22907 -31228 37141 
+-63490 2120 -3702 10628 -106249 4774 -126472 96962 69742 51372 18204 -44989 55114 -23994 -255739 -48872 112131 -152951 21353 47319 81490 -129643 36644 -72304 60980 -57930 129716 -30762 67970 251367 116986 -26 -42244 -216400 73203 -95147 -54469 -81509 18105 -9488 -109113 -44918 171815 191335 36743 30311 45936 -19066 20149 -844 -66051 24248 -126565 -40065 -180601 -32282 85136 18312 76523 -5404 60391 64178 139010 87770 23499 20832 155836 -176259 -58788 -62196 6477 -92714 216838 154385 90049 -98967 60358 39397 34190 -34477 79706 38715 88606 -44617 19205 -216348 -101883 -39244 34136 -29699 9669 72808 59886 -188913 74693 -55159 14600 60951 -69129 -94258 -13397 -46515 57522 49240 97071 53511 -101017 -133302 123277 89802 22246 43809 -73312 71493 -5076 -31130 -42626 -187310 85694 -27063 -107676 -161267 14246 -70063 138955 -6381 -186290 -75323 -53360 59774 12693 55025 -177189 -152130 55275 -29484 251171 38050 -14631 88985 32088 -11811 204939 31124 1437 129191 -124306 122036 140934 -86036 35517 15123 84940 -103577 107255 -69555 24520 -99003 73577 40931 44282 -237664 82371 -10973 1513 100030 -16673 6693 79058 124712 -62725 61857 100346 57501 -111794 7651 -16717 -99359 67753 40860 307 98401 -37349 109218 -3729 14303 -77720 13424 -15351 -25107 149443 -1998 -17990 105299 120017 -36064 -150757 -188751 106814 236270 66328 -7592 -53034 -172650 200286 136252 76856 -37904 -88978 -68466 -31004 -108122 73515 6597 -119466 -84155 43177 180724 16170 -62503 36318 -102769 125364 -72818 55360 73436 -99484 -118478 -12583 81243 -91096 616 46956 -53836 -57605 -66488 -45054 86324 -52458 -1841 -79618 -144569 55727 51753 
+-77015 -61476 -31107 -100040 -182908 23611 -151277 108796 95886 65348 23002 -55802 49987 -123852 -217524 -4527 120217 -193458 1389 25983 87868 -130819 52539 -89125 65399 -78832 40721 5309 92821 203679 128823 72545 -37913 -216619 68033 42442 -54208 -20481 59475 -19823 -202475 -62906 177600 188999 5255 7519 -63696 9257 80637 -31489 -54399 140947 -72329 -27443 -218946 -86339 -67 -10648 88938 -45693 47077 77283 51109 13803 38059 -28400 11679 -220428 -70012 -24406 64165 -33304 233254 211504 65137 -154909 66929 -22258 -34895 -107558 63485 8228 -15998 -46922 -12003 -228204 10970 -93147 33165 -18610 -50197 76864 67234 -169546 9966 -63739 11920 168356 -33895 22860 -24661 -114488 32815 -19259 75653 109153 -107631 -13492 17521 173568 25587 68297 103022 132087 -22178 -4281 -47012 -199954 -39369 131923 -76473 -108357 17238 -80835 52206 4807 -181710 -61915 -138298 142464 -49681 55097 -172966 -160919 43212 -37988 227606 65300 -5680 123308 34958 -49684 159963 7212 8864 74773 60008 139664 5001 -54602 -9886 -999 69384 -197135 115652 -25735 -42955 -79691 14396 -9991 19065 -227313 161219 -7615 -2199 70007 -112154 -18050 341 103496 -28686 101715 127631 34716 -133592 29639 -13657 -178071 80842 26740 5024 102300 69376 68699 -19006 32082 -18990 -52105 -37931 -12627 -30417 -5788 43942 81372 147612 -41198 -135967 -216609 80330 212978 11857 -34701 -32895 -156714 68595 137630 13141 -145432 -111059 -49354 -124041 -172059 64734 -20296 -119906 -64298 58016 120768 38831 -99274 142595 -66377 146053 41047 64412 48684 -50992 -92007 -21985 93920 -10259 14262 107592 -40624 -108647 -50043 -28694 82007 -48009 -43497 -43263 -75141 93851 60434 
+-98619 -150789 -28551 -48854 -138287 18148 6716 127308 -59246 21472 41243 -65098 47305 -175628 -118895 56520 106487 -223845 17589 30348 95515 -151064 48071 -103916 64425 -79252 -49122 -12893 147139 106205 85073 92388 -25896 -218147 87769 196655 -47957 -60488 57707 -28550 -166718 -101857 128959 164812 -79937 -4139 -175916 -55450 111106 -28431 -47563 205127 25940 -42286 -235634 -122088 -110460 -60952 96693 -42080 28758 12559 -90149 14938 59650 15394 -53170 -72039 -74199 166313 111997 17721 158270 145813 66909 15119 105629 -93005 -40433 -149843 51203 4073 -79278 -27891 33739 -73915 84436 -36771 -21338 -11907 -48601 78498 81038 -127558 -78622 -52600 -30766 180573 -16923 103937 -52827 -144286 33624 -11264 40024 146416 -112790 101815 -169124 199255 36657 81704 83471 171301 -2582 -26619 -49229 -187211 -64706 226440 -26474 -102214 14792 -119554 -83076 -10855 -156810 -72532 -151962 100515 -64290 48596 -158095 -173088 69969 -44448 162374 41356 -7092 148586 36887 -28813 82586 -63388 29111 -2146 108678 -54080 -115564 -45079 -79363 11795 49876 -136992 102198 -33299 -73850 -69717 24460 13320 -35716 -257153 161645 -30480 -33948 -42824 -176419 -14938 -77627 54083 792 93725 9848 -68876 -107341 3749 -20969 -220066 89179 -9418 -382 111573 133842 -117566 -33164 -11656 -1767 -44174 7862 10612 -55138 -1417 77341 89160 98736 -54496 -75815 -149654 83464 184794 -18391 -67941 -3242 -95004 -38314 154282 -48307 -178788 -130263 18926 -160454 -202082 20305 -35362 -104541 -54451 23543 57348 24190 -90527 60630 -39932 166232 165608 85044 25681 44807 19317 -24355 102944 -28548 -903 123004 -41915 -115455 -60911 25424 12965 -52597 -53637 -75328 37991 65915 55034 
+-58683 -156781 7220 88637 -72031 38161 119854 139497 -212660 36816 26643 -63530 46622 -178848 -45543 71915 30996 -223671 -20635 38461 88035 -186067 597 -111176 64356 -68375 -98246 645 167780 -11919 11053 67250 -4276 -197634 98137 173608 -63439 -86794 59138 -29238 -40845 -141976 69947 91101 -131265 11250 -192864 -37122 101404 -52855 1144 102154 108526 -52351 -251833 -76638 -205043 -9151 96087 -13875 16317 -389 -179837 83264 72161 90454 52135 64723 -86217 230247 106798 50570 20451 25594 51832 102177 109630 -89512 -47042 -172821 15188 -27195 -42847 -10340 18205 76087 75065 -34294 -37923 -10357 1467 78293 78876 -99066 -131970 -56083 -85400 88487 -22120 107817 -1003 -145825 51492 69206 -4067 182322 -116113 116907 -247786 164586 -56565 76765 -133645 197035 -9279 -20281 -48243 -156954 46285 193610 52508 -26623 -1244 -63821 -59020 -45977 -144435 -71477 -100295 4683 -94081 48202 -132993 -166578 42561 -37404 69571 -3033 3915 161757 36469 52095 26816 -116804 55794 -52855 -26944 -196272 -112146 -64777 -155423 41365 26866 47898 98063 -23053 -53621 -77842 48258 63334 -78025 -233551 85935 -140643 5060 -56960 -237828 -26928 -140378 36431 51250 -910 -98702 -108589 -77877 -20832 -23606 -216002 92309 -43431 12406 83314 186201 -208035 -38490 20381 -37139 60917 -17030 29694 21890 -11355 82193 54633 86804 -55432 25105 -170163 79335 143546 -54242 -89758 27193 -22278 -13191 145685 -57172 -52233 -110213 91113 -88727 -164107 -46385 -48657 -75176 -61474 21024 3587 25490 -57253 -114614 -39980 163970 189609 80392 84873 55076 191400 -35914 107945 -146040 -23671 131798 -74053 34460 -98262 72409 -1028 -37478 -21738 -107758 71326 -39815 65908 
+-89263 -73627 -31429 168595 17757 47307 41615 150890 -263424 32162 -20112 -50506 48634 -108953 -5205 110211 -5762 -212291 43320 53933 70710 -166951 -44022 -90573 62182 -74320 -33301 26205 165600 -72316 -74351 3594 -12545 -191333 69262 20331 -72438 -93896 1882 -43816 38769 -125676 -12817 32883 -82043 32696 -47971 -16291 66776 -31460 10138 -53594 202756 -64271 -222533 1968 -185492 -13683 108139 25142 13703 8716 -147776 139684 71725 62870 160396 29052 -97282 95771 102692 48681 -113646 -30130 85783 78070 99405 -58408 -39504 -176583 -28669 -85696 51846 9998 39462 67789 35705 -31995 -39787 -7143 33162 82355 86373 -64679 -113317 -61273 -78468 -35732 -45203 34852 6780 -103882 45206 135860 -44015 206460 -116505 30403 -137634 77678 -60346 74063 -220347 202619 -3516 -30552 -40072 -109152 147265 63991 111482 22392 2062 -62188 69220 -47080 -119760 -119934 -36982 -85192 -24171 50187 -99312 -179052 20646 -53785 -33858 -31997 7207 128670 34056 147448 12410 -203233 77998 -92181 -205251 -136569 59589 -94430 -199211 48271 -4540 125978 94424 -43686 -42001 -57123 65547 130330 -93256 -243507 5555 -208595 -41030 27661 -246148 12568 -171625 39894 61646 -6303 -170931 -88410 -88561 -37477 -25992 -156815 101215 -149116 33069 110768 204446 -94629 -43172 13386 -55746 125221 -18849 51621 164195 -7404 63055 57213 29470 -54344 23105 -202473 84092 82644 -68485 -135240 49819 60257 114082 148848 -91717 46410 -108709 124381 19901 -93763 -96862 -82729 -38002 -81274 59112 -37133 17923 24405 -199506 -45156 124593 81172 131489 95878 -38836 249582 -36300 104506 -178851 -22275 143126 -37107 43588 -116839 6613 -50925 8928 -34082 -106483 -32630 -104693 55332 
+-94119 -14246 -62424 148324 -6482 66697 -118959 142904 -107754 61777 1959 -43698 52295 5928 32844 85254 -26281 -197695 37973 41707 36785 -185227 -57681 -72058 62957 -57948 108935 33452 150026 -87293 -135981 -34377 -69528 -185305 46723 -109287 -81418 -82904 -60880 -25890 -39623 -135549 -74031 8308 -10828 30761 22851 -5580 25857 -48440 55716 -68675 224556 -73295 -159870 44248 -103906 -18789 114383 31684 20398 19701 -17467 149350 58296 32474 159570 -146437 -108225 -67092 140024 6614 -129174 36940 64011 -109859 106011 -13987 1018 -137458 -57070 -107182 143300 18304 51055 -112364 -56155 -25841 -30879 -1941 11437 80683 96930 -20345 -45386 -67536 -95180 -94046 -77070 -106188 38515 -56659 37777 129283 -67997 217252 -115665 -93384 49048 9040 -121869 77452 -88092 192666 -18958 -49320 -44522 -57033 85655 -45862 177518 38617 -13059 -47676 181416 -69900 -92573 -99132 -81352 -114519 39310 48786 -60236 -169727 35452 -35464 -77121 -64114 -9798 105501 31563 215087 -45582 -168426 89228 -110909 -195377 107604 161505 -115496 -163869 28491 -26868 52380 95279 -37004 -4238 -68848 85426 199518 -86173 -247941 -1089 -202452 -1780 99144 -231400 -36215 -148848 65391 71802 -104119 -153329 17515 -85176 -39652 -23992 -86525 93462 -143594 1490 108845 118757 57095 -60919 41906 -89568 125729 -19997 66736 185623 -6180 64980 16483 1537 -48628 6465 -118453 106671 20251 -76007 -141635 69991 112323 227833 92144 -111402 19420 -117433 116858 106474 55243 -121974 -37447 3158 -94116 14130 -51578 24867 104756 -125326 -78220 77606 -14254 106308 142634 -113551 160488 -41061 94117 -144020 -17903 171440 -71680 -4248 -120028 -27019 -115652 6882 -35742 -109237 -125600 -91982 84616 
+-81407 -42314 -30366 19945 -93695 51816 -160818 115675 59526 30969 14764 -38254 56577 102212 93855 74372 -18571 -161690 70804 31531 19909 -160311 -37412 -83238 70504 -29743 99363 23987 76170 -19784 -177330 -173 -45113 -153504 65344 -63579 -92409 -105604 -120805 -8363 -155674 -118741 -67771 922 13011 8176 -20102 -24411 -74675 -37311 104495 56110 210752 -58590 -92957 14887 22480 -32561 123930 5191 36710 69422 111968 78015 38657 -1387 44392 -229741 -113000 -21270 105592 -52265 -104623 157059 69153 -201540 121689 56378 19865 -101226 -101558 -73808 177536 16158 44267 -246571 -145214 -31964 15584 -18300 -30952 82768 104504 21616 39858 -33380 -65979 -39992 -108308 -220094 -26524 -30093 28643 42719 -74890 210078 -112739 -165767 122168 -44651 -127733 70590 80990 155440 -11415 -9169 -38591 -7544 -48488 -47427 252173 40663 29143 -19615 67875 -38929 -69411 -129313 -133211 -129653 90726 62943 -17974 -176608 56069 -46488 -13092 -26729 -8636 60755 30933 235272 -60860 -89807 84606 -117133 -4360 183846 207137 -132797 -120061 -8710 -33797 -154822 84594 -91885 63916 -79112 106730 148684 -57717 -233063 74926 -135547 7208 104778 -174922 -18021 -93847 97418 53109 -132244 -57679 54215 -32849 -46535 -16452 -3828 86088 -185687 -9092 88149 50923 100391 -75463 5775 -135374 37845 447 62286 45017 -2568 -1626 13301 34099 -53036 -63260 -127584 74555 -12900 -61490 -144259 93554 153954 216001 24460 -112608 -104842 -75833 72627 165743 141990 -110528 -38585 41392 -82157 47556 -42169 17113 168216 47968 -123245 -16355 -108914 153804 120057 -79127 35023 -48793 80545 -38612 -9852 168946 -43004 -123605 -93561 -38142 -170831 13807 -29760 -33331 -87763 9127 82814 
+-78961 -132622 -17345 -77872 -140143 63690 -16980 97945 91741 36685 33776 -44573 56829 173122 110370 35933 -2249 -116630 101922 5132 -8401 -147714 -30147 -83849 71449 -2212 36704 29338 52422 89606 -238235 22221 -36987 -146903 62669 24417 -92301 -103862 -155159 -10417 -206328 -83592 -21174 42193 -14541 -4220 -145105 30699 -154054 -34325 121175 193636 124249 -62772 -40104 -42568 96979 16818 124413 -29931 49178 61824 142229 19613 22316 10933 9128 -108186 -117849 145728 64519 -107279 36292 215206 57558 -43194 111832 118457 75576 -35366 -120018 -25002 111526 -2398 11941 -187394 -132545 -27639 31814 10231 -38594 84160 108722 50227 73236 -59492 -62442 83063 -104457 -270720 -21647 -61048 30696 -15087 -57711 189675 -107852 -119169 8528 530 -159382 80789 42131 110809 -6905 20683 -41995 8740 -71607 122704 285362 101273 48834 28608 -82726 -9202 -44888 -120218 -151125 -39577 151906 61474 24592 -147681 28563 -37899 107981 17122 18116 22492 32778 187270 -54429 -25232 66776 -91804 117813 21738 104484 -125954 -50777 30654 -43703 -237304 86239 -61033 94907 -66730 118356 48597 -12031 -219717 162792 -23501 -52021 -17880 -105981 -60676 -5061 132781 46934 -134390 91630 6772 -23832 -31824 -23221 53560 78735 -160462 -12720 105914 -56243 -38050 -85977 -7877 -126497 -28530 -3674 53858 -50908 -5787 -45797 28592 78338 -61936 -136747 -76315 61876 -42103 -72304 -106132 95596 123545 82187 51363 -97428 -161695 -52785 3602 137962 179966 -62150 -23512 70876 -65327 35786 -7051 23911 205163 128013 -158490 -55579 -85753 159026 96463 -23521 -75682 -54296 68005 -14682 25309 155822 -49691 -79212 -53924 32150 -234772 33455 -65569 -21579 28862 99409 55996 
+-85088 -154714 -9873 -28757 -167198 60718 122021 70771 -31999 43785 11999 -57209 57041 161001 77096 -5194 70400 -78863 46623 20179 -44912 -95928 -11548 -86782 68186 24470 -61907 17316 2619 196045 -214989 63559 -22010 -122953 67297 143832 -85745 -100590 -105355 -20235 -98790 -51587 61885 121694 -95420 10545 -207174 27978 -201289 -8949 163387 188050 46056 -33285 4463 -89160 52996 -31121 124167 -47619 55123 60031 50695 14396 15720 33522 -1863 51547 -120972 229311 43143 -133449 187132 149739 60769 101789 84618 123911 124281 42731 -165695 1601 9896 -30521 28728 -19078 -64271 -40866 29968 -9852 -51317 82086 111713 95448 43026 -63730 -29390 178970 -103437 -233286 28757 -122279 46702 -13616 -24938 156464 -100885 -4762 -178247 74198 -165827 61296 -111570 53388 -26992 -46730 -33649 23017 56988 214893 261557 70400 18538 79601 -97362 -30268 -25599 -97666 -120087 32573 146382 66478 64458 -143284 36531 -55837 209415 49493 23445 15396 35669 97760 -44354 31582 40733 -43245 33453 -182218 -20672 -108700 29338 2831 -38387 -144603 91165 -74915 118754 -66602 128705 11909 29786 -211830 166646 20917 -71441 -48252 -22868 -56107 63242 130010 -3194 -128844 127914 -89504 -11155 -10610 -26511 94341 46723 -169872 16518 63158 -84018 -173764 -100282 14458 -33732 -92691 -4252 34527 -11605 -9575 -87775 -858 115080 -50540 -136325 -64299 62935 -39701 -68579 -80024 91096 117920 -12841 -4870 -120049 -111954 -42406 -32487 -19367 140154 8710 -63905 86977 -53842 33498 43621 14323 221673 50201 -164796 -88594 29859 157598 91483 34330 -117706 -57159 61856 -97788 -6537 179451 -29900 -29874 -51726 71979 -245374 72148 -17127 -64462 89821 105858 67801 
+-77278 -112173 -16339 75042 -38247 47358 80017 58037 -211141 23224 2450 -61953 51394 96946 61287 -60234 108136 -15276 60488 40122 -85247 -73954 22011 -79152 68594 62033 -94443 36943 -44177 258044 -167163 104454 11327 -93185 81649 169468 -79338 -110633 -71421 -40329 22530 -52752 126880 169542 -137889 32324 -109218 54730 -229905 10051 128827 52276 -44560 -54676 54952 -126270 -67130 -33729 123430 -31735 50628 5857 -110864 67764 21844 58139 132164 50808 -120157 115854 30589 -130528 233511 22915 52356 68634 60386 103379 113027 134350 -191462 14543 -70718 -46513 40312 92708 25320 -49881 -2234 -12034 401 80145 122127 71330 -39435 -54538 7512 171195 -82887 -124338 -16674 -158906 66213 69128 18525 127253 -92130 105175 -245960 154320 -143283 44249 -231743 -2842 -14544 -35644 -25461 -528 133612 207211 210707 62686 25813 155035 55919 -22212 -10218 -109046 -68963 99038 66946 60574 98686 -100548 91215 -70217 266631 64673 -3511 -7691 37111 3450 -45660 -6555 15758 8109 -155758 -139665 -115025 -86738 87420 15748 -19724 29820 79320 -44602 92572 -62863 64319 27231 50598 -182998 87613 -43578 -92196 23563 76327 -14881 126995 92944 -39126 -85512 111090 -127253 16310 -19557 -12609 73724 18313 -97084 23714 62143 -62041 -172267 -106411 -21263 -8387 -11749 14025 5909 124972 -11373 -46294 4162 145859 -52524 -72161 -54999 49114 -17171 -16537 -31645 89302 52492 -33461 65557 -74520 3056 5203 -59206 -118962 68586 59887 -41841 87662 -61366 25491 106216 12241 198399 -113008 -149201 -99018 156083 139099 59783 14684 27307 -50556 63587 -160327 11088 143139 -17103 33312 -68743 26395 -256514 63258 -59322 -133762 -19296 28076 74430 
+-93040 -25512 -21349 186581 -21707 52906 -108911 31439 -291732 21282 -20197 -60354 48232 -9589 -8770 -102595 119421 23740 -7539 54517 -128712 -39102 45148 -83377 71379 75726 -43097 52833 -86247 238745 -126002 -21485 -26032 -76810 69755 86738 -77732 -87861 -10520 -42037 9024 -19141 188466 187609 -79264 31239 15465 67634 -246086 41901 63624 -94426 -103844 -58113 67803 -87104 -165880 -18317 129767 17262 37484 44888 -181558 143749 39150 50554 173864 -123539 -122345 -50505 16008 -99436 184990 -26633 76957 -74977 65152 31532 107949 149142 -206756 67727 -78853 -46880 49396 6716 68178 -49303 -34229 6211 32674 76181 124518 79035 -116641 -46747 25501 66582 -34538 5747 4890 -140569 46307 128507 59115 74569 -81739 106352 -128197 199991 -158934 43167 -75412 -58883 -6892 -18082 -34084 -44334 76442 86847 126770 71949 8618 190604 140803 4837 4271 -67390 -31121 133152 -19240 62429 125499 -100952 57301 -78789 196582 31534 135 73625 36030 -47644 37290 -87344 3144 72699 -219611 44079 -59346 -39068 50101 -33495 3886 112356 69779 -17729 65697 -72622 35729 71131 52743 -186278 7082 -115719 -117858 96896 127319 -9130 149655 54698 -90413 -13187 -43595 -61771 23021 26430 -12459 24568 1462 -27219 19072 89863 -35235 -19791 -114043 -3419 17459 83813 19643 -12546 212441 -18809 -53563 20766 122324 -57791 3128 -19503 52110 26800 7106 -59007 70512 -40382 82353 15797 -20743 60433 29680 -52575 -145656 -74595 82507 -34040 72344 -78820 31291 165504 34309 127636 -193923 -119427 -67979 213943 141930 28101 -68873 139970 -60227 70814 -176171 15732 150607 -24763 -5103 -117284 -40996 -279090 28620 -54937 -112616 -180511 -75175 56237 
+-108456 -23246 15116 108878 -960 13590 -154106 8515 -135916 30637 -10838 -51482 46395 -112906 -84223 -142205 111710 44228 36767 41238 -155017 -14958 44206 -73659 67041 90891 64427 29813 -117930 165152 -34197 -52556 -60350 -45873 84368 -51183 -64245 -123300 39377 -16946 -98819 -28973 170899 175201 11262 8817 18552 51596 -185227 76868 81569 -45206 -165629 -44934 11524 -2294 -200279 16667 129005 42409 16828 50682 -137830 145573 58651 -401 109524 -220178 -120362 -28728 58732 -38671 60871 30070 82144 -200553 55001 -40845 85017 186464 -226830 27374 6385 -34068 53909 -176905 76976 -73956 -25389 1269 33191 74929 137287 57976 -126523 -56936 33151 -52995 -32165 93645 10505 -78708 58666 120785 89565 37713 -69587 18851 56238 186955 -133800 53797 118667 -101546 -16488 -68134 -23850 -93357 -44289 -44104 62123 17542 8977 237667 86669 -4915 15438 -126232 -101525 36848 -66288 52280 142049 -86792 59957 -50447 98414 -8988 -12821 81574 33384 -33149 128990 -149273 5470 139337 -75402 166999 95324 -44855 -7427 11460 14569 25072 73755 -2283 29025 -74807 20516 148313 11994 -172544 -1844 -171519 -131950 104881 138524 6993 121665 32738 -131883 40828 -135358 53899 67459 -13056 -24183 -45370 -175 -1294 -34673 103712 88810 96439 -119467 44411 -15077 156295 43126 -26482 107022 -4713 -96565 11800 54265 -60277 26058 13582 53890 75284 61000 -17746 53550 -63221 206438 43842 -3146 -36527 53862 22331 -129548 -144782 69532 -40421 43768 -90007 13800 216259 27607 63557 -133969 -86425 -84762 131365 140470 118426 -107948 243050 -49911 83751 -102670 10863 92297 -19217 -87334 -117343 -68902 -258761 -1309 -37443 -63984 -106654 -107804 40298 
+-80986 -102549 -15843 23457 -105817 2181 -19244 -7999 51042 11248 27043 -41281 47173 -176722 -167563 -203650 81350 65964 50152 22365 -183170 6540 16802 -117525 71437 90691 83300 40151 -127528 53235 34584 -39353 -49712 -12487 56604 -137289 -63285 -112147 49468 -34688 -194142 -90447 117718 142290 26573 -4296 -81741 49667 -116544 108868 49603 95502 -158055 -38569 -20067 30136 -155186 -14902 132262 22300 8036 44314 -6804 66939 72086 -4744 -17035 -145645 -108457 145050 75415 16569 -77061 149336 73117 -89623 84636 -78485 39621 167616 -220680 -8078 113213 -25172 56102 -247549 -8747 -71736 -16696 -9122 -11110 74105 135683 4107 -71020 -50864 23709 -92440 -22740 118523 15444 -47999 63660 51467 99886 -1222 -56141 -115201 120229 95852 -134015 51540 73397 -123699 -32138 -39558 -27160 -140094 -72252 -46194 6764 -11637 9514 238295 -55047 -42809 13310 -89293 -127407 -75003 -92805 54208 148076 -49548 69300 -62585 8690 -41252 -24216 131409 31109 24799 118998 -158866 20396 183669 90026 65043 201642 -60235 -75482 -54357 48349 -105961 84733 -66465 -22205 -81023 7829 208837 -27196 -140588 71123 -224555 -127827 15097 128669 50722 59925 44466 -164491 100356 -159572 55424 75505 531 -18628 -140792 -27188 4033 -24797 76057 169352 47014 -126035 14319 -57307 139605 22996 -26412 -36390 -13975 -19138 28342 7776 -56036 19949 6443 38779 131095 113177 10423 4383 -154414 223984 59915 66281 -158973 81213 76728 -31012 -176909 16887 -72362 6118 -86121 -1350 242242 59419 -13151 47313 -47535 -34144 1180 151618 122855 -41574 190012 -50130 96867 -10805 31318 68751 -23918 -128819 -107696 12705 -272187 18005 -28946 -50941 1202 -49923 58734 
+-79521 -128555 -34264 -63838 -193339 -1996 130254 -31327 117457 45585 51072 -40018 49844 -183300 -218768 -226348 12235 66595 30548 23367 -190305 72756 -26297 -53314 73286 109118 39164 28849 -131158 -55583 108884 27413 -10630 12489 70058 -25878 -49335 -125635 69264 -10871 -167108 -156806 28255 81793 -35612 9865 -204376 6804 -33451 125071 -21051 186298 -120118 -67410 -117053 21085 -27886 -12240 124657 -21603 16950 24529 114608 26108 72787 22774 2508 30956 -108112 232709 100660 49653 -153664 208570 60564 57472 53300 -94408 28349 150067 -212368 -47886 184154 -6489 54065 -124060 -111568 -108384 10342 8774 -28698 72824 133866 -39632 12169 -11555 20654 -21361 -41284 50891 -29709 -41786 76390 -18083 87574 -54695 -41112 -162806 -887 20753 -95171 60801 -82687 -128565 -13377 -39331 -21389 -179445 43649 82908 -70591 -24386 7543 274353 -91554 -22565 11201 -106984 -151866 -112376 -55113 59323 143037 -24754 74836 -80858 -61289 -58816 4881 149745 31187 122860 191887 -180044 45639 209750 82499 -112335 159145 -62326 -165809 -54493 63118 -175693 83228 -61760 -73217 -64586 51797 162807 -74999 -106746 157478 -143239 -122010 -73007 81044 5358 -19036 74802 -209085 91845 -108876 -41109 130741 -15146 -16622 -207208 -43805 2520 4136 113585 232850 -132338 -130054 -10847 -121228 21721 39643 -9232 -53434 -12679 37542 8607 2357 -48790 -72287 19869 25132 176932 151693 19676 -11706 -223010 147819 79155 116975 -172379 101810 116946 51321 -164372 -58139 -65320 -35001 -67584 30960 247581 12382 -77990 138157 -34477 7691 -68929 159173 132155 7704 60068 -43392 105814 -72387 18814 23642 -16634 -67402 -71736 78349 -257311 -33849 -39556 -43387 89153 45284 41631 
+-95825 -105003 -52815 -41725 -147445 -4400 74172 -15608 -28524 20675 18314 -49400 54573 -115735 -248016 -219971 -48120 50439 28238 34415 -202994 76052 -48612 -85799 74559 84442 -72230 46386 -95822 -95946 110671 106056 -21478 32710 61026 116401 -56989 -129307 75771 11865 -46319 -134146 -51766 23618 -82743 31893 -164773 29547 14422 126981 -84603 137029 -25207 -84795 -177593 -47093 72887 -13544 124456 -45237 30326 37697 138099 16112 60754 54784 76041 71364 -98632 85125 103242 43448 -112720 143444 49933 86728 96321 -69358 -1198 78540 -188941 -88605 147832 15427 7112 62450 -132258 -140631 28462 17694 -37612 72881 144236 -86285 79712 -43679 -24745 104659 -74835 -66514 5675 -101613 84181 -9368 57619 -70107 -25337 -109545 -184174 -39662 -61062 75996 -233844 -106040 -8710 -51170 -19597 -196324 139360 233924 -89808 -59505 20147 304643 18076 -47056 4334 -72189 -94922 -144736 2780 43975 126735 -26263 56473 -74232 -24615 -19262 -118 163540 33447 202880 244939 -95583 70394 219641 -82996 -180472 43003 -128263 -200738 -77955 70555 -148472 82517 -70832 -68013 -56960 19677 64448 -91041 -114545 166448 -70424 -135203 -13893 465 51833 -94776 109692 -227142 58776 -4601 -109021 139119 -27667 -16715 -236807 -55780 -5523 27673 73457 165007 -193625 -134697 -20750 -156976 -78930 52311 10857 63864 -1851 57260 45323 45578 -49078 -139156 49500 54272 215620 207524 10048 -22391 -188368 6304 158273 176502 -52103 120664 119763 122276 -71830 -109575 -56666 -73274 -53503 1760 224819 5823 -88802 63616 -45609 70523 -86776 105548 120039 61696 -109140 -42049 107648 -148539 46516 24297 12309 11611 -49553 51105 -214848 -60820 -41463 -81574 11769 107840 25854 
+-88521 -25955 -29232 78520 -64521 -48849 -96832 -10722 -199326 46720 -9948 -60002 57005 -10950 -308844 -224230 -37840 20456 97547 46815 -190665 92400 -77887 -64642 74800 68002 -87145 18535 -61373 -71468 124670 66369 -13833 52391 94903 180690 -59668 -111036 44132 -5796 30191 -138087 -74807 -2698 -115151 31670 -27355 -15782 67014 137643 -91016 15685 77797 -64305 -223866 -107204 86112 -4496 119166 -30719 59445 28086 41885 80251 41530 76679 169788 -81531 -90458 -96344 111583 8029 -3234 23019 54081 -53687 108235 14945 -32179 22227 -159261 -88015 50319 17292 14858 80931 -123408 -126811 24192 -2579 31386 75214 147105 -138811 57499 -33049 -45847 186029 -129178 -213024 26940 -136089 80744 57077 17339 -89514 -8394 11039 -245469 -21280 21104 78420 -68935 -69022 300 -61134 -21415 -190410 82309 210165 -80707 -128903 28981 258832 109802 -87987 -12367 -54177 -53684 -90246 104770 48269 100766 -12051 69527 -63423 74982 27113 6799 148102 36096 236900 186921 -17833 86523 208939 -218095 -2014 -28734 -111488 -180987 -73450 83876 44029 88196 -68888 -30393 -85275 45098 8824 -89096 -104117 92562 10743 -137789 68246 -91169 64671 -151782 127454 -232755 2964 115588 -91117 129177 -22211 -23089 -210121 -77557 -65103 -13185 90809 83112 -108441 -133724 11849 -119658 -32542 61817 34742 179386 -4869 67350 51959 100534 -39765 -134909 56924 52943 230167 221892 -24152 -55296 -168701 -33011 127707 188901 21855 128422 63654 156117 21298 -123306 -42170 -102703 -60395 11326 180923 26922 -90423 -117682 -61959 125539 -31370 148245 107529 24193 -126483 -35181 101786 -173335 27472 -23109 -10642 64208 -60817 -29144 -148782 -49330 -21823 -83029 -127461 90568 14171 
+-79674 -27220 -6629 163157 -14221 -13868 -150281 8518 -273430 13456 -5037 -66029 57971 106127 -284566 -202583 24301 -29197 81235 66033 -186926 135008 -49621 -81017 77468 41785 -31191 23323 16804 32534 66689 119 -43148 75035 90212 137419 -67100 -131039 -15530 -16516 -38931 -91357 -69401 4161 -61026 9516 38474 -3380 148912 153633 -67861 -92095 148905 -95309 -232006 -130579 -6628 -22191 119745 4270 57508 20811 -112622 144079 23854 7279 127419 -212486 -84226 -49941 109827 -48874 139917 -24977 74831 -176548 115263 78273 -54824 -62737 -118028 -83413 -43304 8737 4896 -76878 -29134 -125186 -12347 32349 30504 76997 153549 -176320 -13112 -26584 -71216 158508 -117518 -262692 -706 -135808 79810 128162 -25661 -93557 9311 115257 -119165 44829 18686 69070 118012 -22877 -8963 -66234 -18650 -161158 -37840 113217 -33151 -160824 36315 262412 123466 -78892 -25304 -64082 -92599 -11977 135832 55300 67027 2605 34747 -77282 151158 55811 5748 129796 37139 202970 169692 34755 88432 167287 -151165 164226 -123600 -137839 -102924 -148388 77830 125283 91830 -109277 -22884 -65379 96844 -46273 -54980 -74353 11313 -7917 -127798 134976 -164102 48778 -165663 121368 -203789 -31536 145094 -33520 129324 -38951 -22304 -149848 -79312 -126461 350 82439 6385 67282 -134392 6118 -56412 14581 60029 56522 158718 6781 44899 68337 138413 -44635 -91618 63596 45428 227554 234491 -60912 -76255 -128328 35509 161297 191195 14630 139104 6876 25329 112406 -93990 -37071 -119447 -79317 3347 125051 -3428 -44244 -187010 -107896 152842 138135 141526 75920 -92979 22364 -25378 89827 -111460 31489 -36640 6198 -85464 -94852 -61350 -113467 8447 -43747 -135461 -121252 -10794 -4027 
+-82728 -85804 -25182 158166 -32466 -47875 -37220 42479 -135842 6419 4995 -57038 52848 164648 -248158 -155927 55042 -75320 65232 39390 -136353 124973 -23287 -89237 75531 19547 82302 21895 37507 133302 -7057 -77849 -74938 95509 86924 11183 -84158 -145457 -55656 -46273 -166358 -66632 1898 49944 9207 -4282 -41191 -11126 86359 119322 -50842 -54394 210942 -65127 -250129 -80944 -124259 -31864 115170 32371 43569 37448 -180798 141664 16432 -25178 21276 -177043 -68339 122252 61676 -101806 244557 36141 79659 -154543 124119 131541 -62311 -126676 -70290 -60028 -78004 -8959 56549 -234442 75202 -126275 -25871 12904 26132 78395 159464 -177250 -96466 -9635 -101182 43881 -106158 -238838 5573 -122697 78004 138094 -59148 -88481 27271 105855 63454 135528 59498 89017 64915 38219 -13064 -80644 -10453 -117174 -71727 -48810 33865 -165700 15213 240520 -28140 -21739 -53997 -41212 -125166 95139 134581 48629 27650 -108 59882 -42911 247448 53614 -23842 78280 35437 117330 119191 10819 75010 116104 40448 159704 -963 -124342 -35552 -144131 65355 42336 96134 -47999 18519 -93218 128507 9981 -9686 -50078 -4199 -78679 -115568 25023 -226123 89284 -141200 96003 -186200 -120313 41245 50090 158430 -18261 -25381 -62150 -82718 -186924 -24283 132486 -54280 101488 -151952 25983 7740 89900 44698 68064 11513 -12975 40087 72742 121300 -34850 -9097 75405 11596 199491 246442 -71212 -88946 -63163 184231 143597 211400 -113507 112373 -32948 -73394 192299 -40926 -39696 -120447 -89165 44769 60902 22860 24299 -139003 -144998 124524 184753 110581 51172 -107289 153639 -30694 76555 -4695 33681 -45369 -28079 -132958 -120311 8021 -36460 5778 -15020 -81990 21377 -75977 -23474 
+-86412 -167838 -16760 -2673 -94589 -21680 112001 56170 44018 39073 48214 -46187 49467 173657 -218751 -116739 123150 -122037 67500 8968 -115031 136954 3141 -97141 74499 -1926 70573 12690 76477 231225 -70706 -45969 -35506 99361 62492 -128483 -96987 -113659 -115151 -25630 -193962 -64418 80299 125618 17834 9192 -164982 -22109 63157 99335 -7118 135545 214716 -60502 -214435 -13568 -207064 -63920 105020 29102 27951 46192 -118505 83576 20751 16340 -10936 -3214 -65501 215266 77724 -138508 206729 150497 85267 20097 137708 128263 -29583 -169126 -41235 -9908 -23802 -34599 75802 -206097 84390 -133250 -39868 39224 -32232 81435 155520 -184860 -138068 -29256 -85039 -67375 -59092 -124254 -7792 -63845 62445 64503 -74260 -56261 46207 619 118526 197755 30831 82097 -141530 100176 -26009 -90610 -13586 -64801 48012 -52761 116353 -211741 -1460 215480 -104928 -8148 -72965 -78296 -150446 108680 82933 64436 -14926 692 57966 -68924 244447 19186 -3543 57620 32694 26500 77156 -63578 50563 52272 125847 -90256 107705 -83797 39324 -139061 45895 -142814 83133 -25875 45985 -82641 100675 134562 32683 -11595 62608 -157131 -101627 -47038 -248475 88037 -78021 58311 -149355 -159533 -75962 32698 203723 -10008 -20380 4015 -82273 -206375 -15620 54909 -95117 -32791 -146978 22600 17352 131583 73146 63345 -66296 4594 3337 113307 109897 -20616 34939 73646 44229 155145 229221 -105294 -82336 16866 236035 78092 207333 -177118 119137 -73529 -158372 140188 14865 -75438 -105319 -85883 36374 1127 -8321 98318 43614 -158003 152591 151236 126040 38950 -33270 246221 -22385 66177 11285 27899 -89942 24831 -77088 -122858 54105 18613 25222 -15657 -73643 69704 -100856 -30243 
+-94496 -109302 -27610 -90619 -147141 11573 100410 112338 93297 57018 34095 -40159 48166 106571 -158009 -60560 130246 -160057 17640 12940 -92195 125268 38248 -114732 73107 -22923 36366 -11578 121397 256914 -147671 48128 -40865 106429 94677 -78975 -91303 -110500 -113282 -27778 -98319 -33816 153598 169226 -29606 31474 -186755 -54896 -11146 116730 83376 194239 181105 -58666 -146747 27677 -181526 -29490 101602 -10408 17170 13982 9991 6589 37938 51711 34756 74557 -69361 100472 80 -127590 99846 206874 70190 95519 106321 99109 29016 -174953 -6641 52391 76748 -41503 94399 -40741 31472 -143425 -6115 49810 -50592 80820 161243 -164488 -94220 -26645 -74724 -87761 -37251 -5043 -5430 -57539 71127 -17675 -68068 -15375 64876 -123856 -10332 187881 59718 70484 -169142 150029 -18348 -81974 -14470 -20954 134108 55918 214965 -244996 21643 191123 19597 -2761 -105091 -33759 -111697 62191 4937 70303 -57606 20478 36794 -31307 145116 -29063 -20582 43260 30880 -32962 -18231 -142463 24981 -7502 -5257 -200377 171888 -46975 62844 -177293 24267 -213068 85656 -13954 83183 -76895 47069 186678 47004 -18951 149190 -200940 -81794 -51226 -233556 72131 3454 25648 -113082 -154437 -148151 -75547 217729 -4541 -15314 76290 -62873 -166123 50881 14104 -69710 -178287 -152041 -25083 -31359 108201 85021 47370 27973 -856 -22848 99662 30345 -16881 23630 98051 113363 105641 244444 -121455 -92879 83296 157014 46983 185786 -102645 90394 -21889 -153311 68222 62073 -59656 -76873 -69657 22276 -34968 1816 166029 126400 -158206 104858 48486 92082 71979 32001 207562 -12109 62306 -71363 20975 -105429 25090 -21977 -95563 53330 40457 20985 -30399 -57836 8398 -20785 -19527 
+-78269 -48231 -360 -33585 -142884 15399 -88666 128760 -35553 58211 15046 -38934 46699 -4880 -41896 -27091 129225 -195715 -3425 33282 -47978 135919 39917 -69663 80711 -60465 -77806 2809 157290 206951 -220286 76917 -18336 102501 57087 70017 -100752 -114449 -77667 -25883 2557 -60707 176762 194969 -99955 32074 -85172 -39802 -100974 93374 77473 134416 109398 -73011 -79114 18645 -92605 -6860 94897 -47459 15411 59110 127251 11282 56748 85128 152824 -28577 -69365 -35339 2333 -93171 -40463 143789 42123 -36037 94487 17055 69756 -173976 35500 33954 172082 -46006 55296 84984 -41696 -164264 1218 32980 -31281 83478 158919 -131641 -28889 -8584 -87024 -701 -14417 97995 15489 -67220 68480 -23508 -42082 6943 83410 -166421 -192112 120255 72588 42943 -91617 192152 -6135 -95137 -24297 14523 91831 203558 246978 -231448 11974 97517 117269 -4495 -127765 -60495 -29747 -32404 -48606 71673 -97113 -12073 73533 -40088 27796 -48163 -23037 57803 31651 -50208 -40802 -182597 6580 -59096 -184135 -67749 154020 -48121 49927 -159932 2607 -145348 98492 -12462 119690 -73265 54346 181141 51080 -3132 172754 -197423 -57361 60331 -182160 112128 80614 40594 -65673 -86801 -155962 -114050 215019 6145 -23590 112743 -57210 -117182 9986 88051 21490 -174890 -138591 -23898 -64674 -7242 84763 31910 154047 9902 -109204 97865 24048 -9387 -66230 97289 58593 48353 232586 -140457 -78628 169146 56793 10633 151697 6997 28450 29717 -151282 -25415 79705 -63183 -39533 -54011 19717 -54560 19539 219647 49867 -135753 29302 -52567 103560 128004 34365 47952 -12985 64146 -139307 11422 -76511 -7484 51753 -63251 -12659 104095 60812 -25827 -97474 -106928 92357 -26613 
+-67396 836 -7688 77537 -28610 16703 -176569 143538 -206427 30233 -26625 -55427 47557 -108542 -13960 17445 49468 -214945 -9652 56190 12023 106608 28235 -94585 79391 -78808 -81344 -10715 146590 112743 -229689 79081 -17265 107573 88712 192017 -90065 -103010 -40712 -17994 9694 -104347 157383 175253 -110893 10155 18842 10005 -165995 78580 120071 4478 22646 -53936 -37746 -40396 45021 -10531 97546 -38492 27800 30485 125600 89644 69656 55460 125924 -199231 -70244 -45570 -912 -39311 -137072 31891 49455 -167858 76998 -39076 89464 -120405 44360 40370 173125 -32569 58288 31166 -106877 -135845 28701 13033 13707 82950 154211 -92638 61614 -11156 -5393 124561 -3080 122651 30047 -126669 69254 51099 -2787 55374 101838 -100049 -243144 36077 61233 41819 97728 208814 -16606 -71623 -27623 16316 -46168 241561 258351 -216603 9692 62796 161011 -16892 -149047 -59368 -63310 -108229 -76417 70004 -130652 9309 52932 -64357 -47560 -44347 4297 36554 34225 4265 -73855 -166022 2106 -99675 -198315 148160 25712 -36788 -17684 -177838 -23461 57300 101137 -16242 88384 -53051 48549 106352 17260 33185 101505 -79533 -69498 139278 -109756 111706 135372 79826 -9513 -51387 -77395 -85202 236347 7907 -23259 71830 -41396 -82586 1323 92857 122659 -9927 -139783 -5233 -126623 -54808 97079 7925 206621 -7410 -91610 119753 21780 -20199 -122209 77280 71607 -378 160862 -146034 -52585 125537 -38730 -9009 124361 65063 16501 84359 -40798 -140197 50935 -42821 1534 -59286 24068 -45185 6691 227421 -111471 -95590 -34163 -111650 99871 119864 -29777 -75453 4392 74034 -136432 28001 -108823 6657 7925 -53866 -49839 102757 69022 -34952 -107003 -135691 109402 -34659 
+-88973 -79412 -39593 169325 11937 45941 -55725 137676 -255911 31190 -9554 -59955 52144 -174509 81626 79888 14553 -228807 13860 57214 36747 81571 17688 -95301 82064 -86305 -10143 -12184 145411 717 -203197 54565 -57065 96915 78981 193651 -85300 -98701 -8615 -8751 -103682 -124049 84234 115029 -74214 -4242 109 4794 -220446 28576 163807 -78617 -70685 -65836 25018 -108471 86105 -57001 79130 -2460 38693 9875 22803 152334 73128 -27756 52546 -198905 -75855 145959 -8994 16473 -127242 -27985 62680 -138772 74227 -92874 123609 -61250 71408 -13438 87736 -14439 7868 -160887 -155842 -113190 32092 22821 23538 81547 153743 -43171 70667 19746 18959 189511 -28649 56406 -40375 -153944 42880 124230 41792 101721 119894 32054 -109923 -20036 27254 45443 76331 199700 -18420 -80578 -24958 5424 -64072 112163 263864 -186630 35609 32028 -153 20299 -165248 -101020 -117804 -159030 -95376 58117 -156484 -4569 65981 -56597 -48637 1456 2062 87419 36623 97123 -75569 -108888 12171 -116882 -33141 155867 -79272 -65881 -89801 -218626 -32768 130116 103422 -79537 31594 -75594 26936 2110 -25527 48204 14682 -9947 -49763 79177 -40374 101934 148498 114846 26339 25182 47675 13525 239859 3860 -22507 10564 -8307 -12191 -6285 74818 166651 109740 -133977 22608 -138787 -60993 93807 -18983 75169 8944 -50968 88442 40752 7004 -128724 70970 80106 -28390 117898 -119623 -17395 148288 26306 44930 77327 -41475 -6020 130115 108171 -150761 -4619 -22727 39501 -76430 25418 -12016 450 194913 -198284 -69360 -64880 -54410 90717 134671 -99570 -127762 2475 87011 -91561 8480 -80811 49956 -100819 -69891 -4025 100999 79015 -26439 -117071 -7946 67703 -55813 
+-82485 -156188 -30471 127251 -27338 19250 114043 139302 -103867 27353 19216 -60450 57398 -183506 93853 103245 -38895 -206683 847 36240 53675 30071 -36054 -81942 81226 -99354 52596 -31318 103732 -74491 -163410 -31570 -77360 82349 75999 7746 -66827 -104897 55684 1935 -197066 -127155 6931 65687 7224 8517 -90173 46167 -237686 15975 95605 -16343 -137475 -70207 69470 -133825 34065 -22908 74967 35218 51512 40862 -132170 135006 61967 -7306 -24509 -37847 -84944 212680 65586 48528 -46018 28599 83450 15412 46349 -95710 124321 23881 78925 -72153 -19497 -2698 64918 -254089 -77110 -163538 -257 29856 15400 78536 162009 11773 35213 -19011 32893 142958 -59475 -84812 -37380 -125779 68906 136240 76725 149016 136898 122855 69846 -29789 -1198 52421 -162031 183121 -36530 -69255 -29702 -38167 39586 -8861 209318 -189519 13978 -20545 -92547 -26731 -184051 -105184 -145023 -92815 -21331 55954 -172390 -40845 41221 -33213 9725 39821 8004 147250 36888 186717 -43140 -19032 34418 -115523 117419 -36455 -35734 -98016 -162860 -187893 -38929 68628 107215 -67470 9919 -75745 39826 -33572 -70916 54428 -5614 2796 -10995 -39271 47521 119496 116479 131923 33265 58007 131089 58504 215151 -39885 -23386 -61646 6569 36121 23046 70272 166981 56473 -119167 35532 -81938 102123 67820 -25938 -39832 -11619 -60682 126275 94327 1738 -118895 77807 96195 -37126 56977 -102072 -2854 47675 130756 41217 19854 -153285 -59990 106365 167647 -187300 -69802 -57948 69891 -88997 57648 40517 28707 128459 -132175 -39015 -112030 89875 88557 117431 -114705 1516 7349 100065 -17217 5977 -99039 32584 -131224 -102409 49999 87767 30527 -46627 -54683 81705 -46796 -59358 
+-82218 -137563 -36581 7609 -143365 68281 87590 120735 65835 19788 14643 -50799 58319 -127854 87015 85251 -43863 -190995 69237 22539 70952 34722 -69263 -79714 78243 -80144 124190 -11437 71948 -88537 -82322 -60373 7825 76785 85049 -78632 -68564 -111883 80672 -41131 -158486 -169328 -53929 22673 17239 31051 -197352 51701 -207365 3882 95430 140527 -178665 -72705 41386 -98538 -73183 -19846 72369 33167 58239 57482 -196718 60353 42581 18178 11369 79787 -99253 113603 90533 39997 107253 149981 90400 142141 62151 -58894 106019 73919 69617 -86501 -76770 20899 15077 -153833 -9915 -120993 -27015 15678 -29615 79004 158136 49922 -62425 9213 41132 21442 -108819 -195217 -23026 -114144 57440 66285 98303 178731 152944 95401 116434 37992 -27270 55684 -204610 138673 -15235 -82023 -27879 -85140 141812 -82624 173785 -105527 34443 -60226 -26989 -35044 -188618 -108039 -113934 -32551 45840 45633 -177420 -53332 39983 -50206 142977 52786 -31540 164463 34788 237263 -16509 13964 60557 -82915 60018 -174394 42042 -111265 -180641 -215567 -36905 -137833 121130 -64805 -27128 -63097 19081 12092 -97928 81886 58808 -58562 -28666 -25286 101031 124428 42850 126020 63621 100071 114872 -6052 244621 -21865 -27540 -138635 21936 12319 -422 71147 174684 -130632 -112168 11896 -44618 163698 66378 -21749 -52270 -8854 18592 101120 126440 7560 -38710 89096 62629 -27645 47974 -36765 13276 9219 224034 69104 -349 -175060 -82078 56003 92030 -97955 -116577 -14263 86523 -86987 19065 103366 29333 52414 37231 -32274 -111016 194141 70586 94364 -21008 130839 177 106283 -70191 1045 -61957 19325 -56137 -137054 61938 50876 -1166 -36417 -45401 72688 -107945 -81593 
+-93197 -77147 -32539 -82759 -164833 53872 -70118 102658 122453 29832 29746 -42557 55387 -11052 109082 81083 -13348 -137080 68774 19107 87295 -2686 -69449 -87824 79972 -58893 32408 -12323 -4593 -27945 -10473 3233 -45260 61985 63963 -131928 -53927 -61112 101250 -28687 -47536 -132759 -74660 -4688 -27209 32478 -111625 59549 -188357 -27792 91221 180291 -137951 -63157 13335 -9948 -193577 -8327 63759 -380 44966 23615 -114443 500 25543 79018 84922 -1716 -110920 -58683 122651 7133 207465 210710 60459 45054 73844 5607 103037 128277 47432 -80125 -57777 20721 107505 31838 109268 -117965 -25263 28689 -57916 75500 156128 64092 -128650 14418 23196 -78929 -110975 -245247 -28099 -45000 37563 -18379 95633 200149 168010 -18763 -18911 117059 -58361 76715 -87231 83135 -7538 -140244 -37089 -136287 92447 43926 48368 -88680 11485 -70318 119451 -39161 -196594 -119345 -61660 76001 118033 59748 -171178 -62762 45480 -29249 216702 38151 -18260 182282 32100 215063 22492 12003 82374 -29544 -127940 -110885 176050 -129437 -188196 -195167 -16682 -236646 105932 -69326 -34694 -93071 14038 132888 -88668 104436 145801 -132425 -11332 39374 139546 113963 -32681 85750 74854 99021 16196 -78366 238970 -24021 -24844 -201110 39928 21319 16750 51484 99964 -183942 -121211 -19550 -4521 113469 79457 -8601 75755 5651 62512 107740 132801 18979 33065 81093 81794 4010 -13933 -69376 40556 -51884 176989 92738 -42731 -68341 -105276 4024 -3781 11428 -130462 -39087 87964 -67627 20185 166251 12324 -15601 133803 -58024 -94345 200253 56628 82107 75115 238466 6731 106419 -178356 -9067 -31813 33534 46184 -109154 -4779 28032 201 -54654 -39202 -94080 -80493 -58307 
+-84641 -17234 9406 -55768 -111865 74479 -160219 84248 -17498 33897 7868 -40411 51970 98549 55716 54705 53116 -95171 61979 54975 93950 -47284 -38583 -99016 75635 -28819 -83566 -28254 -18068 84153 65237 51873 -21410 23851 53552 9312 -48241 -99661 34522 -43548 43873 -102970 -47253 27397 -89331 10852 19283 60953 -97209 -52565 56578 127318 -88261 -60031 -64875 17123 -204897 -26801 61587 -44756 33006 -5607 33074 20135 15301 55497 156434 -183325 -119061 -33492 136300 -54748 230004 145478 57935 -188982 86271 67188 73163 166309 25209 -96434 40847 5359 59380 88199 98448 -87365 -14064 32704 -23721 76742 151975 74409 -119952 15979 -15132 -79396 -103925 -234064 -58004 -40541 30799 -27754 74573 211275 181909 -137151 -199143 185645 -126055 75975 102065 28095 -12785 -111215 -38829 -180300 -31533 202351 -22687 -25927 9368 -100669 155173 -31637 -187587 -120231 -29093 109701 134648 56403 -154010 -103830 42315 -35203 268273 -6909 -4610 155203 30933 149913 111279 -60084 89874 23884 -219886 75031 202233 -163147 -128346 -218404 -1988 -155382 115718 -33974 -59692 -56954 55403 169335 -56838 110916 171496 -210139 -13103 120199 131297 93740 -114643 48213 59861 27976 -111863 -109784 255074 -29358 -26531 -211382 53707 -46470 -19532 60131 -13034 -113484 -93528 1333 29063 52545 92122 8689 207557 -6417 79870 78777 94387 34776 56972 54180 75828 59977 -40138 -47056 63420 -127150 73855 85612 -84949 68871 -128431 -23969 -121433 113615 -92867 -26043 73143 -53282 41924 212289 23163 -77515 55146 -93318 -76399 69095 29362 49670 13315 211996 -124 99856 -151183 -18341 6824 28675 54135 -76510 -31877 -16368 -10615 -78203 -94493 -139409 13513 -91421 
+-86346 -57991 -28508 56674 -39508 77093 -58609 37142 -209115 -8329 -19547 -43122 47268 161483 17320 2127 106449 -44332 74138 59790 87026 -61510 -11867 -68125 80875 -11521 -97805 -30677 -66505 189802 110853 99197 -68270 7540 73188 156230 -59915 -84964 28457 -29808 -33692 -48174 38164 88898 -120713 -4205 37815 74251 -34231 -51142 10464 -45730 5015 -45918 -121959 19856 -138066 -53550 53734 -42764 9432 35352 135880 69650 20442 21313 83495 -220318 -124555 108991 132299 -107365 141008 25398 51105 -170575 113875 125252 16137 177530 -13233 -7549 136102 -4117 44159 -37282 28297 -65748 -983 15324 1171 73548 148915 81317 -51901 26586 -10270 20914 -104511 -139817 -6645 -87625 39789 49766 37013 211144 194508 -164188 -240128 200323 -87120 76526 61071 -35632 -20817 -130578 -43112 -197424 -63695 251643 -56336 -19673 48431 -64695 36196 -48327 -181161 -88909 -89703 99113 119919 48493 -127369 -120548 77405 -40129 173845 -50486 9118 120395 32114 50926 113355 -131056 82189 93736 -96765 190112 136304 -100803 -57334 -210921 25241 59696 115386 -2322 -37848 -85485 93177 174087 -8846 139566 108426 -188373 -14805 83030 91079 54247 -161471 35566 40353 -6418 -188271 -52048 263691 -20993 -24187 -199721 68108 -96306 -38619 88515 -45972 57953 -91980 11697 -32352 -36595 70746 34561 143121 -8385 76909 85133 43395 45708 -32940 36216 97843 108540 -68175 -15410 84304 -178583 -29778 129995 -113982 18346 -146396 -53193 -179671 171656 -26850 -35001 45257 -57904 36562 244266 37450 -97517 -92119 -124275 3029 -40609 38524 52302 -71059 74543 -1597 86691 -93873 -18391 34099 32850 -68356 -49695 -15839 -66019 -44765 -38822 -104590 -20743 112398 -63884 
+-76757 -140867 -15070 173655 -27834 43035 97089 38076 -273210 12699 -1672 -55328 46171 178531 -59500 -27448 120558 -319 96815 48092 72085 -105669 50031 -82846 79996 10263 -28663 -37194 -110209 240670 125023 78134 -64336 -24789 81391 184514 -61627 -68918 -26855 -37793 -160927 -17170 102212 158174 -56482 7878 -74180 31103 61899 -51318 -8483 -88548 93624 -26334 -193229 -17166 -3678 -46224 50572 -15784 11422 44785 127419 133977 36891 -17486 -13933 -68105 -129612 248292 95262 -137046 20758 -30316 52681 -38851 91103 123558 -30116 170403 -34810 19885 168530 -36949 52858 -207232 -79413 -77089 24195 -6027 18691 72460 139402 57226 33756 40899 -60345 142645 -37849 -14917 -17268 -140064 38199 121039 -6379 190219 205417 -84440 -101522 149888 -161422 95568 -108275 -82887 -23652 -130253 -42680 -189715 42797 150707 -86067 48223 -14180 -104635 -91171 -68665 -162720 -118322 -144354 10696 67443 56390 -92998 -130857 47303 -40952 78012 -57068 12439 83524 34900 -20596 198499 -167563 62493 145225 80957 35553 -9200 -87964 33487 -209350 44589 126947 114498 16117 9840 -67607 86574 132610 23816 139549 19252 -111257 -12136 -4955 23911 39044 -164257 45385 -19243 -97456 -159655 24557 262319 -6836 -30441 -135630 81883 -155118 -6764 78682 -104896 90662 -62137 58483 -65073 -68297 41350 54811 -5734 -6945 36570 66911 -3409 39970 -98847 -11716 99942 167399 -117226 12230 105021 -208040 -16133 150968 -98383 -110817 -135605 -24603 -162288 182152 39560 -59897 7870 -75238 34590 247748 42695 -93320 -205043 -155606 24331 -96016 26511 119874 -126431 -68410 -505 73489 -12488 -26218 46924 29429 -131761 -50578 58014 -158513 -28642 -52659 -71111 48467 87072 -60571 
+-80463 -138372 -9050 114108 -43120 28253 109363 17218 -127695 24647 22833 -63930 48720 112986 -121124 -90588 132709 30174 38609 31813 43909 -128696 37372 -99947 78693 49697 73709 -32686 -137052 249773 118119 -20563 16164 -54681 65499 125333 -73876 -55906 -73117 5588 -195198 -33609 163355 188624 -8400 30589 -167667 39828 88384 -46175 -101072 29112 172325 -52969 -233039 -122771 79877 -21241 45510 25168 26444 51577 -19222 131919 57431 16152 5458 75021 -126025 138420 59771 -136588 -119273 31228 80500 135920 150853 74115 -56673 126369 -74086 37839 128468 -54114 2725 -224591 -154408 -39114 15047 22009 34021 74556 136755 26336 80832 43077 -97856 189378 -30464 87986 12584 -151413 37538 133669 -45125 165058 214428 45463 77278 46247 -152563 64610 -226746 -114752 -10733 -88041 -42094 -164478 145879 -24289 -86912 57904 13045 -45766 -76007 -28808 -149507 -143306 -153929 -77393 -17232 61826 -52926 -152411 68872 -70543 -24352 -21105 -4680 29264 36964 -53447 217331 -170513 35550 192258 107365 -170643 -94763 -49574 57195 -163862 67293 93531 118907 -41817 35781 -75864 127260 8975 57258 139276 -6785 -42882 -35091 -70998 -71865 41530 -134971 79378 -58888 -139175 -36249 61647 268396 8059 -22400 -45805 90215 -177668 26084 72664 -46664 -45044 -60003 19768 -124522 -11161 55839 63595 -24070 -16896 51493 45039 16242 38540 -144882 -21325 89314 201717 -93870 5766 85747 -187321 98517 133927 -119319 -163800 -128585 10826 -85738 97588 86571 -63742 -32881 -90797 3323 230776 38791 -45635 -134045 -157352 63387 -97112 21028 121732 -62347 -117638 -6813 64192 -46262 -15121 83254 43519 -85824 -92193 64832 -179821 -19736 -44394 -41604 53455 27740 -63712 
+-83794 -60920 -3637 22999 -153318 41530 -43827 -17406 49296 17182 54023 -59800 51389 4569 -250747 -138750 82357 68083 20591 35326 95 -152429 54428 -67530 84510 80005 101338 -47972 -118959 179476 44138 -43898 -8327 -73100 71080 -85057 -83762 -70075 -123689 -24609 -103328 -74119 187692 202924 15060 32840 -173427 6692 121738 -48017 -65238 147108 219416 -59345 -260750 -138650 70517 -10969 37899 30644 43263 57809 -139745 62138 71578 69652 91804 32002 -113835 -40542 23613 -91313 -142601 156453 95483 46994 87643 11477 -48196 67757 -132101 29138 14062 -45017 17572 -69638 -123314 -40692 -16465 11769 -34700 75270 132395 -15865 47848 41695 -71578 124671 -7499 122625 -6375 -123349 43737 69890 -69609 124021 221603 122527 114465 -26777 -178032 95640 -52396 -128683 5434 -138244 -44263 -128182 99261 -76601 -31343 111849 31311 -29752 100927 -32786 -126923 -104704 -102466 -117452 -47258 70082 -10422 -164893 37325 -43887 -75896 14763 -17251 43447 36593 -13901 221679 -88255 13108 218063 -48558 -173837 -15552 -46497 67805 -153314 76939 -169223 117176 -70293 94516 -66386 106338 -26132 52104 137903 52770 12450 -39910 2875 -157030 32059 -62893 117299 -106241 -154165 88438 -61659 215399 26814 -32468 13709 101198 -166062 22201 70513 57343 -197981 -59162 -20246 -132823 80489 21270 62654 36432 -9430 -19161 34819 80082 59415 -101960 -40014 83697 232777 -96743 1219 90929 -158724 219325 94590 -120766 -110264 -92237 83922 46859 -24362 86329 -36674 -71823 -88313 19350 186299 29496 18290 46149 -142009 130241 21947 -12576 133855 23470 -18897 -11395 62266 -121074 11669 111885 37910 -15929 -117409 -5920 -210514 37161 -50709 -38452 -96431 -72900 -62187 
+-109935 4156 -23691 -73170 -193471 32285 -178895 -17635 109858 24760 19519 -49729 53028 -96297 -241662 -183295 8754 74626 6764 23848 -18327 -180436 1598 -118044 81910 85699 41987 -56769 -91009 67755 -25821 -22125 -11608 -96756 72448 -129997 -90836 -50355 -116968 -3733 2776 -100038 138843 149980 -41892 11581 -45174 660 99881 -19903 -24985 182672 205523 -55001 -231313 -111533 -24807 -17860 38538 7376 62606 14435 -183381 19787 73246 66323 136886 -143862 -114992 -80643 9710 -37176 -81013 206075 76845 -112590 92115 -64839 -36872 -8130 -163066 40714 -60181 -32057 44332 73937 -57201 -42669 -28724 14537 -42299 76155 142570 -70738 -31702 68071 -115405 -405 -25764 60101 -10851 -71798 50895 -8550 -73997 77636 227191 94947 -28642 -35530 -149526 64412 103090 -119267 -14666 -92923 -39336 -70184 -29639 18986 24732 111133 42734 -15033 135258 -15002 -100988 -125976 -51330 -125870 -112601 66124 31758 -172939 70919 -37213 -23978 64390 -25252 50100 34162 72897 178644 -46346 1089 211200 -214774 33634 89284 -52120 -50325 -158614 88273 -182966 93132 -81001 103544 -70081 78198 3085 10931 154608 136413 -38031 -34752 112179 -211397 14854 15539 135053 -155597 -141238 135074 -84935 221915 -14910 -28640 81866 97546 -116396 -2008 87797 136553 -166688 -59654 4975 -117435 142742 40714 49984 171619 -13581 -72284 2345 106740 52070 -45591 -41587 79118 225478 -60327 -14488 75242 -79741 226418 51981 -82784 36865 -100394 109591 119175 -134641 37774 -37625 -101558 -71380 41120 128153 36241 115565 136061 -118148 152857 177200 -63201 129045 45581 142178 -30069 66540 -196305 15684 129821 48049 -1042 -120237 -54869 -257859 7289 -58219 -65722 -103849 -98062 -37915 
+-79691 -44700 -32397 -19014 -108862 -18256 -79353 -29745 -1878 35728 -30084 -40692 57669 -179489 -328126 -210576 -23100 58067 -12323 54524 -71304 -180842 -26043 -93780 78026 92658 -57459 -60635 -41604 -38811 -108102 37831 -31731 -117700 66563 -66972 -98011 -2350 -98557 -13071 4683 -98995 38762 97379 -107057 -4139 36846 -42289 42205 -13891 1828 88126 160041 -56059 -201863 -21769 -139729 -34946 34742 -27565 60687 42410 -94200 23969 62588 57429 105645 -229703 -113205 93578 -15121 18817 52159 145261 42972 -157535 81098 -94814 -11115 -92585 -178891 5104 -73110 -9370 67204 45204 31365 -33414 -39718 27276 -56033 80199 135710 -90370 -109502 51400 -84657 -87269 -44198 -56760 9700 -44791 45763 -19842 -57057 28316 230466 -24432 -206589 14513 -149218 77665 92941 -82550 -10133 -111484 -40522 -25914 -66511 160886 99549 82733 16279 45945 88968 -24572 -76611 -85526 -47843 -68875 -76705 58610 70788 -168054 63442 -54127 61680 52522 8959 41100 31666 166384 147118 33408 6790 195233 -171085 171439 196714 -83299 -82618 -112084 75023 -150235 99172 -113476 96879 -59949 57616 86747 -26917 169024 178389 -159111 -75612 107454 -247496 55349 89792 122651 -184531 -99424 59806 -102785 202865 -51827 -34170 97321 81926 -99504 -41605 68046 192782 -23460 -42861 7468 -40410 103315 51672 27461 177973 -28548 -49880 40165 137643 68587 37709 -86028 74039 206926 -33751 -64486 39670 -4169 102691 15740 -27303 33406 -66465 127239 148139 -179903 -28138 -24197 -118838 -54490 46747 63473 45652 180553 72445 -78225 134033 189316 -76545 66188 12280 252882 -24709 77394 -157963 8647 153598 33452 -15923 -90788 -27058 -263718 50821 -38824 -68065 -50297 -45567 -26886 
+-85067 -126550 3057 79218 -13991 -4814 86250 -5098 -202304 -10333 -3386 -41539 57517 -185684 -287588 -234081 -37271 48644 12801 50962 -103280 -178885 -55638 -107552 84244 97907 -100993 -12475 2344 -87560 -166665 94303 -81327 -139549 85846 109705 -91993 6083 -55866 -62719 -91036 -148808 -24335 30043 -99085 7237 -8341 -31281 -14345 18370 68032 -78324 87526 -54413 -126399 21552 -220723 -15870 37242 -49245 46184 36678 50947 84315 44674 -8119 14099 -113942 -108767 216438 18103 48591 182610 32317 50380 -49317 66765 -93215 27837 -139017 -217907 -67700 4727 6115 59136 -122136 87346 -36643 6453 40397 11819 83294 126187 -135474 -127841 53141 -65476 -67855 -96542 -186205 -11859 -70313 73069 37189 -22951 -17221 232023 -140250 -238666 100504 -150619 59177 -111450 -43636 -15902 -116692 -41218 13658 35193 244532 188832 71890 -2175 78724 -64141 8539 -50570 -105376 -138524 47344 -8489 58232 104011 -168771 49230 -75277 194410 30861 -13810 82773 30940 232860 61700 22371 25088 161644 5871 91279 204370 -111417 -164419 -72198 69273 15110 101190 -47312 68649 -77137 67430 122493 -65517 158439 111067 -179410 -92387 3457 -245172 33088 141232 83466 -217382 -50617 -45306 -21624 197759 -40598 -30396 62658 76324 -53621 5697 82869 172618 109958 -21198 47820 -4964 1723 23704 4313 34881 -11697 -95269 -12942 131192 75321 19381 -113116 53667 164789 17654 -101116 22114 91710 -22335 8031 21993 -47302 -42485 75503 106515 -196291 -89251 -31326 -120891 -54871 44753 7224 26585 219352 -104255 -41113 112667 173266 -53302 42322 -105293 242162 -37021 89946 -65267 -4256 158655 60464 -131739 -57994 46067 -267018 55758 -51354 -129267 44958 56591 -15993 
+-63198 -159558 -40490 202297 -8490 12630 105919 12632 -272008 5762 -3607 -46602 53808 -118212 -269738 -242977 -18310 -5358 66457 42641 -136008 -144407 -74001 -96311 85194 89835 -6965 -23091 45949 -77388 -213758 67857 -34768 -156252 61457 193858 -89945 -3726 1918 -39073 -197436 -113463 -72452 -557 -61446 30091 -169850 -2558 -105585 40931 101702 -44474 -10866 -48244 -84398 34772 -171957 -17719 42017 -18662 28680 21295 148786 140149 25754 16219 -21945 44997 -95687 125086 58206 39634 230635 -33181 64898 109265 47343 -30408 50854 -165259 -215425 -101848 110545 14269 73270 -256982 82404 -46176 8977 32708 28420 84438 121197 -162860 -91210 64312 -34269 43411 -105038 -238520 -21072 -113350 66214 119006 19863 -56113 231779 -154864 -93211 174806 -89614 58954 -212900 11310 -9385 -93790 -38411 18910 140352 168659 233257 50187 -10400 113642 -74648 -31915 -28988 -77131 -150092 114076 54222 56442 129032 -173295 47906 -65614 241908 -11097 26339 143720 32723 232442 30834 -41832 50350 98214 114775 -124343 86129 -131317 -174438 -59878 52305 143208 90851 -37634 24337 -98598 24872 230209 -89786 155774 20653 -197875 -91737 -54929 -196689 -4966 137070 52727 -225230 46441 -149265 42594 187834 -30561 -29945 8613 55708 1008 -3721 73524 115885 35873 -21522 51812 -2712 -68039 41141 -15347 -46697 -7890 -71235 5801 79085 76151 -36774 -120060 47253 126344 58278 -158915 -6694 132838 -40917 23207 38281 -145501 11575 4348 -42961 -149219 -115369 -70440 -106134 -75142 48340 -33509 8378 216812 -195693 -33611 51108 -9335 -54200 80520 -99630 91596 -41654 101681 -718 22190 160691 86033 -104637 -55355 75954 -278366 55065 -35533 -58898 40868 112328 -21724 
+-78203 -102048 -26506 165381 -65089 -38880 -36034 41784 -138950 1904 27446 -54662 48359 -20539 -216410 -209345 35854 -45446 50085 17339 -171238 -122069 -47711 -63914 87502 80127 87508 -11215 109638 8683 -211418 477 -14994 -176740 86781 164741 -73406 -40832 40156 -19446 -170228 -93776 -88256 14841 11928 33184 -198068 -38158 -207642 81458 112544 67124 -94150 -26544 14515 -35827 -64875 -28701 39537 21538 6220 46076 109117 124042 15439 36601 70297 50674 -89602 -41972 100708 7322 177152 28775 61600 102185 59347 24043 90386 -189130 -228066 -64724 178484 22404 39640 -178897 -26924 -25077 20845 7947 3616 84422 116832 -179425 -1235 73542 -18144 158104 -111305 -251951 -17137 -151149 65583 144242 60628 -77971 229321 -67355 82613 205128 -74190 62918 -116628 72365 -19199 -52880 -35349 11592 105509 20319 282991 -15773 -5002 171695 31701 -42874 -14603 -83315 -101730 105255 121816 56195 144230 -179821 97922 -79145 218389 -58160 1236 120194 35531 168370 6661 -130347 74073 39326 18495 -206878 -74363 -151095 -194870 -70505 17578 52398 82066 -22851 -39400 -105278 49224 110995 -91819 139046 -8247 -125981 -97207 -19083 -140208 -13315 116467 34954 -227515 71231 -173210 41539 162810 -44169 -30097 -95445 48393 43317 31436 65520 77816 -120156 -19570 30030 -29891 -56328 16024 -25531 -28679 -3026 -42657 26222 38773 98301 -102825 -107405 29637 69948 104213 -146861 -43829 112281 69622 5610 88566 -135912 19275 -54939 -104308 -2909 -108293 -69579 -78729 -90657 38869 -54811 34199 193475 -130693 -45094 52150 -110561 -71828 70037 -50735 -52433 -42013 107505 -75349 45642 179236 77521 -9245 -72589 -3780 -268915 31784 -41726 -76961 -64308 72466 -2440 
+-106510 -19364 26363 24238 -137404 -21285 -160105 77264 45283 36996 27543 -63486 48032 85941 -138798 -187804 96009 -99439 69045 20851 -183984 -134431 -38787 -119857 82280 62696 88289 6774 148605 121202 -201428 -73670 33881 -203756 71186 -6654 -59823 -764 61898 -35191 -51159 -81080 -31450 34994 7666 12266 -70073 7974 -215000 83954 119990 178513 -165466 -42966 30318 -88537 55001 -19997 46555 37053 16563 55625 -20231 80524 19336 74015 144265 -111238 -76194 -63991 131121 -56959 38295 154554 86775 -80464 46536 86447 127907 -172088 -224449 -97728 148012 10716 2877 12283 -110852 -35276 -16992 3168 -21276 80767 103608 -176203 67348 81790 12044 186147 -107118 -164600 -25368 -144808 83790 70201 90981 -93012 224843 58434 109158 151860 -28080 49131 63805 126377 -21019 -117864 -33204 -29087 -32887 -56879 263225 -46828 24859 206034 156956 -41317 1907 -46805 -57806 20796 152648 54370 148177 -164553 58741 -74338 121372 -54058 481 152774 37083 80873 -36485 -195423 87794 -19357 -169895 -44983 -86827 -84379 -110488 -61186 -2226 -186823 85243 -5609 -44804 -74766 38487 47930 -61805 133480 47127 -48092 -119518 74887 -49625 -36792 33680 48095 -204265 103808 -109382 -65803 139657 -9962 -30198 -156775 28576 -2639 -948 85221 -39556 -202066 -5413 -46319 -97870 43539 9407 -24075 122930 -9479 13768 13500 7237 67456 -138978 -138627 74492 16256 154697 -129444 -49108 152471 183787 58675 137484 -38937 39556 -61130 -156805 97172 -64628 -42081 -41699 -86533 26896 -46273 18608 134065 26834 -74526 2015 -115036 -45729 114029 37926 -91563 -47480 106110 -142808 14189 160089 58113 70556 -107010 -47974 -249626 41595 -35716 -29335 -108266 -39523 18633 
+-104219 -8677 5473 -80024 -185904 -28568 -93895 95285 113705 39295 -11253 -56104 48412 166107 -77292 -129966 135709 -145452 46634 40204 -186058 -105037 28126 -91772 79334 21075 5517 13632 134389 220466 -141491 -40342 -24822 -205522 64739 -113513 -58762 175 83883 -29084 23988 -58823 63749 115354 -65460 -4070 21218 -25675 -234242 107482 129708 183475 -166630 -74090 41583 -120745 83154 -33342 49025 17437 13877 13452 -153084 8197 34515 37846 138389 -227315 -61059 94344 124003 -105167 -105240 214034 96197 -207322 103708 129472 111892 -125869 -192009 -42374 59648 -24482 16170 91239 -139259 -56446 -21278 -17438 -60227 81398 107985 -160413 62034 92820 41122 104937 -74099 -39483 -35858 -98973 76079 -2100 99234 -88762 218627 119245 -37409 84306 11262 56781 54100 170876 -31819 -40528 -29726 -81140 -76784 4733 226374 -68008 17338 261044 66271 -46394 14488 -58981 -71801 -57305 93356 49528 140997 -143328 67980 -75628 2804 -12362 -22443 149824 36093 -7840 -107621 -161730 87312 -71034 -216888 161030 -46845 -114260 -54186 -30717 -12885 -218550 77327 -41971 -52873 -65997 52385 -3334 -14771 132980 139515 16295 -147757 95450 30772 -60114 -47366 82097 -166055 88772 11529 -133268 151759 9891 -30710 -205855 13411 -77850 -10250 98685 -106043 -114067 12313 -9520 -133128 127754 4046 -10739 206410 6005 73773 16412 16153 95204 -125556 -168826 34723 -17934 199451 -146647 -73912 62626 222710 77767 167669 51105 85979 -20328 -111186 147604 -11123 -44499 -806 -69963 29905 -14020 36341 51808 136276 -113206 -81521 38420 -87647 121940 21400 -39446 -45484 96371 -183099 35658 140781 63972 26338 -130528 -31820 -188178 -13453 -19300 -64756 -53413 -108439 30171 
+-86695 -117047 -46955 -38260 -129853 -16597 79917 120750 -31558 2176 -42925 -45190 48728 177062 8964 -81657 119229 -187197 48471 59649 -200082 -82692 28360 -92272 85151 -7882 -78106 -21616 151159 253207 -77819 8846 -40244 -214250 82886 -116718 -43133 -8738 18799 3119 -36721 -54187 128962 174823 -100329 6582 37671 -3044 -217948 136896 116108 38006 -125000 -47322 39633 -89857 22452 -40091 55148 -17390 35415 18912 -176097 25152 55249 -9226 19138 -144878 -64510 217103 114519 -141613 -148735 145801 45965 -125007 79733 123133 74383 -47058 -168181 -3972 -42218 -35452 29269 -7731 -96150 -64361 -36454 21179 -36323 77412 95345 -127640 2710 91284 26230 -20887 -42113 63753 -35363 -60782 78397 -30666 87346 -92141 210440 76967 -209785 -5429 47768 58199 -133005 201140 -20258 -90808 -30521 -137412 34244 151076 148604 -77357 -5836 266970 -58506 -51733 15826 -70340 -112710 -121469 39969 43023 122688 -128640 53694 -89007 -52790 38767 -7476 107219 33369 -49875 -75385 -98683 70702 -107540 -59599 140101 93803 -68468 6906 -1883 -35598 -142532 83384 -51025 -49218 -71033 56578 -4660 29621 120912 172786 11401 -104686 38122 91924 -36518 -122223 124798 -126500 40864 121481 -67632 126971 -506 -25981 -219912 -10936 -101161 -17088 80233 -76074 69165 8482 1254 -117394 78203 -2401 13580 113469 10997 82035 39001 61518 99251 -66255 -167556 40583 -38091 206111 -85113 -112877 46171 133544 101238 197454 23579 108659 16206 -13100 160847 52645 -31153 38001 -54444 33146 36768 21495 -17093 77458 -141002 -92062 118487 -105856 147087 -32889 117725 -48199 83780 -81976 27652 152939 42903 -98050 -108574 40293 -128058 -19782 -51201 -89290 65053 -89267 14770 
+-98875 -154345 -33501 91857 -13232 -15959 117923 137900 -166717 12465 -23806 -37338 55591 116422 39578 -33536 62066 -216587 30523 60522 -181746 -42925 64336 -97268 84426 -25158 -74892 17803 143497 230776 22872 61842 -8423 -211595 80463 51024 -61807 27830 -16558 -28176 -154678 -49779 183946 193778 -107599 29614 -92072 29886 -142194 143310 104610 -69576 -30744 -47693 5717 -25545 -90337 -14506 58239 -46832 54033 44101 -84709 83299 69955 -16921 -22097 33596 -61749 126295 100032 -132106 -102231 25659 65245 90501 90241 66816 83094 28704 -140552 -3440 -80435 -50094 46143 -197005 -10778 -89054 -17207 -25133 26669 77196 85252 -85554 -88518 95945 4288 -92431 -38932 109066 -9272 -51958 85511 31070 56278 -68196 200509 -46541 -234548 -25299 73652 82279 -179369 206874 -13665 -72869 -32776 -164429 148958 247840 77376 -157347 20413 262380 -59712 -33273 17318 -90156 -143848 -137918 -46579 59553 95321 -121244 33470 -59860 -40519 60480 9202 93206 31152 -26430 -34304 -32742 46643 -121913 102790 -72082 187058 -31893 40943 7884 -40275 44600 70205 -64993 -9298 -84024 72446 53827 54668 104110 118821 -111737 -144208 -34600 134557 -31664 -159331 125384 -95499 -46939 109124 4090 132704 -106 -34572 -182353 -33676 -145455 32697 44483 -11463 99452 22311 80569 -62809 60401 1227 38265 -10444 4558 81671 75415 113955 88923 15744 -198620 8931 -38080 262114 -46984 -99840 -21018 16115 172216 200886 -110359 133105 95565 40954 99400 80388 -39503 68728 -58647 63580 100679 28508 -80038 -96502 -159915 -97181 207967 -82495 113042 -120431 239319 -59907 71352 -27355 10272 116424 48172 -177047 -77754 80072 -89052 -36102 -36780 -124017 71240 8035 37483 
+-90712 -110887 -25189 161566 33368 14492 -15597 137314 -269022 -22851 10571 -42457 53305 17247 116458 14206 13124 -229649 17219 41283 -176305 -1933 29514 -98306 82818 -44127 -7799 22291 118950 132927 83786 95327 -45929 -219228 67774 182171 -65572 -14826 -63494 -18432 -199154 -58375 169412 186980 -28404 33510 -196318 31667 -93360 142483 92393 -46765 47398 -45466 -70779 24609 -191977 -45394 62285 -34002 59382 33234 66242 135926 74969 33374 18666 67828 -73028 210 67199 -85790 25070 -30475 76722 99652 96534 1491 44860 108833 -106215 29819 -20193 -42393 78168 -250116 67274 -76376 -11067 -7869 26357 74720 81476 -44951 -140814 96108 -7847 -53291 -16026 85917 -15426 -77674 72391 118289 14074 -31535 188893 -143665 -82577 -3481 64364 77982 -41264 193859 -3937 -83357 -18498 -198292 92752 185382 13741 -173187 23236 257715 20014 -40819 13219 -47752 -104027 -84174 -120836 66914 60561 -97919 82986 -55111 39415 40292 17063 49058 31123 50675 19753 44510 21465 -102611 79852 -191384 158060 -43494 52144 -10560 -45229 135915 72525 -86327 23439 -51717 109208 157953 47324 121680 32014 -214992 -140588 -59631 134273 -7673 -168067 115518 -47603 -81759 63728 67712 112338 3235 -27557 -129334 -55868 -195751 7533 40592 58631 -28754 10742 33577 -29503 -27223 -47454 59225 -62862 -465 56282 55319 131667 105808 16276 -175277 57877 -10415 252916 -60940 -83904 -120294 -32592 143416 214829 -169905 130052 124623 123200 18856 71323 -35345 86155 -74817 21617 163009 33967 -101437 -192886 -154690 -90045 151578 -87308 111392 -97342 215242 -52682 63004 -27211 30735 91115 47769 -98295 -48242 14823 -44317 -58838 -28918 -88905 -67470 98392 63363 
+-82540 -20393 14206 159614 -58829 36838 -166027 145068 -136643 42399 43139 -48037 58584 -91601 153084 54179 -42361 -219935 15823 2228 -146172 34343 -7174 -111932 82110 -75666 85639 28673 60315 14488 103467 45375 -46114 -203122 63229 189694 -79652 27064 -121234 -64837 -112720 -118567 106375 130555 19043 12965 -156494 35627 737 137280 4198 91797 126285 -46601 -132481 16884 -205860 -25561 69560 10612 51639 64026 144483 134331 64037 60265 101693 -76529 -70443 -42616 19283 -36810 154911 31905 83005 -73197 126553 -72972 5764 141361 -56741 27501 74468 -35306 45697 -110209 89843 -110973 17587 -8998 -18177 71448 80126 -884 -109317 107871 -34657 65879 -67472 -43316 4378 -122755 81700 132424 -28297 18646 175760 -157883 88025 69301 74017 70415 98753 161876 -11908 -64334 -15065 -193788 -17960 42736 -66393 -238146 49551 260208 157780 -28106 -5655 -59548 -71922 9471 -82390 64615 20328 -51164 69719 -45438 135574 -3366 -7532 4948 33419 147003 29972 2825 4811 -67473 -104649 -71022 16092 -52951 -21384 21551 -22626 67745 80043 -66121 69385 -67587 99933 174189 25283 77753 -11981 -215354 -114042 40783 100819 -8968 -120678 81961 -18823 -143991 -71248 -18676 34987 -3622 -33072 -34822 -60111 -171485 21967 93213 166302 -184024 20556 -19949 16296 -63972 278 64611 56522 -831 7935 91809 100691 109867 -22891 -222490 72236 25487 244302 4066 -75902 -198816 48809 162619 200088 -100542 123244 125891 120653 -109288 24816 -59675 88126 -88622 67887 215476 3248 -87975 -134334 -120244 -66486 51228 -84877 64833 11811 96528 -43105 62673 -127478 27034 35459 110463 25362 -54014 -56363 29256 -28730 -44693 -31460 -119254 111245 69475 
+-73158 -2991 -6675 27695 -116890 41189 -96784 131769 63296 11862 22625 -64159 54561 -170367 95633 81663 -21918 -209385 35511 26304 -96671 74864 -39343 -90969 84908 -81873 109757 46499 -10740 -73041 116215 -71390 -23115 -193925 88452 77802 -78881 25073 -109333 -35989 1634 -140841 30027 75650 17450 -3932 679 55909 71446 143907 -13280 206138 205806 -68621 -186295 -26219 -125485 -22970 75782 37249 28289 23728 99074 63032 43274 52244 182314 -215397 -85400 114261 38123 19971 232170 155264 92234 -179433 102993 -84991 -37915 177596 -19423 -19293 158588 -9104 60914 61137 62675 -152348 22560 -4613 -48267 75304 66797 40664 -28677 106321 -89309 171010 -68358 -167536 -24861 -154288 60049 75549 -60450 61101 161187 -50846 105817 160964 70132 83061 74160 107376 -12884 -21429 -14986 -171937 -75548 -63825 -93920 -234080 11884 213920 127386 -3843 -25900 -85229 -51956 91442 -59650 61958 -22330 -44169 48241 -52642 265205 -43209 -12745 34736 36042 217605 95683 -29620 2920 -14666 -223463 106478 -61092 -102100 -73212 21465 -4537 -118199 77336 -44651 106645 -104740 79746 130094 -25091 76020 40324 -191272 -128804 125863 31482 6074 -48470 46537 39112 -155475 -185142 -97739 65777 -39163 -33132 54344 -78836 -158074 -15665 54661 204369 -171472 -1259 -11986 -13606 4134 -16595 61069 159829 6134 -24404 80130 75425 102299 -59400 -145738 61833 81927 227032 16556 -57419 -221093 183310 117912 202760 27183 120071 86639 34580 -186241 -41671 -44030 74570 -88590 32181 242224 25193 -32693 32617 -92707 -8872 -87330 -113718 56920 43524 -40334 -51062 68841 -163648 46136 7880 94096 62824 -81773 -52636 39873 -5308 -41063 -39744 -53749 39346 44521 
+-96356 -85529 -7820 -70474 -190121 27115 57629 117726 136935 21563 -9563 -58992 54437 -180584 72358 86867 -18099 -173194 6511 35199 -64205 94088 -54794 -94063 77638 -90277 12756 56712 -19399 -91850 92303 -74654 -45921 -178772 90205 -101956 -94489 30773 -109109 -25376 16340 -134150 -48783 20457 -61956 5946 46474 74955 106640 122921 -25661 145835 227565 -56515 -241082 -90993 17047 -8003 84218 24863 21303 -9658 -30218 19072 26049 8357 75446 -172212 -92601 235055 5473 47869 203915 211412 77002 -89232 96634 -73418 -51256 175421 25641 -55412 164038 11527 30397 62437 -21579 -130564 8729 -1044 -65608 73757 62966 74583 57706 120606 -81553 178829 -114391 -240217 27027 -125994 51099 -6894 -74660 106944 145691 68236 -46645 206046 27636 71953 -121221 48140 -7819 -39219 -16920 -127262 36163 -8747 -92486 -237215 3837 226322 -28269 -2661 -48299 -128652 -73355 121545 5940 66167 -64830 -32590 41189 -75081 246421 -57149 -2331 36487 37107 235836 133712 -115415 15684 45177 -121534 166208 -77805 -119072 -132932 36210 29976 -190604 92434 -36841 102449 -95445 84388 12270 -64142 61262 136316 -68687 -99382 44005 -52260 30020 31774 36358 63336 -127145 -177902 -91350 3863 -46944 -40226 84041 -69894 -124017 -9959 40193 170099 5037 21755 -4802 -10637 109886 -11811 46412 126185 1797 -63917 99248 28440 96694 -135532 -139219 66255 140813 195997 36216 -32658 -228161 233909 73215 149513 39985 93876 8195 -43322 -207879 -103487 -31280 46731 -74021 23459 251269 25979 36359 138044 -52718 42365 -113587 -95322 69410 22533 -109552 -42793 80512 -145985 29495 -10905 86681 -16144 -112840 25906 86040 14077 -31988 -65628 14914 -60452 73066 
+-95359 -143943 -4617 -35673 -99036 49148 133023 80923 -12402 3320 -9350 -57140 50321 -134035 10193 87412 57618 -125114 17818 58580 -43472 102913 -69621 -62239 86057 -88479 -71949 22856 -86374 -40052 33071 -23272 -80040 -148350 67077 -105826 -99400 25019 -89246 -38089 -96675 -170045 -71111 7049 -114166 29104 -62872 40263 102215 107681 -39391 -33740 176002 -55273 -283194 -104921 89874 -31163 89009 -12214 14919 43884 -162328 14546 16297 -19855 -845 -7328 -101129 119139 22110 42708 98094 139144 64068 67343 98795 -31338 -48815 161315 47253 -97999 93384 24688 9026 -88323 -134154 -147962 -16449 -7874 -35792 74933 51246 80212 74699 118417 -74902 83907 -112641 -249545 23464 -62014 64771 -30644 -67351 140147 128829 122458 -215746 171593 25119 68199 -174997 -4557 -22462 -26975 -15464 -70359 141478 150206 -53766 -235970 -4025 168390 -76640 21729 -70119 -102621 -157894 88807 106007 59186 -103272 -6795 32400 -33721 171137 -24845 -10286 64869 35503 194646 191037 -182275 40062 107866 56467 -10200 54836 -145189 -173708 27407 44252 -137029 76306 -8312 92952 -92934 46942 -8243 -94274 27862 168996 1162 -77427 -68340 -139770 -26000 106472 49565 78808 -97355 -83070 -55029 4147 -26372 -39108 98925 -83739 -62298 24801 55399 108499 105261 -8090 23803 -89747 139882 -6435 26464 43788 -6842 -90397 102621 22810 92664 -145265 -162954 59618 179563 176769 -30832 -11755 -173040 158183 72052 110442 -54023 59003 -30519 -169177 -128665 -114760 -77064 9848 -57725 41249 230998 13500 116725 68291 -34284 73279 -43378 -66487 121386 -48925 -29533 -37869 93222 -35663 14324 -39439 61219 -124064 -127230 77550 101555 36837 -31034 -98366 47958 -116850 60574 
+-85289 -106869 2068 82379 -26251 48956 461 58322 -169799 15939 10141 -43238 46923 -38205 -103505 78279 88044 -75046 67479 42169 18197 130920 -26207 -88964 82898 -68547 -74892 34163 -115110 60536 -38732 88385 -26800 -142195 64136 15419 -93281 9570 20103 -12266 -210894 -119887 -64329 18260 -92429 33807 -186230 36247 99186 77448 -11396 -97880 135392 -44189 -244064 -103712 59774 -46476 97556 -40933 30055 60062 -184122 87124 18785 4918 -2837 71526 -106682 -36684 53712 8166 -50169 25123 48891 109628 54542 52382 -41757 101812 60117 -91865 -13906 14097 34459 -242918 -158771 -172976 -38784 -1742 33243 78787 49875 84175 38990 123379 -69058 -39747 -78907 -175299 16046 -47257 45007 29647 -38851 181886 111541 62389 -230169 97337 -6138 47737 -106123 -58192 -5579 -39632 -19086 -28789 99173 227299 23229 -200981 -12705 131020 -2859 7089 -96330 -121435 -145034 -10658 107135 57658 -135572 -8932 33202 -44050 81550 -5464 -21992 107011 32817 101477 191191 -173688 65363 163729 107742 -193073 196858 -137309 -183879 30837 68753 47946 87153 -28000 43678 -56698 52438 -32610 -91223 21645 125622 14652 -51939 -55368 -221878 20293 140673 94236 61931 -239 24202 48349 -20458 17599 -37174 53201 -76122 -22193 19409 54397 22019 28612 -2370 47289 -122209 101563 22226 1090 -57725 -16508 -114368 117644 41592 102535 -71027 -175251 79960 211961 116791 -13808 23887 -131521 35761 42621 71821 -147004 21274 -59794 -170605 -32230 -101784 -34823 -31337 -58516 32897 189130 -11383 176354 -97602 -37124 119709 92294 -96892 123227 -82064 114305 -28236 103354 -37762 -4204 -73403 30190 -148394 -96743 30708 100226 41541 -31529 -105272 -64521 -56888 84502 
+-80851 -36050 7855 166324 28414 48168 -161177 37676 -258469 33068 33890 -31363 48221 73888 -145636 24265 122434 -37038 79070 27665 31122 118460 -1610 -123508 85023 -47859 -19100 26993 -121813 169329 -125591 64873 -29209 -115133 62471 143142 -86616 32820 15663 -30562 -164769 -70466 -5958 74963 -41979 13678 -173260 32538 36115 50651 -30422 -27964 42703 -44837 -195602 -30453 -34781 -63988 106366 -46455 37148 61496 -74844 127326 33614 57585 65459 -39559 -116431 -65088 72581 -55516 -144491 -27879 52265 1758 60948 97825 -19589 34998 75998 -95987 -75669 -1825 62352 -211579 -80509 -156576 -34091 -31354 15243 80792 41016 64650 -49277 141358 -77297 -93962 -84183 -58164 -2335 -46970 53332 107924 -405 200874 93198 -64431 -74376 11940 -39537 62791 94773 -101451 -7130 -34555 -20086 10757 -18766 205761 84492 -153355 45169 85943 126186 -29701 -121682 -106599 -73106 -102381 100708 52980 -160249 -13210 13666 -12717 -50163 41440 13069 126428 30900 10270 163106 -107994 84277 204985 -29830 -154153 192269 -103741 -119656 19741 78101 151486 98830 -39536 355 -62820 13070 50016 -63044 -3126 34832 -61279 -54707 42542 -246823 30128 140085 117461 60878 31964 117932 40864 -37964 -21488 -39040 -17553 -56589 16275 12620 92965 -87398 -126143 -5780 38810 -110783 14441 -12180 -15004 1190 -10908 -28574 119706 63582 78762 13293 -157115 78013 228822 70290 -39638 34079 -27208 -45275 -5605 14929 -145005 -8632 -25407 -132047 61735 -52586 -48285 -70069 -74606 26975 129696 10176 220285 -200421 -61921 134287 172138 -101456 145969 -77550 229573 -30511 107061 -81653 18565 -86572 62028 -34622 -68805 -58761 84580 58073 -32092 -101760 -147780 48030 66012 
+-71693 -9219 -16705 141258 -52280 53004 -102676 13862 -151742 10546 14571 -40409 52666 148730 -209070 -18983 116048 17823 32597 14100 70974 126610 40876 -69186 82482 -31168 70819 31223 -118037 242634 -190042 33831 -67754 -88288 75906 215849 -75577 47387 72344 -30862 -30532 -54995 79218 126214 22943 -3766 -81869 15344 -80836 25117 13874 170083 -35124 -62010 -114858 7676 -146789 -63342 110708 -3356 51801 44571 82194 136416 54658 53414 166074 -196564 -126567 51967 108397 -109454 -159173 30018 78249 -163841 57560 143245 28950 -49081 72053 -36815 -57306 -22602 54990 -39038 18700 -159483 -14972 -15733 2717 83140 29845 15101 -122084 123764 -57659 -36162 -45567 66280 -13798 -110617 48569 140300 43264 214462 74862 -161656 92060 -28099 -126632 39581 36506 -124360 9166 -11888 -23634 21237 -70048 71994 167336 -155753 51450 59363 119730 -66594 -143393 -130876 -40159 -147653 68954 54498 -174216 -10165 70277 -37647 -59117 69533 -3071 149300 31556 -45146 131093 -34799 89242 229285 -198327 77165 127719 -74392 -40052 -14269 82177 45991 104476 -74849 -79303 -63415 -15845 142144 -16553 -19699 -10075 -166381 -53608 114539 -251344 50053 93400 136982 12280 91300 85691 -54719 -53091 27917 -33516 -103521 -57232 19754 17507 45913 -102017 -217676 -18841 -9776 -74444 -81338 -13309 -24933 127641 -11553 -41474 133716 111330 100187 33177 -173338 60541 222285 33523 -53453 64070 59784 11237 41533 -18358 -32773 -23674 37132 -7510 173718 15869 -49563 -100371 -90302 54825 67073 35225 227834 -147873 -101810 150520 205619 -54849 122724 -537 241248 -20087 104039 -168794 -3107 -106641 124913 37905 -50014 -38186 41255 48404 -62288 -22971 -85309 98836 73333 
+-78401 -79702 21963 6634 -158760 51413 44514 5874 16427 12387 16597 -59863 55299 182566 -261897 -73146 92364 44500 24467 21644 86546 118488 46642 -68888 84994 9451 78602 30385 -100880 258674 -211787 -9337 909 -59079 89943 99297 -64651 31099 75174 -54581 27027 -22789 142483 171395 5609 5336 33858 -24566 -144495 613 64526 201653 -119560 -44532 -44247 26524 -211405 -24838 110919 33146 57788 32661 153390 69608 69606 46029 154270 -202141 -122045 225868 120101 -137960 -23587 153162 89086 -127553 60750 112351 59359 -103398 67406 11283 37692 -42459 73998 91070 95301 -154804 9515 -21911 -51748 83510 25265 -24985 -128398 144767 -32459 87956 -11799 124807 -12708 -151838 46307 73266 78684 221541 56024 -140745 102270 -27877 -92946 61252 -111719 -128231 -24764 -30579 -21530 8429 19510 -74821 252795 -112048 49519 -17213 13908 -77903 -164505 -152133 -75857 -117986 -2356 50501 -177241 -5760 77511 -45026 -4658 31241 -14516 155339 34050 -39773 114641 14637 78265 218860 -194335 171623 -6440 -53862 35333 17928 76890 -156659 115409 -105276 -53071 -47390 36822 205386 22231 -46092 31594 -206014 -42959 81758 -210939 58358 20739 112175 -33326 88539 43006 -119588 -90190 2502 -35109 -163157 -29438 -1696 1515 41868 -67910 -80637 -16265 -32159 -26320 -45597 3431 -26174 186737 -2456 3078 119527 132202 71484 3805 -119037 73976 184834 1528 -115256 72440 91019 137519 30214 -55007 62526 -68219 89574 134732 191299 54400 -12287 -118323 -89317 31414 9987 17452 184411 35325 -142321 89662 96760 -69581 79695 27325 72344 -11237 93214 -179708 -24535 -101565 56080 24972 -68272 37347 -8975 32727 -46564 -52924 47334 94846 46113 
+-80681 -135586 -11113 -44665 -151483 34021 118559 -13553 104190 -2217 -13636 -57222 58312 128973 -261999 -128938 46671 68560 8688 63503 91025 110330 41530 -92001 84295 44370 -14467 4517 -39545 187345 -206265 -81235 -85151 -32632 79799 -45340 -57764 20879 39043 -67642 -35608 -37582 174456 185322 -65083 28550 -3957 -17775 -195573 -21803 101319 132310 -154271 -57013 17675 -43367 -152874 -12325 117300 29637 47529 5965 91040 11682 74163 -29392 26483 -28838 -126654 147218 131248 -137649 116931 210022 81348 37809 73629 67961 87250 -148869 36278 35613 132271 -50232 40291 18367 52942 -117181 26728 -4762 -55388 84950 31032 -61194 -62097 159946 5461 180602 -18428 78045 25039 -145593 45620 8222 97343 188765 37492 -32768 -56552 60634 -155397 65978 -227742 -108514 -9518 9830 -26800 -26657 133100 -21338 256403 -22615 8313 -58781 -85738 -59436 -180332 -99530 -152204 -27954 -59699 55997 -168927 9012 71367 -43373 96285 -16015 -22336 123778 36486 23981 70261 4471 57373 187960 -12980 35398 -133006 -35654 59352 -51355 67414 -205294 107361 -55935 -75766 -55621 38080 146810 46704 -66621 125957 -188994 -27713 -11037 -140029 52207 -66338 74242 -82339 74820 -112202 -100998 -70954 17313 -35316 -215962 -14068 -99770 16683 97573 99 70888 -33253 -18503 1983 12797 21438 -9787 105424 -10270 70019 105527 108945 87863 -84029 -128633 59629 142193 -49261 -159584 90209 130229 222553 47306 -94952 15630 -99362 123209 145905 122492 81770 -53080 -120966 -71686 41958 -29660 4488 127227 138422 -163599 100894 -64695 -65868 68519 -14585 -18466 -4708 80772 -73025 -22273 -99246 105640 -54593 -100103 85462 -22377 35414 -40343 -55519 41301 -22615 49730 
+-71482 -126955 -16889 -38100 -81756 29077 9829 -12568 9424 -10016 18906 -59794 57234 20407 -323651 -177284 -27107 71609 12523 47590 88096 89055 7605 -122141 80240 64280 -49545 32171 4719 91061 -182437 -12858 -52075 -4045 78890 -121841 -50207 -9909 24288 -48041 -150329 -69402 142632 169636 -124517 34071 -130473 -48235 -217398 -31194 138916 -50435 -164141 -59732 49161 -81720 -47692 -43319 120120 -2110 30780 22435 -54018 25734 64223 2438 -4840 80917 -132703 -25207 82973 -94887 199194 144426 55505 112174 86947 -1074 111639 -163670 13524 18217 170082 -38481 13713 -168580 5252 -151733 8813 14524 2590 83901 10921 -127413 25744 154981 42003 168554 -26054 -19243 -25715 -101251 34254 -30509 95238 156789 19091 85390 -222221 155630 -133594 93807 -87959 -70724 -25053 -49591 -28154 -65762 106541 116966 277532 -2121 17790 -74429 -3249 -29158 -189045 -127488 -154763 62011 -87939 58585 -150456 -19271 81827 -62118 220778 -42484 -29212 79310 36889 121523 17011 -31333 29190 137871 120827 -170745 -53520 -43160 36147 -36579 49117 -149629 109740 -72940 -38750 -98927 62593 68167 47794 -98538 173925 -104349 -6973 -67052 -63958 90655 -125867 41709 -114526 714 -196079 4441 -75844 -31060 -38183 -201437 361 -149957 34069 95033 115668 102320 -45091 11926 -10303 116544 23393 17345 -35550 -8322 83903 147855 60528 71618 -165079 -116805 96813 95421 -60734 -160740 87729 137291 200533 77401 -102680 -109968 -115389 143072 95703 8841 60496 -54976 -107844 -56898 8776 -52582 -20166 44922 72462 -161258 -11522 -119326 -25251 54833 -85124 -92296 -2712 67854 -16435 -18899 -88246 80061 -117934 -122558 36781 -86478 -6588 -63956 -103420 -91897 -90219 63684 
+-90737 -38485 -35110 79626 -3015 6954 -146591 4399 -180024 -6592 27943 -51047 55727 -75504 -247129 -202611 -37877 46049 8167 43681 73098 63523 -24865 -64626 76184 76928 -66551 5727 39090 -27415 -110009 74322 -18111 21292 61829 -49604 -48482 20585 -43767 -35642 -207916 -120221 89047 115521 -118452 14385 -185603 -29944 -240640 -35006 144044 -75080 -83232 -75351 69756 -111919 57467 -23828 125071 -44090 11058 48170 -164290 87910 45183 41584 37473 3223 -114312 -65150 44885 -36788 228466 20434 49585 14990 111437 -66164 117516 -171777 -32908 18350 135203 -25550 8035 -261819 -74027 -129215 -1825 7236 42923 82715 9433 -147881 84816 153973 6902 61517 -68915 -146097 -18729 -71970 20246 25717 73181 124408 953 122107 -225249 204968 -148082 76469 64872 -20826 -14744 -55310 -26003 -134618 -23660 220558 218474 40038 4476 -99715 93143 -48357 -193200 -105777 -78894 105230 -98832 51226 -121961 -14782 55737 -37288 238056 -53141 -19712 21671 34939 200880 -37498 -129093 9276 81715 43012 -193298 70186 -88403 -6505 -73053 28349 22361 115143 -32219 11165 -60361 99265 -2100 21266 -97330 130446 -15143 2361 -11178 13377 98641 -162849 35065 -166616 -60804 -148838 46316 -106666 -44607 -40103 -175027 31932 -175828 47579 49372 183233 -71476 -55586 23893 -41204 109688 19225 36515 -22300 2659 84335 114767 20705 75329 -154944 -110049 59466 37507 -90074 -124591 81241 132789 64771 141357 -132103 -184903 -125231 68298 -11172 -80856 5165 -50928 -80465 -56499 6783 -46360 29394 -29635 -90581 -137529 -49758 -56064 -31152 80212 -87686 -72225 3060 62520 -15527 2945 -91970 96734 -91371 -125794 -33917 -138187 -37029 -12469 -115461 -119831 -97602 47935 
+-75548 -16458 -8005 184029 5234 4406 -124754 35214 -267503 1828 46609 -47389 51703 -158677 -232712 -232990 -1740 22305 -7503 11207 59801 41892 -35267 -131257 76102 87560 24426 26255 80402 -92255 -26365 82733 229 51420 75451 116530 -56019 -6521 -85451 -43873 -113245 -172493 -581 53042 -30890 -3622 -106514 -28404 -207806 -72110 89452 984 -19892 -51010 44223 -109103 87291 -29556 133496 -39180 10316 47141 -172991 133967 27170 71184 162596 -188070 -97493 68200 23033 22373 132709 -27875 65401 -170848 99236 -100527 99397 -153475 -69491 -53140 27920 -879 20880 -132986 -127875 -77891 -44724 10157 28927 80108 280 -171043 59586 158649 19844 -56248 -102600 -245661 -25815 -55250 29928 108438 35150 81594 -16423 51367 -63527 176404 -145379 85701 59310 41880 -22366 -45632 -30743 -161587 -73177 210303 157385 86010 18011 -72757 139942 7010 -192557 -95948 -58064 105910 -12516 66191 -86339 -30312 59709 -994 222371 -18148 17909 9320 32088 238099 -92911 -185003 1268 15702 -144849 26974 160178 -126431 -75366 -72046 2452 117569 99369 -16607 48646 -66631 123713 -39006 -24798 -121384 39964 26736 13412 74858 76988 130182 -162198 49601 -188989 -94420 -45540 35358 -109579 -64410 -41512 -86668 41298 -160151 -35476 53572 192868 -182521 -71999 10810 -83942 78281 21034 58689 74074 -9356 37153 48795 6393 74355 -76715 -25567 107786 -3779 -91738 -108877 80967 76622 -28517 133029 -116891 -106656 -123687 22858 -137867 -192473 -55345 -59953 -43487 -71425 22189 -14450 7374 -73164 -209330 -99274 -82625 64707 -32099 106171 -50093 55483 7346 63200 -129490 11676 -71169 97451 13466 -83658 -59612 -182139 -34017 -40716 -78630 -94415 -12257 44837 
+-82495 -38159 -23736 166387 -58518 -33502 50689 35216 -188665 -24563 10728 -36350 47596 -186001 -163421 -231918 18215 -12479 26135 23175 21738 10626 -70864 -62384 83976 100133 76956 10005 137594 -85928 45121 76438 -37153 68464 57671 180913 -73522 -6941 -97879 -33862 -3132 -156376 -78859 10862 22728 4738 18045 -16733 -153542 -42219 80959 146066 72088 -40986 -23291 -44976 13764 -12173 126096 -8564 21994 54677 -51901 147830 16402 44491 141074 -216820 -91176 220340 -13203 51144 -5428 32430 79253 -174294 95961 -87431 50131 -105900 -110706 -43758 -63730 17011 30306 40180 -118278 -97513 -32710 -1183 644 80217 3784 -173439 -17807 164185 -20781 -91807 -114974 -259220 15865 -90279 46126 132150 -8588 38461 -32653 -76737 98579 119761 -135942 85588 -117654 97421 -23328 -17094 -41165 -195180 28974 90906 80846 78222 16169 -112213 31848 26675 -181225 -68743 -55441 20283 39922 64043 -45657 -33094 17339 -42007 108603 28108 14522 28710 30850 205578 -56018 -177611 9751 -42938 -223161 195501 181267 -118513 -167256 -121830 -18410 56548 102082 7910 117886 -61883 107997 25646 -58946 -149400 -9980 -42052 -14668 110164 133719 129225 -100754 93307 -219244 -129745 91345 -69707 -156428 -21258 -39611 -19950 60773 -156013 -19293 68914 186192 -159516 -93397 -22065 -126438 -40837 25034 69187 197213 -9825 15273 44072 48676 58940 -21490 -59173 90499 -36619 -75790 -104215 37082 26775 -12976 123358 -99358 20827 -126846 -43830 -167332 -218960 -109163 -36916 -2254 -88842 21556 35929 11616 -100584 -150764 -60135 -77386 174852 -4854 135140 26669 211804 2643 71888 -187239 -8987 -38948 111762 49586 -56053 20412 -231311 -57921 -23517 -12049 28865 75121 30851 
+-63762 -130602 9574 9122 -171349 -17552 131760 68618 29334 -24463 -9744 -43523 48134 -144066 -100105 -214442 94272 -59521 40389 41858 1140 -2914 -27249 -79318 76389 90387 96613 -40181 159259 -4280 101752 4404 -36913 77078 65478 144883 -78385 3735 -113619 -3951 18226 -118351 -89758 9741 -2194 28029 18037 -9719 -51316 -62448 86365 182732 168441 -70010 -45866 -3200 -105946 -12511 130298 25934 41128 37399 84533 67235 17535 4550 38818 -68974 -96192 161883 2775 41131 -131153 156438 82442 16170 93439 -25372 54411 -25081 -141419 -83810 -79150 24017 63544 76417 -47425 -103513 -17075 -3237 -50646 74857 6037 -181874 -104410 149367 -58558 -16969 -97157 -187496 -1221 -131640 42967 86952 -45806 -6562 -48154 -159446 97179 22809 -145947 65849 -211883 147583 -12667 -64015 -38813 -194813 138164 -49466 8346 70752 1148 -65371 -106326 -2751 -163344 -99100 -123247 -50979 102354 64092 -3057 -73377 24665 -52219 27829 44767 -11517 27153 32056 123759 -41186 -109534 29779 -82443 -88559 85806 80991 -131099 -204210 -148496 -30665 -114479 115772 -62763 105407 -79073 77324 107856 -94865 -179995 31486 -159414 -25147 29319 143607 127765 -23482 124634 -232733 -144033 127756 -135824 -145839 1493 -42846 53744 77488 -111440 18744 54919 87106 3927 -89513 -37147 -142880 -59231 47743 59901 144690 499 -56100 56057 80537 34933 45926 40379 40540 -44528 -56539 -65286 34867 -86210 97941 123826 -86858 36974 -120911 -46051 -132405 -176336 -124160 -27958 36740 -89339 -20400 95185 41426 -87633 21387 -36355 -116343 167466 7046 97516 17636 228156 -2400 83876 -154626 -1624 -24947 75292 -41276 -55990 57286 -272861 -35938 -42945 -81490 114847 114259 1332 
+-86283 -137030 24348 -57173 -154709 -12232 7123 90930 102136 -24298 7053 -59632 51124 -45096 -31 -192606 137520 -121763 37059 63170 -47511 -80221 -1242 -96913 80121 89091 -37607 -12980 184959 97501 110652 -54992 -59629 95857 81649 2481 -94647 -23777 -120086 -4694 -82789 -97277 -41552 32284 -60107 34336 -91816 3998 22937 -32993 44520 72254 213534 -40460 -151422 41379 -207849 -30756 128829 36452 49118 41220 151010 5126 32118 -11647 -28567 67183 -85314 -19471 -7554 5939 -137388 213792 88192 105374 121684 53074 -3662 42061 -174042 -88802 -3549 8082 76109 -53537 31565 -45010 6800 20853 -36505 74038 -143 -145904 -132330 165112 -53146 109199 -65592 -71364 -13818 -135750 50190 4687 -70375 -51264 -62535 -133729 -67011 -36163 -81112 76006 -87955 186208 4053 -54068 -40884 -169256 108525 -7148 -54388 86850 32385 -58021 -36452 -23878 -146828 -73304 -155397 -152117 144635 68834 38906 -73778 48033 -32801 -58285 53523 -40331 83200 34742 31693 5445 -50191 55269 -110471 93964 -106662 -57314 -92425 -174763 -129821 -29394 -211220 101601 -49496 89576 -100630 82972 146590 -87690 -179967 122499 -210508 -18464 -84581 113471 114170 55976 132529 -224731 -109785 98127 -79254 -135532 -9714 -45428 80283 83149 -53376 24134 39180 2620 105389 -96627 6522 -65896 -24168 26013 47182 11500 3357 -30591 37328 127003 39765 4362 4415 60734 -22899 -29349 -31876 -6522 -133791 219906 87825 -43656 -56295 -121507 -34838 -96294 -56860 -102645 -39678 67243 -71681 33267 158126 8939 -35321 130867 -32828 -40704 96268 9613 104619 -14584 137470 -771 96798 -50803 11961 -8767 59515 -158426 -84822 48523 -280640 -17039 -30086 -79632 -26843 48231 42306 
+-84657 -97343 -3520 -29751 -41040 -14648 -140947 126761 7228 -21549 -6897 -64468 53786 69261 31135 -147053 120034 -171831 67608 26235 -85077 -73522 26974 -84303 78288 63506 -79839 -29727 131234 205758 120221 -30405 -10366 105844 90283 -129093 -100268 -34325 -43085 -15184 -195410 -74418 33664 89300 -109306 15077 -195869 15272 72998 -19539 -8298 -54092 209182 -37192 -196275 -14723 -194941 -33541 125173 4228 52387 36273 73892 11568 52547 6808 9480 27185 -74060 -50897 55327 -58234 -71298 145829 62852 27061 85182 121233 -19793 97619 -208421 -81123 96127 -5482 57579 -215271 88840 -63624 36649 23605 -29118 72905 -13324 -96682 -83719 176923 -114492 186938 -34479 41733 -9030 -128520 72377 -30557 -73585 -72176 -75402 -19543 -227019 -25716 -77326 59938 109582 206818 1547 -62325 -38307 -127191 -29548 90645 -92773 83042 -28718 -45221 98953 -24315 -129942 -55994 -126137 -107181 108467 55304 77248 -72207 61934 -50506 -59124 26085 -8475 126536 36869 -36830 32097 12617 78335 -119576 95676 -215620 -95025 -108792 -139054 -136960 -36736 -184878 103410 -82627 86231 -77290 68556 168190 -63098 -192711 175810 -197535 -55892 -36360 39898 85526 110278 117342 -204398 -42493 -42256 39344 -146024 9659 -39007 87062 99645 -31175 -13070 44455 -68795 35084 -90301 50430 -9338 61091 47054 24576 -63370 -10883 -82470 31512 137072 28190 -39082 15211 65483 11099 -2743 -22513 -39916 -201304 221852 40517 14162 -160640 -59194 15220 69035 33535 -44974 -43674 85366 -57241 8034 211846 16799 40293 74757 -50552 -69994 16083 29379 69094 -88824 -21634 -381 104870 -43900 2581 52806 57346 -106403 -114657 -41043 -270585 12710 -24794 -100728 -137974 -40581 -1101 
+-93742 -27869 -72666 79441 -19607 -12252 -145039 124817 -197853 2073 26227 -59006 55870 153590 97083 -98167 63814 -199761 69510 22264 -112723 -134500 51086 -65142 81335 32291 -80959 -1715 123985 259330 73086 38860 5573 103759 73713 -86792 -100112 -26754 767 -30502 -182817 -38445 118073 144641 -90666 -3404 -153158 36064 114622 6554 -18150 -96137 165174 -45705 -214011 -83206 -109795 -56702 126345 -30233 44647 85226 -70976 87512 68966 49918 116390 -148519 -72417 68734 100563 -109353 80733 38225 41955 -147396 70353 141809 -37354 161121 -224855 -88257 171779 -30374 22514 -224828 90528 -3492 11254 5020 36276 73961 276 -59505 -11190 168338 -103546 155364 -34855 106276 16934 -81206 71597 21267 -54497 -82847 -86615 94309 -219276 32219 -55015 59564 52969 205648 -4285 -58031 -41658 -86345 -71737 223602 -77172 34437 19704 38739 142966 -33716 -105384 -80698 -56887 -47200 49400 53182 109101 -101344 72313 -83087 52433 -25233 13667 137253 36606 -46829 68262 -731 89396 -102938 -81412 -75573 -12559 -65779 -55059 -172737 -20919 34881 97260 -91872 17227 -102392 21496 99244 -19605 -193782 133786 -138833 -61732 77332 -37941 94657 140354 74131 -164544 20715 -148500 55864 -172693 -10002 -49546 48052 95466 2554 1737 26225 -86450 -137001 -109553 58545 22025 150149 51089 -2927 2152 -9813 -75744 38744 105611 38501 -125215 49996 86678 63276 46433 -571 -51425 -228070 114953 23619 38156 -147256 -46978 102489 141633 146768 28217 -53261 88200 -57290 63728 241466 28484 118697 -80453 -85504 2597 -85440 20344 53674 -104108 -89464 -4064 107654 -58857 22214 86485 70298 -39659 -128007 -68248 -263599 57788 -35103 -82179 -88438 -114384 -22484 
+-83144 -35565 -6722 183136 -17736 6633 30308 152079 -279648 -26247 28634 -45448 55607 180094 57176 -71423 36223 -220698 51856 21492 -149332 -153531 29916 -115891 75795 15428 11189 -41605 66707 235858 64 102441 397 107985 92582 43493 -82752 -35922 20028 -24066 -58870 -35655 181238 185868 -26684 4151 -21571 44837 136055 33420 -42838 51496 101695 -57003 -249740 -150391 17304 1906 119802 -47557 28100 72643 -181083 134329 74210 66059 167047 -224574 -72556 192125 134984 -137669 189190 -34425 57961 -159859 81283 112879 -56944 185293 -223731 -28685 151700 -45638 -34654 -59634 -19983 -46865 -20889 12224 37114 74340 -10993 -9676 45427 193724 -79619 39010 -42778 87642 3322 -44105 89594 95290 -20305 -96729 -96239 125828 -53555 124021 -12544 58432 -110010 182186 -9556 -68466 -45183 -30710 7575 223456 -46929 -10816 36044 57472 48528 -29700 -80150 -39522 -46012 34718 -6175 42268 132467 -121912 49904 -77486 155810 -39625 -10038 150423 34227 1768 158498 -16993 84855 -53179 -215348 147959 137158 -41245 11170 -218613 -6243 126103 106123 -58410 -49042 -76764 35410 26973 20532 -181441 42424 -16006 -74579 119610 -115901 83838 129143 40835 -117889 83366 -217896 -26819 -142980 10881 -50428 -34421 102028 5440 17977 43010 -28881 -203255 -125957 -11031 -17090 121802 79163 -18706 177438 -2701 -70244 49952 47653 37760 -122901 48529 34905 120650 113617 11345 -85632 -185155 -12440 14404 123581 -30673 -24442 129459 156366 184697 75667 -64987 75217 -70668 23983 249142 -2328 188659 -195777 -121616 87201 -128483 51869 23605 2904 -102094 -5965 101837 -158737 23162 133625 33033 2494 -98244 14464 -244523 52118 -23101 -59552 62438 -84459 -60676 
+-95979 -139863 -4546 150823 -94755 -7928 125272 144270 -166582 -8130 5941 -34223 54712 130527 81208 -1581 -28238 -228309 56313 32426 -188434 -164595 30065 -73637 74823 -15727 93800 -19467 11199 138669 -69281 74609 -22636 97851 60594 184032 -71355 -33004 98284 -7271 29857 -52277 160016 197401 -907 27461 39704 44963 60332 56538 -56642 202285 13066 -33138 -214748 -109620 83637 -10425 114946 -18814 19476 38075 -152453 128174 65273 23747 81119 -110823 -71414 172589 147734 -129572 241054 31648 66551 54 56837 61526 -35898 180869 -227142 41784 66039 -52383 1087 86741 -106666 -9994 -24809 14373 -7598 76522 -6325 38885 81006 187652 -66568 -70095 -23039 -10111 2798 -64369 78680 139022 23416 -82472 -104298 36714 103754 182443 -3984 44583 -184303 137208 -13448 -43531 -44911 325 116355 91523 20496 -21587 10550 127183 -59836 -50508 -55623 -58672 -90415 122028 -95093 50673 145578 -152910 93502 -59351 233180 -41865 -3028 155220 31631 94539 194766 -85170 66820 6576 -155782 195222 200945 -29296 47040 -182905 9319 24956 98464 -42881 -87014 -103432 66641 13133 55200 -230121 -15252 27985 -98699 25381 -184858 93783 80272 31489 -80674 110533 -117373 -94202 -111336 -45639 -50133 -124551 84624 -2456 9017 44174 52450 -101691 -121826 -39414 -53023 13228 79248 -28416 162361 -6981 -24040 55588 -4938 25810 -117071 107854 70429 170795 145869 -25558 -99721 -133130 -21362 17938 154680 50352 571 121020 67950 104928 77720 -62778 48379 -90672 25480 232255 25245 225678 -146996 -155991 134918 13302 44236 95771 60462 79271 -16414 90354 -171670 20366 140053 52044 -9313 -63331 76130 -191654 77786 -18651 -46542 83877 8798 -52175 
+-97887 -148087 -53383 29724 -166290 17147 29136 122489 32088 -23988 -13624 -38038 50648 36830 75940 22973 -39055 -216489 56843 55276 -212591 -177022 -19942 -79296 78049 -33863 88773 -45901 -49234 23692 -142061 4530 -63136 81345 65577 211459 -70823 -62946 63602 2289 -31025 -103688 129256 150337 4066 34565 -16089 62658 16778 88325 -51566 187658 -67871 -33414 -152680 -40873 59717 -8132 119959 17426 23054 2324 -30206 72349 47883 -5481 4104 59109 -68295 -10902 102566 -93449 161665 153704 82980 104883 51613 -30525 -22547 138252 -197570 50711 -30299 -40753 49052 50048 -133961 -49372 -13851 43573 -64763 80840 -16674 51354 15029 198030 -24265 -86246 -57670 -145786 10273 -98431 84547 91968 62725 -59152 -110310 -90421 92511 204975 44916 37669 -107389 87548 -6589 -43717 -44765 21433 107922 -37820 92822 -74922 25648 141871 -53056 -51051 -36325 -57912 -125973 94971 -103939 57991 147624 -177945 38671 -64535 240028 -4212 -10283 134095 30926 182616 220521 -176910 41458 64536 26433 -54027 188442 -47635 54865 -219406 41254 -104492 98981 -14463 -61045 -100126 36494 10368 47122 -223392 20435 -23967 -121965 -66185 -242127 78728 3285 65749 -23948 99293 194 -104077 -145501 -22381 -48003 -192026 69793 -23110 12711 46387 144610 57956 -137615 -2999 -129910 -28058 85062 -23915 68212 -2141 42147 9579 32961 13969 -32729 77986 31770 208435 201495 -28419 -85725 -82714 72243 33156 174492 4039 50558 63356 -48236 57873 44389 -57017 11970 -90552 17073 189229 33846 215274 13458 -163033 152723 128185 96451 126959 -5932 200910 -20897 76572 -89289 56182 134868 51020 -122553 -39924 56880 -153164 76043 -50903 -52305 -12838 101747 -43276 
+-93611 -85992 -31339 -69569 -166610 36309 -138199 116627 92471 84 -10056 -49487 48499 -75129 21176 68131 -20629 -188392 18676 60295 -210292 -187077 -32728 -104994 76136 -64724 -25665 -28063 -67288 -68613 -206153 -40454 -45317 76401 73893 41148 -62223 -62001 19062 -11925 -155096 -122205 48958 98167 -63175 15774 -159672 20353 -38269 112892 -4387 65817 -121844 -39978 -104294 17691 -63451 -37676 110075 40377 18966 20201 105363 16529 26359 -5176 -27408 44571 -78475 -59260 92070 -39279 27916 216525 68979 69728 32343 -82937 51869 90709 -181856 -14804 -79037 -14103 45715 -133369 -112645 -1106 -12149 35117 -76543 80408 -11431 73666 -70229 200401 -28477 3833 -101113 -239212 -49296 -150457 71853 14924 90662 -15021 -114182 -164100 -75057 144028 46777 57341 70978 26389 -19281 -59557 -35332 7815 -17923 -53988 189209 -121419 13688 194621 57714 -37824 -17563 -69008 -143046 56595 -66927 56133 138383 -173528 56913 -79028 168982 45845 -37212 81529 32610 233414 213750 -174895 16708 121833 123071 -194633 26122 -95801 -16679 -198671 58839 -262038 88903 17663 -52041 -58411 58843 102734 23335 -230959 116289 -137293 -105868 -45439 -255301 60249 -77355 97136 2882 64827 88306 -14012 -119088 -28657 -46944 -217400 68805 -114882 12541 74598 188951 84682 -142664 37678 -120681 -27455 66719 -8033 -65414 7148 70700 20458 44000 -4566 23662 81087 37501 230493 219968 -22616 -78604 -2628 193830 47135 211394 -121947 78893 8237 -158715 -81830 -431 -36728 -29667 -73826 32910 134880 48859 178268 138441 -149253 145116 202285 78001 146069 -66672 260906 -24917 65739 -18400 14785 140388 94190 -117755 -66109 -19623 -121691 32215 -57928 -93713 -119161 98422 -48743 
+-67121 -10241 -20540 -41491 -82461 56048 -141675 96658 2926 -26765 30650 -56178 47522 -163747 -76568 84620 33588 -154349 36824 53197 -207131 -157936 -73975 -82887 73283 -78197 -106561 -59500 -97694 -90955 -238687 -30246 -5347 63145 92166 -85078 -54966 -61720 12684 3179 -194796 -134439 -39562 43029 -97131 -3192 -197478 32482 -169043 115092 69799 -70762 -167743 -56374 -26952 36508 -167370 -25523 103070 11291 50089 72107 147115 29227 15770 61492 110686 -111113 -79827 90967 63399 25806 -118660 144965 62953 -105058 85173 -94520 80001 30524 -158531 -30949 -44577 -184 50977 -246759 -19025 -36326 8357 28963 -33001 83194 -12954 86090 -129827 185599 28617 128491 -142447 -253206 -6418 -144845 61585 -24713 100052 23650 -116171 -128656 -229973 45608 49514 59173 96421 -26702 -11940 -53262 -38977 -20906 -72074 77554 246764 -145841 27263 250681 167341 -284 5334 -99801 -67345 -34075 -13685 61748 119143 -182892 50699 -53126 46750 56528 -17914 74403 35443 217725 183206 -122711 2996 177802 -8492 -112378 -46035 -142101 -72280 -193176 69985 -164791 89108 -44652 -12139 -53386 88283 153692 -25029 -244289 176531 -203131 -122065 51252 -223905 52436 -140346 127273 42072 -9626 132882 55923 -90345 -36907 -44026 -214731 41365 -163575 16191 27789 181596 -63782 -144635 43728 -117999 10071 92012 19457 -45496 -7376 63853 39119 107449 -7708 23963 90258 46751 226918 236116 -37814 -61700 104313 226186 94506 222034 -169377 92112 -45864 -217871 -170104 -80749 -31883 -68435 -54067 6087 68750 32787 114580 78706 -116563 123783 129830 110086 137039 -97096 157967 -30384 61260 -49902 32374 162146 104269 -56790 -100153 -57332 -61307 14399 -40282 -138687 -92208 11599 -30927 
+-96098 -37129 470 76015 -17200 51524 10278 62562 -181407 -10446 25280 -61289 48270 -188322 -145304 101812 81440 -101765 45033 10105 -174554 -150355 -57867 -67115 76267 -82713 -66986 -36688 -138441 -56857 -208812 4449 -18170 47918 87366 -164632 -52600 -50834 -54691 -30333 -117067 -134297 -70518 -6038 -74775 3581 -65979 24558 -211983 149794 47696 -60205 -157789 -28692 35870 -21014 -214314 -531 97695 -24991 54607 50567 69515 74526 18064 83127 148329 -220690 -94626 198880 28925 50571 -135303 29424 40859 -169841 123321 -73917 126139 -50014 -105847 -64684 63754 20500 50985 -161261 69913 -52920 14828 24948 14993 82796 -5193 65396 -113295 203000 48722 189929 -130406 -204983 22294 -105758 56999 14191 86427 63321 -116578 -9179 -216091 -14186 52316 85181 -85075 -76637 560 -65641 -31120 -67095 15597 213095 271658 -156834 7369 263632 81186 9136 13353 -93964 -44464 -110584 60713 62336 90046 -171622 85931 -67616 -51052 39492 -949 39584 37016 145924 134402 -50282 4086 213328 -188161 107362 -62671 -159101 -177759 -205348 83600 26629 85086 -14612 30221 -73557 117509 200054 -66328 -223650 141588 -197192 -160864 98040 -170973 37494 -166334 132387 68513 -88099 7173 23171 -96850 -21720 -46955 -140356 22060 -143320 -4185 83964 118996 -194659 -151065 18653 -73808 112167 77503 37767 123714 -10109 60135 48748 129970 -15527 -28245 63158 47866 207002 242382 -107682 -60110 159085 146832 120526 219891 -89538 130837 -63580 -110141 -183968 -113153 -60447 -99280 -55395 40671 11604 45967 41648 -86385 -76072 115556 28693 76432 112938 -67850 24566 -37305 65036 -174018 41301 164741 75111 13377 -126725 -9944 -9205 -9941 -8838 -76832 16724 -67910 -51481 
+-91108 -117365 -30191 191552 11414 62376 128024 31798 -256134 -23366 10332 -53343 52395 -145805 -188034 81497 127039 -58493 76705 14520 -147315 -130803 -20147 -64853 71714 -88661 24259 -19658 -104627 44692 -161298 69473 -18758 14152 83722 -32190 -60389 -87725 -125458 -36129 10769 -129896 -76871 314 -3193 26876 31562 -4114 -233848 138804 94193 60850 -72513 -29332 40233 -81142 -156710 -43027 89854 -46040 61749 23493 -92383 145584 31435 54606 126981 -140273 -97536 184586 24842 38971 -89450 -34655 62314 -66306 124930 -24422 117957 -115283 -73756 -95665 151995 21141 18223 37268 91188 -35097 4661 22998 38279 81162 -2809 19865 -44352 201862 60671 139212 -87828 -89623 -8973 -60782 77435 96526 54012 113458 -114342 104058 -45310 -31570 44879 69953 -211039 -114467 -15325 -65663 -28754 -120940 129374 241860 256755 -207028 -30198 282459 -51402 -13880 17009 -97607 -94033 -144850 119716 75032 53608 -173305 67975 -37681 -42940 1336 -17243 30944 36119 56758 60688 39872 20707 219237 -199844 182633 1984 -125614 -175158 -211933 75533 118778 78813 -87376 108995 -65012 107774 83498 -91253 -252649 52146 -154954 -173090 53336 -88589 12616 -153370 110240 66671 -130006 -83070 -38590 -83677 -27732 -42994 -85021 -1387 -183357 13740 25414 28025 -166463 -152836 5537 846 165078 75895 59869 192225 -3737 50265 78399 137095 -4093 -112658 73273 31781 165622 280193 -106336 -28001 136291 8733 156641 170444 39973 129189 -31445 11986 -173580 -130810 -46312 -117917 -71212 -7102 -32995 44704 -32458 -192064 -46570 73106 -101979 99701 120532 34992 -74834 -44565 74037 -208928 18957 149744 100324 23878 -118961 55105 55125 -13299 -39211 -41986 41266 -112796 -87193 
+-88144 -156561 -75 145682 -97461 64408 47369 1030 -155422 8012 -2705 -38771 56549 -59213 -273500 45793 132994 -17047 75442 18454 -127044 -131947 13932 -66975 70538 -68345 77085 -4212 -82567 154833 -95259 100757 -53622 -832 75807 171995 -75989 -96505 -151198 -20965 20500 -86770 -19382 65323 21906 34766 30827 -12849 -255683 139311 148878 205484 20667 -60070 70852 -109212 -35252 -7870 83541 -33483 51395 13724 -175927 142129 50582 9627 29342 26853 -114824 -21106 -16150 4418 34718 36877 60420 109942 120424 49449 96123 -159592 -34737 -94637 168420 6637 27395 85288 48056 -46822 -30499 31402 -2088 83899 -11568 21438 46583 186883 6433 16726 -60235 44283 -9823 -44153 53166 137084 12378 165341 -110878 114885 106326 24955 15892 92994 -72696 -126572 -4586 -112112 -23048 -164130 127432 110202 233578 -241074 22036 272631 -74552 40435 11368 -126512 -128951 -90678 110568 60049 12532 -151529 31641 -45264 -7136 -34424 12275 44248 33558 -27938 39415 22963 45036 210246 -37340 22168 143208 -103503 -188326 -183809 72029 36945 85843 -93779 106672 -79135 76113 54133 -93207 -267190 -6527 -62407 -146710 -36440 247 -25602 -96107 71086 63497 -128272 -169530 -114109 -19196 7615 -43056 -2863 -15773 -139387 39252 77505 -15912 1774 -145682 -17070 -2407 90515 79677 65863 122484 -6061 -2717 71744 87713 -19134 -142585 92132 53991 108218 218657 -128421 -5930 162957 -30958 133845 146411 47820 108928 34439 99416 -45070 -81910 -53357 -121027 -88257 21133 -51331 41710 -86361 -156536 -25409 -7634 -112199 109360 73073 39512 -73785 -50543 87339 -138298 36958 159840 93247 -23901 -83488 56132 87860 -28810 -36491 -76166 93 -49605 -84437 
+-97971 -103586 -30451 34981 -181964 67207 -125898 -17722 -987 5946 -11327 -34907 58418 55746 -254004 -8852 88656 29985 72459 47137 -91722 -107073 19390 -66016 73499 -48124 77888 -25559 -28407 241736 -6582 30128 -14908 -42340 74347 194419 -71059 -105744 -118203 -21434 -87260 -46446 56715 88019 -8946 16508 -105618 -48848 -178210 135432 140315 205132 105395 -42828 44208 -110041 64395 -18346 72904 10445 31378 8297 -151036 57235 68571 -29577 -4391 65197 -111893 -69785 51291 -53309 183002 152525 75416 119129 134726 130261 81047 -203912 2337 -65185 110974 -3633 38687 -29412 -78394 -67733 -33375 24103 -69526 79588 -7230 -37669 78394 203001 2920 -81057 -9763 112643 -28553 -87355 62611 93008 -30993 197598 -105002 18724 86365 106533 36211 84829 82136 -117903 -1551 -106784 -21892 -197813 -13009 -14400 187060 -260500 12546 287272 35972 11664 8169 -98795 -157758 -1942 102250 62483 -29947 -163498 75782 -58066 120038 -29342 -16220 85041 31197 -52436 1493 -24749 70146 174996 102515 -176738 226735 -87642 -98915 -160694 52744 -135494 78332 -72917 89817 -69265 92574 11420 -62568 -241242 18879 620 -141804 -72236 73687 23071 -16093 42156 37771 -123423 -179024 -95636 -53549 16984 -45725 60853 -41971 -96126 32676 23165 -83657 103173 -144609 25351 8948 -3277 51767 60854 -33327 -13779 -67476 72476 56420 -14645 -113617 65746 53430 54546 197759 -147639 12053 114036 37460 78487 123645 -47694 149115 100743 159124 17495 -15496 -61072 -108341 -90561 32221 -46670 36161 -98826 14293 -35231 -77196 -30013 126351 83004 -21413 21991 -39482 100035 -39768 -7054 157168 56831 -147816 -67379 -19697 119987 -17355 -48618 -64775 -110859 59113 -69621 
+-84705 -32789 -22205 -86028 -124438 58834 -145698 -33609 96462 2525 24516 -36813 56804 147077 -309191 -45423 27440 63145 58560 51126 -51314 -74967 48224 -89078 70444 -32423 -12928 -33026 7367 253117 64487 -36619 -4057 -51536 62656 96992 -77651 -126495 -104176 -49772 -193003 -41128 147533 154803 -76088 -2965 -195025 -42976 -123945 127960 197764 14244 178834 -69407 -41532 -34896 78341 6109 70801 29722 13963 3383 -9610 14453 73244 41088 44939 -76940 -126551 88513 84978 -110149 214009 213151 98661 -91837 95537 131351 55122 -176963 46083 -21872 -3098 -26715 42723 -211570 -122650 -83039 -28170 21584 -57288 77623 6473 -77524 42497 218417 -50571 -77156 -7484 94124 -931 -127817 48550 11505 -61295 208704 -97147 -111624 -85104 183928 -3282 78413 100001 -99266 -169 -122419 -19872 -198518 -84976 -48791 77522 -229104 11553 250663 121877 -60504 -8397 -129433 -84329 90544 34278 57507 -71667 -147456 45190 -49207 197636 -30611 16806 101135 31186 -13128 -70119 -112121 86218 119506 64410 -164187 140394 -27918 -50076 -161305 36310 -228974 80211 -75235 81078 -68685 48567 723 -25542 -232185 106017 -4903 -97098 1453 127700 47328 65864 37724 2884 -78089 -85142 2419 -22571 -9315 -47004 105270 -54102 -21499 5068 46715 -90137 27665 -134290 35204 -53990 -67270 70650 43641 -59106 -9892 -76943 80125 7518 -33361 -36283 86560 67211 8350 171215 -139049 40831 39083 170450 86173 102630 -152229 95778 130200 124684 122552 52501 -81122 -81813 -73917 54097 -18970 40890 -87212 134692 -79265 -90584 142092 134081 81040 -70612 186796 -53570 106754 -5219 16106 112994 73157 -120281 -49741 -48160 121293 -28704 -51525 -81464 -110967 105825 -58866 
+-74595 -18422 -2767 -40289 -65464 46256 -1390 -30252 -7987 -8016 39058 -51324 53592 175641 -265137 -99528 -6200 69414 48386 51128 -4456 -53434 21989 -63761 68449 -1302 -107804 -3465 40710 198976 109249 -45723 -15227 -77456 78867 -31594 -92029 -115735 -23267 22015 -166562 -33140 188855 191218 -94154 3010 -150694 -18655 -30422 118223 75598 -95136 214945 -59789 -81129 13910 13514 -42463 65019 23671 16209 31403 98685 11815 66157 35114 162539 -221182 -131609 224759 100848 -141017 198258 145572 71128 -214896 90680 101217 3473 -119447 55427 -11882 -79465 -48844 47196 -225797 -136604 -114739 -8791 -2000 16209 74423 3522 -139484 -34814 207041 -63226 25683 -22660 6647 -21702 -143276 43227 -25190 -75229 217843 -87828 -164310 -235511 193506 -50864 67946 -139419 -48167 374 -93535 -19522 -179126 17368 47556 -1707 -176742 31328 232618 74316 -37721 -22109 -136726 -59671 100200 -48047 48391 -109588 -112141 59617 -40396 253943 11283 -25367 148920 33398 71781 -57519 -143550 88866 58688 -126686 70580 -33222 -36418 36554 -145727 9837 -130063 85091 -36692 41405 -64908 24387 77645 24098 -212992 171056 -66210 -88291 71822 140105 -38281 122477 54103 -46912 -24307 57212 57113 1516 9229 -46760 100674 -70969 3926 -22312 52240 -29498 -153883 -132389 4990 -87228 -32003 66913 22684 30709 -5943 -87887 97157 14738 -36017 29719 37852 80381 -30010 123217 -114397 78679 -62237 217853 26728 45825 -152143 79925 113003 -29349 171413 82921 -50289 -45254 -58534 65252 31591 18878 -28238 77737 -112572 -135521 232697 128933 138179 -92274 250940 -54520 106278 -89469 3673 100001 65655 -17806 -74872 -15735 69355 -35074 -55066 -83891 20510 65957 -70266 
+-74969 -88122 -63703 83525 17178 49303 127669 -2241 -168145 -29358 17099 -59856 51076 136899 -218847 -148731 -28436 62795 14096 30164 17236 3243 9287 -123402 66648 32533 -75429 3932 82959 98153 133681 13294 3415 -107444 78258 -100619 -92879 -120260 -2466 -29015 -56573 -68983 161426 182978 -69552 26250 16837 -22454 60767 92710 44607 -46036 196498 -47903 -176647 28741 -139853 2799 56335 -11413 24467 58723 140642 81933 48383 53884 145908 -175098 -115353 175609 129839 -137109 71509 26765 58764 -105927 77302 44429 -23996 -77666 73524 50193 -64899 -48477 64509 -87338 -74805 -127698 26763 16210 33682 75195 1093 -140922 -109946 188238 -69511 145988 -45476 -117969 21556 -134974 36455 11285 -66619 207604 -76816 -109392 -209840 160881 -93974 53320 -205202 3030 -5580 -115719 -17497 -139775 138218 204105 -84588 -176945 16508 209080 -32687 -89280 -44055 -142485 -90508 75904 -83270 56044 -140963 -110539 76936 -21955 205419 54518 -6454 177546 36067 161850 -46649 -185584 75294 2701 -220577 162319 -45529 -41209 67860 -147360 -26506 43377 80631 -4660 -15699 -79836 36080 163941 52023 -242259 150973 -163683 -84144 95822 118468 -20413 146759 103183 -79774 57635 128180 7142 35984 6579 -44111 32282 -64561 4078 2335 47989 83098 -199415 -124227 935 -131620 40929 47384 -575 207322 -5373 -71355 104466 47178 -44622 33849 43658 67748 -32578 65099 -65887 94709 -130074 165840 54922 -24630 -29018 71641 64647 -66308 144637 71123 -26069 -3899 -56186 54221 94622 31798 48467 -87542 -140143 -113101 182605 141233 102869 -20914 176922 -56268 99086 -204064 -13472 40246 90859 36508 -113281 44759 82767 17212 -49116 -42106 83348 -15698 -51158 
+-113579 -156296 -29065 153617 -7069 4111 51997 14715 -279703 -6720 16417 -56388 48314 46201 -157636 -182442 -17029 45488 18014 28596 42185 37185 -38687 -96560 66166 56122 21998 13655 135641 -16469 98353 102548 -57081 -143291 68254 -21895 -86619 -108486 71634 -16194 45709 -104223 106068 122890 -16601 34954 38046 -10894 47677 81077 25190 115303 166342 -44037 -213518 6786 -206524 -29666 49896 -49706 51768 49188 46537 145787 28326 12884 50103 -7301 -124958 22189 126487 -92305 -83800 -27468 58275 74704 60885 -28931 -22376 -212 72895 40536 22707 -36560 71214 66466 11766 -120946 22857 23741 39772 71723 10997 -176633 -136497 206401 -73116 189051 -93539 -223022 -32528 -82926 26451 97590 -38657 183467 -64235 13412 -35642 59216 -120652 51794 -76389 73295 -5756 -126087 -17029 -91796 118608 235936 -87752 -135682 -11840 146681 -99218 -85930 -69574 -114226 -134922 6081 -91140 68353 -163366 -87875 53196 -32170 95836 62188 -11768 152876 37039 223932 -26902 -143698 50794 -54167 -111632 64080 -49237 -69791 62210 -101519 -30514 130131 88894 -30021 -47117 -78345 43192 203951 49351 -206336 57524 -242903 -75560 -7388 58583 -515 121324 123858 -134789 77248 95828 -70351 67145 -25467 -49218 -56928 -82383 -2516 18823 25211 153602 -85666 -109024 19957 -127758 93740 74105 -18243 184969 -15251 -35633 118733 99664 -43641 -34606 15495 67175 -33214 39817 -17958 95893 -164890 31248 13623 -19757 65391 43284 17821 -201525 51347 32585 -22367 34617 -69967 34890 153214 41764 124273 -205336 -162667 -74181 75675 139533 125826 15178 15697 -47822 87187 -156994 -6207 39362 33212 6911 -129322 67543 35993 26359 -44454 -65527 -12760 -104971 -26918 
+-94884 -129663 -32565 154104 -100491 5502 -124050 50891 -192869 -23723 5273 -56289 47340 -76326 -87516 -216401 27452 10872 30245 37455 88741 40855 -54918 -80159 69447 81097 99979 20009 148437 -92537 54853 76071 -65543 -152768 65271 100712 -73601 -118358 84235 -25292 -28179 -131664 41726 78573 37486 17222 -70645 2472 85324 47989 7537 201835 80901 -47201 -241315 -92298 -190137 -53678 48574 -45192 48957 19213 -101250 143473 16537 -2307 -1358 79789 -121286 -69785 136481 -32324 -144008 28731 66830 119304 54559 -87190 -70573 54461 59808 10361 130235 -25763 22347 63471 88431 -152339 5903 6365 -29954 73589 15134 -193167 -77878 197804 -92070 120973 -119936 -265524 -11243 -52219 30589 137907 2363 161345 -50115 107551 111291 -4713 -127781 53952 98344 125813 -7221 -103085 -19049 -36210 -4236 125166 -60561 -86924 26414 120058 27886 -46046 -93994 -121146 -175816 -111392 -31713 42948 -175310 -59531 59986 -15845 17216 29413 -2874 141064 35663 225962 -7238 -53061 25917 -96160 70384 -153298 70410 -96744 16478 -111322 -37706 67989 81939 -75672 -56893 -77538 41173 131640 27219 -185237 -10358 -152134 -45752 -76149 -13606 -41605 58324 138038 -174217 89366 -3846 -107662 63835 -32645 -57334 -123039 -75195 -44958 20820 38554 201374 72316 -116597 8873 -51082 111734 52800 -27532 3097 -5826 -11064 106619 121976 -50851 -83098 18074 77835 -10035 -14134 3415 84425 -193980 -26452 -2779 -44064 11554 18449 -56535 -149385 -8495 -22991 -46107 66225 -86450 27054 207585 38591 188666 -152010 -158043 -49263 -57557 156365 134513 35179 -82652 -46851 74097 -87229 -12722 2243 49851 -88260 -101557 -2352 21295 62266 -26968 -44020 -121350 -92393 -47162 
+-85092 -41603 -20880 1155 -185246 -16110 -166806 65822 36600 12673 -3386 -39138 49001 -160007 4860 -236407 94659 -41964 14220 49784 90030 106945 -57888 -74887 66751 86837 80117 49043 157673 -80500 -25925 59952 -33365 -169455 44931 170254 -60011 -147266 58935 -30726 -152388 -142817 -50574 15553 -17002 -2685 -170559 25930 108012 19399 -64250 168124 -6997 -61901 -227088 -99258 -81398 -34624 47852 -4152 53853 19179 -180209 60820 17962 -16838 31979 -36802 -103629 37080 77643 24164 -121417 149793 70944 -67511 58085 -96461 -53617 144756 42003 -45724 171693 6560 7177 -108174 79339 -164513 -32792 6780 -17053 74226 26211 -168657 21001 204367 -35590 -4977 -116100 -213485 -74417 -58966 49285 103157 44170 119146 -34489 105217 80699 -38178 -175898 52559 101215 171399 -23017 -113689 -16629 -1808 -80351 -9347 -51005 -46491 10059 63472 142558 -50908 -122326 -113348 -118447 -147682 26283 56745 -176600 -45959 62112 -39613 -77924 -2011 -3363 102778 32868 172496 59626 36227 6514 -121038 100860 -181047 179591 -124000 -103333 -57678 -33250 -94814 95503 -80051 -53322 -68436 49422 50793 -18845 -179036 8923 -65445 -46261 -28185 -100788 -25009 -10058 102073 -194673 50827 -124679 -70251 38691 -60622 -49161 -192746 -69840 -78204 974 42905 195472 101122 -102535 15096 -7828 41444 44716 -20138 -78950 -13693 29140 143694 117086 -40006 -118914 -11687 83126 43597 -52246 18305 89094 -192969 15809 5727 -107886 -112879 -7765 -66814 -79822 -165381 -89189 -22488 85098 -90817 57144 241256 4538 214968 12648 -133277 22525 -108653 155199 89914 -33095 -86602 -48233 64545 -1530 -12770 -21675 78559 -104491 -63149 -33610 -65319 59209 -41503 -102273 -101735 194 -45450 
+-72192 -16009 -31577 -63902 -164863 -25064 -20348 85368 121391 5239 8277 -37971 54579 -189562 18500 -229750 134910 -77352 32798 56065 103920 114997 -36730 -65734 60464 101347 -299 28053 144656 -6826 -95139 711 1057 -186988 61874 148126 -62971 -119517 15161 -57233 -202716 -149421 -92768 -5340 -65974 2480 -171199 36664 76151 592 -59061 -28491 -113597 -43136 -208379 -124306 34859 32832 41441 29181 53104 21223 -141543 25620 30409 62313 112899 -202330 -101298 173209 55503 52907 -7003 209881 88765 -186867 43985 -76844 -10389 182203 23307 -81714 130342 17163 33283 -241302 13613 -162806 -19526 6678 -47288 75099 25119 -147297 75282 187606 -33341 -88699 -104821 -95575 27077 -106355 34997 12350 79463 76948 -18193 5204 -95477 -8377 -174494 59433 -106722 200668 -19891 -112796 -13593 18933 -5444 -38501 25388 -32191 26590 20916 133839 -41364 -138208 -89600 -40880 -100878 94168 57232 -166417 -25738 51897 -41067 -2928 -30617 -19056 77498 31010 79314 147805 16023 1077 -113252 -51032 -9447 213205 -139804 -178131 -45986 -20722 -254710 91249 -104339 -24816 -59089 93114 -8170 -62893 -163374 98909 -13960 -10256 92657 -167403 -22353 -94526 66992 -214625 18531 -164540 21012 88373 -25597 -50986 -212075 -60824 -134013 4063 45134 74592 -50153 -87921 20923 2553 -50399 41545 -5855 -29696 -4825 89624 85960 90810 -53255 -125734 -31348 67435 95566 -79928 8920 66982 -173897 141607 63584 -146823 -160859 -58057 -26996 11271 -196674 -117349 -23827 88613 -77630 36193 249977 19669 219729 128391 -93497 104699 -22806 117966 83984 -102202 16913 -40480 61740 -53795 -22 -64030 36554 -48159 -51594 -12224 -113400 54176 -45948 -132897 -16590 87065 -41021 
+-78886 -73921 -17142 -63647 -47152 -37717 127896 119453 41168 1699 38335 -44270 55379 -144341 91760 -200020 122228 -135273 46718 36403 72882 142967 6845 -91182 65656 101474 -117120 42376 110755 93088 -170844 -58763 -8075 -204322 70037 3386 -52495 -92480 -40331 -40669 -118917 -93974 -67967 13116 -124877 25692 -41047 57324 -12762 -30854 -93750 -84210 -161239 -34526 -132511 -38937 95865 -41641 38298 34923 27894 54229 8285 26710 50154 67499 156059 -204705 -90163 180274 8194 45711 133455 154087 60715 -142701 105669 -2521 -19697 170020 -11743 -78792 27484 15948 28314 -200628 -75692 -141100 -31556 15185 5795 79276 37481 -95153 57811 204084 -16008 -64821 -89102 33229 -9041 -146665 65106 -33244 98403 30380 -789 -117717 -238540 74851 -177207 86108 -234215 207555 -15694 -59570 -17222 11739 119701 41327 93405 45062 9759 -36416 15084 -3174 -160142 -98145 -53301 -25370 129826 78093 -145808 -19041 67647 -34189 66824 -46220 -11455 47423 31440 -9665 155537 -36897 12758 -90998 -208157 178917 88798 -110902 -176192 -59472 -12486 -187760 99452 -65129 26167 -71560 105951 -449 -91275 -132357 170362 -11410 -33931 114530 -234988 -100 -146444 47898 -231762 -35150 -149421 41774 155027 14287 -54596 -203165 -32010 -194827 12935 53459 30964 -200882 -80357 14650 -7239 -48892 25680 17503 134831 4960 60548 130844 46471 -44905 -66262 -44036 95468 138510 -90058 -13779 35484 -118190 230027 72477 -126887 -89355 -62520 30087 142863 -170692 -119206 -25896 75961 -59227 48591 230649 17487 175744 93659 -57580 144152 54810 148236 57946 -86837 164734 -26919 66262 -173263 -13000 -58894 46366 34968 -65381 44699 -169907 49321 -36896 -73221 94656 101268 -7235 
+-81156 -139362 -64905 69481 52585 -37230 70545 121202 -174863 1880 23150 -57082 57174 -49850 133067 -161765 117560 -177121 5195 24492 70033 140634 39777 -69651 63478 93766 -78433 34309 83496 186701 -215515 -47963 -43085 -206228 99598 -132467 -47270 -144092 -84580 -40328 2638 -72738 7605 63852 -103966 35122 51427 50069 -105887 -35027 -22787 -11296 -159121 -28188 -70108 31371 52814 -31327 36649 -6035 3533 30950 117653 101345 66480 43754 97649 -38299 -82690 6957 -4443 2046 212253 29692 62081 30956 75660 85034 46484 158053 -54913 -115421 -52727 12607 34529 -17029 -148220 -161370 -15634 -8694 44370 82453 37468 -44932 3019 199344 42014 48069 -29892 95392 -595 -147178 47796 8218 95196 -19370 17095 -165507 -204100 162221 -146521 71868 -104142 194430 -18752 -105528 -33924 -10702 119211 183097 174134 69307 -1592 -44232 -99893 8629 -171383 -77830 -105968 42413 128956 64533 -116113 -18267 88088 -29037 163752 -9869 -31818 35438 34072 -43280 224675 -93895 35191 -39118 -172721 140877 -35459 -85452 -197153 -48923 11899 35847 99864 -77903 51630 -92308 90691 78682 -92587 -116994 153284 -36882 10547 6146 -246101 13277 -163036 31358 -222041 -110371 -38879 -41061 75236 -5250 -60198 -144508 -40786 -186189 21792 49468 -80946 -166737 -58979 -28194 -71372 -18963 24078 40003 200671 -7205 83338 130867 4140 -34776 19812 -47181 106037 197758 -83922 2493 19627 -27770 214185 87713 -130960 17894 -122613 82997 138237 -97953 -74936 -58896 49822 -52941 60925 193686 6040 116224 -97133 -32698 131043 188982 123460 68782 -18347 276685 -30487 77550 -195753 -11160 -88544 77398 39827 -96389 62056 -218284 30337 -42609 -64077 51224 27022 -13019 
+-91628 -134668 -22986 212184 3210 -11526 -103063 137775 -278679 -12383 11951 -65365 55301 56968 79173 -116637 33236 -205076 55288 25175 52521 143323 54977 -87311 64393 71203 35858 31739 2923 246618 -218647 39497 -36026 -219314 88008 -109877 -59941 -126638 -102853 1573 31505 -76876 81416 127951 -1061 17914 -5044 68273 -167609 -48943 -3912 142267 -131262 -47529 -13025 32649 -75220 -15341 44090 -45354 17956 44874 132498 141306 74139 10730 -9589 85325 -70316 -54922 10403 -56118 228181 -26980 47048 151363 138256 115116 64039 118139 -99273 -74417 -75638 -17029 88583 87401 -136064 -123612 7622 -17818 -5105 83072 39454 14605 -89297 177068 16223 161010 -17963 99006 14433 -112390 61103 94941 71301 -60702 35487 -105287 -26067 199564 -143481 77696 62823 159414 -15308 -100413 -25575 -55906 1371 235319 239262 97926 -1369 -108303 -29 6594 -183408 -73977 -154368 113028 74389 66325 -79451 1766 57844 -41787 241846 30807 -14897 34025 36474 -28928 214795 -160373 60658 21424 15092 -99607 -110306 -53972 -129325 17231 37818 103174 106048 -30775 87424 -72489 95191 123308 -70131 -95667 67241 -128781 -32786 -30010 -239492 7506 -138641 68451 -189113 -171386 74364 -111074 153207 11900 -55767 -68611 -8291 -170830 30163 43370 -85407 -15013 -58604 -29621 -138495 80198 27726 60397 75005 2778 40554 75883 14262 -64012 24239 -102600 97499 223526 -67922 -53914 -2754 13948 71981 121027 -91428 44979 -122951 129642 59550 7759 -7486 -28106 13411 -70271 81562 134820 17005 34852 -191820 -39160 118841 205346 130499 96849 53153 166411 -26407 90643 -71743 23522 -108123 52163 -80292 -118051 4941 -211421 15041 -36737 -10945 -104219 -57429 12480 
+-80880 -66865 12929 156993 -121470 -21825 -162301 125147 -188091 -28803 -12917 -60359 51495 139215 64100 -85296 -5851 -226182 32286 33457 19873 125254 51920 -93654 62766 47582 94692 29141 -31467 242453 -189733 110245 -51142 -204688 94499 23194 -65006 -119807 -101686 -18654 -89400 -46841 156881 175305 13050 -2416 -149795 59920 -226973 -35014 32583 191617 -38110 -60319 21192 -23309 -182965 -36014 35769 -41855 27983 21539 26614 125448 66470 -21591 -17854 -2225 -67873 65706 19220 -108315 119324 31679 55517 -6659 133559 120881 96025 63100 -122635 -4052 -4931 -38035 63091 -4702 -68846 -151576 33666 -20061 -30669 86060 49415 40631 -141173 176993 42067 184762 6728 17546 -52124 -71596 75042 133418 31539 -73992 54023 26683 113777 166090 -132905 80747 74616 117907 -23274 -86797 -21143 -107387 -88545 155602 265488 94962 35352 -104854 135132 -19530 -193505 -66929 -126511 116242 -4468 57987 -38210 2200 42714 -43141 200231 63727 -8916 53793 36924 43477 166290 -192252 81867 84459 121616 -203595 -23895 -39296 -60136 10375 69448 68219 112729 -5466 91885 -90649 102806 178326 -21935 -96488 -3892 -209762 -32022 -21197 -181487 -7217 -84161 99224 -157801 -140259 114094 -84042 167668 3027 -54756 18910 -4682 -95243 9103 72081 -53681 110491 -39717 -8184 -135259 132986 -3850 66338 -58723 2175 3133 56470 56491 -50808 -27246 -59548 103967 236740 -65493 -89022 -29762 104781 -28858 139120 -47100 -56549 -123692 139746 -58152 138148 54439 -27882 -27206 -87454 11888 70887 15462 -32017 -153132 -60135 129728 91827 116381 90620 22650 27575 -15990 101979 -39768 512 -112790 58063 -92759 -114333 -54155 -277344 -39038 -41791 -53144 -122029 -95938 42129 
+-105046 -4647 16901 11595 -140294 -8831 -28522 140315 8912 -30861 -16922 -50806 49001 183909 5199 -19806 -33958 -220243 78135 55486 -16004 104175 21759 -83266 61943 23611 52651 52846 -54283 159339 -142637 70299 -32637 -202621 75001 195103 -76475 -144602 -94712 -23614 -181132 -40182 190178 182836 -18003 1972 -187150 25976 -250759 -50972 75975 87911 45274 -50967 78860 -75881 -212875 -41185 41833 -24123 42631 11690 -107516 61699 49802 58043 85104 -173776 -68635 184776 57373 -142794 -25343 158320 69935 -203023 101007 95891 143756 -13522 -167220 -19719 94627 -51214 44835 -181220 43493 -110685 4959 9305 -55759 84104 54854 84623 -93327 186623 12245 100515 -42182 -103159 8434 -52599 64496 98519 -10009 -102319 72837 116620 75346 99142 -73048 90218 -120338 52605 -12400 -103032 -34548 -151830 -4122 -3149 267302 116115 10422 -105985 133559 -21028 -190996 -90313 -54074 1455 -64511 50751 4523 12555 72720 -70053 151193 56521 -13758 117606 34962 142270 170773 -134869 89736 139008 22056 -69403 128234 -47435 25003 40585 66557 -81739 109913 -21910 88352 -74609 41601 130439 22571 -77093 13737 -209777 -2897 77801 -97974 36153 4936 125310 -103499 -98511 77723 -13009 190018 -18194 -54199 75468 26121 -14281 -12505 42288 6212 22887 -25470 34890 -88303 67054 999 58711 -16673 -2216 -47348 75643 115052 -62524 -107761 -125603 58334 214610 -40316 -100413 -56276 163046 -12334 165594 10598 -175778 -113601 70882 -119519 160678 75026 -20665 -66628 -90247 14129 13986 -3532 -85469 9912 -97163 48750 -39512 83480 171618 -64426 -93985 -10986 107881 -25474 25770 -103734 23035 -86971 -91869 -26556 -290482 -30769 -36299 -103164 -33597 -57218 13670 
+-111506 -45171 -14464 -70420 -176834 46406 123601 117692 74604 -29572 23660 -40720 46275 140777 -55347 24862 -5025 -205861 23925 46878 -57082 106190 -8909 -84026 62110 -10631 -28957 19 -110027 45852 -60877 3011 -29598 -186752 91810 179690 -85152 -115382 -85734 -40606 -189752 -95303 136994 173326 -96985 25050 -91552 -9705 -273041 -29664 119354 -50795 150045 -45118 64588 -121939 -141295 -9049 43045 25601 54580 27023 -183273 13037 30043 78143 182095 -215853 -64365 210474 114964 -133257 -137025 212510 85555 -194163 89882 39427 116051 -73278 -195291 52967 170786 -43793 32102 -244752 93731 -115658 -9052 -8711 -59057 81819 68106 79556 -25025 196728 -16570 -25321 -68887 -223986 8935 -86863 74949 16545 -48623 -102448 91376 101494 -102821 2546 -57555 73554 -243604 -8580 -31155 -79451 -34410 -183686 128077 -72759 250410 102483 12004 -78508 10240 -22591 -185176 -54783 -41255 -62238 -82313 56523 45952 -9908 61921 -68076 31949 5442 -19228 159209 32216 213409 126479 -55191 81983 192655 -167628 160959 204155 -45191 77603 42501 85471 -218271 113364 -56972 50397 -65727 59485 55918 55483 -35416 94914 -103358 -49336 98662 -22607 26614 81772 131696 -59428 -53892 -55441 45655 234226 -15936 -54139 96289 43643 565 -30440 24942 114877 -138934 -47695 45964 -39893 -16387 5018 44547 91062 4272 -94447 64794 136212 -49626 -140035 -146265 87021 183250 12984 -103930 -88413 166657 101130 136747 53025 -156578 -118668 28068 -156008 153484 77265 -48227 -98389 -75798 -1309 -30258 -1287 -105061 137531 -128914 23980 -92620 142135 134629 -101935 -89745 -6552 104880 -99453 46805 -67366 32390 20514 -55816 32053 -261316 -3150 -20825 -99659 71554 51226 32175 
+-75751 -119856 -28101 -47326 -67543 6135 69815 98006 16930 -35673 20518 -41159 49253 60152 -102344 66482 34748 -180193 -17232 47602 -101217 63138 -39342 -57968 60561 -27603 -64353 14916 -104156 -57898 12323 -33984 -26830 -170264 49777 43865 -91600 -125879 -12196 -25840 -52561 -129493 78433 114422 -127381 35270 40876 4752 -161131 -21441 142378 -93345 209684 -52463 4542 -87277 -17764 -17505 50005 42939 57384 61483 -129790 31809 17461 60867 101436 -79014 -76134 30480 136403 -92739 -134247 144655 77025 37024 74023 -40409 66930 -122071 -232592 54198 161272 -34418 42523 -127576 45071 -74363 -26732 -12776 -7165 78881 67829 75547 48464 178866 -27525 -93119 -110660 -271361 -17652 -136921 64866 -34398 -70455 -86182 109512 -9219 -241123 -31073 -6796 83526 -54140 -55600 -11119 -69938 -35250 -194923 122814 10414 169454 75602 33458 -78759 -96929 -39706 -171455 -70482 -73715 -113874 -89708 39266 83313 -9216 34665 -87011 -37343 -30046 -22018 166780 30903 235998 49335 6064 62049 212447 -211303 175833 178308 -79194 39481 34117 80757 -131354 114712 -73183 32135 -49238 17465 -21346 50970 -7135 166766 -7802 -77731 48078 58157 93209 126623 97236 -22877 24143 -138280 34443 217538 -34644 -55852 99086 71403 13756 26225 48900 169010 -212760 -26269 14933 -26109 -55563 -2589 22348 188553 -10001 -92921 46737 135989 -48386 -124869 -142053 73185 126794 65136 -159935 -94540 111391 223789 120832 83408 -4910 -114789 -39607 -139255 105021 23183 -52735 -117475 -59732 12670 -52365 29940 -85986 83110 -160528 -27679 -92185 100920 143425 -61603 8191 -3222 96707 -198410 -6516 -76360 55517 43459 -43800 71514 -270287 -29819 -31199 -78038 39152 112898 70291 
+-72671 -144287 -13807 90032 4539 44471 -91649 61582 -147999 603 3708 -46450 52648 -56301 -195643 104852 60601 -149328 22890 25967 -127167 32737 -42270 -80379 56924 -58399 -42864 29139 -123431 -95681 66277 -56973 -53102 -163578 74836 -74864 -99484 -112838 42565 -41832 32014 -113381 -2469 44544 -79718 18631 13324 -21989 -116394 1429 96082 32349 231104 -41011 -56306 -56556 74502 -67895 53873 5104 39528 24260 22766 76665 18122 12436 32862 71616 -76506 -109733 149845 -30899 -40414 25558 55039 121546 101566 -89688 56040 -168233 -237377 18779 69633 -10650 34039 54270 -18795 -103193 -18374 -16292 45137 78216 82654 70782 79607 172427 -46911 -49864 -125528 -217172 -7849 -149640 75386 10173 -73957 -63801 127127 -118820 -196921 -9359 36111 64886 80280 -96941 -3060 -50595 -40731 -184587 5209 159848 94610 61214 39178 -37655 -47002 -59110 -153620 -80060 -150085 -113160 -9576 59479 113816 -25680 64648 -61981 -66008 -53651 -27203 175326 32057 192190 13452 12763 36833 225315 -69781 -54858 55146 -131374 -642 42339 71610 32638 119820 -74636 -12398 -66096 4960 -14129 25447 -21527 156938 21552 -53051 -49698 118637 88003 141145 58608 15751 59174 -165357 -60137 214919 -55599 -52107 13274 76806 3788 4490 53999 199833 -78474 -1248 -15588 -5399 -75566 -20023 -3229 130365 -8570 -82348 54468 88636 -67324 -92123 -192866 54023 78292 116891 -164601 -94502 62767 222469 52285 116409 53470 -78662 -52923 6877 -61122 -37793 -62249 -121264 -54877 15496 -46470 -13217 -28225 -77280 -156945 -62329 20398 104043 80422 18009 180957 7285 83034 -173213 8838 -50764 2431 -36422 -80280 33929 -222676 -5792 -29983 -47464 -90126 93512 68187 
+-82139 -75052 -6864 190252 -13623 44433 -170681 33692 -267672 -13632 -26774 -57594 56305 -153360 -234233 89563 116899 -79681 11798 34274 -161352 -16022 -48375 -58006 58615 -84548 34197 15101 -120463 -58777 105690 9667 -46399 -141662 101286 -141674 -90152 -83711 56718 -40370 -29497 -148627 -69931 9342 -18236 -2094 -101098 -45850 -44554 5956 83088 160036 197496 -56146 -126408 26421 82349 -6662 59819 -26600 33820 14301 136194 140517 30172 8129 -34041 27272 -92225 38834 120717 17037 106816 -28907 25839 36124 57830 -92766 28505 -168086 -236051 -20857 -35422 9046 70563 79057 -104787 -58895 15137 -10875 36147 77875 88707 24184 29357 182570 -97556 70695 -116931 -112822 -19914 -131783 65857 88736 -53308 -20291 143778 -160917 -17728 67874 52634 64599 53136 -123404 4651 -64211 -37467 -147057 -81553 255850 25709 -27014 18797 -10814 124687 -46657 -131642 -109911 -130818 -66597 38844 55475 135440 -22513 65309 -75485 31041 -41189 12026 125484 34722 110436 -82496 -15319 13361 199199 102391 -198499 -19732 -159857 -85094 33269 50395 141463 104644 -67214 -55384 -80209 24941 37587 -17841 38347 71831 -34541 -66110 -56740 140111 97579 112112 33547 46722 88217 -125272 -116719 237930 -10329 -58050 -42714 91254 -19301 23384 50372 171545 92737 -3973 -4047 -47121 19662 -19785 -20012 9534 -3709 -11546 -3712 32764 -40270 17034 -157247 83158 22094 160546 -128879 -98206 -25229 109076 19937 192884 10004 -27880 -21919 77980 -117918 -103554 -60780 -109233 -68799 21657 -19415 -18422 46295 -187588 -139788 -103090 141995 49243 80039 52550 250665 710 71065 -40865 35209 -9721 57008 -101107 -111306 -26534 -191907 11318 -46522 -12527 -147374 -3593 51562 
+-95695 10368 -27417 139907 -120525 53048 -34136 25236 -213278 -34999 -3293 -62914 57900 -184956 -282494 79377 112048 -32645 54479 57613 -187625 -13317 -9546 -86910 52731 -77829 93032 4835 -44421 32267 115971 57336 -79491 -114837 78983 -18199 -91728 -95245 66715 -7983 -147850 -141629 -80736 -16127 25356 1462 -181253 -60566 75930 38970 63913 193239 137673 -56098 -179245 43430 -18684 -47189 56624 -48069 15411 35411 122947 129901 50344 1148 40177 -139298 -103358 187874 88720 54106 201053 35560 67130 -147233 52255 -60387 -3423 -167214 -214348 -88626 -78911 16959 59287 -80943 -143849 -58965 17705 -6783 -32459 74191 89494 -23312 -63436 159719 -88861 173509 -79098 12326 -42116 -96098 82857 136063 -18091 22913 159531 -90625 115791 159696 75478 58476 -97402 -123043 -12228 -77404 -39244 -91114 1700 174036 -38788 -57297 23578 52426 162377 -35839 -109831 -102243 -71214 19052 113505 57657 146607 -46384 78685 -74321 130109 -368 2680 104090 36818 10561 -68818 -107777 2098 169657 80193 -147485 -99645 -137334 -158966 46567 30766 73740 114359 -104823 -78757 -86965 39254 113836 -59522 30701 -3138 -144264 -106818 36103 128870 110861 51735 33237 74801 83353 24666 -65318 213512 20902 -57931 -140065 90647 -78297 -6670 22239 92057 88770 7486 32648 -63037 129622 -12700 -26609 -54855 -18085 7145 12449 16243 -43820 35296 -199900 21077 -13033 192788 -102258 -98344 -91415 -15422 7494 193631 -119557 -825 12334 122495 -176713 -114013 -42819 -83337 -87977 39678 30306 8379 131145 -160290 -105008 -128829 238870 77320 31852 -12224 188498 5759 63165 -35377 33525 7284 58217 -88017 -127138 -33694 -141754 23926 -32598 -56031 -45034 -87034 61105 
+-89070 -34715 -63893 12960 -166476 65873 111411 -7247 15313 -2534 11552 -56142 57158 -163468 -264260 57458 111268 17715 36471 44744 -191101 -71904 30652 -103567 57849 -81614 77992 2294 -11517 151207 90338 65475 25256 -94234 86643 132056 -74471 -114428 26770 -15802 -199680 -115442 -33182 21751 -12424 24421 -153486 -19967 99405 65264 74009 57648 35112 -53430 -222155 -8695 -133894 8239 66605 -26066 15811 52824 4014 66714 66815 48492 158553 -234964 -113679 213432 61351 40921 228323 152570 79389 -174137 62950 10633 -17860 -129659 -189635 -95886 -41373 18405 88926 -235928 -97993 -51100 22135 20307 -52128 74274 97840 -55784 -114845 164880 -112928 176996 -39819 97602 -2869 -53533 70726 111365 25421 65245 174063 37479 67601 191182 92253 39266 -225795 -108428 -3989 -46088 -42253 -41151 112476 37294 -106173 -62446 17845 88513 48558 -35082 -87668 -103723 -64008 114719 144359 51927 146654 -64906 103745 -66469 225628 49669 5086 49837 36601 -48180 -64774 -170684 5620 101231 -106576 109334 -8258 -103355 -205426 12566 16279 -156523 117105 -37052 -47529 -93886 90103 177124 -92265 61388 4827 -201609 -106488 106082 74629 90827 -29929 62239 83975 49378 122785 20176 277784 -16507 -54134 -177087 95635 -114139 -4683 3847 -33443 -79046 8955 41977 -130438 134363 13900 -22338 24619 -23489 45090 -6865 24223 -42975 14256 -173058 70228 -34955 186981 -80584 -52333 -116383 -21228 48283 180398 -177126 8223 85061 124771 -205192 -92218 -24738 -47118 -91603 29010 92912 10180 192124 12015 -73855 -96530 131668 39213 87028 -76645 34326 1744 62589 -71111 39627 39788 61452 -35547 -109859 27028 -93634 34806 -35494 -116535 60184 -93826 71872 
+-96160 -112513 -38080 -53837 -149413 64442 83572 -23705 110873 -4122 27596 -48599 54073 -62149 -287628 29489 53323 43916 24376 45358 -197142 -81122 39782 -59112 58157 -68322 -31049 -41974 50283 231809 27085 27831 -11275 -70320 62943 188676 -56669 -53214 -24574 922 -128194 -67999 30241 83752 -77952 35357 -18308 -20420 127136 84357 43258 -60127 -41556 -53810 -258617 -76540 -216530 -21174 74112 12985 19688 33712 -127812 21665 74640 61309 166450 -117356 -113647 45254 18198 1553 144185 206150 84299 -7587 21288 77565 -35325 -54262 -155447 -102667 51763 1269 65056 -205685 -15405 -60754 2474 -13407 -29609 72404 106726 -90382 -124588 160983 -100552 78939 -17229 98559 3579 -65777 65435 34344 66362 115770 187288 119061 -111746 185095 62586 52809 -103580 -70845 -6826 -72336 -45452 -2911 118914 -76506 -95499 -127657 25187 155077 -71261 1856 -60344 -137553 -70765 99412 127931 55034 135908 -92305 15998 -66596 243442 55724 -22606 54484 34321 -45126 -38735 -178801 24514 44969 -226350 169906 148067 -64370 -192076 -8637 -8989 -233656 108308 10067 -4883 -60062 80805 145884 -89646 71283 90767 -202702 -108743 48511 4484 104428 -110863 105740 52087 -13910 126269 42052 269816 22159 -65363 -221013 96273 -140925 57512 32368 -73190 -195925 14521 46170 -140416 81974 -16017 -1423 171406 -1095 63366 4327 72668 -38578 -65228 -157224 30370 -45437 247611 -14417 -39946 -194641 75197 25621 206009 -94333 39696 134306 33899 -115941 -44026 -21826 -6022 -76971 18408 154378 7096 218412 129996 -43106 -74539 52728 26078 129661 -121839 -68324 -14512 68691 -170113 37903 54024 25954 25832 -75803 56484 -39791 46456 -26360 -93865 47425 -16737 42911 
+-107687 -154901 -1534 -48220 -28155 63371 -75553 -27704 47997 -13932 30653 -38942 49560 50141 -221919 -33849 -427 66644 69531 22449 -192934 -113052 47107 -100242 49291 -65476 -105276 -17919 113244 258506 -27691 -45791 -72109 -49820 57153 124592 -57533 -55876 -32739 -20667 434 -34883 127182 134580 -119701 19334 41546 -26429 100761 114865 -41370 -83089 -129846 -48348 -224839 -109336 -187091 -15580 82730 37575 45509 63244 -184847 29880 68683 34313 32253 43704 -126416 -98961 -12868 -55315 8865 148523 71156 150028 106484 105644 -57044 7223 -124419 -78598 148357 -18258 27896 -47948 54296 -39653 -31018 -7341 -6863 75169 107801 -156843 -51065 144764 -62508 -43568 -28466 30575 -37622 -78424 42378 -32959 92816 159671 199437 87547 -243130 115673 56496 52065 116260 -19607 -31957 -57944 -46743 17206 2020 11667 -51678 -209184 58811 195395 -63687 9689 -40855 -141204 -143119 59891 14665 60555 114546 -105176 57815 -58643 197051 41675 -12068 11419 31756 18496 570 -141732 50482 -14873 -137681 41147 203552 -43133 -119611 -32560 -30684 -145022 111262 -8028 32770 -79577 108931 73549 -71184 80820 164290 -159264 -140608 -32800 -75732 114003 -161894 126975 22401 -71774 47099 -3456 244490 8214 -49471 -188742 92744 -173451 30304 88542 -73764 -163860 13154 -14612 -87248 -1756 1721 17934 177367 -15287 68706 15456 127490 -25015 -105857 -169577 28463 -13815 246674 -5163 10242 -208324 200722 60909 186014 12730 91021 123010 -94207 -7815 11699 -32946 33213 -59516 1987 206280 -7300 214426 93660 -32607 -21937 -108557 29312 106421 -32217 -89780 -6246 80344 -214029 18287 109638 10823 -2990 -44820 26976 -2702 55338 -25676 -89339 -80886 78082 44522 
+-79983 -90137 -34383 69911 51728 46227 -170464 3203 -151731 16610 20282 -45241 47089 133828 -167364 -88116 -11265 75118 54144 20993 -178418 -147712 34197 -57245 52182 -40848 -51710 -17296 125554 208943 -122565 -31038 -62276 -25978 68116 -19972 -46162 -90069 -78914 -35174 32637 -38737 173494 190161 -69957 -1783 -47072 -1556 39198 120780 -14398 75456 -153810 -63350 -186624 -112866 -66658 -21349 88925 13502 64284 23460 -118141 93314 49848 -22147 -37001 50999 -131834 29767 16971 -110540 -104645 25833 85101 68520 84111 112582 -6436 95217 -83585 -20163 173625 -36862 -21123 95561 100806 -41131 -25987 25815 24496 75808 122118 -155211 40050 151205 -22892 -93801 -50288 -99394 -61386 -131410 37953 -1114 98590 192065 209284 -22565 -190366 24805 16002 56303 119122 35903 -10049 -90788 -50290 17175 -74901 125478 26011 -244687 22978 227339 66696 34115 -17362 -126292 -142728 -35795 -48874 64171 83887 -117435 68039 -40603 109673 -5046 -10439 41411 30983 114140 58175 -84860 74958 -74161 54508 -172402 145534 -22506 -67273 -33172 -31592 16128 110467 -9130 109185 -58220 116905 8970 -23194 80477 163809 -53245 -120251 -62393 -172020 121304 -166469 132667 -18812 -116719 -100129 -95232 227290 -33661 -61175 -133038 70310 -139958 13782 26727 -14449 1922 10201 -1580 -30802 -50311 9662 44344 64314 3442 49584 5575 151405 -13291 -125465 -184957 51269 17442 245803 24535 40990 -164452 229553 64074 181285 35945 104620 57188 -164499 113291 64536 -65964 65186 -54404 27027 241099 13008 179346 -78193 -43365 45936 -120189 27864 117372 30557 16203 -14611 94017 -70299 14235 126723 7499 -58538 -56400 -53909 69666 43909 -49787 -12964 -124583 122648 72876 
+-65796 -13633 18731 153935 -18235 32521 -61618 5435 -279990 10557 -248 -55217 46880 175466 -106246 -130037 5929 54926 61216 37307 -139903 -179778 -15473 -108049 53370 -24496 38776 -11332 149445 114765 -186472 3258 -33789 16822 84555 -127462 -55171 -53516 -121816 -64140 -86290 -61287 170329 192166 -3514 966 -173107 34940 -36607 123274 -62683 203209 -134680 -63063 -125607 -36242 49063 4000 93804 -21505 55540 23185 39740 120391 31104 -10442 26515 -109476 -135764 205261 23220 -134080 -153194 -30117 71071 -143317 110651 83186 7785 149264 -41595 10722 113664 -47412 47402 36764 52228 -22049 -30517 4889 19520 80968 121837 -180671 78463 149850 17769 -32230 -78824 -204922 -5691 -155873 47241 82607 83914 206537 217966 -137757 -9632 -27515 5382 81420 -93059 93789 -7593 -44112 -38640 -7138 1434 254715 95369 -246453 45451 237602 150335 -19936 -1109 -109416 -116074 -128609 -98578 56487 46622 -143565 72193 -45506 -27870 -39293 -35450 90087 32541 194278 109969 -20975 88937 -102664 111914 -170481 -8185 -62307 25347 -68317 -42437 156971 87200 -40694 109337 -66704 95025 -22185 12830 91835 80540 11857 -112325 16353 -232514 127706 -135426 96986 -58570 -156407 -172730 -102200 264011 -19460 -66713 -65809 63551 -109670 -16979 36639 66138 107986 13754 -10030 7206 -16112 -11724 62577 -48303 -8173 14061 12696 127533 -14156 -110643 -170502 20758 65348 240017 -2813 55999 -93370 139072 114317 146104 -66502 96673 10433 -179545 166892 81699 -31674 84523 -67328 33146 248910 45894 103754 -202836 -82609 64147 -15748 5190 89588 26200 173126 -18262 103664 -25395 19886 125036 5745 -123469 -93205 -45551 91714 6713 -48243 -56549 -52275 46724 64178 
+-81227 -19800 -14310 164683 -123569 2677 108572 36495 -191328 -2077 5408 -64007 48918 159082 -27452 -175114 13067 33301 15998 60199 -101371 -178922 -31003 -90143 50705 13685 106574 -18919 155484 -1274 -230324 82362 4084 31494 76565 -69246 -61676 -53809 -111276 -34842 -188650 -63082 126486 140942 32219 23758 -177125 31207 -96194 151793 -45696 144462 -104857 -54425 -71091 20028 76702 -6352 99165 -45791 39995 50501 142537 135912 16416 51974 132639 -229700 -126709 203947 68849 -134648 -84406 36563 47878 -153663 140049 16747 62994 170139 -5281 24124 9079 -39723 67259 -167884 -76550 -45034 6029 -18882 -37250 81072 118997 -177833 64759 146072 33774 92772 -116480 -259503 19745 -104058 45137 143833 53047 216524 224455 -159623 117179 -21688 -50343 66034 -238314 154667 -4872 -36303 -38889 -53325 122041 192676 171859 -255245 6576 273899 73672 -24737 9587 -138704 -46638 -142338 -93174 48891 5370 -157524 37131 -56416 -45211 -53999 -25626 76008 35343 232304 154430 42909 85924 -119154 -34972 39910 -60901 -75417 80271 -89977 -29832 127602 95522 -72699 100452 -78042 100070 53282 45648 102370 -1961 -16422 -140621 110875 -259052 82530 -61915 55553 -111861 -132683 -172302 -59939 228135 -45917 -61623 27849 28568 -81249 3897 6272 134181 29317 3514 -9581 -13302 92719 -24053 64939 -34403 -15282 -30613 42899 66228 5637 -13209 -150873 46203 130966 188755 43424 67751 -54820 21118 137598 97357 -163322 115350 -48508 -110383 190835 63324 -72834 88850 -84541 21322 230976 1766 27187 -166937 -116256 111351 86442 -5958 62461 -49025 252173 -19848 107303 -55026 11038 158401 18550 -72492 -120867 19751 117930 1492 -30368 -69809 56679 -53639 56755 
+-96910 -95755 -14363 28924 -172726 -4437 93393 62270 -10244 17513 22147 -61730 52873 58357 46502 -205618 64792 -13799 7665 69145 -89795 -168734 -68732 -77655 48637 53033 78293 -24809 141557 -83069 -220280 66892 -20190 67380 77452 76992 -70517 -23237 -81685 -62401 -178206 -148355 35635 90929 -31989 35460 -82924 32422 -198191 134559 -14315 39879 -12758 -61344 -26537 41026 26925 -45787 110711 -37079 23113 44783 119390 60509 17190 54463 154875 -145431 -120479 39534 95059 -90146 53265 160111 73969 -73610 130758 -55261 72744 174591 18502 18454 -69722 -29524 92070 -251655 -112681 -1378 14437 -11783 -57919 83506 135626 -159375 -23106 128498 12447 182368 -123485 -230940 -9074 -60173 50536 116370 10022 212440 229020 -75472 60897 32173 -91038 75783 -116139 186082 -27723 -50648 -37726 -104527 130592 54655 223118 -224616 5585 265016 -59735 -36165 11949 -110261 -63912 -99289 -55070 54020 -37298 -163228 51780 -12456 -39616 -26702 8506 139954 37036 207114 199300 -32598 71438 -111832 -195127 215800 -69022 -95530 43056 -111464 -8408 -131433 88823 -97839 81045 -82516 59439 66779 53051 120849 3520 -79545 -134476 65812 -244591 112219 15727 31704 -147411 -110840 -75851 15190 220649 -5874 -59563 61763 10129 -30509 3552 16646 209641 -134166 10075 14931 -59809 139343 -5932 64961 101196 -18113 -57505 76725 29203 8393 38482 -185359 35465 180295 171054 -8108 77475 14009 -40682 152087 60747 -154999 129758 -48049 39335 92478 7896 -55426 77247 -94490 20967 191432 43474 -53899 4589 -145231 129824 209574 -26379 55477 -96915 171700 -33248 103417 -108081 -12315 173146 15635 20297 -122882 71202 108768 -27737 -48470 -97435 47501 -97128 31772 
+-105470 -153951 -43789 -97302 -90728 -17767 -66961 90141 131615 14552 57392 -52086 57351 -50452 93938 -215356 141341 -41553 -7974 51034 -38202 -169668 -51644 -117283 46278 69015 -25769 -31722 133577 -93497 -177777 56371 -25476 70269 74783 164636 -81940 -33792 -13056 -20368 -63803 -154729 -28019 24992 -71330 20029 21124 68247 -234587 130414 6547 -80331 77727 -67861 26296 -12243 -94297 172 108794 3076 14211 54597 -1702 -11313 28663 45671 87723 34386 -115216 -64447 153948 -32870 175066 212984 71627 95051 121279 -82960 95257 171003 54870 39152 -69544 -3848 74224 -152558 -116333 -59633 32832 3462 -30074 83908 127816 -130465 -108339 134720 -26486 166029 -94230 -127543 11208 -50270 35118 27350 -32288 179489 231701 56112 -121515 135668 -86859 66996 87718 208375 -158 -32054 -32338 -152539 10202 -48219 284534 -246305 13243 286625 -76393 -54015 14545 -114054 -129238 -3701 -2455 49373 -78750 -171060 48722 -38457 67343 12686 34388 177181 36231 130786 230053 -101333 46195 -70472 -191240 71397 86492 -137570 -3528 -141886 21258 -223030 86392 -75308 24231 -64822 50078 140684 24892 127833 80790 -192004 -134166 -24757 -188958 105000 89382 30979 -181788 -33147 55984 55308 205256 -20027 -63460 101155 559 16155 12510 45325 201899 -197920 -146 4836 -68843 136656 20944 43182 201114 -8171 -106905 66823 17840 6123 11638 -135867 74487 210961 119179 -55842 95583 88465 28575 161620 -16264 -24489 138253 -27893 129774 -32509 -51817 -64663 51263 -81112 33081 135177 32083 -89473 125395 -169296 133152 157102 -52939 69201 -103981 85515 -32670 93511 -202261 -15131 176377 11953 17260 -92471 33976 79094 -58693 -30683 -124148 -88263 -75843 51502 
+-98526 -111377 -20880 -33480 -31273 11274 -157582 120719 29015 22557 18301 -44552 57740 -148782 112792 -240311 140386 -102085 25713 14723 -5014 -154912 -24457 -78690 49717 92928 -66392 -42181 102998 -27387 -117679 -24005 -49331 80002 63782 156544 -87827 -55043 6092 2187 28506 -154980 -77769 -525 -111721 -1411 22604 45201 -240955 112461 76793 -16552 151260 -34305 61595 -80022 -188572 -23931 118160 38656 15835 22699 -142007 22532 49237 8624 -27624 70960 -100307 27863 110627 22547 223727 135841 83352 75804 72102 -103336 111509 108740 66115 -19674 15054 14671 48796 32242 -65908 -63671 13115 -6957 18410 83962 144409 -68987 -130155 130651 8008 56729 -79006 -13755 -13151 -68547 36976 -27664 -63029 151043 232527 120283 -245854 179724 -152949 95970 59370 200301 -7069 -4226 -29238 -182265 -65810 -21690 268876 -212172 -1893 259733 57079 -86925 14156 -81846 -159595 66073 75042 52118 -115374 -164904 77611 -21009 173959 57670 -14739 143811 33608 35274 203163 -160346 20038 -17151 -14391 -118668 159103 -145685 -73390 -161665 43673 -139257 89354 -66418 -8506 -61109 27858 140058 -16217 121213 159858 -221046 -114747 -48823 -122624 90689 135937 65529 -200803 34608 143611 -49778 221740 -17731 -57699 57582 -38257 2225 18164 40871 145802 -77446 6667 5788 -117019 45469 36641 24109 150754 -180 -90881 75417 32804 21946 -36291 -93503 66267 226809 61497 -82953 94892 126996 167425 116772 -24289 48972 99855 21865 142386 -108731 -110131 -48112 15119 -61212 -4515 73851 23724 -106074 91161 -153892 128500 66186 -31531 95123 -52695 -89688 -40681 80856 -171502 -848 176121 20157 -37005 -57590 -42661 75165 -42361 -24447 -70748 -153664 16186 36869 
+-82115 -30666 -47975 59719 -18148 -20903 -59121 136982 -159032 23222 -5314 -38458 55682 -185177 103477 -215285 86472 -140867 31084 286 27751 -152916 -10297 -120117 47523 98780 -37370 -33964 33707 80754 -48044 -83739 -67067 94932 55713 9428 -97338 -11717 21559 -10360 -5726 -114685 -70031 10983 -78242 504 -115035 52409 -217088 108306 71379 94909 200402 -33855 44169 -132626 -206604 -24415 122248 23876 29754 34273 -176135 89606 66280 -21002 3026 -67477 -105304 181025 129234 52178 182058 25969 68807 -82109 103106 -53224 119059 40885 85205 -79757 121344 17675 45941 103700 25680 -49996 -15873 19583 51295 81539 137306 -19362 -86507 123400 -24779 -59447 -53331 99528 35611 -119902 31312 -5832 -74701 106142 231094 82029 -183116 199155 -135828 56925 -69913 178803 -9013 -38272 -23691 -197464 -989 126867 218987 -180703 27272 233942 158551 -69901 -1632 -75526 -137516 127285 133619 57210 -145368 -169732 53863 -35257 237843 64091 -5840 139365 31281 -33978 176516 -190601 4277 35802 119681 -180629 210147 -105829 -155833 -171234 61771 24760 78843 -11966 -48089 -80939 41035 83912 -56625 139328 160158 -177722 -107773 -12786 -42196 53965 142179 103776 -226687 87898 101653 -140897 173397 -15748 -57075 -2895 -47205 -16426 -921 12851 44665 80050 -12069 -13465 -98487 -59031 50065 -5368 -18668 -1519 -63376 88738 74830 11082 -122076 -73435 38411 225383 32321 -80256 81766 184265 227769 94017 -46372 -6373 104117 75370 114002 -197796 -119126 -1451 -25914 -53492 27870 15001 -1361 -76237 -75940 -119787 66598 -41012 -69251 143728 53275 -103005 -49663 68605 -22413 -27081 150315 705 -94763 -51446 -44462 7668 -18779 -44281 -41451 -69557 99841 -1737 
+-80542 -11916 -44135 161871 -49415 -25806 85202 137576 -269973 21016 7635 -42650 50480 -159979 79395 -187624 45361 -201219 58677 27494 71787 -121447 12557 -82914 44141 108073 49424 -44268 -25169 185896 23801 -52054 2767 107670 75259 -107483 -93652 -42213 70081 -36062 -131912 -91101 -28751 56765 -2818 23113 -173355 75982 -162044 72305 132421 199850 227530 -56790 -21290 -120491 -114644 -35717 122902 -11486 36729 36004 -105570 140913 72774 26399 99552 -218362 -86512 208751 73391 41060 53783 -28335 48914 -173157 55193 12605 112837 -37033 65097 -74871 178077 16818 30646 -31799 70988 -55897 -24250 17586 35582 82252 145129 7218 1235 117649 -38039 -90707 -19242 115722 -20492 -148796 49116 76406 -65666 70260 227550 -39460 1263 134696 -171568 56304 -200549 136171 -3643 -27281 -21821 -187308 113990 231943 187869 -93913 13279 202075 94748 -39807 -15046 -47528 -70481 71754 117830 62089 -166366 -162103 53804 -21563 259315 21323 1123 106697 31116 -47025 114545 -125054 2554 100818 40072 -37271 129815 -99603 -184426 -177243 76444 147236 80310 2817 -50189 -89351 4418 21241 -87824 154763 85782 -85666 -86406 77705 48265 65500 98379 132226 -235361 98666 43486 -103791 196792 5656 -66024 -87951 -60171 -69628 -13417 43156 -29083 74675 -28866 -12242 -66777 -38186 33763 -21569 -67525 6054 -20280 86741 125400 21316 -157564 -38839 72523 200346 -6494 -132094 60021 151351 173147 41424 -86295 -104778 50548 148077 -17325 -204721 -84258 -32312 -64915 -64641 -7331 -30712 39589 -19989 -200932 -80190 5125 -91205 -77614 136545 27815 -45047 -48620 62284 -17045 -19633 137212 10331 -109138 -77502 24405 -32385 1890 -13114 -46129 59795 96172 17529 
+-80389 -81910 1174 142009 -140074 -24433 105372 133980 -205643 22738 27482 -57845 48970 -76784 10106 -144026 7126 -213585 77658 51091 71915 -90974 59105 -87282 44464 101036 88243 -14391 -84268 254633 84380 52443 -54071 90507 70427 -101908 -92773 345 77950 -30357 -207157 -67106 67182 109256 11449 35489 -124226 27928 -78236 50471 154951 149748 181797 -50791 -43830 -30973 2283 1471 127551 -48698 51155 31468 60405 125940 68229 78923 167257 -175458 -79934 24404 62440 2026 -73803 32070 62148 -120714 63608 64562 53607 -107643 52633 -84011 139641 -2379 47884 -209071 64400 -101720 -28976 4219 -32712 77357 149923 38896 66081 110956 -92264 -12641 -9513 52480 -4423 -130967 55582 134690 -36257 24237 222520 -146659 120631 46739 -132543 69176 -142907 84715 -12307 -51765 -19579 -149356 121575 207997 120125 -74764 8207 184365 -49818 -1318 -39966 -68163 -57128 -20232 75059 55150 -176392 -158044 59451 -38127 112799 -11687 3643 52572 33269 4618 91552 -68734 15877 161306 -147960 136690 -63779 -57299 -185950 -165257 88392 58720 80669 -28620 -65096 -60836 28314 -23778 -94695 163255 2381 -13687 -49030 122261 114218 41210 32566 132183 -202350 72292 -101316 -10107 182050 -2121 -69254 -145824 -66373 -86039 5178 39798 -71994 -52707 -21229 35200 -35648 18223 41356 -28091 39340 -2605 23973 138993 146187 49455 -113065 -42040 54819 155212 -45007 -97821 58799 95691 40456 9609 -109209 -180155 51232 115776 -128399 -133248 -41860 -57889 -97221 -84793 16214 -54128 37260 55899 -157914 -48463 -87021 -76513 -79717 140896 -57210 137984 -47732 63343 -69922 -8501 131323 2474 -67182 -100746 44280 -81373 20921 -45780 -87051 53996 526 4549 
+-86089 -159481 -854 23483 -158109 -15339 -44609 153680 15279 8413 36383 -62549 47380 43788 -72440 -109068 -59468 -236509 71627 59325 110235 -70555 41888 -93853 44741 87554 48404 -33851 -92067 243313 130174 122128 -49420 103033 94492 25124 -81964 1333 7745 -33378 -134008 -39171 133677 158748 -25315 20706 8143 6683 -17378 21103 125337 -24675 99902 -39689 -131705 27821 74913 -17000 127691 -37577 51137 39881 152112 65742 51972 60009 118194 5308 -74002 -67025 16996 -58733 -140990 157569 48943 55708 41883 142158 -188 -151656 21362 -90918 51080 -19986 38215 -233803 -9435 -123218 -8094 62137 -68713 76583 159994 78418 69797 90119 -95123 113445 -39576 -78087 20473 -87588 41943 117672 4872 -32006 215320 -153804 54681 -23873 -190069 58726 77898 31004 -29225 -18669 -20279 -97751 23820 77950 19229 -62730 1861 119407 -63191 -19230 -60845 -63297 -99093 -98471 8049 63433 -175824 -151894 68331 -61408 7443 -51069 -17284 39873 35954 92521 2345 33581 39442 193243 -221676 164144 -109024 -33526 -123691 -200893 86654 -79301 81602 -40002 -3243 -53117 85893 25651 -66550 156898 -2201 7041 -62681 10084 134440 3263 -46610 92252 -180145 24422 -191536 49718 163445 -2033 -68054 -205720 -76229 -153086 8527 45382 -54392 -194635 -36018 16009 -25848 112971 43571 -19201 200581 1058 64111 107298 135594 57934 -47413 -10870 108743 96075 -77719 -123872 27395 29773 -42676 -24835 -131085 -93349 -21811 84143 -195958 -84536 30689 -25631 -116988 -90710 82143 -47243 37818 130176 -9017 -40430 -57665 85953 -67720 97216 -120222 233333 -49512 71358 -177852 -5297 91334 37525 67045 -134243 21739 -132934 53136 -13466 -103166 -63177 -60078 -25032 
+-92750 -131916 -3723 -51114 -130861 -7455 -159171 105768 88135 19110 35997 -59223 48599 140401 -104144 -46657 -25278 -218209 53116 31321 88180 -8559 -8142 -100409 40397 54165 -20236 -2276 -148521 161922 115315 49468 -92836 92849 76366 168280 -68701 15731 -54960 -46398 -9838 -51125 184089 185758 -84795 -1010 18913 4610 57103 3988 79373 -60366 9677 -51070 -177047 26008 70409 -28592 127202 -1595 42272 44905 116994 19639 32076 -2316 10831 79979 -64956 -6060 31134 -110503 -120128 210020 74839 89182 65633 109035 -21838 -183501 -13067 -28102 -53428 -42939 60386 -84126 -71754 -124118 23269 30857 -5061 74918 153662 79352 -2725 99656 -95942 188085 -44706 -193203 5925 -58182 56651 37223 46961 -56646 206063 -55317 -129759 -31570 -127306 65719 94515 -33442 -20338 -26843 -19724 -48980 -67478 -40416 -44823 39400 -10228 61115 19214 -5162 -84828 -66648 -148167 -140777 -67852 59591 -163663 -128143 54922 -52998 -48887 -43742 998 42957 37043 177161 -16926 41522 65723 221589 -92226 -52208 -25674 -44182 -68156 -206074 78566 -211178 79283 -52585 4659 -58689 86824 77045 -25265 145332 75375 -53705 -21108 -56995 136146 1908 -121976 47164 -145235 -36029 -134011 20739 126419 -18959 -63703 -206469 -70290 -211427 17874 43440 -8164 -150631 -57022 8176 -9299 151883 48956 -2282 183156 -8523 73227 115707 63473 49907 36359 -3077 73334 47372 -90479 -117220 -2626 -51484 17738 50170 -105492 25460 -33594 1599 -158785 77096 71103 -81760 -121597 -80352 7035 -19478 17431 184616 123252 -41996 -115486 152838 -95624 64999 -66983 193147 -56784 84489 -166236 -753 69230 9668 41252 -113816 -29723 -173324 91903 -53441 -92586 -128793 -97266 -19462 
+-90147 -52733 -28798 -24328 -38824 -5388 -93313 74655 26709 -20950 10064 -49845 51217 185693 -226139 3768 -6428 -210735 45662 41525 78782 29989 -20786 -96989 40849 39839 -96259 -10376 -102702 64403 77849 14855 -64319 91691 78614 199903 -58012 5176 -90699 -32420 25775 -89196 159627 181374 -132196 55 -76502 -42387 118352 -4786 53649 11855 -70598 -34888 -225212 -8964 -34735 -39454 127129 31280 28699 47915 -20047 7720 18229 -7137 -11903 -32513 -64828 182328 17524 -141319 7714 151715 75965 -36787 73618 89124 -12539 -180352 -41930 18470 -78224 -44980 59751 86555 -145994 -146432 11346 -4684 50014 70713 158603 77771 -83808 107579 -67763 151935 -99327 -251444 -36967 -54618 65333 -24869 80736 -77141 195559 70742 -248174 24505 -133891 71722 -117015 -83835 -16399 8371 -20970 -13706 -19652 -24978 -99885 52308 -3877 35396 152195 -5277 -110154 -61292 -138853 -139677 -69123 68414 -141114 -109787 36920 -36748 -45132 -8534 -2531 23848 35694 230473 -69731 -21224 84632 221922 83729 -213675 90013 -73019 13827 -224599 54639 -149342 85642 -73528 47535 -73019 87537 159631 19674 135737 159858 -153864 -30493 -45876 95801 13275 -160252 29131 -94699 -102319 -9590 -57256 93895 -44944 -65790 -178277 -84313 -135344 10166 24194 13538 18920 -71077 -18823 -52989 82014 43051 18263 29303 -3296 43969 97597 35702 72253 26355 9650 79952 3141 -96447 -93840 -42895 -132363 125763 38471 -117825 49741 -74717 -49905 -56440 187307 78891 -54852 -110254 -60545 24652 27854 26034 224179 88683 -75613 -120564 184908 -97205 47823 5647 72518 -52260 96598 -83534 -16895 29519 5653 -89583 -66753 -47305 -234167 62033 -52101 -31947 -56139 -42801 -23584 
+-119098 377 -19918 59514 5981 17746 81922 66544 -158029 21003 -10158 -40422 56312 155310 -256510 41226 87657 -161656 3308 17095 66793 51856 -28228 -120824 35570 -1745 -64280 7188 -115505 -41906 17263 -48343 -22459 68007 64126 77375 -45028 924 -108587 -26950 -75168 -88001 104313 145108 -56595 22462 -193251 -33095 97372 -18546 54113 120568 -133781 -56791 -233733 -58044 -151452 -10014 127882 29400 18422 10509 -150506 73383 16728 9593 82759 -193973 -69689 183107 37042 -131396 157119 29415 82379 -195165 103229 11465 -59452 -146410 -71658 65996 -19616 -35204 68584 52424 -134417 -145945 -20160 9253 25080 74544 163987 59183 -139210 78087 -61646 34004 -114280 -238125 -9704 -82237 77109 -11709 98182 -101121 183304 123151 -175278 116633 -64083 73290 -202362 -110784 -14902 -9916 -23607 18342 122302 101429 -89777 88281 23743 -34865 99100 -32850 -138933 -36708 -75360 -27284 -84872 66710 -110331 -108073 46671 -51024 11394 29816 -6822 57824 32901 217035 -57994 -88364 88696 191335 92871 -93118 188112 -94833 56131 -195048 26302 16703 82476 -84925 81009 -91001 89291 186796 45841 112922 168372 -205765 5446 58865 20634 -11353 -164785 49147 -48905 -137347 86851 -141478 100873 -41102 -68581 -115605 -63749 -161252 -10467 13289 135584 102134 -72989 269 -129675 -6380 48837 47219 -65944 -6807 47626 111263 13695 67139 -10044 19659 117042 -37862 -84990 -61183 -61639 -186297 233056 78086 -68092 -62145 -99940 -66556 59775 156548 50734 -49943 -84666 -54195 31638 92655 36136 224787 -63224 -104889 -77581 97793 -126105 74480 43824 -97865 -45353 105452 -18830 -4282 19517 21856 -133759 -50615 12136 -260177 65459 -30316 -53629 63923 59268 -47745 
+-90037 -91560 -13412 176680 -13815 41044 117896 51923 -254553 -12112 -20066 -43307 59463 66329 -291399 71709 106597 -115714 18463 26589 34885 80910 -56383 -73407 40257 -18673 50835 6324 -58164 -97111 -71822 -38275 -8659 56153 47906 -89942 -50036 10337 -137911 -48416 -187287 -123425 27452 74941 142 35541 -160464 -37877 115217 -39925 -30373 189302 -166585 -68248 -222178 -138994 -210978 -13202 128146 -2872 10086 2733 -168242 150020 28358 66836 161775 -194872 -81174 56195 54750 -90884 232235 -32146 48718 -152338 100867 -61096 -21182 -106673 -121656 44034 84165 -22245 7617 -120276 -34583 -153216 -30850 27507 -4743 75688 162208 27559 -111765 77297 -24205 -72936 -118591 -132895 -32987 -149715 77589 72175 93953 -103981 169620 67045 8584 183483 -73991 89801 -92019 -127787 6620 -35535 -20152 21414 132846 229896 -61326 98257 12263 -55625 -30291 -18371 -157504 -59397 -64709 70994 -36084 53283 -72765 -72988 58867 -56817 147062 59117 -26044 88376 31022 156755 -45630 -155362 78616 146396 -73422 145803 203196 -123121 49572 -210952 10893 145260 101940 -28695 96018 -84038 92767 100157 53252 133858 90045 -167416 -31698 134091 -69004 -3446 -120376 71858 -644 -136728 125200 -58834 81338 -44802 -71063 -25141 -64390 -80497 -9587 17952 210894 27766 -89995 33806 -143804 -39944 71099 63400 -44373 -7453 31770 99494 29614 88080 -105576 40031 87274 -32387 -44202 -57940 -64039 -211994 188871 94091 -28909 -167698 -87820 -29952 134312 67341 -2014 -40271 -49064 -65733 25706 150909 33078 170935 -208673 -140538 -71922 -55863 -95057 74165 -4614 -113409 -44367 107517 -38106 12326 -26567 4015 -102196 -53828 60511 -292367 47087 -40459 -56455 61750 112882 -37160 
+-83490 -167503 -23983 139362 -152179 54500 -24223 7137 -200362 20727 20253 -49939 55738 -45742 -286002 91598 125606 -67672 -201 63972 -2304 103663 -35934 -99561 36511 -43306 113243 -12554 -18109 -79023 -144841 63498 -35749 29766 86639 -123222 -55622 29540 -118810 -976 -174793 -151696 -64773 23473 23800 21402 -28872 -43779 27507 -58245 -55002 114434 -132447 -52178 -197175 -111635 -165646 -19315 122138 -40810 19205 17331 -87421 109089 46733 64266 124077 -31528 -73916 -51790 98706 -30890 210693 32355 63688 42463 124184 -78551 -17243 -37423 -156311 13954 164424 -2140 -5136 -254816 27250 -162226 -11672 27353 -46737 75626 162630 -35125 -35354 67702 -6853 -84532 -69475 -15846 -27054 -162170 68919 141617 68978 -80871 154909 -56994 122466 196576 -16251 104722 53477 -123784 -16160 -27877 -18414 -6729 20101 229858 13660 87465 10535 -71174 -89293 -13458 -172471 -84122 -58177 114332 46126 49695 -30958 -63838 49935 -54499 242060 42754 -14695 144444 31474 60076 -5471 -179452 56853 88982 -217948 149470 15637 -138109 -7026 -192574 -8312 67252 99626 -42670 112207 -90732 69040 26385 23998 114861 8321 -116737 -8028 41782 -158612 -1642 -47516 118253 31985 -137169 65959 11455 54886 -42727 -61168 31148 -43796 -39550 29543 25785 174882 -148015 -79510 34853 -109013 -14959 87534 67142 150187 -8189 -39034 109765 77675 69563 -136058 66195 83307 -24800 -25181 -2957 -74043 -206043 73107 134647 15317 -139497 -121985 37158 132636 -1247 -73822 -41054 -8065 -83233 43624 202888 4271 101023 -152783 -160419 39251 -98094 -129381 112547 -72904 -24118 -49171 102694 -130611 15712 -32171 28597 19855 -90893 45707 -283407 12084 -46463 -70628 -60291 74749 -65582 
+-57151 -147614 -40724 42219 -168718 35641 -168223 -19901 -12403 29954 34135 -55239 52675 -142583 -264409 109560 131593 -14269 13388 54124 -32304 148336 -3535 -78266 29928 -62196 60338 -7319 37337 16186 -188850 100951 -65723 1919 80923 -4989 -62154 66478 -32195 -8473 -70640 -134553 -73139 -9129 -18434 -635 37237 1131 -68340 -35878 -99034 -43884 -66911 -43148 -130641 -75496 -46639 -260 119805 -48569 45556 50441 75955 62163 64406 34926 44232 83498 -90776 1433 135874 26790 96430 151418 79500 118158 136672 -73805 37310 44544 -189918 -31221 159555 25595 37072 -187866 114428 -154637 -26025 48754 -51845 79741 153144 -66557 49268 62241 38203 8370 -37907 95077 25569 -120916 73914 113156 31306 -50340 138348 -153568 46918 148201 51402 95064 108094 -94493 -2681 -44698 -19546 -46792 -80758 98622 87377 60564 10530 -114896 -3296 -74532 -188894 -95735 -140200 117418 127382 48375 12022 -31754 51497 -59869 240926 2585 15047 137994 33912 -24040 37841 -130232 30625 22802 -159034 -26629 -51421 -133815 -77527 -220267 -30616 -92185 102232 -986 55837 -82892 53891 -8730 -13158 79443 -8122 -25325 -25014 -52895 -214437 -29199 39402 139047 55419 -54650 -40361 55295 29540 -799 -65739 96640 -25472 891 23851 33488 105839 -200760 -114820 27214 -82132 22916 76599 56946 183965 -4831 -81467 88968 98704 89802 -88365 49464 76858 4814 -2078 20994 -91900 -126872 -41262 139901 59586 -27093 -144781 97597 60181 -117580 -120426 -18343 31936 -92899 54247 239646 41135 21284 -2952 -154030 23523 -72689 -100534 107363 -99915 103603 -41653 90047 -208575 20286 -80634 -36866 22450 -114723 -20212 -279509 -19338 -24598 -129869 -101648 -31649 -64250 
+-76504 -65666 -17253 -69751 -99787 56033 -93921 -17515 98053 1967 30507 -60141 48745 -189400 -247712 72531 66149 24590 33036 31016 -76514 139013 12855 -87102 34761 -84194 -46213 38989 92897 122120 -226246 95708 -69549 -15417 64598 112854 -81318 44596 -16717 -42791 26463 -81883 -64069 15649 -102248 -411 -15526 12783 -136672 -50495 -76010 -87092 15287 -65540 -39287 -345 59518 -28822 115669 -21275 57316 37281 152319 24115 74064 -4063 -1280 -2106 -98496 179152 116043 48483 -34293 212048 87589 -30377 94228 -30585 76863 104780 -213865 -67974 81405 17215 38452 4142 62985 -151666 12067 18057 -30886 80398 158306 -134855 85110 73588 42453 132587 -17355 114648 -24572 -67510 87684 44925 -14340 -15410 121632 -144821 -139978 56096 31613 91923 -109410 -46118 -17326 -69956 -25764 -95788 -15765 -48315 166520 42724 17861 -71386 150044 -72727 -191925 -91798 -145080 33249 130286 55480 53053 -34460 50398 -57915 175720 -32529 -784 131086 36410 -50902 99925 -40378 9275 -31234 35910 -178375 -74288 -110596 -143489 -183647 -36753 -232865 104445 -10275 4016 -65636 42349 2717 -56364 83717 66702 17248 -7012 -70949 -252372 -41808 105295 123147 73686 -12030 -153204 -15516 12931 9132 -62271 83792 -7846 38665 -11975 19803 34657 -76689 -110864 17826 -10418 112953 76692 40624 80169 -11964 -97713 81930 134647 93394 -56657 80203 61005 56110 57914 -3238 -82931 -76336 -881 131846 97640 51161 -133960 111647 -76305 -180695 -117888 -37342 63788 -78178 46947 252524 22453 -46387 113666 -133631 125621 40905 -102273 129885 -35386 256083 -33595 77182 -131310 52934 -73296 -45122 -23843 -115554 -47930 -278634 -34122 -36186 -89779 -82650 -93047 -83341 
+-96569 -17612 -42884 -46396 -28929 57940 67888 -22859 36664 26606 -2295 -56176 45565 -156706 -145294 40905 22033 52781 51025 31699 -121079 141678 31521 -69030 30856 -97341 -88579 41499 108789 229024 -211009 20971 -28390 -48686 76227 227370 -84494 15228 27501 -24199 -18211 -72537 14136 68379 -119322 21766 -160235 26585 -176449 -38143 -22676 -2128 96140 -35508 3352 29647 82783 -10772 109077 22464 56208 13011 95244 31780 69248 -7745 21576 -172531 -106873 199280 94651 37795 -136257 145714 73260 -178419 79251 37529 98767 138750 -233263 -94933 -19568 7398 51737 90566 -27244 -136832 25867 45629 12162 82114 153408 -120706 35355 53853 33822 189916 -18750 40362 -32480 -53124 67263 -22402 -49510 26830 103876 -43897 -248807 -21483 55746 73635 -200641 8159 -17586 -69582 -21211 -146559 120066 -35060 213607 91434 13342 -100250 111084 -45654 -190376 -86518 -100986 -57248 130028 55197 89129 -3626 42341 -86418 80013 -47078 -14 141061 36893 -15195 116793 29896 1615 -79938 120389 -141661 -2002 -73727 -184129 -183241 -27798 -164262 109114 -27431 -41358 -64196 32198 92502 -85177 47829 156423 1994 -17515 33502 -247170 -13069 141263 87975 61744 34467 -211017 -93813 -27883 1567 -65146 46409 18762 -7002 -19775 39421 -24943 82983 -122138 -8377 8776 133170 76670 17436 -66647 -7683 -62527 91955 113762 86718 22947 90433 99902 103691 82771 -9020 -73575 -1708 114730 136543 144160 5660 -134398 112214 -166663 -203427 -80051 -88972 83640 -59109 37425 231648 28006 -91496 98185 -98234 130570 190288 -82677 106189 34815 250884 -22073 64512 -37875 44970 -104480 -41494 -144795 -103735 -7918 -216529 -51841 -46669 -55605 2442 -84043 -79272 
+-107303 -57242 -12161 68452 -5361 77980 125785 -17154 -140907 28386 -14986 -50408 48324 -84097 -83846 -3407 -40981 72810 37577 23396 -149331 138236 43716 -78045 29684 -79644 -57327 51868 141436 262429 -168684 -42083 -1332 -59476 58361 90771 -93018 17703 87218 -42495 -137453 -38154 102795 136581 -61489 35546 -195168 36012 -204514 -17685 11908 172731 180230 -52742 55137 4981 8270 -12476 103189 33230 36577 25235 -28048 100056 52999 30758 129241 -220318 -116011 71793 102638 1352 -124581 26588 68316 -162433 71601 105199 106975 185825 -225196 -101213 -75783 -7984 83773 -8907 -112335 -130353 25143 24050 45962 83584 154294 -175494 -47985 35821 -19434 135359 -48571 -74308 -12871 -68958 58934 -2027 -71818 71648 85435 80782 -168431 -42015 100450 56624 -99530 64027 -16111 -69759 -29866 -184819 130552 101495 268227 -24661 37847 -96481 8392 -40274 -182495 -128167 -27442 -120127 48827 57933 118573 -2797 66767 -63138 -13207 -39573 -13863 116967 35038 66488 168999 33069 9073 -114221 -2442 54039 135462 -65610 -175138 -165460 -23898 50400 103050 -57811 -79573 -63616 28132 152553 -90466 49024 166825 -138887 -44443 103514 -212524 -19330 132582 60452 39038 97039 -102937 -103983 -41100 -238 -68463 -27334 37933 -21836 2364 -6924 -40015 84931 -120066 -30017 -1570 20418 100320 -10015 -48263 -15534 -21327 33000 41138 88278 14560 92996 70855 157856 138404 -42550 -64078 93087 221655 84041 177358 -124044 -90224 68234 -190579 -137032 -23010 -63425 89021 -52880 2408 197484 32007 -94616 -57818 -62886 179051 187744 -72257 71627 38836 85961 -27201 62181 -29573 21588 -102845 -26956 -72898 -58203 74350 -171105 -38926 -44015 -45474 90611 -10285 -68963 
+-77967 -135204 1825 150361 -79649 49621 -9361 8775 -265655 13910 -15299 -38102 50681 32303 -25219 -57752 -35075 72542 69110 53435 -169645 104374 29392 -77901 30351 -73371 74387 34790 177364 216401 -96187 -53532 -59595 -86188 52829 -32636 -89018 32402 79216 -12501 -211017 -13082 161017 178762 8020 22078 -86814 31743 -230967 -75 33641 221729 234648 -69663 55614 -75990 -121421 -28436 99897 2804 25580 5246 -161878 134233 32806 71247 164298 -70715 -121590 -65150 47846 -60385 -48312 -30940 43227 35999 60246 119788 129204 170969 -227428 -67331 -46496 -26358 72042 -199207 -108239 -125367 -2661 39826 -16629 84473 157456 -181962 -120676 47245 -28885 11957 -84694 -185426 -39566 -89080 74830 68187 -72698 117852 66958 124078 18791 2268 81441 69140 63616 126436 -15968 -51600 -39929 -201838 10682 181586 278853 -38749 -5084 -47738 -105939 3607 -172200 -115013 -43376 -148724 1544 63143 138143 14179 36555 -37266 -63265 -2559 -20947 91087 32249 162092 204207 10976 29611 -115648 -181592 163511 193285 -40549 -114568 -143268 -3072 137645 113178 -43957 -66142 -48723 15416 214197 -67505 35472 101117 -204177 -53170 71873 -145911 -14289 91847 29306 26506 75345 19840 -32646 -32813 -18852 -61677 -105743 57387 -92965 44542 29926 -82099 -75691 -124262 6755 -36050 -32757 91901 -24961 63437 -10332 -4359 35492 36983 91358 -18303 88827 50791 206576 179923 -31255 -46602 117874 220814 82992 184820 -176131 -77911 -2307 -137307 -35878 42587 -37461 77841 -64470 21509 139785 7581 -77666 -196988 -44803 142793 142373 -71912 58506 -53534 -40139 -17327 64451 -94043 36999 -86716 -53039 -9538 -46843 38737 -112138 -33974 -26498 -26542 -10860 83133 -61471 
+-81902 -135797 -20115 141995 -132086 29828 -165849 39374 -164553 17092 33910 -40009 53654 125697 35344 -104526 11312 49355 30858 74010 -188829 129768 -98 -75559 24972 -40065 80103 28367 151283 126329 -11018 13780 -51494 -112452 62539 -122360 -90001 35177 91555 -1020 -122071 -48748 183377 192341 28655 -200 31652 64628 -178339 39137 70336 68167 202183 -51431 58329 -118204 -193757 -19666 92805 -31489 12590 35304 -172783 132210 17736 64117 68498 70363 -131348 -15491 2244 -112232 106287 35124 62778 130213 30060 123112 89322 150712 -198526 -34856 51497 -49772 22177 -246164 -123655 -112502 -22132 15081 -40860 82951 140837 -168901 -125939 54811 -71120 -83051 -84132 -251713 -13410 -157673 71399 135243 -51118 164484 48265 54836 122754 96176 61678 44460 69637 169798 -5772 -76646 -42174 -185841 -77744 226172 237696 -82783 17306 -21829 -21251 -491 -156872 -138137 -127760 -59423 -72942 68126 147664 5068 48085 -71825 -28598 45322 5990 29572 30880 220435 193244 -105320 55839 -99826 -199921 24856 159304 -38471 -49541 -121027 8875 53038 107245 -31271 -39625 -87850 76948 139555 -29291 19912 11542 -201917 -52646 -30966 -51879 -9540 18185 43830 -17243 75455 113424 48605 -43670 -36708 -66441 -160972 72788 -157333 65573 8209 -4804 -194822 -134964 34956 -75451 -42967 69045 -20850 177374 -12827 28693 27972 31433 100849 -83714 112224 73344 229470 225687 -94713 -668 142271 102483 11893 228137 -95737 -55725 -56997 -14354 73505 68021 -59297 52943 -80919 29356 77228 25417 -21595 -160276 -42083 112804 686 -88017 84165 -108701 -129875 -16776 73858 -164518 40066 -82066 -20306 53959 -72340 -11709 -30078 4198 -63153 -97890 -117075 113609 -58678 
+-86298 -56866 -32335 32877 -146402 20078 -112440 39577 -25325 33243 55312 -50921 57913 173711 84477 -136341 59450 14099 28253 31232 -205728 87932 -48345 -73279 28499 -21828 43269 35350 115381 9244 73985 72739 -55597 -147912 85194 -107542 -78687 26652 6543 -15260 5346 -104829 150023 164426 -29893 -794 223 47607 -142910 53231 124469 -50484 162477 -62490 -10825 -121928 -197163 8783 83767 -44642 17027 43399 -63252 60959 16865 1259 -21145 24718 -125976 162199 -21710 -141690 207660 158105 72178 15765 47890 70858 81323 88525 -183671 50373 145865 -48877 -2789 -103193 -21219 -109709 -52085 24530 -43955 82629 151031 -135813 -67334 34253 -109425 -74397 -116499 -245916 16399 -153015 59154 122173 -17248 196355 29510 -69039 38896 169903 33917 42723 -71585 195258 -11948 -110501 -39538 -158209 -11100 120371 179330 -87102 8620 36378 121820 -10405 -139318 -141021 -147720 31327 -102475 68952 145310 4284 74856 -49003 63584 66384 -9126 -13753 31922 234218 158397 -177168 78514 -61345 -43507 -171958 31038 -72164 1915 -100890 43874 -93845 106133 -62521 -1795 -101986 89028 26644 15859 -18209 -10505 -126799 -102593 -72781 36331 16270 -65991 78182 -82593 9658 87930 64527 -47633 -48598 -64346 -211401 85044 -171465 -16864 26327 102141 -146283 -149257 49510 -139780 22512 62343 -15040 167476 -7250 50808 -2942 49505 106731 -121035 69706 41181 229839 233323 -68682 10169 137111 -10967 26236 218749 31208 -10387 -71882 122389 142973 70371 -21177 17043 -90364 8183 16453 35313 53385 -9673 -58154 85631 -111262 -45265 52529 -92762 -23220 -12913 87667 -169658 30755 -84046 -34599 -406 -101756 -47741 -20238 33277 -27885 -72150 -63422 41283 -63171 
+-49548 -6153 -4194 -41598 -96526 20882 59723 83146 119450 50708 18827 -61635 57617 157861 109182 -198363 105843 -26425 15331 25736 -208416 68164 -60067 -114698 23371 13681 -64606 8116 95807 -79300 97609 103119 -62026 -159110 64554 99059 -79786 -4556 -55003 16305 33180 -127127 70683 118105 -110460 21109 -119591 48290 -80354 71236 129426 -71469 74978 -49471 -96094 -59274 -115769 2298 78582 -23162 29520 51786 87054 25058 27065 9499 -6963 -145669 -129648 187858 -223 -130164 219837 206069 77199 -168264 70997 -6523 51501 27482 -134396 28533 173352 -40418 24190 57697 62250 -89254 -22124 4991 -6343 80214 134526 -121922 25001 23189 -112759 30626 -112516 -165824 14457 -92095 49688 45534 27425 222802 11279 -160664 -147054 211851 3407 51136 -206318 205141 -26893 -110898 -47185 -105864 106575 -24234 93256 -148265 -12675 72533 124171 26105 -115141 -106615 -118809 103108 -56164 59198 132458 5343 39083 -36168 191785 38235 -9599 27786 34608 181447 113785 -196490 89416 2963 100609 -176892 -87323 -116188 69417 -113151 51488 -249668 111618 -32563 51964 -82911 110358 -3005 49255 -46859 62966 -13730 -91363 27406 95188 -22385 -128932 107211 -126298 -68460 39616 -55083 -113966 -36278 -69939 -204273 95067 -206352 -31221 5278 207130 12649 -155088 -5592 -107359 104399 81990 571 -14623 -9667 63137 44648 83315 102251 -123198 43355 72871 213415 262296 -118747 56075 116157 -25368 16258 180447 48002 17611 -37812 141621 167879 39382 -26978 -23771 -81917 44513 -26762 11953 136930 126100 -85334 -1220 -115681 -50892 130240 -6508 129016 -8778 98880 -92820 34899 -48212 -66444 -83815 -122244 -3251 6719 53455 -37037 -84430 25230 -61186 -75690 
+-61781 -50820 -38012 -36421 -8476 3978 114106 108428 26017 9432 -9019 -58471 54978 77794 77830 -217590 125981 -81695 -9373 12749 -187787 13132 -48088 -99015 22027 30274 -97781 41965 25896 -96433 129307 48136 -33060 -173009 88800 142372 -65353 8630 -52773 -21010 -77947 -128615 -11499 55799 -119371 35531 -192969 21748 6077 124167 149679 57673 -34953 -57576 -154134 11696 31763 31949 73039 22523 40666 -4520 142421 14525 46512 2610 119023 -232379 -121216 87004 14213 -85745 122034 143633 87258 -205692 80467 -68586 4628 -48944 -101382 43927 113455 -21630 22783 73991 106581 -82326 564 -10985 11023 77818 142767 -72047 83707 25466 -80721 149657 -111740 -29363 -14556 -57588 46072 -23243 67310 217636 -6207 -137082 -248678 164301 -15528 61766 -80904 192633 -2132 -98076 -35941 -52196 125096 -65213 14942 -172179 4387 130686 20974 -7579 -91500 -76833 -74419 129987 -4556 71429 109417 -12542 77121 -41916 251194 -16739 5355 42983 36874 81774 92108 -133606 85753 58378 67177 -14477 -73148 -136959 42081 -47304 81611 -180100 116158 -6275 100737 -69218 59698 -22342 53708 -38664 153966 4946 -98940 88720 139165 19598 -166622 138650 -160255 -100209 -96898 -142745 -107237 -13058 -70798 -168111 96144 -140318 -13925 -3085 185103 133228 -147462 -27855 -76442 138096 58454 25395 -79180 -22085 91352 5060 133053 102755 -79160 69387 43729 175035 252926 -139560 59652 47087 58920 37356 177779 -65757 57342 25880 158120 131928 -37093 -33703 -63450 -62806 41120 -48439 20861 197989 93943 -123638 -61534 -15490 -37469 121573 26146 235899 -215 106504 -18900 35538 -43994 -45631 -162087 -111376 50358 64483 53644 -38612 -62099 91966 -105342 -55745 
+-105720 -117729 -10654 60128 33966 -9481 -2322 139224 -117293 16638 -1465 -54290 50017 -31319 89462 -233122 97442 -131121 -15511 40181 -163941 -16947 -27752 -89017 24183 44909 -63576 26243 -22842 -39497 95997 -5426 -18636 -191437 67122 179950 -53493 45962 -114176 -46077 -182485 -132659 -63031 10472 -60257 22744 -140094 29531 62277 140585 122441 195269 -98368 -61537 -204804 31315 91201 10570 62074 39899 63325 37279 80327 102818 63578 60448 174259 -115308 -106782 -65681 81269 -26063 -11360 28553 64451 -16719 79879 -98021 -23656 -112274 -63486 4397 15091 -82 24125 -92342 64419 -68830 19290 18057 26847 76207 131458 -32558 52051 15341 -31001 188531 -70429 81734 -32574 -50558 32264 -19069 93660 204162 -23479 -30954 -159679 84450 -62008 70455 95474 154382 -32593 -84530 -36262 -15349 26235 54207 -33454 -219316 895 146415 -81025 -23538 -62661 -102235 -57446 72864 74664 50745 78092 -7933 49790 -34644 227923 -47974 -2919 109995 36691 -5903 41793 -76580 67006 117810 -121568 171601 75278 -114432 -6634 -38743 89988 10262 103701 -11865 121152 -83557 104135 66730 33760 -74031 173597 -8564 -143888 74448 143658 22975 -155151 116342 -185720 -143970 -161500 -91372 -103457 -3960 -63140 -113476 85664 -103033 27325 3985 203132 11803 -142978 19063 -8846 96499 46987 48301 14988 -7286 37757 12326 148235 98090 -13771 57183 48389 125328 251094 -112403 94195 8714 190809 37415 160964 -193505 95376 72079 -4845 41713 -91765 -32402 -95951 -52269 46456 -48657 7746 230636 -64179 -148880 -101960 142228 -39244 157919 20050 220685 446 106153 -72347 20303 -11002 5605 -71941 -81737 75688 76317 45542 -39567 -65450 -25070 -83649 -36571 
+-104680 -149685 -43035 171477 -25672 -48328 -152183 118518 -244264 7350 21191 -39019 49402 -128533 17800 -229697 55500 -172034 33392 45424 -144533 -64907 -5637 -91700 23918 96787 78537 52199 -87445 76436 49396 -52124 -13885 -209316 68377 19887 -53909 14231 -116521 -32623 -182103 -145511 -71138 -5326 531 222 -6238 -9424 118691 145322 86946 155500 -141071 -32543 -246818 2105 54548 -20039 60903 19207 56135 36359 -62134 140208 73352 70220 84455 57166 -111492 -2285 121183 22561 -118930 -24492 56005 135023 106571 -81955 -67230 -162486 -30524 -51754 -65136 11521 47025 -250725 -59766 -21743 12228 19456 4769 72807 126937 34998 -12149 15662 -27032 116750 -27517 120804 -36687 -90080 24285 63686 98310 188241 -39552 89967 27971 4310 -76817 101376 130457 115562 -13742 -112665 -44274 13610 -80769 209684 -90943 -235897 5975 210717 -48444 -31223 -43365 -116682 -87469 -38020 125918 63176 39846 -43363 28046 -34033 140054 -47371 -20546 120051 34457 -49405 5415 5995 40899 167727 -222662 88543 167136 -95584 -72598 -19354 74172 98092 110343 -48550 103585 -75836 101029 154984 -11400 -87093 104785 -81127 -129899 3749 102361 29667 -100017 86290 -216550 -138176 -148260 15773 -98710 -1066 -63453 -14482 91834 -71114 28125 21135 79576 -150573 -137783 16848 19217 -11713 58035 63295 179146 3978 15582 9948 98950 107804 36963 48766 48130 68066 218464 -92254 88566 -64159 237427 119256 98605 -141736 95786 125470 -123977 -103006 -125148 -45507 -116210 -61413 47599 -23524 2213 209782 -186611 -162017 -112437 168768 -12872 114814 -72812 111813 423 99265 -171910 -8943 25027 -67326 34732 -53992 -2976 118103 40175 -45360 -69772 -147504 30708 -18148 
+-95769 -86313 -56614 158331 -158372 -15724 -127331 153918 -184472 18178 41476 -34268 46326 -188800 -70070 -205731 25528 -201053 47152 43506 -117167 -66446 57424 -92046 19303 97453 105828 28191 -109897 173400 -15228 -4912 -64992 -213661 40509 -109167 -49747 -7970 -112850 -72300 -66001 -101917 -39433 28155 20684 -1197 52514 -41388 125995 141522 57256 70036 -164035 -55719 -228491 -64628 -53958 -33081 51376 -21441 38762 70931 -175971 133069 68462 15852 9505 56918 -88680 180102 150664 54578 -156552 30519 47193 55354 109985 -21331 -64254 -165586 14262 -65273 -74062 18961 83965 -201988 -120793 -29893 -747 -16856 -41913 72086 133789 69580 -100502 -17095 -2450 -9360 -4408 72126 -535 -133514 37904 130681 83264 139348 -54639 121454 122893 -43861 -100735 95613 -81621 64773 -8435 -121914 -37601 20263 -20571 231456 -86024 -213207 -4476 255477 101109 -56057 -22087 -87024 -140167 -110335 111993 34603 -2196 -64566 44617 -46491 8779 -24596 -9578 166182 31773 -35166 -52201 23835 17427 205332 -115089 -111428 220275 -104446 -134668 -12897 68051 75277 106120 -94090 73525 -74475 43539 205084 -57045 -113856 12344 -158297 -154684 -99945 39837 59942 -25217 47615 -224845 -115180 -65135 50488 -140969 14164 -67731 50713 86417 -1116 -14942 11 10555 -197834 -143587 -15340 -2401 -90582 50398 67287 179278 5012 -70687 38879 49908 83472 9145 20610 9620 12192 153030 -107334 103899 -159180 139151 100656 57367 -17658 116654 115460 -180669 -143664 -110996 -52423 -121810 -84335 68137 26991 29104 171276 -159832 -146700 -123710 108595 -16629 99556 -97689 -59119 -429 86809 -203815 -4876 59951 -42429 6075 -56944 -52199 98059 21133 -31068 -98701 -73312 101036 -9942 
+-78444 -3055 -9753 27544 -184079 -12914 48887 142231 -18757 55070 26511 -37282 49543 -167709 -142294 -181417 -23259 -213143 67144 20849 -72744 -107575 43936 -111889 19252 103082 62515 4293 -116046 261515 -97624 62800 -42385 -218306 64405 -89364 -63023 -767 -36536 -8063 28040 -51340 43300 88979 -42098 20428 -54061 -16490 77338 130109 17235 -72734 -132886 -57841 -214464 -116116 -144045 -19240 54677 -51189 23542 40673 -168135 66645 53270 -7580 -31483 -106260 -88320 209940 157354 39862 -75335 152448 75761 -148887 111581 45563 -17208 -165703 41637 -92187 9708 10744 17510 -34386 -154331 -25357 -36976 31994 -39274 73580 120018 93899 -135851 -7435 20967 -89964 -32120 -52044 -8346 -151298 47122 120779 50337 103847 -68244 41102 32576 -5732 -171897 88835 -203077 -2926 -6242 -82526 -48198 2929 112303 148202 -51611 -236672 41686 249489 151789 -37288 -4708 -73038 -135899 -143719 92983 48210 -44988 -94136 57185 -39446 -44020 7669 2632 131767 30896 43308 -44094 9614 2679 220924 69144 -219615 119580 -49136 -210560 17787 59560 -83048 105181 -87792 32386 -67905 61227 153430 -90537 -112660 -5633 -225578 -145239 -77 -45201 72745 52180 27913 -199010 -38647 34768 -6382 -134669 14349 -61469 86493 67162 2745 -16401 -15083 -63453 -78114 -122993 22047 -36409 -39050 48717 61337 83872 4844 -101148 47936 6857 95264 -62645 -5145 44999 -24475 115460 -27441 90082 -197227 17845 155063 37910 60185 122271 64167 -155533 -193923 -66434 -41307 -111424 -90216 34147 85931 -2225 94545 -13746 -109093 -92636 58603 7008 51265 -65652 -106530 -2346 74607 -93133 1342 80677 -64882 -29435 -87408 -11944 68244 669 -28527 -119705 35450 90968 13149 
+-89336 -21260 -14509 -72848 -91579 -20979 126734 106474 101196 51291 8955 -55533 51192 -93806 -195134 -115277 -23360 -234374 65667 17301 -11968 -145586 35932 -60850 25189 102079 -65235 9723 -112967 243914 -173121 83582 -20609 -208193 80805 27938 -77445 -6063 12360 -22552 -12140 -44788 118311 148576 -112289 35467 -178474 -17513 54407 122322 -33129 -62492 -40671 -21832 -160289 -99718 -207073 -39296 42203 -30321 9562 26742 -45632 8808 35096 -6770 73500 -230580 -76678 84817 102609 1289 73067 211609 71761 -185684 107155 97352 -6600 -136050 65817 -132436 112029 -11557 14439 103124 -67740 -45547 -47837 -5598 -20143 74745 108406 92041 -93816 -8261 28140 -61697 -69349 -172893 7494 -114711 41917 54057 7562 65814 -80434 -82829 -155496 73532 -172780 107072 -98320 -56287 -21340 -109402 -42586 -37186 142603 -13177 -5047 -200086 53504 258959 52632 -63599 6677 -80511 -73717 -78578 17940 61343 -85663 -93510 51247 -30572 -60599 47639 -9669 145776 32526 132426 -46235 -88632 4918 211408 107784 -33459 -37078 -43587 -184335 30886 32931 -204269 92887 -81038 -35448 -64468 62569 59160 -98209 -137041 60109 -144521 -111469 102933 -130228 104266 120655 44869 -206499 18464 123031 -96310 -152285 -13012 -74226 105887 50674 20924 19383 -29405 -70190 68005 -122214 -27116 -85697 10573 36065 37922 -56662 -13588 -91759 28531 9383 91703 -126801 -38344 27375 -32904 105037 -7545 73590 -220216 -45019 133527 -37776 -6383 124987 9172 -72529 -148065 -2106 -13119 -86112 -82777 17856 151439 19404 18259 110972 -72726 -29294 -95980 -2234 51221 12094 -47171 -2761 64330 -56622 -17019 118092 -44591 -122884 -116651 62222 30585 -19454 -35779 -116800 59472 -11012 14185 
+-66252 -122183 5269 -47603 -24865 -8065 16472 100212 86253 23250 -12728 -68152 54687 15634 -220436 -68047 1897 -208514 55607 21396 13107 -172900 2739 -105683 20456 82126 -108250 -12120 -117798 179454 -236557 51669 -7627 -206433 82285 159761 -92057 -8862 40071 23661 -134074 -36747 185693 191624 -105785 23375 -172266 -42147 -50960 112080 -43856 69569 50732 -62002 -105814 -54554 -151284 -25032 36692 8929 16298 50991 94067 23329 19506 36816 148488 -145030 -74118 -49442 86933 -56804 202856 145491 73586 -35965 106715 123841 64975 -70201 74466 -105812 174454 -29472 31860 11771 11385 -71038 -17772 -3854 53532 77621 103773 56535 -11610 259 6819 53120 -83028 -257506 2329 -70452 47478 -14569 -34311 9582 -91030 -164994 -249007 157814 -173132 68769 61913 -98140 -20302 -95609 -40272 -81073 25244 -49534 87777 -145260 24722 283817 -78994 -41857 15323 -55419 -44053 -2446 -50994 67522 -121300 -120723 101725 -38831 40743 54403 3703 100891 35291 206190 -36109 -117845 19374 183163 -49037 148595 -81202 -21292 -117279 -10245 13445 -170147 90336 -97852 -67987 -93243 28914 -12292 -65873 -162528 146077 -59836 -117556 91971 -200050 109456 148451 90499 -158322 54462 96939 -130170 -147741 -25970 -59360 50955 31010 11624 11415 -491 -30114 96338 -132179 -4433 -129380 121775 25823 11998 -28955 7361 -63349 87899 55203 87504 -157009 -57479 26737 -21973 43918 -10126 53116 -168833 36057 159141 -74870 -143414 109106 -43080 37575 -72524 55565 -19444 -50418 -64570 7013 202664 24211 -54013 101352 -38243 5641 -105085 15688 77462 63646 112816 -14000 61140 -15072 -9714 141487 -57075 -115864 -122183 43234 -2217 -43571 -38203 -51949 22549 -87680 6092 
+-80746 -162387 -44457 88628 11841 12284 -151824 66935 -112139 24792 -1269 -60396 58067 119158 -277680 -11904 55094 -181690 35143 39532 46805 -183988 -25005 -96168 19192 60932 -14186 -11121 -76061 70239 -221453 -36386 -10870 -191649 96539 182698 -87980 -28007 81746 -21252 -196118 -69720 179536 194195 -44410 708 -41889 -34696 -121565 107350 -95743 189254 135224 -37939 -47991 -3126 -34665 -26327 40848 37318 31022 26262 150051 108179 17010 51982 146095 27848 -68827 -23413 54235 -116511 241736 29150 78370 143684 66951 118500 51887 -19578 73072 -33983 155370 -50313 42588 -172731 91591 -30715 -3 -10482 49594 77791 96923 31132 63693 -11672 17732 164039 -104711 -256938 -26296 -39287 26861 -16716 -64999 -24278 -99769 -125901 -153131 217545 -158082 83211 98454 -123228 -22829 -118926 -36366 -142410 -59502 60376 155327 -102227 11093 259581 -51118 -13208 18419 -78609 -79356 83358 -77323 60483 -149469 -135818 53452 -18117 128153 26824 -8035 74539 37049 236949 -24765 -185509 44063 131567 -208142 137785 -55804 -58734 -48998 16413 -15472 631 96977 -44249 -50570 -94741 42586 -24952 -34148 -190705 169390 2463 -93128 9860 -242336 100729 132092 119607 -115490 102557 16206 -77965 -138802 -34332 -67223 -29451 4408 -71973 -542 1826 35318 -73275 -117043 7085 -117187 138717 33368 -11044 93368 4582 -54740 59924 107902 76395 -87257 -23656 75728 -14535 -15773 43104 16633 -111483 162493 109959 -90457 -166028 113878 -67146 116720 60198 87802 -23278 -9808 -54019 12969 236900 -810 -85483 -62786 -27868 58775 -21148 17436 123755 -8274 248788 -17235 66564 -121623 14395 154763 -92280 -24940 -92427 5516 -40598 -27933 -25554 -34969 -112412 -102189 7927 
+-82728 -113079 -19612 168498 -90316 16257 -136344 53983 -257065 26315 12059 -55889 57738 169923 -319146 28407 106327 -146239 32284 74047 69449 -168552 -64482 -101226 14552 38460 67329 8634 -42040 -35249 -201879 -72282 -19623 -178857 62568 74316 -92882 -26440 57325 -18823 -142345 -120493 138014 137800 5673 -1554 23709 -31451 -214655 88023 -57148 209468 195874 -67626 1191 25137 65287 -36444 38964 23609 44708 39828 63079 149816 25708 64733 31427 77828 -69874 153622 29355 -141164 179535 -30662 60789 87865 84659 53197 115515 54272 67011 22055 51190 -45370 56409 -247052 66461 -30284 13029 -23139 7409 83202 93088 2569 69350 -17420 4517 183307 -121245 -178161 -8525 -65261 51126 59508 -74457 -59288 -107040 -14164 35538 185568 -130409 58115 -121946 -127621 -21252 -95297 -36848 -174789 -14919 179845 242552 -71524 -13191 260496 87029 -11877 13549 -54891 -120972 131956 -75868 63023 -168837 -134591 67736 -38641 237259 -34968 -5297 38266 36333 190594 48382 -161285 70439 63353 -175621 -51907 90516 -105424 32809 21642 -25487 103146 83409 -40837 -62967 -79719 33631 34093 14594 -184707 111690 9227 -73396 -62043 -252184 98836 79226 131069 -75312 108493 -117670 33612 -143392 -49302 -69132 -120049 -1201 -132733 24621 -23655 149058 -172353 -109527 39837 -56060 33412 11268 -21543 210851 -2413 -14103 106636 140656 76991 -17366 -71777 85285 29680 -56533 33586 9152 -66778 239928 90068 -128874 -71487 87685 -31134 175425 150837 64969 -24249 29909 -64272 21222 250372 31234 -100225 -193993 -46706 98876 89190 59317 136397 -81237 246402 -24387 77452 -171780 -24402 162247 -64171 26684 -64255 -34590 -122456 -21506 -23898 -77583 -108725 -32409 53452 
+-89654 -32524 -12566 144149 -137403 63465 35244 8654 -220579 14196 24642 -43151 51264 167771 -256075 72186 126557 -94872 -3624 36413 97404 -167254 -49786 -90741 11725 16597 129576 5852 42889 -96867 -147002 -13771 -75902 -152988 58448 -91720 -94900 -20711 37960 -45162 -15302 -140491 48308 95259 -16472 19731 -3262 4957 -207451 65000 -31605 14553 210479 -64219 61229 2523 81424 -25995 37756 -16532 59285 65950 -80327 125778 44529 -18488 -44629 -69049 -67151 229776 -2457 -127894 20347 36045 45621 -113929 73611 -5506 126065 117749 39877 29245 -45584 -30215 69868 -133161 10608 -45802 14181 -26457 -54692 83737 89398 -45807 23182 -25617 -27070 95905 -103262 -53235 9082 -109525 66873 126413 -64225 -77782 -112305 100870 123963 116657 -143426 58260 -192995 -104971 -16007 -142112 -25373 -202714 104720 243252 255776 -419 1398 229325 151590 15956 3816 -95306 -155680 94318 -32764 62869 -177436 -169080 63919 -47359 246563 -57509 -10542 39069 33713 111147 122242 -78083 86534 10632 11346 -208050 165868 -117825 64591 10365 -34157 67414 79914 -26090 -20696 -82742 53960 123955 46542 -186209 20524 -60490 -70129 -39342 -224637 95567 1635 121990 -44615 58630 -175517 40264 -156895 -46678 -66478 -180510 -19931 -170651 29454 -21036 193639 -135236 -84861 6271 -7203 -27446 6502 -28178 105516 -12264 25850 91613 134146 60201 15925 -64619 49952 84103 -62926 5974 -37703 40161 170241 34407 -134305 38718 55524 47984 80651 178334 14229 -33223 62574 -83753 25611 235702 27477 -65003 -161520 -76739 98083 185958 78566 117008 -117077 141764 -18301 90146 -135126 -27254 175782 -84748 -36063 -40504 -26348 -149419 -45165 -35676 -101824 1675 69981 52240 
+-90518 -2196 -5943 18736 -178281 56340 128283 -6138 -19125 57216 23927 -39638 47714 82733 -214925 100220 123112 -51269 -5718 32686 93011 -162304 -46103 -61478 12236 -8224 54388 185 85980 -65264 -84783 37690 -13111 -133701 46671 -103025 -83999 -47120 305 -24618 26226 -150408 -50793 29594 -51752 35428 -148497 19540 -216598 26135 22597 -85702 210623 -47751 46572 -72692 3717 -28328 37908 -46089 60797 20373 -174897 65376 63829 -6878 40430 -219545 -81673 81552 17392 -84291 -87224 151294 68296 -195004 62031 -68339 96626 166238 8240 2531 -78630 -12858 93897 43287 -73475 -72279 -1202 -6993 -48375 83638 81313 -88372 -72725 -13517 -74878 -29366 -77205 73572 19748 -161026 82053 131441 -34234 -97208 -115371 115549 23489 12607 -112459 42373 -87358 -75780 -5502 -94939 -28029 -192796 134341 160579 265173 8001 20773 179022 78105 10599 -15745 -69357 -93963 3673 19291 54006 -174226 -175719 58633 -36245 171189 -46314 -15939 50316 31347 18907 129577 5613 88264 -41957 117917 -129388 180957 -127537 53863 13513 -35632 -103830 81564 -6891 34831 -59727 38450 171624 53636 -224544 -8399 -160333 -28618 89736 -157410 130346 -82207 70824 16068 -20383 -137966 -28295 -128089 -46709 -68015 -210495 -50017 -193233 1670 -16845 209992 5954 -85175 -6509 21677 -80296 20887 -14927 -15194 -12091 79179 127021 92177 61405 -12548 -94172 83680 151170 -107077 -12388 -79660 83496 42920 21961 -109944 20935 26339 98809 -49964 142763 -47328 -86667 83170 -91494 -2408 197362 34497 -8796 -10809 -117902 165781 159836 95586 116796 -45392 -36705 -41500 102573 -83481 -8143 191535 -21576 -52427 -66062 44473 -216330 43434 -9935 -70095 100971 117351 60533 
+-95769 -105965 -73887 -46084 -82134 64942 18865 -25965 107717 38926 -5107 -45576 44922 -18195 -201466 99942 72333 -5977 -25349 10223 96056 -152233 -1933 -116407 14156 -33077 -48470 -16574 105809 9949 5419 117172 16284 -112479 94092 -25969 -68187 -61680 -62351 -46395 -78478 -106953 -82175 -3678 -99452 24035 -189253 10371 -207801 27436 51794 -30834 132780 -50452 22792 -114974 -129275 -8824 37979 -38693 38926 32362 -151913 8723 71552 23655 151460 -181955 -85521 -55919 8740 -27572 -141138 209507 80761 -126176 63575 -117057 88780 177710 -28341 -667 -30161 7710 46360 84404 -123227 -59951 -19071 21699 -4343 80961 69211 -139417 -125616 -23734 -113242 -93579 -41629 118704 13481 -143330 82198 53076 6694 -87003 -116515 29562 -163275 -23370 -64351 64343 107305 -21163 -27605 -77402 -22219 -163463 32022 10181 231208 57520 2935 123599 -45667 -8199 -33538 -89450 -30213 -104621 104999 54397 -160462 -166699 31754 -72128 65283 -14085 14603 53218 31084 -46873 220351 38324 75525 -87280 31017 123576 86884 -130540 10001 5562 -30886 -237616 83803 -45448 76360 -84570 96357 170032 34479 -228272 51222 -211313 -39811 111933 -70944 136279 -141976 41981 35441 -81048 -64750 -124266 -111696 -947 -67370 -206469 -59762 -161356 21133 2737 133317 114947 -71166 -43908 -26496 -24145 -7082 118 -69976 -6897 90702 146882 28048 46644 -29589 -98266 35952 185918 -85462 -61431 -74702 119587 -44680 25898 -84936 -77464 -26158 120308 -176777 46803 -97686 -61480 89155 -82130 36778 143641 31553 69010 121491 -144492 125991 80979 107690 68437 32605 -116214 -48006 107099 299 -6062 141125 -95791 -113420 -107295 78885 -231328 26700 -57760 -76220 24218 54929 63346 
+-71019 -165678 -25905 -39388 -19787 54984 -148834 -4813 68594 30538 -12945 -56550 47971 -130258 -127754 83475 10774 44968 48174 32142 80369 -137241 27397 -92066 6213 -61163 -80096 -50102 153620 126906 75196 79203 -20479 -80365 85526 88329 -63943 -67127 -105806 -38087 -178512 -98241 -56461 15481 -98588 1165 -122096 34387 -159925 1237 80473 91531 36408 -22579 -17571 -108895 -206646 -45242 48449 -3904 27270 30317 -29233 10322 70894 56936 159287 1836 -98563 -40498 56583 19703 -102956 146252 74515 75967 79386 -81800 64237 179301 -61783 -21049 81701 22548 37182 -64309 -124549 -93348 -17369 -12156 23843 82089 57132 -146738 -126515 -22033 -88184 -46233 -15460 67354 -15735 -100232 65939 -20636 50134 -80147 -116117 -99079 -248128 -24573 -31003 81860 95465 43334 -22983 -159072 -26214 -118674 -79411 -40293 171588 48365 26036 91935 -58400 -33639 -54705 -82994 -66119 -143033 129943 54415 -136289 -194359 32819 -38589 -34619 43544 5036 92181 33141 -37863 221806 30211 52690 -117242 -159737 181366 -82153 -96907 -65690 -2334 -12157 -164061 83892 -70467 110170 -73575 111531 88304 -11505 -243835 143227 -213432 -53295 61507 12647 89980 -165658 32900 65093 -120534 81969 -98866 -102606 -7445 -70578 -143425 -63449 -138371 5918 -14143 27359 11626 -71003 6520 -66378 91948 -4457 28385 65146 -11509 54693 106559 25722 46870 -141684 -141987 81620 222600 -69102 -77315 -103216 138697 25104 35533 -46196 -180678 -35436 123497 -211564 -70406 -123318 -32789 78592 -62111 48522 79138 12889 139495 105343 -162687 81862 -50338 89843 59555 21726 -63219 -46703 105320 -44028 11363 161713 -68699 -48289 -134845 29251 -254442 49304 -35158 -64206 -139699 -53762 76365 
+-84095 -132162 -6277 69514 25685 65749 -145229 -8970 -137227 -659 1903 -61555 49249 -182414 -28996 48055 -15201 69348 47514 49993 49799 -107481 57323 -56342 10910 -74717 -24351 -23057 142692 215002 109055 -30912 -50012 -60356 78529 184592 -50640 -50401 -93633 -12438 -183154 -68451 -8749 50137 -47269 -1906 24088 35621 -38076 -25316 129568 198579 -41939 -68125 -98734 -70233 -190988 -41222 47570 29021 7993 28013 122937 89712 55469 56076 69933 77467 -100036 152014 99947 48128 14142 21360 80025 119890 111485 -32442 21571 131753 -108249 -83634 166156 19176 38425 -225496 -52660 -115770 -7838 -12215 27125 80085 55748 -180663 -34838 -38480 -90965 75530 -41682 -29053 -4958 -64642 85864 -18611 82319 -55706 -113252 -159013 -145070 48891 -6803 69669 -80422 98791 -10226 -91470 -21882 -67274 -29188 12858 111722 91954 6675 52355 33322 -67097 -83722 -109248 -105984 -131519 144401 54791 -103933 -174060 60010 -79328 -19105 60070 -16508 147344 35906 18483 190661 -98406 26491 -118121 -214476 -33992 -90023 -71377 -143046 -4457 3843 30389 81070 -70689 86393 -68098 86662 21928 -52850 -244953 175812 -92524 -18126 -48802 81296 87197 -141342 48149 66743 -151484 122960 -23113 -107380 3957 -70911 -80270 -68771 -75736 -7612 21603 -38602 -163958 -52708 21375 -115041 136403 -3457 49022 177116 -4071 47687 65532 12774 45604 -139476 -164813 98293 232587 -62591 -104852 -88758 131975 132590 46078 -10495 -140472 -69296 68411 -131691 -117082 -90515 -37850 54282 -55018 7856 22386 29644 192774 -46700 -156225 39549 -110404 112971 67328 -42856 96771 -49619 97047 -159832 393 108897 -108939 35585 -120689 -44522 -285749 33093 -69983 3909 -100717 -116428 62525 
+-97577 -51857 -4647 174802 -69014 40488 19957 738 -274491 68852 38078 -59762 54873 -165945 65136 1360 -24746 64709 59042 72089 24338 -84511 43066 -120560 7087 -84158 75781 -26213 148858 256903 115302 -53193 -55126 -37247 61327 98281 -36909 -96758 -106890 -23655 -75369 -47422 75699 108259 35707 19010 40266 27790 45788 -45312 163999 122957 -120399 -28284 -144060 -4088 -96403 -33172 53591 33693 20305 38254 151556 143179 35471 18666 -21505 -36070 -111020 216942 116495 43079 154310 -32572 47765 -89993 145666 48803 -2909 62057 -137514 -93044 168618 -9 27501 -220732 43710 -115236 -1836 -12425 23979 75162 49040 -193016 37669 -54257 -82303 175525 -40987 -161957 -16628 -39831 78328 49342 100033 -14532 -108304 -121903 42937 140202 34014 71145 -240453 148344 -15272 -78148 -15518 -14818 108575 167009 42127 89750 35305 -691 175924 -61988 -109945 -109972 -138924 -53846 77922 51555 -65571 -153711 57957 -66071 7430 32237 -13703 167697 37047 112941 166632 -142450 7394 -91839 -60550 -193481 -8633 -51299 -177310 -19382 31255 141388 81529 -56649 78055 -80100 93648 -11434 -89086 -258945 119302 -4354 -18088 -44734 125994 42451 -92489 91199 52144 -126325 45288 31556 -70279 -4613 -63691 6542 -82384 -13017 -35639 -1147 -106925 -209133 -38838 31732 -134974 114761 -2197 60546 178137 -15400 -29358 122327 61884 33532 -110065 -165774 71463 222653 -9640 -104658 -87970 109733 231471 45660 9251 -7045 -107747 4224 -18905 -182892 -55958 -33154 19142 -62234 26656 -25333 6363 224432 -192355 -124226 -49553 -48871 77503 75398 -87121 226043 -50753 83873 -182699 3259 110610 -83145 44743 -86213 -47156 -286124 58976 -60867 -80067 19355 -74130 80306 
+-91817 -24423 -21698 164939 -188694 33206 142569 11678 -212524 176 30130 -45721 57030 -103124 73603 -38402 -10674 69035 32290 52410 -14709 -29080 12553 -82059 8202 -77923 79536 -47901 149292 237388 83756 -17134 -42907 -10682 69638 -23687 -45405 -106762 -74351 -9168 28942 -59436 138944 163118 24498 35318 -74429 4335 84977 -42664 134973 -55591 -166952 -49307 -190565 33253 21728 -22649 58579 -4946 30333 10820 52446 123086 18991 -19515 -9277 -201106 -111275 118433 140614 -1780 236537 36616 69801 -194426 106005 103450 -29302 -9287 -174142 -60436 95316 -15004 81484 -53432 91662 -146824 14712 -13391 -13932 73347 46544 -181728 77487 -51510 -68007 174841 -107293 -235138 26240 -83574 66119 124056 93057 35164 -102073 4540 123673 187781 41039 74888 -73852 184191 -20751 -46509 -20771 13315 144123 231265 -48532 91689 9402 -62121 112394 -41281 -129406 -140613 -125396 60816 7484 51899 -23278 -147240 30593 -67756 111513 -5401 12372 130493 35788 200192 81959 -162616 2137 -47321 98967 -146598 103368 -22843 -188834 -29609 47760 53446 89723 -48784 36820 -50389 67725 19914 -96598 -231629 28779 8605 -23168 44396 140268 94724 -5054 122722 20119 -101014 -35876 36475 -23216 -15253 -72656 56388 -79909 13786 -15169 8880 -74839 -79164 -41230 36161 -96914 48121 -9557 65823 46294 -15608 -59226 144053 115938 24152 -51704 -155605 100521 193052 15458 -122561 -78162 7012 198780 112954 86883 52662 -103817 -43886 83287 -143962 22834 -33706 -21874 -81411 21472 -53865 67901 207170 -164881 -92722 -61344 29089 101425 119632 -79552 238395 -54085 71382 -115238 25996 74098 -98641 -58364 -45594 23790 -297634 30935 -23672 -130879 102909 13410 53998 
+-72566 -63616 -13691 23915 -167859 46616 33419 62276 -47900 52458 9253 -38220 56729 5868 142721 -97978 56699 45398 38865 22379 -34754 -34868 -12575 -138435 8800 -78298 28802 -35475 96651 139101 42281 8498 -42824 11736 57152 -80995 -64739 -123548 -54044 -40840 -5142 -23764 192553 188814 -40873 24679 -200201 6096 139648 -56658 137586 -73173 -155207 -49093 -241230 17966 83154 -46249 65463 -44898 49789 44445 -94897 63978 14863 9027 88188 -202454 -133441 -64865 116660 -63418 188578 153196 49301 -144448 110904 139712 -36808 -69982 -209134 -80026 -19658 -35271 68386 76555 67843 -144801 10301 -23015 -48038 73116 38343 -124257 45571 -62607 -31464 74412 -109108 -259535 -37660 -124411 69065 136177 67602 85110 -93501 109636 14202 205422 112609 87801 53903 206857 -9540 -109971 -21572 25304 33826 178758 -64511 92355 8325 -83235 -52032 -46474 -153366 -94830 -51934 111001 -56395 53181 19345 -127951 51688 -57504 227798 -46634 -13737 138538 32979 233673 61426 -158676 12212 11226 88973 53917 172786 -63237 -130094 -84841 78841 -79588 100495 -53451 -7525 -79494 14462 121487 -73387 -249733 -10935 -37548 4636 105725 121755 78131 68187 131024 16181 -25698 -141485 -60274 -61693 -39564 -73739 80557 -68378 21826 8599 -18012 -8551 75666 -21431 -36893 -63354 -59251 7537 52737 -58271 -2340 -87396 91162 138975 17108 30125 -158105 103667 147251 69437 -131969 -76436 -79941 77227 121875 141597 983 -143578 -57127 134000 -65425 65230 -56321 -61634 -90230 39197 -50467 13773 162515 -18583 -58301 -83861 177770 129842 136917 -36542 149061 -45369 63322 -20791 17364 59582 -102240 -160550 -49568 61659 -248125 23851 -39860 -106637 22426 97342 82632 
+-79639 -139744 -7187 -76584 -119572 24753 -126486 74806 102268 43156 -10284 -37311 54714 122566 83925 -153627 111632 3131 3681 16389 -71870 44382 -49383 -89643 7989 -54538 -42557 -58503 48940 18915 -53093 88982 -25386 42557 51812 -55379 -67432 -68943 20154 -20448 -140686 -78459 160301 195831 -108831 1653 -144432 58838 118184 -42922 90235 -6691 -95034 -52126 -247522 -46450 43637 -30907 68425 -45895 65551 22771 -176129 1472 25796 53385 154255 -35340 -123326 -47423 88718 -118366 79250 211149 77260 89065 83917 102915 -33753 -133899 -221325 -24144 -84066 -46624 67041 39517 -28401 -135450 -5330 -5237 -23459 73107 35274 -90360 -42696 -52766 5028 -47214 -106130 -184263 -106 -138088 59835 57369 28218 116715 -83230 109174 -171881 125863 71314 83032 83911 202900 -22001 -41665 -15261 -2967 -71654 17091 -94842 67210 -2268 -75366 -85980 -27108 -167750 -116044 -53361 112469 -98237 60787 59531 -116568 63190 -55453 249274 -60135 -21893 148763 31001 210525 28928 -94965 33843 73616 -94736 196295 158630 -69469 -50283 -61093 79150 -230705 95300 -33855 -54691 -88680 12603 198184 -36310 -231954 43092 -148010 -2460 80479 52909 51040 126233 112540 -36442 31242 -168658 -82643 -38072 -41453 -75833 74081 -66520 -22694 29825 -1894 58099 75107 -12575 -24868 -7096 -50720 16684 37740 -7285 -14167 -71737 112244 134851 23724 -509 -201280 69127 93774 114088 -111857 -50464 -144051 -38769 149311 151134 -132560 -110262 -27761 134855 35669 85325 -58655 -94522 -84257 27195 -24127 35561 88994 125839 -41170 -146260 190474 138109 132318 46009 -19761 -53321 62371 -44780 12071 11664 -69643 -87211 -87853 31318 -216047 24696 -56327 -52656 -101640 100934 107111 
+-82912 -158120 4945 -47944 156 18628 -148560 99260 42542 25997 -5850 -47219 50094 180795 58934 -171619 119233 -46292 -11953 28180 -122336 76004 -55669 -86851 4293 -24930 -96049 -27277 -13618 -68843 -115068 91704 -11311 53090 76729 85478 -91248 -88449 25505 -53572 -198591 -104494 97638 127522 -101412 -2242 -8433 -9043 37382 -31684 32656 155501 -20141 -44287 -211161 -113957 -73958 -31142 75349 -12489 58261 36529 -140697 22611 42134 79191 116550 80295 -114166 145881 40799 -143492 -59891 144303 84856 135294 106690 49206 -2725 -160649 -233932 9661 -58140 -42219 70520 -135797 -105808 -140343 -25078 -31046 46332 75390 31193 -50038 -123252 -52597 41627 -93605 -99509 -51437 -57438 -116785 58824 -13091 -15140 164766 -71279 15586 -246747 29510 67148 70821 -77979 177978 -323 -61222 -15737 -41188 -33157 -66216 -74558 27914 50639 -112053 36244 -12492 -181370 -109724 -73279 39050 -70204 64874 94811 -99208 62008 -73303 204288 -36700 2380 96772 31434 136155 -43317 -13030 59604 125832 -220947 62972 19799 -98347 7988 -87244 96615 -184789 99559 -1048 -76265 -64318 42671 139934 13339 -234333 137952 -201972 -34598 -35651 -22868 37042 146515 71357 -68930 91585 -138370 -71080 -40065 -29223 -73499 21936 -40463 -58910 13421 -12983 155204 -60371 -2695 22761 12774 -1798 10658 13872 113592 -10221 -69853 74937 81725 -2401 -19315 -214033 47413 32609 138417 -80861 -2632 -159454 -12027 138882 181138 -175689 -135508 58296 1040 110051 59694 -70528 -115594 -64623 45819 24776 24739 1941 95037 -40345 -67866 134398 130918 120859 31346 -120255 -55270 68573 -117722 38944 -14057 -61334 -55173 -117536 -46307 -178033 -49620 -37035 -47531 -121281 25145 79277 
+-70405 -50884 -60652 74741 -14304 -9188 3515 141865 -138044 38604 14573 -59750 48084 172712 49116 -218505 132665 -94036 4425 43273 -135729 82639 -55083 -91661 5580 -6963 -34701 -6065 -50106 -98928 -170107 21713 -14307 85253 68227 173543 -90974 -113655 65311 -53463 -139146 -132007 1761 74776 -36781 18295 42940 -5277 -18927 -36120 -14846 213179 74598 -38948 -181982 -129278 -183670 -41487 84804 29749 39728 17894 -8746 100947 63442 33650 -4919 6351 -116109 230254 -7246 -126942 -141218 24367 78553 -33090 64375 -5478 11707 -182512 -217429 30310 37773 -31406 19471 -250594 -129184 -153025 -29973 12668 26072 75910 23435 -6734 -138390 -48359 20220 -27952 -64877 49248 -9842 -71417 60617 -20286 -51904 196929 -58097 -110723 -135707 -30885 57974 71663 -213024 136496 7164 -73030 -24634 -83208 94612 -6974 -2195 -58574 23079 -104832 119211 13225 -194910 -111116 -161917 -66879 -22694 64179 122501 -79560 81716 -55422 138469 13622 -12530 50698 33844 42769 -68336 30597 81499 185969 -135843 -181056 -81475 -129849 77449 -134836 80273 -7118 96979 -69781 -63745 -70511 14406 87196 42773 -216898 172114 -217673 -1642 -83144 -111026 23868 123348 50671 -119580 102250 10402 15266 26183 2003 -68891 -49814 -33622 -112183 -27791 17768 171668 -203040 3601 10203 -53435 139521 -2999 -8547 205893 -9337 -35025 43494 40824 -3022 -67322 -175961 85591 -8277 197125 -88731 23264 -215818 105367 136996 170803 -75293 -138683 102292 -72450 159746 3512 -39963 -121760 -52805 41961 84817 15886 -53354 -51145 -62851 -54368 -11372 138686 86427 -61510 -87125 -50736 80866 -204588 28858 -48883 -83366 25928 -120931 -50021 -109631 -59590 -46609 -58732 102 -66410 45739 
+-47329 -3538 -31435 188725 -89669 -16065 127159 139590 -280390 31249 36390 -61070 46586 91318 -50494 -238132 67024 -147658 -19772 58884 -175206 88916 980 -74387 3024 22799 86167 -23025 -89432 -50775 -204475 -36056 -59825 95725 95753 162050 -90717 -107033 57257 6699 -5589 -130218 -47156 23251 20209 35222 -41602 -22859 -45944 -6727 -57540 120946 162665 -42607 -110568 -74015 -206889 5462 92513 34563 21382 28668 117269 138243 74599 -6173 -20649 -173541 -115731 94294 1602 -86776 -134509 -33916 73473 -206730 58238 -70663 40950 -156992 -205761 37779 139524 -7753 42426 -168279 -84318 -176214 -16889 5568 -11688 77149 19975 35704 -73691 -50419 47923 97311 -45318 118510 22634 -51054 59475 46027 -73311 207703 -43495 -166309 51637 -32564 39685 45408 -109051 87285 -17397 -70627 -24979 -133139 137562 164938 61786 -76775 -3116 -62554 133789 -23172 -194245 -96586 -140842 -124252 51862 58154 140616 -69289 51902 -78636 2784 54322 -18986 34580 36431 -28495 -40004 -4667 89393 211145 50719 -178176 -61959 -150883 51686 -148860 62374 128511 103828 -36162 -25787 -63988 35025 18730 54067 -214332 125705 -123365 -41448 20491 -193537 25547 72944 34080 -156508 83950 123494 29754 55426 -16987 -66076 -108443 -687 -168219 -15257 -11060 179611 -160128 -3475 10207 -90426 126663 26646 -23449 90636 -2756 -5424 74642 18789 -11126 -164188 -143909 85211 -39698 212390 -20693 55392 -177231 216098 104169 192060 40508 -117142 130678 -177834 169688 -67954 -51183 -111793 -60486 39531 144932 17753 -90584 -192978 -104818 -20873 -80785 153113 43220 -98226 44603 -45400 93585 -162833 21467 -50115 -80925 -37085 -97704 24167 -53205 -50875 -34895 -96015 62415 -113236 53572 
+-102647 -37632 -32690 145063 -183972 -3532 49837 153245 -228353 37529 12135 -58152 47152 -22407 -127255 -227807 20992 -185811 -3647 49403 -191874 138345 31066 -107575 -2535 52344 113663 -18830 -113802 54841 -215411 -46235 -28958 98569 62691 -897 -102997 -117765 -8667 6543 19946 -136169 -72776 -7469 -248 25285 -163302 -52224 -128236 35933 -58061 -60520 211523 -43743 -24252 -15672 -126543 -25866 93709 11858 9367 55241 138074 125371 69962 -15008 75036 -221434 -113376 -57760 -4087 -25332 -4754 27712 65141 -148109 26235 -106711 70973 -123858 -188958 5366 179147 8056 29398 15640 -4422 -136982 -5186 19471 -60984 78723 9648 49999 3766 -50444 -27066 183840 -38457 90337 -19544 -56418 42701 111115 -70840 217699 -27690 -109514 121967 29908 -14442 48735 77691 25305 1248 -79268 -24966 -182763 35639 228199 166837 -120445 -7209 -42664 -7330 -9288 -185107 -78750 -76903 -137419 129189 60906 147932 -63340 88859 -26002 -65161 56641 3528 18951 36985 -55891 -58188 -63984 82909 215899 109922 -10560 2675 -119696 -11239 -178275 38303 58093 103062 -109950 5452 -51067 62743 -20063 32592 -223397 30520 -23239 -61206 85850 -233169 17949 -13004 60563 -197078 41906 109283 -22442 42860 27850 -66865 -196323 15322 -159989 7327 -30684 121941 12214 6035 12087 -117841 98291 -7533 -25903 -15066 -4924 62953 7515 1959 -19318 -121748 -167931 34755 -45705 249165 -9815 79543 -145601 230650 38981 198181 30083 -54519 111252 -129115 49551 -117858 -41044 -87290 -78510 25372 198956 18626 -104501 -176874 -134772 28411 -69942 124132 39190 -63075 211199 -37336 103698 -48993 57830 -99465 -119180 -92531 -68609 66989 -20272 -38161 -30966 -106372 25697 -56784 37894 
+-116179 -141250 -12905 25777 -152436 -35681 -123666 125896 -26523 45054 -8504 -39317 53160 -118530 -187341 -212810 -30373 -210571 28212 28663 -203908 138415 52075 -88070 2641 80744 33976 -1968 -135152 161050 -188981 30667 -412 101370 61593 -127942 -93583 -119631 -51710 -14866 -52122 -111235 -58085 3851 -63771 2175 -191455 -34953 -220035 37432 -43242 -63981 228129 -52354 35758 44493 -7775 -6904 104474 -31717 12072 40663 35574 57354 57079 65568 165245 -74053 -109451 -39182 19706 24162 122630 153489 56504 48670 66241 -77235 128527 -44597 -155799 -21890 123108 33803 46666 94239 75967 -166876 37098 14181 -61337 80864 11444 89684 73150 -45210 -14226 163252 -4358 -23433 19723 -97961 35187 141038 -50832 198198 -10721 19459 6257 125725 -12087 67426 89359 -30907 -14556 -52183 -31984 -197811 -64266 179318 223997 -183189 21606 -2835 -77984 -9538 -176740 -108806 -45646 -73166 147237 54397 144311 -34411 71494 -58480 -35014 25799 -32014 51254 35163 485 -22690 -145291 62092 208646 -21535 180041 172221 -106395 -61885 -157907 19778 -73873 92919 -76251 61672 -81911 102037 -6174 -4867 -198897 -5840 6289 -80087 76468 -253705 -11049 -99477 86433 -233035 -11722 26662 -77413 114344 11041 -74724 -221877 35719 -202949 14635 -388 9276 115865 10052 -28496 -137480 23757 4461 -13999 -56347 -4100 84445 25207 69955 -17719 -23220 -126176 53482 -29951 249626 2030 84415 -92923 111190 47961 140364 -99920 -24093 50128 -70555 -19464 -122606 13438 -52452 -91513 30733 235130 37834 -56557 -13294 -152302 55296 29649 155057 92938 21332 266354 -28221 107726 2386 28475 -90070 -107347 -96171 -44011 39796 40002 -23463 -45983 -92978 -100955 51079 35373 
+-79928 -148420 -24957 -74997 -91449 -25492 -153639 123235 44953 41403 -12754 -36913 57660 -180695 -266696 -191378 -38449 -238707 60550 27249 -188850 138638 36977 -90461 1691 84233 -58633 3936 -109263 246891 -126330 26063 33069 103528 46612 -137632 -71355 -90853 -70870 -15220 -168137 -86328 11961 63360 -93908 -2526 -86640 -39552 -254148 65054 -37237 24513 181808 -38285 59246 14916 78217 -12704 105681 -45982 28075 46236 -108990 7562 36442 90806 139930 72037 -86663 129919 81685 50462 230400 210313 76294 89194 57606 -24037 115898 21184 -115833 -99731 13110 15033 54773 -27785 85234 -95679 20635 12657 16942 83841 -4197 91493 66897 -51390 -77159 51707 -53558 -151047 8150 -156098 46643 69752 -13536 186348 6938 112507 -178396 189583 -62558 40664 -58761 -83759 -19663 -46120 -29568 -190620 -28579 41209 278451 -200002 15479 26898 12620 -12628 -161716 -61497 -70814 36471 119506 49753 129419 -26550 56651 -63564 53404 -19739 10332 42568 32395 80951 66486 -182672 36152 172746 -193379 102561 163366 -91490 -137409 -187397 -6385 -208900 110030 -54596 94612 -84130 100018 77426 -52705 -190728 36008 -22593 -103889 -14545 -230000 -24639 -150970 127005 -236603 -110730 -85149 -140819 85392 -4064 -73725 -201844 56795 -149595 8456 -13979 -42334 10688 16048 -42314 -104801 -47935 13244 2617 88438 -7323 66978 12684 97640 -23290 6967 -151635 55562 7744 235844 -5016 85585 -24464 -12104 30184 162143 -164422 -9401 2473 27481 -132431 -83160 -36251 -11852 -84605 30398 248977 -7091 -11038 132059 -154750 124663 170993 103488 128030 64494 168011 -19475 104371 -77422 22044 -87457 -89845 -46831 -66041 -17043 95922 14575 -12475 -65511 -98968 95691 19554 
+-74034 -82272 4752 -37872 20528 -21930 175 87791 63103 24754 8222 -35883 56337 -174342 -256790 -151809 -6294 -221290 65445 39783 -196254 138381 31779 -104915 -4042 90036 -74796 20061 -77128 256624 -43622 94658 -55102 108286 45448 16496 -63753 -108943 -107006 -34989 -197207 -29677 105733 121115 -96324 17593 29078 -14567 -232613 93471 -42706 189736 103093 -34725 46883 -61561 68585 -14466 116275 -24293 48872 37292 -190785 29795 20734 35225 9890 29295 -82486 210285 101542 39214 205885 146946 83264 -2350 126400 69883 103329 90460 -64266 -78232 -66727 5328 62993 -205486 19812 -79715 -904 27168 50786 83611 -8478 50903 -6218 -64601 -59512 -62575 -74071 -241594 21147 -141639 40036 -7062 30826 141538 24922 106351 -247008 199120 -91346 65091 -199719 -116755 -7598 -41024 -34176 -167703 92416 -56601 273723 -245837 -3277 71035 98367 -35347 -142674 -69899 -139377 85747 20497 54906 104677 -12389 73258 -47876 159550 -36385 35954 140495 30919 178101 99484 -160331 13546 114268 -190955 -86372 133245 -48070 -201711 -187660 -31770 -159452 107309 -21665 110041 -82125 109432 180516 -84556 -178037 130098 -111157 -108565 -73256 -171113 997 -171343 126601 -225448 -139893 -162939 -70700 93054 -5335 -63887 -148017 81338 -116499 -26621 -18959 -78348 -157405 12776 18363 -38321 -45762 16666 31532 197229 2197 70015 29441 137314 -32550 10397 -139268 40159 55965 229700 20591 101730 99593 -35948 30263 100618 -143404 11852 -29856 138818 -195005 -39153 -49433 28180 -65957 60634 238831 14430 79181 108932 -135100 181268 189498 162265 126549 -5321 -4991 -23944 92777 -147279 24718 -101568 -125353 25789 -112466 -41301 108335 2492 -9736 -21583 -20142 81697 13795 
+-80441 4416 -40151 77971 18298 -3874 127761 66085 -103079 3499 22859 -51804 56414 -107226 -278694 -83958 41369 -191461 58383 63018 -161802 119595 -765 -107368 -4467 99703 -48405 26738 -26317 197991 17479 64340 -43595 93521 75549 154167 -52707 -139165 -122022 -41826 -73978 -41255 165157 180554 -27191 35054 -3628 -40410 -170922 114993 68696 182796 25767 -38549 22317 -107904 -21698 -28942 117594 23592 51995 47598 -138486 83817 14911 4065 -37565 -142093 -81709 123247 139676 -1630 112224 18584 76462 -162326 70074 110297 92852 121660 -26334 -89416 -74356 -24941 49168 -249998 -38019 -107206 -43291 32332 20860 83412 -3910 36832 -89234 -54928 -117213 -89727 -109963 -254683 172 -124970 30979 -22052 68008 98963 43643 -3392 -125493 154137 -142621 54953 -120086 -128908 -10945 -30480 -34425 -121175 142570 -8253 253226 -224205 -4736 128998 125566 -50319 -121431 -49297 -129498 114927 -43560 45524 71710 4819 14491 -37058 238476 -51547 -9456 133018 31886 229915 158689 -123018 1755 52683 -16046 -180708 3471 -35761 -184586 -208801 -38232 -2961 116036 -17956 102942 -75904 101617 193590 -94174 -144097 174965 -193902 -129806 -23216 -96084 -42106 -144060 114955 -189694 -142896 -176415 24507 139271 -26731 -72922 -71900 92665 -59315 -21378 -49716 -74533 -202472 20809 25469 -11067 71887 18203 50654 159279 15789 37627 48331 135801 -51489 -25313 -112418 47815 116898 205781 24683 84389 92983 65848 9284 88160 -11541 83317 -71600 162484 -217536 35043 -37596 61080 -53618 32598 198808 40679 147857 -46513 -101108 166494 139718 134644 149210 -60771 -136970 -17837 80359 -178370 8502 -86775 -69261 25137 -123171 12396 103797 47901 -5091 -67645 76574 -7616 -7983 
+-74007 -29045 -35461 172169 -100173 -3472 63699 34278 -247896 33925 30314 -58533 53155 3305 -234584 -45773 103696 -172137 40624 57224 -119011 124894 -41723 -91778 -166 95540 73097 39002 14011 86320 80610 -25537 -68649 80806 62007 188793 -61415 -126837 -113583 -63710 30339 -60849 170073 185453 22812 25930 -117609 -87 -109851 124733 83315 63041 -76022 -63155 -38302 -143589 -139610 -19654 125186 31047 49495 71869 7468 137788 24140 -19385 27056 -218981 -67120 -44697 144609 -60391 -37342 -27158 58111 -159909 105408 130280 54599 181353 9888 -79994 4027 -34143 13369 -94573 -108561 -92501 -28333 27498 -14471 78984 -11317 3025 -127258 -70915 -83021 -8018 -117716 -189912 -19011 -70418 45553 38322 93729 58957 62220 -120853 57210 52985 -166746 80384 88112 -116711 -12920 -47706 -36462 -66398 35704 118562 187199 -228499 37164 153151 27228 -50975 -97959 -43394 -84791 65086 -67014 51216 32845 3880 48363 -60473 249021 -12708 -31931 123411 34546 223409 178937 -17674 6612 -8338 109898 -53873 -68900 -79611 -137127 -196180 -31808 140893 112952 -23190 60016 -97649 54664 121425 -72913 -146385 127834 -203974 -121471 77520 -12225 -88398 -83329 69962 -158371 -96942 -68951 33239 125505 -51833 -65371 -1302 86281 -28782 26614 -23486 38384 -65193 13148 28893 -23165 129531 15793 63578 13176 -11187 -34474 17266 81941 -52980 -67168 -108450 31939 170008 173779 -56166 79171 160170 208108 67664 25659 55967 87457 -19872 70775 -77707 69504 -45759 83099 -61318 35579 145033 30721 197506 -191299 -64155 121494 42424 136454 112241 -85338 -88788 -9704 68366 -101188 -8704 -91669 -113111 -51899 -113414 86161 95697 59447 -17554 -62063 16333 -102524 8716 
+-75579 -129908 -28664 162048 -123932 25161 -109802 23833 -242639 42729 2819 -63585 49634 101348 -214310 5769 111139 -117565 15125 32298 -95781 83732 -56121 -66621 -2478 71752 83106 33114 82033 -29216 120813 -67363 -47396 63931 82211 42591 -46853 -91739 -46361 -20178 -5973 -81342 133008 169609 29954 2694 -198390 26361 -41385 136731 99538 -55086 -131097 -35743 -116515 -72736 -212703 -25966 128200 23158 37442 44354 133137 120333 43010 21418 151534 -113825 -57939 -23824 130102 -111981 -134976 33454 46712 24648 96607 107062 21311 178485 32210 2140 102991 -45647 15988 67724 -137651 -66325 -20415 79 -49096 79653 -7461 -43510 -109332 -67973 -76609 117871 -110582 -67420 23394 -50439 42448 114846 97543 10073 80792 -165374 120409 -27530 -189488 102538 99165 -91471 5477 -24285 -40878 -23333 -66048 233715 117377 -229135 25515 181403 -76414 -48422 -72978 -55353 -84462 -22549 -92241 46001 -9525 -1523 87867 -24021 182998 23965 -18993 170703 36820 154779 229927 55602 24644 -58296 49360 99632 -40913 -105894 -69486 -211469 -29965 37789 113226 -23013 6975 -65473 41593 53127 -33380 -116844 37317 -151934 -132087 127623 73917 1132 -4018 38733 -98456 -76973 46597 -31025 143154 -50111 -70893 96451 94170 32319 23518 -12406 96161 97224 14687 9481 -34082 129834 35297 60981 -87251 1801 -42081 33813 40042 -52570 -147878 -58959 32125 206000 122946 -78910 52881 159546 230519 91902 -29233 -36428 82433 15662 3353 25373 77312 -57312 89115 -79291 11914 83630 25330 219037 -177284 -31428 54134 -78937 117991 90739 -28791 62300 -7838 62448 -29417 -19123 -52771 -111569 -152120 -79993 35009 106996 88704 -33322 -110084 -69983 -70314 -48567 
+-93467 -152151 -67359 39045 -171759 51882 -155422 -1083 -57036 34724 -24577 -53060 48353 169365 -174420 48265 119298 -67693 30107 25034 -50623 45068 -54724 -99216 -989 48046 23346 39289 102776 -79480 118477 -24444 -27209 37138 52279 -87225 -59676 -97443 -14578 -28737 -120498 -128666 67590 122189 -62154 -2821 -106983 32220 53439 138125 119327 -76288 -159456 -82850 -165874 -15029 -183147 -22787 127847 -23275 23584 27802 137968 43914 61713 65189 152650 58223 -65550 122135 96570 -140372 -137202 161861 41438 113717 127788 38687 -11263 182479 53826 42162 168905 -44853 57521 72391 -76589 -52098 5118 40950 -40769 77499 -8352 -91205 -4044 -60778 -58108 188554 -67278 49711 4088 -70732 44289 134325 81342 -33266 98993 -93700 -3933 -34787 -144377 72558 -63016 -47837 -17341 -28552 -51172 14388 -40384 176256 32564 -222428 20083 229788 -45019 -29301 -46334 -80139 -62755 -106418 -76546 60803 -52291 193 71861 -23780 41889 58620 -32083 110160 36774 62046 219273 11527 50350 -97662 -142110 135243 62298 -107917 14708 -209519 -13596 -121963 100673 -69182 -10435 -65204 21746 -1547 11515 -100068 -11467 -46269 -135568 20972 118360 -31790 83584 30803 -78058 -19212 123967 -106026 194683 -10096 -69379 97109 92403 38678 -6351 -3095 153810 66655 6500 -22026 -97600 36069 34920 53806 34191 -15552 -99235 27803 7207 -58459 -144279 -34613 42893 229766 87282 -128778 19021 98925 148399 76456 -67234 -124215 115571 106622 -140426 117837 50338 -62777 79732 -91140 44409 22920 24838 205311 -3753 -32133 45285 -73098 138351 52723 29163 203196 -2858 62325 -73363 -3328 -26866 -129110 -104271 -57570 -21249 15112 82764 -29603 -100797 -81140 -7244 -33974 
+-92491 -101035 -32474 -43607 -52738 54664 -22223 -5595 106522 34456 -34289 -40948 47639 167899 -119332 76281 87869 -27480 39887 31686 -5018 16241 -26353 -89210 -4 19834 -88349 38061 147968 -82421 79177 48793 -54490 31058 61759 -128188 -70082 -117746 29617 13103 -207338 -140513 -29177 52778 -98409 16899 482 59981 99863 139449 123640 54129 -163269 -59920 -214321 19009 -64930 -6181 132554 -51612 14932 -13907 7758 12828 72408 61416 62691 48930 -66004 228012 31305 -126341 -55879 206146 63244 52478 80106 -27833 -13627 90668 84390 43782 151408 -35219 55498 -116068 21087 -60823 30955 40793 -7194 74065 -4647 -122081 60475 -55890 -26092 148583 -39655 116883 -37541 -116628 57538 65219 48427 -66836 117215 36846 -185842 12819 -162068 89033 -203486 5837 -12111 -21078 -45591 11235 100453 57682 -41444 -127745 41172 272735 96954 -16418 -24863 -83686 -115633 -157207 8110 58208 -92346 -8202 50045 -34349 -30847 50735 -3196 104933 34402 -19392 185865 -59799 74316 -116789 -230773 -42181 188196 -164633 79909 -194201 1648 -208229 110586 -69826 -54553 -55851 -1820 -3667 45870 -74426 34119 6820 -130787 -50478 143442 12693 132872 57117 -11867 55764 110338 -116190 223294 -27808 -64932 78388 87459 -24465 -15689 -50816 186144 -84479 -13039 -19877 -132076 -42549 36828 30891 173428 -3842 -86347 68838 6021 -37651 -71239 -39137 36937 228380 22039 -96209 -18216 56402 12129 158577 -96605 -183117 140749 129872 -146712 164343 -11855 -20019 55429 -85478 70747 -22883 17494 158051 112634 -52476 -36518 17260 80844 54205 40193 248644 274 71479 -126642 -3825 -20062 -127980 -13984 -54317 -52726 -27316 7556 -36246 -55294 -32462 105357 -33483 
+-83905 -24338 -26008 -46565 3098 60367 132075 -14342 31164 29476 18852 -36180 51431 100930 -2482 94913 34115 13880 24949 58064 23328 -10991 -1498 -126095 -1426 -4904 -108719 28721 163294 -6099 6307 100514 -69295 -4283 81919 -75012 -80120 -114516 60406 -3740 -144231 -155238 -73334 16408 -92073 34881 25855 88463 147529 139414 117337 213856 -91579 -58056 -252998 12419 41453 -18389 132334 -32784 11690 -1539 -130676 33800 70883 10361 -44276 -110578 -71419 131336 7617 -84004 93389 145193 68877 -161978 73279 -72068 -38161 40980 73521 25551 53376 -2304 88159 -246920 83056 -28503 12835 9815 27713 72556 -10644 -178496 83316 -70724 11946 29273 -31244 86506 -40462 -152202 58607 -11570 5696 -91404 134398 117797 -244515 95797 -174623 76741 -128636 69545 -6669 -23493 -43261 -3902 149203 -42191 -50832 -139544 3937 267689 150516 3060 -7853 -71039 -136648 -94289 86591 55355 -127004 -10836 60966 -29702 -36416 14356 -438 52100 31752 -52422 118425 -139842 88006 -109117 -96398 -186043 192884 -148966 77431 -176149 40125 -165054 110493 -95539 -68969 -77040 26317 74581 51501 -61760 125765 -25228 -139188 -22314 125264 -27492 151300 94696 22180 114429 1198 -21939 182808 9254 -67295 16272 68774 -82950 18586 -41245 189462 -185884 -25715 6350 -98495 -46498 54214 9047 188864 -6410 -73644 52792 68492 -54598 12014 -48660 44270 205643 -14340 -112714 -38388 -15763 -30367 114018 -83296 -75530 149471 107055 -160059 142211 -82857 -27718 20465 -66113 60811 -49782 23979 90334 108239 -85228 -79453 79059 80610 77718 -53510 180700 7476 83128 -202259 -10778 17789 -101922 35439 -79061 13318 -65912 6283 -17722 -32196 72091 107653 -73262 
+-75430 -13033 -1006 63708 -2387 53164 77937 -22519 -122693 24442 37139 -46334 53526 -5498 20828 100800 -26150 46709 60869 52783 49951 -48019 44937 -77479 -7364 -32904 -28044 50323 129604 107491 -64339 59200 -19379 -38587 79364 117645 -86760 -73578 65899 -41949 -18396 -130976 -86358 3005 -44966 26543 -68812 30933 77001 103785 109407 176686 13366 -63310 -250598 -45143 93079 -3181 126723 7478 30051 36838 -189941 94503 57355 -12867 13241 -238144 -85385 -27788 2403 -26233 203513 30892 76726 -231050 50326 -105254 -63818 -20362 60462 -26734 -34918 10247 54637 -190466 98652 -51948 -21761 47063 27034 72958 -13306 -191271 35233 -63004 6868 -75348 -23802 -8140 -6035 -137967 71538 -25063 -36278 -89159 150665 92773 -118739 173531 -127225 61769 72310 130212 -31537 -40839 -41126 -27001 38106 -18312 -113797 -60065 19208 307483 58506 -7776 6434 -90810 -128624 -7788 117817 53524 -154145 -45120 52286 -27153 6924 -21579 15861 23395 30844 -19999 70117 -189025 86769 -78877 88872 -120282 58185 -114141 16263 -184763 49214 -8581 104119 -59384 -35485 -44095 60111 152069 35724 -34015 173805 -117257 -114959 40184 73824 -9767 112706 126741 60398 89250 -122736 44459 212023 -19705 -66918 -68450 45267 -149416 -5923 -23740 92725 -153848 -34551 5573 -81997 -40063 54937 -11763 71147 -7238 -28907 70522 123061 -50797 42656 6990 67996 167208 -43682 -110489 -74999 -103416 37190 131040 -115145 29721 107835 77023 -26918 47834 -118787 -46218 -20026 -53805 74402 -50448 12430 9493 -34743 -119546 -105809 176602 56585 141198 -93597 22811 1345 97440 -157858 -4736 50801 -122579 -8588 -117649 45648 -109260 -20784 -58560 -75725 56152 40947 -59151 
+-79932 -110563 6797 160256 -66192 62199 -96111 9013 -261351 35869 15662 -54489 56577 -118432 117577 69104 -33404 80438 -11058 56264 87532 -110867 47363 -90374 -2871 -52422 97142 38091 112671 202070 -145331 22054 -21141 -59936 92764 173075 -96804 -91473 60610 -22435 18967 -75871 -29082 14072 29211 3256 -188890 57203 43223 90986 68968 50317 95394 -53689 -192184 -114946 41294 -58325 126157 35414 46623 25598 -109224 145420 37709 -2175 103879 -144605 -86484 -39494 23982 19775 241727 -35332 75042 -20580 51615 -69579 -38524 -89303 59420 -68498 -81689 18141 54021 -13869 11258 -14511 -37341 14099 -34076 73290 -16116 -161353 -54896 -63087 3739 -82692 -24386 -133607 -8625 -84808 62541 33976 -64362 -96702 165954 -17440 65490 198560 -77637 64758 102955 167075 -7092 -11783 -42976 -75147 -60919 131672 -89230 -39485 23596 269290 -87101 -6684 19081 -110837 -78976 76529 131107 61060 -171169 -43440 59271 -47131 109777 -44146 -7000 21222 32444 63037 24582 -157828 71248 -26809 101346 80568 -37054 -79902 -64822 -161132 67630 109118 93325 -76006 -1486 -84131 46006 193033 -1715 -8321 134883 -172622 -81604 122546 -15675 2289 46821 135232 51872 53575 -200377 30808 211172 -10440 -71263 -147175 38934 -183060 20753 -56174 17181 38555 -29221 47186 -36040 97304 83037 -23123 -41739 -10732 10930 74429 126699 -64929 18383 19843 58635 105948 -101515 -126032 -70471 -191443 164651 111220 -115033 38459 111903 2555 72723 -25814 -119492 -45085 -60113 -60306 72509 -21848 13449 -56887 -178960 -152719 -125282 176943 47896 139380 -103289 -84347 -4456 106303 -51343 -4883 85756 -134360 -126570 -124575 65325 -180362 -26182 -22868 -141757 -61173 -63881 -45564 
+-83643 -176138 5264 151036 -157631 51119 -153301 14249 -226414 27489 10056 -63875 57996 -170453 124447 39941 -22585 70266 46011 23742 87612 -109630 25823 -92696 -1316 -64211 88439 27275 80523 259881 -193747 -59530 -11144 -80300 64067 97492 -88687 -106364 -6047 -43718 -66955 -72512 55694 86728 768 -3084 -166178 10154 -37539 62558 4016 -64300 190894 -50836 -152673 -127468 -78546 -15878 126911 22619 54424 52623 22861 121354 21915 64861 154288 33168 -99765 113983 23744 46550 150560 32496 46355 134094 54819 3742 13193 -149686 19924 -81727 -33583 10075 43976 89536 -87992 -40690 -44005 41792 -64549 76456 -7672 -173378 -126723 -61489 7493 13195 -74493 -236333 30629 -51565 85325 122883 -75245 -70290 179937 -136127 118449 161033 -53253 57830 -96593 203019 -3767 -20074 -37132 -131769 -37593 240885 -8224 2690 11554 247608 -26969 -42191 11386 -118830 -73237 93921 99133 60941 -177289 -51235 31836 -34519 199748 -43654 -16369 75290 35193 149703 -26192 -90581 46255 31730 -70249 170005 -80328 -46321 -138694 -152163 82613 105532 90928 -19858 9181 -96724 61593 156478 -47127 11181 45509 -196957 -69596 35127 -97318 7039 -36002 109232 56091 2562 -150502 -76216 236977 -16379 -69698 -195204 33084 -195842 34683 -30885 -67297 116524 -32688 10309 -6210 112660 60455 -28402 -44299 1868 67166 91804 119345 -56400 -77647 43687 54446 56427 -85850 -89006 -85963 -185308 242360 65065 -92963 -90271 85751 -32953 131429 -126538 -81302 -47241 -93470 -76963 36356 22164 23552 -103153 -178422 -159115 -121143 85293 51777 135757 -12250 -113014 -1021 107898 -10380 22949 106033 -142433 -150173 -102402 -22450 -214395 -44125 -44281 -118670 -148435 -93950 -76075 
+-88167 -113682 12976 19945 -142401 60278 -35151 43678 -71457 39366 -23455 -65440 54306 -174262 99766 -17418 38413 57584 75972 35201 86895 -131331 19269 -92071 -8082 -90231 29516 -1178 5537 238258 -223906 -41043 -40923 -103377 74013 -36159 -88536 -104083 -36040 -34238 -167603 -33169 117137 139325 -62448 16200 -35576 8270 -86412 59724 32495 -53744 214615 -39572 -69999 -65697 -191875 -9821 122672 -13881 54941 43677 140792 52077 16502 100801 92712 65011 -118250 232794 91673 39591 5437 154039 33694 58759 60210 55381 64816 -177364 -18230 -98233 64394 -578 37810 5674 -147251 -34701 -22407 32765 -17329 76180 -4731 -127811 -124077 -64090 -25155 136517 -72599 -268691 -12473 -53838 60614 132045 -63998 -39716 192704 -158961 -12991 75313 -18466 46007 -216773 206928 -3471 -35731 -36028 -168144 91920 221854 71169 34818 -2543 246036 84987 -24717 13545 -132159 -103790 81354 15549 41367 -172483 -91036 68513 -61022 243728 -7757 -52896 83374 37034 217433 -101276 8224 21464 88263 -217542 -1033 -24378 -40893 -175550 -159044 84633 -99600 92603 -28604 85317 -92607 106537 59988 -83359 26578 -10591 -165691 -95469 -40108 -170235 48330 -114918 70047 51698 -39277 -32662 -122776 272462 -42335 -67671 -211757 1262 -161351 807 -54290 -106705 20181 -41502 -17991 -5598 64329 64402 -9081 77802 -12442 66309 111583 65612 -43508 -144083 71928 63382 1210 -94215 -72199 -102442 -196718 156680 39237 -64414 -181527 69929 -57964 123118 -213792 -15874 -78064 -115248 -89133 45023 84871 17315 -96697 -28402 -149183 -88420 -59363 51841 125127 40021 29801 -2445 101584 -92578 -18299 152498 -125630 -39917 -66256 -47870 -271152 -27929 -46692 -82260 -72570 -55756 -69726 
+-88829 -27280 -5827 -42783 -59490 40277 118666 87642 80405 46420 6882 -49439 49055 -112937 80001 -69548 100232 24155 44405 25664 87028 -165294 -33616 -73407 -9705 -79745 -101195 3183 -9451 153646 -218027 38969 -34883 -124031 67893 -110063 -78261 -92557 -95020 -43614 -189916 -60512 182136 192733 -107145 34690 48779 15807 -167902 26424 -76246 99894 196678 -53109 -4357 -777 -200536 -31357 114293 -41087 37068 -2083 117435 6646 24547 61343 11089 -73812 -121091 130712 103929 -2266 -102765 209054 62979 -68044 71344 118610 110422 -176724 -48504 -98341 151287 -22698 36946 -183565 -128733 -45431 -690 27375 -14275 80225 -7941 -99348 -58184 -68700 -40625 189927 -114313 -219790 16374 -85107 67657 81838 -32481 -11900 203665 -82286 -192360 -8849 -1533 45196 -119328 200139 7464 -36024 -36230 -199745 138599 75821 154093 79996 21625 183361 151465 -38737 4482 -116381 -164238 19204 -64745 42401 -156892 -96557 83883 -47045 201754 44438 845 132351 36337 227616 -67624 18082 5168 146228 -169417 -205367 113182 -56279 -168018 -120015 77464 -197310 80526 -26104 84808 -75519 94261 -8869 -93303 25248 23607 -62688 -54994 -53588 -222516 52089 -161245 38992 22130 -106904 96337 -63642 266732 -29612 -66035 -188518 -18150 -139075 858 -60176 -40984 -165452 -66433 5371 -35298 -29205 84198 4571 212407 -16514 90589 103214 7131 -47369 -145530 89619 73869 -24579 -77167 -68616 -101499 -175149 46416 23250 -20495 -139568 33660 -19434 53168 -211526 47545 -61901 -121762 -87153 -13643 147893 7980 -58967 122162 -117455 -376 -98128 52535 105742 23235 206503 -5426 90022 -203028 1243 151739 -119227 28452 -45994 2177 -278452 14061 -37014 -40713 62764 21768 -69661 
+-100514 -12930 -62439 -45438 -5465 4773 84174 94844 73079 35600 35355 -40453 48087 -10022 40939 -120198 137555 -12775 77474 59818 69651 -160916 -57731 -51679 -3520 -73140 -88437 9694 -52804 38062 -186764 76274 -52625 -158592 65993 -98735 -65880 -80305 -99938 -61221 -75197 -52272 180417 186318 -92162 27098 -21545 -24841 -215390 -7361 -49748 196722 154246 -39404 35706 9044 -135366 -17035 114922 -40741 16918 27554 16900 29586 41434 -10528 -17429 -220189 -129965 -54784 99078 -61747 -151258 148601 76672 -168217 76011 126089 127540 -147107 -86356 -92309 173482 -41945 24422 -245894 -50288 -29067 19199 29792 43901 80621 -2962 -29895 21530 -54722 -69361 131505 -115707 -95933 -35232 -141029 71483 -12867 9595 32718 213154 44262 -242447 -51580 25513 46071 51686 165956 -15679 -60172 -31592 -197288 40171 -40250 235604 88316 5158 178790 56209 -32949 -12150 -144569 -140473 -81622 -97441 50051 -131315 -115448 53671 -68422 94096 52329 6213 157831 33777 179815 -48550 -1279 3352 197315 31276 -168168 210109 -81047 -124024 -78646 62795 -143139 81083 -51016 108396 -68207 100261 12341 -79927 45689 115813 2556 -45820 35901 -260320 47148 -175026 36206 -6813 -120166 138180 39428 219378 -42726 -69748 -147496 -35117 -45732 -21833 -26983 50399 -190025 -75218 33230 -93330 -61894 98614 30755 147755 -9789 68159 139772 -400 -55479 -49849 83782 106153 -35605 -58411 -6004 -80519 -120989 -40695 30795 9838 -3360 -1156 29399 -48665 -98995 80908 -16156 -112639 -68387 53543 198679 -37663 -3092 114124 -77849 70950 -49486 8945 80998 -52936 233352 -16662 77398 -177645 15416 162756 -121019 34693 -60000 72737 -290320 -2494 -28085 -25352 59996 113621 -58342 
+-78171 -86512 -44252 48246 -10804 8403 -91701 134295 -116183 33286 26015 -41200 46320 108103 -13365 -173549 88724 -63863 58499 44851 55130 -201868 -66251 -88676 -11158 -61498 695 -1940 -91445 -60944 -94739 102141 -39414 -178576 77440 90073 -53258 -52468 -122891 -40486 14177 -104314 115173 157711 -6361 3836 -163931 -62769 -256853 -38392 -54215 157389 61914 -35287 48772 27154 2398 -6112 113134 -8803 12547 75838 -137831 92890 60985 5904 90992 -178347 -126942 -34831 108221 -114335 -97915 28692 64566 -81013 82032 96538 143615 -102827 -127055 -15106 99420 -53432 73422 -135073 45952 -74166 21830 -22254 47705 82287 3186 1942 79722 -52306 -78066 7047 -81261 32896 -46708 -146022 60112 -20811 51436 84805 220668 125955 -109000 32 72671 62021 69221 116888 -19613 -54084 -22768 -170079 -67677 -38135 273306 104048 -2025 99047 -70894 -71060 -32267 -118075 -69606 -158013 -86475 47060 -97542 -161468 82201 -63500 -7717 40982 -11146 166342 31272 91379 -17430 -55867 16229 219354 118575 50451 160113 -129414 -54212 -64742 52919 -9218 72672 -19093 91730 -65872 84344 52567 -39732 85352 174408 33117 -36306 125846 -234231 104504 -135971 59768 -49954 -133261 40487 41884 219702 -10815 -66668 -46334 -54858 -44670 25149 -39830 128178 -70534 -87416 10271 -123053 -46047 73829 53755 -19798 -2680 -2950 123493 42061 -43102 27868 91728 68652 -34718 -22867 -3370 -69272 -4265 16941 5494 37399 59790 -30315 107629 -127293 16228 70139 -11612 -88691 -54997 65081 236983 10103 72312 -28065 -47090 60535 27995 -8119 72279 -90738 178466 -21094 65875 -88065 20905 152500 -106768 -80059 -89240 60073 -278796 11665 -27593 -98616 -53891 91707 -64450 
+-83490 -154618 -10266 143903 -105119 17853 -162426 123555 -246446 24855 -448 -47430 48334 163997 -116839 -202746 97534 -120945 7965 39742 16371 -184952 -54564 -132206 -11103 -51686 92739 6355 -128222 -98245 -20929 21638 -10932 -191274 61591 188284 -52007 -79853 -72433 -18938 -19676 -131946 39613 107294 27168 -3315 -209244 -53185 -250087 -40879 -33591 -25991 -26250 -52502 44929 -42478 71638 -20274 103199 31714 21715 44519 -191629 147111 72452 4158 135773 -1913 -125667 104265 119855 -138954 35388 -27385 77814 92228 84394 36131 126337 -23069 -174393 4003 -11280 -34849 52315 49410 102413 -95611 -9787 23590 6591 84157 10993 41113 57197 -54216 -84284 -84842 -70054 106880 -12122 -121034 85844 25322 84310 130477 226515 87158 71222 84915 40543 81625 -90286 59972 -5602 -43163 -25484 -123593 -48260 75000 276350 74664 15956 76320 -60511 -55319 -49935 -131157 -41110 -114819 -20153 61953 -58483 -141659 56399 -77184 -53389 -13063 -7149 163518 31020 165 18254 -148607 39551 218215 4628 179213 77696 -127253 21277 -72850 27729 117178 81052 -69275 22735 -57843 79280 105431 12326 112983 140497 -74757 -20641 68041 -181197 87908 -57952 103196 -106219 -104900 -57665 743 259430 -44116 -67104 4169 -71551 35286 33876 -16670 163840 97350 -109345 26761 -102064 54414 73662 63579 -60428 -17925 -29834 104342 88987 -44779 18258 120215 96847 -6317 25614 22433 -21581 68516 128291 34516 87808 -21102 -86399 118158 -170281 106535 30479 -10571 -54180 -59853 43139 250893 -14088 159070 -187960 -35445 133805 191524 -19641 76084 -80716 48626 -37822 61699 -16592 17855 183895 -114388 -118488 -117434 -30652 -250273 37678 -36704 -93682 -143824 32170 -73844 
+-74187 -125627 -29691 141552 -183937 -17685 -54873 140035 -255386 13235 -23937 -62100 51710 172089 -179372 -221529 23191 -155748 -32031 20094 -37873 -174883 -3213 -64122 -9242 -17500 80375 -3964 -132006 -58479 53638 -48988 -36555 -205344 70961 158242 -50613 -48957 -33619 -39497 -141430 -157157 -48064 51691 -8978 15458 -99777 -63442 -178475 -32398 5775 -77700 -107160 -22926 15684 -107595 64618 -39147 93879 25137 39167 21160 -106380 122317 72040 75880 129430 81404 -116808 249570 83618 -129392 174954 30325 58600 92132 129948 -23348 86326 22992 -204875 32009 -68435 -26264 71591 74338 57739 -101488 -35397 6156 -74302 84373 9298 75602 -28851 -56350 -115129 -72234 -28627 101791 -23430 -57070 54153 105759 99580 160733 230495 -30977 115299 168046 62810 68693 -205312 -2911 -15712 -63180 -18091 -79358 93727 223772 240993 57188 4406 -20100 55812 -50685 -79109 -95247 -107737 -46604 46140 59514 -15923 -155124 60321 -58522 -13133 -53390 -42418 137716 33123 -46427 64543 -193325 65272 195174 -178697 81487 -58767 -147111 59865 -22590 -7212 69406 81111 -80136 -21565 -53263 25569 164930 43189 100319 46831 -165053 -18948 -6951 -114008 92241 21788 125486 -164736 -55517 -168631 -94001 228633 20453 -64493 68331 -82161 6598 -25853 -5012 177296 82423 -107198 -11271 -87898 125365 78119 63215 28112 450 -39701 155183 123184 -41602 10644 94561 83342 41452 31013 -19222 594 111599 231592 56449 100075 -124960 -100901 114231 -106044 144158 -39598 -32558 -13512 -76885 -4533 239589 26610 203181 -178109 -38268 163192 185283 -29799 99708 5843 -88336 -26880 64680 -47519 38200 151502 -139926 -82601 -122413 -57773 -236389 58860 -63109 -107814 -70900 -81449 -34747 
+-86680 -48266 -3231 41503 -119401 -8917 100740 150162 -73580 10403 1390 -65558 56337 105363 -232828 -237802 -16750 -198847 -4389 30057 -69129 -124769 18200 -99733 -8739 9326 -1718 -23427 -103209 39107 109463 -53260 -51186 -211461 97137 9989 -55612 -48739 4323 -8641 -200625 -139565 -81750 -681 -62700 34499 42781 -30119 -72786 -61518 84286 -35104 -159154 -56517 -61732 -144028 -37078 -31463 88340 2655 51069 22476 39454 63682 58203 85093 25633 -47211 -119913 145008 60030 -87359 242606 153232 44856 -84276 101260 -83122 32226 83165 -223021 44062 -62616 2473 14186 -86316 -28992 -111973 -26999 -1635 -48328 81767 13189 78430 -104962 -28545 -85859 35418 -17201 20442 8675 -34875 78658 141462 92476 193900 232301 -138544 -20604 194878 59416 88667 -106347 -56399 -15175 -48822 -21408 -32944 138433 214164 181810 46537 34521 -23402 131025 -1902 -102418 -78353 -142658 58999 117149 74536 26888 -173609 52065 -60312 70509 -55911 -16548 89504 35806 -37088 144899 -170764 84009 152817 -207358 -134150 -44594 -118357 51751 -31733 -22116 -97966 88379 -65833 -50925 -73270 38010 125626 52356 126115 -14858 -206296 -33563 -56454 -11004 122018 93591 127244 -193902 456 -203452 -117482 236706 30309 -56790 94020 -78149 -10151 1533 -34746 160025 -87094 -113694 2936 -41603 130440 75666 51500 154124 4685 -84441 78427 138359 -31442 -57708 89829 77342 90208 77044 15533 17815 145687 203447 76235 144382 -169748 -115829 45880 9083 172657 -89563 -57953 26726 -91164 20442 200867 23981 222722 -42297 -69478 130515 123512 -54093 144747 45082 -130080 -38566 74095 -162861 26592 138942 -120021 490 -85905 -8199 -178283 72881 -48572 -68623 76990 -105643 -2180 
+-80620 -21823 -22745 -91328 -64284 -14379 96369 134735 79636 4066 19312 -55901 58354 9189 -291088 -214556 -43891 -220710 530 37594 -104236 -134275 40234 -104819 -4764 34871 -41136 -9544 -78868 149250 116269 13868 -41638 -218603 82744 -96258 -61562 -75473 56321 -22495 -145250 -118875 -70720 -1341 -138389 27690 18585 -24319 24947 -56318 93949 146979 -163847 -55557 -124095 -80369 -150567 -22278 79012 -36115 56867 37523 141386 -10372 37960 151 -14535 -202856 -103236 -33176 10705 -27250 188839 211640 64851 -214171 103386 -104631 -18372 152785 -215880 -11355 38555 14327 28525 -229608 -140779 -122108 -18871 -2726 22891 80041 18412 82151 -134200 -42913 -58634 153075 -22925 -117691 -12700 -68391 42408 87378 65968 222100 232049 -155557 -200233 165984 4330 88439 45908 -99595 -3637 -56324 -16290 2288 49708 77084 111436 43507 15977 -74037 91008 -16658 -123592 -75810 -135999 101489 133262 63908 66000 -166792 98447 -67242 175219 -30722 13130 42402 37015 35202 172904 -103670 89352 101646 -40021 -219102 72028 -90374 12727 -24883 -38444 -203875 80213 -16099 -33388 -95824 24091 84525 33817 149302 23094 -183976 -292 32993 66991 131984 143465 105864 -208611 74668 -81046 -42713 241193 20519 -66003 72629 -75497 -54550 -17554 -28558 33869 -207272 -120735 3845 -28947 43856 95206 31188 191625 4321 -94056 120052 102690 -44802 -127072 77869 70407 154785 123049 -34631 30943 152845 69964 157252 192351 -76640 -133939 9803 96562 62039 -115741 -52637 60046 -84477 -10104 144689 10609 203665 125630 -111627 108235 -45315 -36313 131028 -6510 4096 -44015 87543 -152897 43427 104201 -113749 36817 -55597 57934 -146981 46541 -17204 -66171 120491 -45968 -56999 
+-73933 -74350 17660 -66682 9034 -31827 -76658 107738 54966 46555 36866 -47264 57077 -106818 -269172 -198758 -27153 -223937 55514 61423 -138263 -96694 36073 -96220 -11427 59164 -116424 -3810 -29725 238226 111498 78789 -20286 -211425 39094 -92787 -72919 -10175 78947 -23895 -36123 -92592 -5991 56999 -87851 4416 -126713 1549 45095 -34393 168454 218694 -124628 -54040 -186541 -9443 -197550 -22380 76118 -47469 54110 69236 115477 24734 21543 -17454 46428 -198249 -97464 -38874 -14543 26435 74372 146100 70115 -104243 68684 -57708 -5992 180901 -223407 -49132 135620 21879 24596 -209336 -133803 -142504 18844 -13270 39196 80268 29262 31760 -76973 -27724 -4065 187689 -70147 -229269 -8376 -118859 56284 -8024 25644 221634 229924 -67513 -240177 95642 -19905 105517 98600 -126049 -11167 -96705 -14587 26427 -65289 -18476 11888 -15862 26244 -85274 -34884 31989 -150203 -63446 -73684 85219 119248 59037 100704 -176632 65849 -56916 251514 12805 3259 11941 35874 125703 207629 -13179 78688 39846 107577 -20649 119680 -66572 -96439 19148 -39117 -214098 80168 -38857 -62119 -89694 41882 6699 -4615 152130 109331 -110537 -33210 88350 112597 107793 136287 64107 -216671 100132 19219 50519 217890 -40068 -63156 -13148 -69679 -126378 10073 -54860 -6050 -134316 -136922 23335 -20747 -16017 50317 10238 29566 4118 -59538 80090 70375 -26980 -126074 87310 79511 194925 168041 -89347 81609 107185 -33248 152122 214260 57229 -133664 -48868 165627 -266 -105560 -48641 82368 -67070 52146 87392 45752 158221 114954 -141872 65208 -99913 -59420 100617 -67709 176085 -42608 98854 -151510 26726 114745 -124290 -38043 -49765 63401 -81121 16657 -27256 -42194 -61956 71378 -26862 
+-104068 -149382 -218 43261 -7300 -13216 -167225 60231 -89443 24066 28420 -37029 52371 -174854 -268405 -155031 26249 -218673 86191 41125 -171937 -78049 32800 -102652 -8855 83126 10440 -38786 -9151 250627 49414 88968 -12440 -204418 72920 -25385 -82251 -6723 66642 -46871 27346 -64844 72289 103746 -19577 -3510 -201654 16758 79261 -22474 126728 107766 -51139 -46460 -233720 33546 -170657 -1408 67844 -10877 37899 70758 -25060 87700 16095 6791 128565 -36923 -89606 104825 32653 51720 -62615 26989 83021 95890 83256 13179 -80317 189068 -215857 -79657 176915 4146 27806 -52446 -75700 -146288 14776 -20597 13969 77518 35574 -2588 15385 -51999 13838 112077 -105163 -266357 -33231 -168590 55008 -20780 -18211 204071 225682 63463 -98434 18796 -185 65663 -97532 -131462 -30703 -75276 -13800 9729 -46405 -25845 -40062 -81058 7153 -104114 -80764 16066 -170843 -81666 -28321 62145 38690 59020 126469 -173626 33819 -79904 247211 56366 1254 31883 33118 206672 230995 16901 56591 -22412 63516 193075 218431 -46540 -150626 51220 -35642 -17348 78434 -17468 -38986 -91655 48232 -53564 -51748 147599 177418 12441 -21541 117911 134099 107833 104817 35571 -216855 84562 113867 61282 230187 -19745 -60155 -82233 -76349 -169659 21393 -23391 -44383 32184 -141428 41604 -34544 -98197 56102 -17230 -76167 -7936 -23698 51502 19661 -16807 -99106 55459 86187 223496 202476 -110441 91703 59960 -14606 158535 213678 42781 -105727 -32785 81784 -119005 -54434 -34427 89321 -55258 18989 26222 7364 78844 -43806 -164644 39354 -73138 -83347 90524 -100985 230564 -51182 106888 -29325 49071 68094 -101077 -128897 -74354 -19256 -34753 -7855 -27626 -86634 -147499 116942 7845 
+-93206 -133766 -31804 174723 -97521 974 -59677 56015 -259581 40200 -16553 -36577 49108 -176629 -253603 -105215 92010 -189708 96201 41479 -193711 -38778 -830 -78847 -14625 100526 81817 -38822 54361 204927 -10203 56378 -27512 -212013 62478 191071 -90208 -22003 9783 -12542 -56322 -50424 172031 164188 24873 14763 -144473 36319 127188 -4603 133294 -32640 52317 -68689 -248762 21405 -56257 -26790 62274 20814 25362 51345 -134793 141602 21693 72379 124468 71558 -78665 224968 32805 40725 -162056 -28085 73302 127046 75546 90724 -49970 155250 -191121 -83061 126896 -3583 57194 91470 -30619 -142557 9686 30867 -36291 75190 41428 -32268 60430 -29145 18889 -13891 -113222 -226233 -894 -133900 39032 28066 -53210 183388 219525 123599 78230 -32764 -37553 77042 -236986 -115441 -15183 -105449 -15434 -23064 84655 61402 -88533 -72272 -28548 -110363 42589 -22991 -180708 -64723 -78485 -63173 2052 48119 142988 -162504 23634 -60624 147763 52557 -10234 52540 31033 229075 215197 18579 31558 -77211 -118015 112000 161932 -39280 -206546 36824 -15158 109207 67139 -34435 13527 -69203 40978 21847 -85774 144380 142492 12103 -56689 -4766 123132 118651 36298 38902 -201988 55172 106321 -43053 191325 -36626 -64363 -153053 -51594 -183843 2680 -18603 -93780 116190 -134708 -14291 -104138 -13220 44707 -18554 -25530 -1354 48381 35557 -6209 -23039 -16969 50893 37198 233127 236087 -107655 112595 3107 97388 132559 160235 -80792 -103121 -32211 9676 -194543 1824 -15575 80430 -59856 16241 -22349 19637 -562 -178710 -158441 -30898 21415 -70519 51404 -50419 185450 -48799 106428 13384 40822 37715 -126584 -85081 -116838 -54562 22575 -60542 -40331 -88630 -68155 70942 -1333 
+-67810 -63199 -45481 157972 -173256 9734 96613 13997 -269424 36336 2339 -47080 47127 -117076 -171877 -47335 109980 -158787 75030 16515 -189240 -7724 -58075 -91134 -7775 103688 139428 -24733 131122 100259 -78919 -35910 -41831 -178731 69217 159645 -95822 -19375 -18524 -37481 -173846 -19188 186830 188834 -2514 34255 -16267 64808 105637 9704 151188 -92927 129359 -60804 -218659 -45965 60067 -40286 56984 36094 12814 18598 -171879 132842 40263 58889 82338 -1303 -64680 137705 77327 -7656 -117579 31332 48810 -67009 60930 114271 -30774 92787 -156198 -92908 32346 -27117 91159 25725 87802 -174762 -2515 -18709 -36403 72551 42172 -68345 61860 -30904 52612 -91121 -106419 -98902 -1003 -84572 47214 105723 -73195 135424 211477 79007 112666 -28916 -75295 48422 -109364 -75265 -23857 -78526 -16942 -69441 147920 199825 -87408 -166026 29169 -62173 140774 -17998 -192349 -60589 -107583 -153500 -63472 58267 147976 -140511 52152 -59623 12605 34131 -31340 68855 31385 194445 129806 -52458 9441 -108032 -224746 -77481 34276 -66439 -206437 17896 7343 72213 99585 -58213 64875 -57026 64639 136782 -96420 149008 59058 -28223 -51871 -81404 82127 74193 -46284 65261 -178145 3012 21421 -113017 134009 -36473 -69651 -212877 -37401 -170999 130 -8725 10884 11211 -133633 -31959 -120067 62774 51359 -22972 147542 7816 61886 31341 55484 -13668 36070 30587 56430 217921 233704 -95436 102686 -44058 206261 110578 171660 -176683 -99504 29497 -121138 -186816 55543 -18038 56516 -76299 29339 -50710 28167 -57874 -166826 -119669 -90429 111245 -62236 57238 37685 47462 -48972 98924 -81767 23833 43147 -95009 -33232 -126457 -25982 37797 -32368 -20066 -95607 24782 -31309 20169 
+-78577 -4501 -14622 51274 -174898 7250 106282 14977 -66999 33372 7428 -58014 48725 -14850 -116270 -21161 123927 -101116 64186 24943 -202360 43895 -69701 -82994 -11930 97638 12696 -44942 142228 -4449 -179023 -50168 -57394 -172043 79477 52821 -94283 -43556 -58863 -30240 -187269 -67890 170617 180685 -69314 28217 43884 57469 27656 49615 93381 39875 182831 -90243 -190075 -109006 88992 -15826 46841 8241 10001 40383 -89134 36490 59093 61381 -4610 -171922 -67421 -24049 123607 -62465 3388 153779 55273 -180927 69209 120797 20138 16347 -126255 -74120 -61712 -47441 47446 -155484 106509 -158418 -32614 2995 -16398 72536 46245 -114613 9109 -28084 -5563 -58778 -70885 25025 -18746 -38970 27022 139921 -70406 95005 201944 -48002 -29870 59666 -107559 47495 43604 -21815 -8634 -129077 -15299 -121346 51660 239927 -66311 -206414 48335 -48727 116011 -67149 -193402 -50331 -149949 -133112 -90917 49512 142454 -133149 77881 -42841 -39566 -35559 -4841 101145 33728 111869 77665 -121194 2107 -117460 -117151 -230836 -79307 -91227 -140392 37985 35673 -92766 96042 -86338 128443 -53691 129566 165254 -80282 154937 -8019 -135676 -65766 -2082 3985 106678 -125612 100963 -149897 -78346 -101570 -80704 160417 -22535 -63250 -201246 -17217 -129257 7554 -38478 64379 -157958 -138425 -21266 -95880 118261 36908 -13946 204575 -8095 78315 5748 70321 1213 3013 22662 109759 174407 235212 -130603 65527 -147745 214747 32988 149301 -138688 -65785 103733 -153116 -160064 76943 -26667 22442 -89560 -15802 -54196 18879 -99230 -37556 -85117 -110289 208164 -89159 70516 40969 -69191 -47601 86567 -185569 17578 -12151 -82723 63593 -102932 37395 75376 -43178 -13854 -65762 58527 -103433 48365 
+-97540 -42937 -19751 -58266 -38597 48619 -58872 -19593 79827 39831 31447 -60706 48288 100350 -9574 45778 97792 -63385 44025 40750 -189247 75402 -65039 -97776 -11439 77302 -74197 -20953 172373 -84372 -202159 -45053 -55688 -151671 85485 -32074 -79192 22509 -99818 -37605 -88301 -105619 85280 141354 -120759 4977 -44664 37428 -33721 49607 24944 181778 231149 -58050 -145345 -131831 16291 -43035 47819 -30000 34122 39953 54244 -24 71268 -26280 11988 -212615 -75382 -59880 131789 -113775 151728 209462 75295 -75812 59934 85811 41720 -38707 -94612 -32975 -84791 -50468 47412 -265197 22568 -131331 -26948 -11923 18972 72090 57365 -153523 -78868 -19280 -609 58075 -67536 106785 -20492 -59628 34168 89516 -49674 50279 190356 -154649 -206888 146698 -117917 45078 95046 36492 -17745 -88924 -21737 -163863 -68148 122941 -36645 -180842 8957 -35002 -44383 -60333 -189258 -47546 -116614 -79494 -50390 52433 126100 -119206 27321 -28969 -61153 -60194 -11173 153884 36317 20655 51395 -179826 8011 -105084 64180 -56396 -63096 -120207 -58191 10002 56810 -212563 97735 -74117 109941 -74661 93901 158083 -37427 129935 15402 -220465 -75518 86576 -81480 95376 -158992 130594 -91187 -122880 -188724 -3480 133154 -9132 -62165 -168362 4317 -89799 34512 -41806 148677 -201518 -145941 2048 -59296 111985 32405 6784 117812 -9877 75422 54321 117179 10655 -39909 -15464 53931 134041 239195 -99260 66935 -176061 115064 31532 98728 -17478 -43872 114809 -149629 -29501 71543 -34144 -18176 -89139 41817 -26977 4883 -98634 114799 -51667 -138750 170034 -90765 129560 -14663 -112860 -53737 73227 -190807 6242 -37698 -91753 11441 -70130 72740 110374 -14223 -48922 -28957 -42666 -79124 42990 
+-90095 -138254 -5500 -44017 18531 43703 -159460 -28286 60556 36759 28353 -53691 54681 160098 76705 63053 38270 -15622 22445 58907 -167337 88694 -38022 -107885 -12373 60338 -63027 -38880 154510 -96022 -233151 58193 -49346 -125768 82005 -134239 -66179 20881 -112084 -33959 22980 -111646 6418 78037 -99182 -3669 -174765 47866 -122857 96508 -1359 213686 200789 -46149 -59693 -83630 -107641 -46106 44703 -51684 46703 48764 159646 11171 71050 -6067 145033 -77997 -72262 104322 115769 -139185 230128 144389 70797 77820 66057 19577 64125 -105512 -48718 27173 -10430 -38315 11034 -160402 -79366 -136943 -20232 -12654 26803 75475 57257 -169372 -136589 -3660 -4754 166882 -22447 102222 12668 -92401 48413 8850 -10822 7105 177637 -152556 -237256 194445 -135955 37480 -70238 95348 -26466 -110890 -25703 -197623 -46508 -18885 81064 -227825 -14721 339 -81164 -53529 -181387 -89245 -83001 25241 -7550 62135 99724 -103819 38405 -45701 5883 -44384 12547 161149 36981 -39191 20417 -154367 28903 -58655 102257 144279 57268 -155382 40457 11071 75542 -158588 96363 -52764 79778 -65534 97730 91324 11794 169445 107798 -197563 -123455 111386 -166043 71250 -163924 132619 -41064 -158658 -166347 60323 144022 -21 -64861 -125333 17837 -31863 15675 -11077 229957 -56971 -131627 46300 -32925 2510 30991 34529 -32817 -5084 33680 24669 130246 -1555 -116429 -46706 39598 80872 221329 -104024 55034 -190985 -8022 -3190 40877 41887 -6158 110766 -78497 66342 20847 -60063 -58334 -71175 41832 18453 4909 -59690 108476 -32331 -96778 29832 -94548 153233 -105771 15756 -47915 64049 -64493 -757 -47215 -120546 -115154 -48289 14123 88823 1362 -36909 -64210 -109871 22419 53098 
+-108535 -155452 -22063 50040 -29044 44168 -70278 -12203 -113569 13421 11892 -45309 58339 178879 86188 97227 -14953 26292 13477 57199 -155715 127906 -2460 -56104 -11681 36977 12220 -51441 141730 -16978 -194908 108227 -20380 -104695 68150 -3420 -71429 15542 -102824 -32715 7873 -157111 -63620 31141 -19709 14061 -180459 581 -171145 119002 -39366 75808 119657 -43421 25612 -26084 -198838 -58465 40567 -25103 56731 51723 109364 91159 60150 45215 176842 77640 -72023 211870 115581 -132401 216203 24898 68244 125367 89265 -56577 97043 -166593 1109 50234 93034 -14576 41697 23919 -140907 -147085 -5102 -13021 -17613 78986 72849 -189869 -113540 -17774 -72323 181899 -11911 21028 -4357 -137050 58019 -26131 31597 -35057 163604 -44531 -90119 189117 -154015 37086 -191386 150543 -19282 -109153 -32512 -200211 77676 -49878 141880 -253820 23183 29134 28592 -45947 -169205 -93908 -26810 125352 92043 54773 65181 -81083 54016 -42776 162298 -5690 2922 131261 35203 -45567 -13280 -115230 54732 -15454 -41954 146516 164077 -136559 62814 -2828 91601 13670 109723 -21607 60074 -57256 34594 2515 53509 128384 173689 -136425 -121448 11483 -226202 95503 -120981 98600 -28413 -122756 -65426 23363 113948 -10902 -60775 -39552 50698 5290 31645 -63831 194108 99141 -140332 29485 -1577 -28423 33290 56241 -45698 -8838 -5626 36777 105085 18695 -160436 14954 40941 29849 161103 -32289 15477 -182640 -38440 17216 11491 -23268 28580 47902 14272 168275 -41292 -70447 -91890 -54114 34785 78876 33281 9414 -35050 -42695 -25352 -75951 -65560 123885 -107273 149635 -41267 61713 -33688 -2015 -66306 -93125 -142742 -62055 -44296 74537 27844 -16557 -110396 -59681 87086 88457 
+-110951 -88064 -3998 157295 -88269 68095 104059 2164 -236539 39189 -7142 -37935 56454 121978 88915 100625 -30848 59497 -3482 22413 -114520 128627 28318 -91988 -10959 17167 84820 -44547 91434 87369 -141540 37868 -71 -78289 61341 119137 -49621 30382 -43882 -40108 -118634 -146208 -99523 12818 26476 33951 -53544 -37 -226495 135134 -50954 -55105 31196 -10869 60978 12787 -207096 -41880 40482 16857 50338 41385 -28582 152347 41159 72595 113139 31699 -82103 128647 96560 -84048 103101 -33377 71030 8101 115512 -87326 106442 -183551 36608 38192 167116 2877 43957 82546 -126912 -138857 37055 -20483 -44435 82359 72123 -181952 -36835 -5088 -95658 91303 -23080 -105854 -2585 -148823 44928 24126 71317 -65137 147813 75060 83948 120748 -172390 82097 -125472 186893 -13975 -107429 -32207 -179178 151562 47103 224052 -234253 15860 104630 128030 -4338 -151762 -76873 -104648 137845 93874 61496 25562 -79734 20188 -13769 220758 35912 -483 151282 32463 15658 -82785 -6050 77067 39368 -204856 -37124 215302 -112023 49760 -35545 78102 101113 106097 -13475 12554 -84718 49299 -26863 51594 126018 152002 -32160 -124519 -61470 -250496 35247 -47339 60075 40059 -79831 73691 -45004 98271 8454 -62322 37093 64494 8500 -9772 -9242 122002 69760 -133791 -7554 -813 -17447 12476 66565 78147 -10660 -29320 -7517 53261 31721 -104910 -64702 58293 -8196 131111 -40655 -867 -155318 64771 43988 -38044 -141233 86123 4085 134143 137306 -107013 -44853 -114117 -56090 26750 144828 25007 89038 -181172 -58426 21471 -94859 -119795 141761 -5661 284883 -41059 65815 -28398 15402 -80756 -83698 -59281 -90688 -26051 29721 -3681 -48867 -92827 26355 94316 69402 
+-101346 -23077 -2042 189723 -177871 61120 115086 5174 -227468 39010 -4503 -41450 53824 3770 133858 85746 -18701 67414 25618 22609 -64594 128550 27722 -98219 -7498 -18089 95326 -32036 53803 197787 -68525 13130 -57804 -52621 71012 203219 -54424 12402 -30209 -27290 -204373 -120168 -51201 8864 958 28787 26301 11793 -221533 154083 -48749 -49382 -44280 -55971 63609 20117 -100088 -16599 36289 32039 34069 -4553 -159114 140827 22244 17040 -15831 -141231 -73860 -20235 41924 -27230 -37246 35879 64411 -178466 120790 -94936 126986 -165952 41414 11286 157923 16532 41191 -36028 -48815 -79603 13938 -1140 -66974 83329 83849 -158248 55324 -7741 -75984 -33426 -71786 -223342 -24467 -124459 36358 105513 95258 -85655 131418 120222 109133 21318 -176114 78715 78226 206351 -2072 -148589 -39808 -134011 46020 171145 270679 -239111 15804 142010 140027 -26800 -126105 -86641 -164628 67638 133526 59829 -16972 -56972 24290 -40038 262043 68455 -13864 85744 30949 108188 -54170 22585 89007 105265 -181322 -175937 95284 -85930 -9822 11483 83299 66340 115050 -11435 -45848 -72501 30111 38824 38417 131502 63200 38978 -108944 -43031 -244609 32329 31863 37307 59553 337 115542 -127129 77950 11644 -59056 80819 81573 22666 -32882 -28225 19904 -87880 -130492 -31093 -28090 34482 -9321 62111 201441 -6288 -71339 14515 8191 31532 -15221 -79233 79853 -40663 88990 -4605 -56672 -70873 186561 80891 -78045 -170686 93616 -42244 143737 100131 -127508 -46042 -121841 -75735 18894 198369 52207 158843 -188832 -94261 101862 -33757 -77037 96030 46501 229363 -28105 76874 -141883 -11434 -123357 -138198 30464 -124184 33268 4059 45564 -39478 -80403 73424 18228 61767 
+-86897 -45055 -108 35809 -143210 47960 -39531 53125 -73218 -1721 10989 -49476 50101 -100055 65821 58822 26579 56635 61520 16242 -28667 136881 42793 -93857 -17956 -39040 89 -10459 -8147 256670 2813 -42559 -82804 -21629 73077 114747 -54999 48443 26467 -26349 -137501 -87957 19236 64416 -89115 5607 10860 -49421 -228364 138660 -62115 16469 -130513 -49462 44517 -50448 17682 -5846 37325 18275 15546 8490 -173225 56217 15489 -1343 9914 -225062 -96917 -52304 22674 25052 -134677 152287 46541 -124857 107615 -49661 101792 -135442 65471 -48493 71893 15675 90443 -213984 26663 -102016 -7314 -10478 -13064 82761 91005 -116319 67671 -939 -113023 -93927 -84955 -264533 -14969 -67347 68685 138138 98701 -91181 114066 62170 -38839 -36881 -158530 84563 118413 206015 9848 -106832 -34258 -75740 -60076 238414 266458 -146313 31346 194981 -8104 -2376 -100700 -118437 -116171 -21475 104242 65905 -59428 -14081 22290 -32523 200649 40984 12842 80178 31853 195825 -8595 7443 85321 164916 -1432 -138748 -62159 -49025 -74968 -7649 66633 -94607 113622 -52191 -54045 -73246 41213 84163 -5125 112223 -9077 -8258 -138031 51070 -205637 36500 109346 41448 53561 77785 105142 -52010 19532 -15785 -62863 88460 91718 -35457 5200 -56492 -62667 -190970 -134051 13560 -110152 92793 16396 52635 166970 -5789 -88974 23307 11809 40791 -2757 -83612 38632 -34792 49714 7091 -72549 37201 223867 82626 -85859 -54355 85912 -47294 62709 -13822 -95567 -62780 -113401 -90149 47215 233335 36551 207934 -39365 -131280 102577 132700 -105607 60416 21095 60800 -23534 90276 -165861 -3697 -82507 -118289 2238 -125793 69944 -31715 46046 -22856 -42679 -14877 -68077 69793 
+-78171 -131828 -8972 -48717 -33335 48875 -161841 65975 71306 10953 34471 -66012 48394 -173402 45829 598 82622 45735 34300 38592 1227 141978 22844 -88809 -14487 -60062 -95183 -21360 -56499 237256 74640 2848 -20240 6603 91779 -44240 -65918 34994 46998 -6777 -25772 -59127 107750 125969 -125872 -3846 -135315 -33799 -164549 152146 -2565 168955 -147864 -36452 -1248 -101467 88822 -28566 39295 -21594 12732 32991 -71742 -4929 21457 -15288 89136 -118439 -112782 103344 -12618 51019 -143045 214507 49194 52403 81323 10241 50079 -87584 92158 -76773 -27444 8101 66809 -231341 98906 -75720 -41061 -21329 10234 84200 97879 -56842 37511 35404 -86128 -42351 -111511 -225352 2367 -47569 54034 87082 79610 -92597 95949 -60264 -211956 -26039 -129582 88435 -65280 180728 -44073 -133457 -42484 -34774 -45043 146085 227597 -113696 26058 227118 -111924 32855 -75446 -109447 -42172 -116058 26744 59451 -98629 -39276 72402 -12408 83400 12947 5336 16557 34426 237047 103 -64141 66521 202654 114667 85795 -75582 -53063 -165536 -55253 44189 -222656 113357 -71277 -61914 -74376 22056 165166 -44600 108313 12998 -102382 -130347 98606 -121279 -10756 137817 70770 52575 88260 -15589 26597 -26145 -37933 -57372 50371 84517 -114819 18577 -82589 -64087 -133386 -111692 11570 -160564 150092 17445 27908 16960 -9387 -73255 47750 43515 41288 25464 -72488 61347 -18076 1317 25082 -87041 108545 151803 143799 -113503 40205 137992 -24938 -46172 -131632 -42011 -16376 -90131 -87198 25109 250329 33015 217202 104416 -159955 166352 213732 -64434 77758 -45996 -98127 -22164 101313 -127392 -16819 -94794 -108574 -27554 -95215 25482 -83319 28598 -35269 -46141 -126119 -101313 70170 
+-96316 -149039 -26086 -61305 16622 50547 -82044 105130 83561 11923 21898 -63555 47397 -181614 -26757 -47739 106841 11918 77097 56532 39193 109673 -34698 -102650 -15332 -84511 -81013 33232 -93684 157656 104573 36707 -3717 26233 99936 -147271 -69577 18715 61901 -28327 17177 -45626 162914 166797 -71762 13377 -183163 -49797 -60444 121587 32058 193472 -138394 -67042 -59956 -97562 58963 -22028 46856 -45053 13622 52498 71809 31801 38788 22506 142016 44814 -120365 217330 16743 35043 -43406 142275 66914 113226 67865 108483 11402 -20855 78902 -75942 -81221 -16549 71195 -84008 91890 -75849 -34228 -13393 26937 81532 104206 2346 -41414 22018 -52187 80391 -133545 -115682 -15884 -62721 73230 3583 46967 -75651 77628 -153986 -232981 39199 -84867 78997 -207118 144446 -15941 -56715 -41229 7193 72036 -8930 186919 -96340 5725 258856 -7182 -1602 -52142 -127132 -38270 -136718 -62278 54695 -132298 12158 64129 -27983 -21973 -42420 -2124 23417 36721 214515 56225 -118010 40580 217287 21808 176826 -60615 -60280 -198650 -62748 20767 -175808 114856 -95529 -42413 -50866 37324 172295 -86076 80878 101716 -158428 -113041 43128 -38093 -6429 146741 106466 45326 99744 -101989 64553 6996 -18229 -56414 -22256 104998 -130672 25860 -55152 -97277 11828 -119339 37625 -112427 72492 -15662 4256 -58603 -5075 -54489 56290 93384 46720 -21655 -125474 57238 24331 -58187 1040 -96612 140099 18933 145702 -115005 10778 126670 32769 -136945 -174294 17824 -19979 -55537 -69162 21102 237816 33264 202103 110472 -153660 178591 187380 -98933 58697 -93582 -122470 -16230 107665 -21851 -11040 -89060 -97536 -143926 -55795 -40075 -133395 40328 -57535 -59950 -131024 -62485 83528 
+-77244 -100502 -12634 85133 -55406 43535 86011 107337 -47588 -5187 17706 -53456 48890 -124563 -83028 -117964 117126 -33749 76311 48362 67789 90508 -65215 -94652 -11254 -80229 337 31634 -117683 54984 126922 78462 -44682 56105 77137 -76470 -81434 -10190 38823 -25090 -45185 -38644 194474 200628 -1193 33683 -107405 -53791 20439 123244 61125 61650 -87716 -60060 -148959 -91520 -60253 -33578 43917 -35754 33420 31527 162876 94517 58302 47974 140385 51028 -119675 159205 27573 -1986 91823 26162 74588 44373 78281 139496 -2760 69084 52206 -96339 -38821 -38589 43676 72836 16966 -64535 -18482 -1806 11292 81047 102847 35996 -122555 34285 -21143 177583 -111816 9999 6092 -108700 78343 -30830 2148 -40793 58884 -148701 -80673 132011 -43957 73343 -138393 84460 -6684 -34733 -45380 19106 139071 -69574 127508 -107643 20097 259525 128334 -22329 -29814 -96495 -70824 -115772 -95357 58224 -157677 -1812 63170 -39135 -46855 -57238 -6761 31611 36766 138478 96769 -199704 18062 207963 -158363 41258 128932 -84317 -178683 -99499 585 -3445 106776 -58762 -7396 -72361 52850 110562 -95451 76338 172510 -210315 -135235 -27204 47169 27059 86861 130426 23734 82574 -205280 -15614 -14555 -26344 -57169 -97845 93361 -160419 3498 -39864 18691 113460 -90839 913 -58484 -20054 -12522 -15701 13100 -6542 -29083 51114 122470 65067 -84912 -124535 35093 74301 -88494 12426 -102660 144202 -53098 160041 -125966 -93663 141814 83987 -179745 -192375 64916 -50848 -15261 -56792 54375 204669 30422 155475 -16011 -142998 156590 58649 -56417 95899 -78715 -10588 -11418 105890 -36552 -1283 -64740 -107536 -120944 -52321 -51941 -171286 -9384 -38346 -63677 20859 53646 76514 
+-94282 -17792 -18219 173484 -136253 13939 116618 133576 -288777 5438 -15710 -39571 53533 -28664 -165810 -150081 75716 -86648 39453 24275 91993 71625 -52204 -80923 -13255 -73222 90601 -8413 -105409 -52340 101121 83776 -33510 68624 61809 81592 -86516 42168 15475 -55808 -182154 -78561 138520 168817 18545 29322 32032 -19149 77581 95393 62131 -44532 -21946 -27817 -187485 -4638 -169326 199 51851 6881 56454 46491 89629 133557 72389 55982 56719 -111890 -126091 -14698 94608 -62468 203996 -28135 63367 -147019 58035 122036 -42230 130908 39249 -79738 60427 -44014 -26187 62772 -73728 -36386 6593 -11015 -30714 80958 105784 56218 -127843 28482 -3752 172791 -72428 98803 -31837 -149542 64109 20470 -38548 -3822 40396 -33951 89860 194553 -33777 71965 52802 28972 -12061 -88079 -45440 12070 54407 21255 29661 -14571 -19947 267474 164479 -36916 -13634 -95798 -147708 -11227 -93780 50206 -173051 2822 53089 -46218 -6531 -30450 21673 52437 34607 42936 150467 -174613 3691 184397 -215424 -177616 186381 -126172 -112690 -103610 -18650 154561 103415 -36119 50434 -87923 102530 34621 -75886 43698 150678 -150086 -98327 -51894 110456 -5299 21075 124566 -32406 22364 -155507 -117917 -40864 -15856 -61958 -164320 90598 -177843 -16587 -45369 78228 1349 -80307 -12549 -31266 -47869 -12827 -24438 173922 -8248 18901 60176 133390 62508 -155451 -124932 45100 126180 -91289 -35270 -69885 184762 26800 116126 -95580 -176644 142897 136522 -103043 -148694 77357 -54451 25004 -57362 48413 149564 37 73617 -191059 -104576 92123 -31383 -46666 159920 21811 115298 -15760 96380 -102961 7542 -38919 -125742 -20613 -73016 32005 -227468 -20450 -65949 -100335 98855 115880 73031 
+-81782 -12294 2366 140878 -192256 16673 -28922 153837 -238241 7218 -1781 -37099 54054 89976 -288398 -182631 40849 -142545 -1605 21555 92703 47833 -37468 -74467 -9820 -75797 97741 19536 -111618 -91285 32957 -5337 -67129 90545 67457 180302 -95463 38898 -65954 -38370 -202972 -139544 58813 115256 -13094 6225 29775 -2409 144929 77767 107403 -53460 80478 -52758 -221873 22173 -205440 -29812 56515 36276 61218 34250 -47916 139269 72618 25717 -26875 -216667 -129224 -54217 80547 -118783 202105 36028 63130 -192417 32892 91960 -31224 171703 2249 -1679 154190 -51683 46136 -112843 -153845 -58034 23708 -13118 -56247 75184 116692 69463 -68404 16071 40585 69296 -33864 119421 -43945 -133613 68840 97801 -65613 45212 21596 84274 104842 193956 27585 53100 97680 -34242 -11167 -146118 -36763 -15340 -60209 182102 -54644 5726 25723 290891 24467 -16895 175 -136672 -150728 72416 -23374 50877 -177105 5528 55946 -51781 50840 12595 -19159 105873 31861 -30412 198725 -105270 3472 136375 -76007 -190176 184525 -148046 -52496 -147012 -37489 88907 102614 -55176 91032 -75626 67863 6247 -39945 31057 68314 -43741 -87679 53324 142184 13531 -60124 97975 -71405 -13252 -43836 -100742 -55468 -2049 -59441 -220979 72372 -140698 8132 -26404 187986 -171367 -78029 -26293 15202 -18382 -5761 -27110 185314 -12069 64280 81528 97136 65550 -144407 -161077 59396 182729 -85875 -90119 -85519 95380 165175 115401 -44568 -124834 107070 106370 -11770 -59009 56569 -51205 58656 -75394 45694 84916 29140 694 -181307 -65069 38816 -108432 -79175 134150 28346 253054 -2536 83287 -200720 -11114 2104 -73697 54982 -115123 87387 -273614 -53760 -34738 -73062 -17322 76166 64539 
+-86493 -94209 -52398 40074 -106595 -12003 -164206 150860 -83865 1167 11734 -46732 56330 166113 -241905 -232016 -19819 -180073 19159 40315 104111 -7428 12214 -83895 -8636 -54943 31095 16350 -78046 -64976 -36106 -50441 -11028 91429 61128 174210 -97573 30876 -110258 -25836 -91342 -152745 -33396 49820 -79284 -3977 -100748 4560 106103 51402 155367 88957 156192 -61894 -270394 41771 -159625 13836 57240 32616 48569 14574 -170478 41548 61315 7347 56479 -144990 -121699 87091 131384 -146532 131950 151287 68577 13063 55647 9059 -42204 174214 -33908 32535 166706 -29030 -1853 -260522 -123898 -42636 11510 21565 -21320 73448 123732 78766 22609 41839 11927 -50982 -4241 41544 -46759 -102265 67953 140242 -74732 92028 3488 122305 -48775 138350 54518 32039 -90420 -78143 -16371 -85477 -43213 -69101 -50509 258789 -81083 50838 12122 252266 -95403 -52004 11100 -97987 -94709 107801 10029 49639 -170598 -2579 37384 -63946 206701 42005 -22830 118050 30827 -53982 196584 -30960 20493 78842 94449 21962 47719 -119795 28774 -145264 -36064 -85926 100593 -15542 113910 -58586 73220 828 8008 7881 -2736 10094 -63120 130527 133183 -16664 -133436 54837 -93529 -78193 92479 -44753 -56884 -4400 -61243 -217758 57981 -77497 22986 -40056 215410 -194363 -61761 -5091 -17937 35423 -12486 -11585 71316 -12225 89441 85229 65967 75797 -64464 -206868 49766 211077 -92118 -92915 -68121 43836 242582 49298 -7658 -17533 75659 65543 100188 95814 5184 -34681 81421 -91600 28410 25434 31707 -68214 -34745 -43249 9242 -30520 -48400 118803 -11992 215933 2286 71291 -171443 -587 18554 -90886 -18850 -127990 31855 -297851 -42515 -45322 -62556 -114378 8565 32548 
+-81933 -148262 6542 -69603 -34163 -37857 -109079 140427 85143 3342 3532 -56058 56119 174483 -302307 -231914 -24241 -211769 23497 52998 79472 -6875 40934 -80110 -4690 -23834 -100668 19601 -22635 23637 -109583 -61209 -21308 100668 79589 40115 -83618 36784 -125297 -44239 14099 -150462 -76352 10337 -120692 12635 -194758 30673 80699 24308 92379 226172 214820 -58883 -227677 -37823 -38072 -18360 67145 -10789 38274 50309 -173480 11492 41059 -5359 137062 26932 -122429 208408 119407 -126869 -6348 210607 39992 127869 94582 -58341 18499 159065 -76589 38264 118524 -10198 95730 -193339 -16313 -61090 -19683 -21227 31694 70487 122635 77615 76147 58647 25426 -92848 -26343 -95624 -14862 -39953 73311 85996 -61406 144069 -13673 49264 -217144 46027 56682 51116 -186831 -112470 -14602 -73329 -40826 -117733 84009 167131 -98050 119711 14451 235560 -23433 -44652 16051 -107262 -48773 83836 83135 53371 -153072 -1022 33142 -59487 251642 71492 13687 150921 32231 -8556 195087 14696 44983 13336 83260 175500 -88777 -127684 63732 -150005 -34667 -204062 105198 -15569 93023 -74685 83173 67860 37931 -3062 11183 9076 -90764 57558 81737 -13792 -170927 36456 -143836 -114051 150041 46673 -107510 19000 -63883 -179357 43638 -79948 26330 -42640 195819 -69568 -49547 43746 -62823 127183 -20673 11212 -47506 -352 71216 116054 338 86958 26284 -169594 74205 239735 -68808 -98282 -29237 -52731 176306 50534 50386 69292 56081 -1271 175085 146497 -83939 -17405 89459 -87305 53074 -23329 44454 -106476 104726 -34154 -44347 83825 -38786 111426 -94893 83555 6394 63191 -61108 13860 48321 -74283 -111407 -108044 -36881 -313714 -35773 -45287 -32133 -78605 -98474 59545 
+-91928 -140065 8344 -59742 31054 -12196 73715 93794 82621 41343 14101 -60655 51798 113848 -257491 -227076 -35483 -221910 997 63007 75144 -65320 43993 -78394 -14347 15566 -84740 38836 29949 138263 -188039 14667 -17345 106218 88451 -114331 -75727 2360 -130209 24809 7226 -160350 -78715 10696 -71626 33349 -133511 57594 -11018 20168 84403 180268 217560 -36942 -168345 -102622 63929 -4404 70055 -40444 11309 33924 -57996 29593 23984 40828 140477 69396 -121152 164073 99687 -84377 -113169 144954 71053 44144 94785 -107514 -220 142589 -117366 47386 1120 7199 51751 -3865 57202 -64333 -48243 -2535 22377 73488 136877 52729 52105 64321 21026 -23974 -62690 -208378 9533 -46776 90403 12596 -30786 178225 -30700 -77933 -228830 -13644 95502 53570 -129084 -129688 -15542 -69185 -38860 -156956 136219 -2792 -61404 64804 27015 211773 87073 -36922 16497 -91899 -70442 -3508 128932 56794 -125852 -19921 39884 -81117 217647 25303 3521 159345 35097 82812 193135 24823 69686 -32425 -96645 97560 -89131 -92466 43064 -138240 -25956 -160846 98099 -35711 38023 -81372 74198 167407 58492 -10453 95140 -69310 -79570 -35479 11453 9180 -165803 46095 -191635 -139459 77573 28855 -113066 16993 -57682 -98009 9437 23067 -18252 -80022 93569 103953 -35756 46992 -112312 103803 -9046 30510 -50945 -9545 41106 94407 15179 79020 40489 -176220 91535 227871 -39923 -155345 -711 -100030 39091 21246 75931 -36396 -11907 -45728 110902 178304 -102355 -39838 80997 -69892 40930 -50442 42629 -93687 113652 -47468 -120974 188106 -4701 60155 -86646 -71065 -7023 62187 -2303 37284 81203 -96738 -124127 -69935 -50243 -290367 -22821 -47491 -77782 1652 -98647 65935 
+-85327 -17402 17498 74587 -31529 -32225 110022 69470 -77256 14579 -2279 -57146 49121 28330 -270164 -218177 24727 -222621 13098 44417 30195 -79419 51125 -93583 -11601 31383 21969 10235 74745 229341 -222094 77044 -18406 101179 88465 -126909 -77059 -1521 -89282 10210 -112533 -97630 -43817 32001 3296 29825 -18913 60076 -56096 -17633 65132 2895 179321 -54665 -123770 -141454 77403 -5097 76441 -41519 19161 43995 89011 98437 14402 87697 76818 -70793 -98850 2570 83672 -24083 -157385 25134 65477 -153615 107100 -91235 41293 83039 -163903 -9600 -75514 25233 68168 95939 73375 -30466 -41190 -23623 -15345 73357 137368 -1836 -12267 56366 -3301 101758 -74247 -269313 -53130 -98931 55808 -25974 11306 191783 -46334 -161669 -72641 -30910 90271 65486 59547 -121249 -12400 -80957 -37781 -184111 74887 -80220 -2750 77149 1710 160807 140944 -8309 7049 -106964 -126220 -77366 113234 62702 -91286 -41920 33717 -54854 142410 -9538 9705 139420 36917 177578 95942 -41372 86318 -85397 -219132 -110511 1472 -65971 10587 -213306 11529 -11061 84450 -63211 30510 -58976 78935 183051 42158 -39054 167029 -180058 -55541 -101286 -54492 -5347 -99517 69606 -206141 -125938 -58956 -69301 -102611 -32058 -52574 -13664 7171 15776 -12262 -55344 57810 73746 -41736 -18016 -116927 25629 -28568 54993 99346 74 -17485 154524 62680 78229 -21663 -177839 66265 201556 5401 -145904 10500 -130220 -39539 8121 128964 -138704 -29106 -57986 23563 133773 -114948 -19551 58367 -56652 43907 -53735 13738 -59462 -43437 -81805 -113635 165016 -36379 26333 -43347 -103676 -2266 68475 -54027 29772 100760 -93857 -39632 -50341 33061 -253624 -29775 -36956 -103160 101236 -11503 50331 
+-87328 -14503 -24781 173286 -142524 -15090 -10769 58492 -249590 35511 -28283 -52403 46085 -98013 -172618 -182707 102496 -204625 60164 11449 -889 -114830 37062 -52661 -12969 56611 101248 22599 116661 257317 -218203 140393 -54580 91897 61828 20050 -49144 16599 -46736 -38483 -194756 -57471 48835 85121 6204 6884 47620 44794 -135409 -31193 -15300 -83340 101905 -57885 -37346 -75389 -9730 7367 86553 -8276 15977 25069 138987 149149 21933 33325 -33843 -220551 -94126 -74885 39689 28348 -79732 -29620 77146 -189602 121648 -32913 85105 13156 -188728 -24061 -59940 21421 23597 -3356 49834 -45038 -23019 13485 -30464 75176 140383 -34433 -96373 57453 -75830 185297 -80045 -232242 6015 -137381 64362 12998 53904 223490 -60539 -135116 93201 11445 24518 70029 74428 -91426 -806 -78143 -37553 -197137 -67029 9741 59215 75327 2218 119022 54577 -22692 -10464 -58819 -170405 -137615 64372 58525 -50886 -45486 89330 -71998 27444 -49260 -10665 92624 36495 225815 77879 -142193 88816 -114590 -141225 -221117 114430 -26491 -72144 -200620 15035 127448 93225 -70571 -23537 -79776 23292 116671 1240 -50174 157967 -210983 -21173 13713 -147285 118 -30482 106621 -202914 -73092 -172101 -100893 -87401 -4563 -57311 41568 -18975 18892 -12549 -45695 -62633 -97024 -25585 -7287 -106140 -38382 13319 67940 206564 -318 -46368 125958 120280 80228 -101756 -187683 69570 158424 53692 -101525 49360 -203422 -2557 3062 137320 -145922 -35052 -20716 -133119 22237 -97645 -21924 23912 -56346 27521 -27648 -899 12245 -173577 -121292 -88818 110870 -26899 65581 37908 -10912 5282 80706 -147467 45632 145619 -84417 39811 -59365 77374 -217580 -17487 -22816 -110034 17014 72408 10468 
+-95989 -85925 -24538 174899 -181453 -27043 -136376 28994 -234091 19145 7273 -41598 49031 -172455 -124336 -131602 131081 -172004 53141 20479 -40254 -165197 -14000 -87066 -9196 68003 96505 9493 167555 211664 -186003 24306 -47020 80563 52864 158331 -51047 11518 9764 -48126 -148072 -21338 132874 146079 -4013 -4085 -31260 68673 -182458 -64416 -26874 -16666 5691 -62565 8926 -30862 -131818 -9062 91708 34446 39321 28347 83705 127537 39010 1355 32832 -185023 -88149 83084 1971 49378 70105 36198 46076 -46851 104406 26805 129424 -71048 -202854 -81363 23215 4371 12044 -179744 -26243 -46820 6095 11492 -55686 78601 143624 -86167 -140363 63974 -101054 160120 -123269 -133398 19353 -162091 49482 98416 85777 217064 -73545 -12269 101934 110633 50409 65549 -84523 -51216 -154 -58228 -32751 -179485 -62120 156128 145120 41570 2174 65383 -88192 1885 -29702 -44288 -94106 -120211 -1082 61721 -8329 -62276 38620 -76545 -58938 -46206 -26727 39457 33857 227865 16610 -163498 76103 -119310 41840 -12413 176053 -40867 -148505 -226535 49419 71192 99825 -81268 -64674 -68174 10332 54098 -44900 -90304 69928 -155333 2301 117410 -211451 5313 56766 132242 -214163 -8112 -183684 -103415 -100025 -35288 -59744 81762 -33812 -49447 16882 -56353 -56113 -191294 -9154 -13367 -35773 -43089 19601 66050 161743 9880 -72183 110405 113313 96271 -131415 -174357 107307 98525 89935 -116675 66196 -195779 126603 19960 155022 -59001 -63373 43352 -179885 -60081 -28545 -64494 -16038 -71894 45380 15523 17800 93885 -182795 -150725 -63250 -38103 10024 68169 20355 130416 4534 94415 -181669 38196 151542 -77008 -11423 -84455 45552 -189319 42880 -54496 -94644 -87798 111606 11143 
+-86166 -161167 -36059 43656 -119084 8013 -111974 368 -87656 9612 32309 -35245 51943 -184094 1367 -84310 144891 -133464 50751 51756 -74755 -172439 -57321 -67788 -11462 88906 -797 26401 145611 108145 -125668 -35225 -19348 68571 72857 175684 -52077 -3896 66499 -19591 -24076 -31323 185450 180948 -70520 11949 -153512 34710 -229354 -47844 -67423 112492 -93145 -51861 51535 32248 -198995 -36444 97872 28732 58723 24661 -61601 55854 56942 -4981 114428 266 -71650 188582 17651 41131 188929 150695 49671 119782 95955 111328 115477 -125283 -235973 -98217 117394 -18695 60204 -254364 -125385 -58495 27863 15458 -3766 81866 152368 -146689 -104538 84279 -92856 47052 -89531 -7037 14484 -129304 38293 134868 99742 194445 -85163 93575 -58982 171573 -16048 99141 -254123 2705 -10991 -62832 -32827 -140727 70433 225856 220603 -4884 28973 28772 -69276 15151 -50418 -56814 -64620 -62839 -57106 60014 34062 -92598 59777 -51834 -51146 -12992 -38929 283 31329 162493 -43116 -175739 52898 -93314 113304 141533 136424 -59455 -199272 -190698 67323 -96608 76532 -63650 -29456 -70886 35995 -4605 -79869 -90403 965 -100215 6148 87943 -243083 6557 123722 126231 -179926 64411 -86051 -2786 -118085 -43636 -56986 83726 -59599 -91670 -1826 -87233 -61259 -139702 -1530 32650 -11602 14100 14271 51115 1100 4048 -97926 120762 124845 107687 -146051 -170390 102958 39761 137859 -77023 94949 -153536 230836 91166 217450 29729 -90685 98204 -182822 -161714 28165 -72371 -56819 -89656 65562 74085 18449 161346 -42908 -158162 -47231 -99654 16371 128242 -26251 234498 -11094 103801 -111659 34487 154880 -96219 -61973 -118934 -41453 -123326 54195 -44278 -47026 -116191 44815 8520 
+-67905 -139331 -19660 -69024 18060 -12952 47985 -6417 73996 16837 28753 -53317 53824 -132169 51132 -20260 96416 -86077 70144 65068 -108683 -157119 -70479 -92075 -13335 96806 -72440 26661 162844 -2896 -51777 -52116 -17282 55662 70071 76014 -59945 21886 78432 -33348 23403 -53402 183147 187235 -122320 33044 -183607 29643 -232638 -42571 -69290 221088 -144841 -49615 45987 12112 -186176 -17212 104205 4550 54471 57333 -172472 7818 72941 27501 157888 72221 -67771 171647 22619 -5056 234715 211862 66391 99709 123387 138869 111844 -176776 -226492 -36837 170940 -34935 38810 -103959 -158319 -89311 11367 38604 26132 83199 155492 -138311 -10581 96375 -88910 -65821 -75177 83334 -5481 -52284 31309 98697 91950 182114 -95043 119711 -222523 199474 -38623 65738 -98406 62326 -22525 -48825 -21588 -92348 148276 163298 278909 -59837 3105 -4481 70867 -4425 -69599 -51275 -43968 53347 -85015 59668 72669 -108515 57631 -60759 79803 38955 -1761 32460 30990 67099 -75408 -123917 26483 -59425 -20643 152112 21011 -88837 -185225 -217699 80518 -221907 84974 -23790 -66756 -59055 73476 -14211 -94856 -116040 2447 -5225 -20432 -26553 -243146 32278 143299 86334 -166199 93048 33674 48324 -158106 -30893 -50021 43151 -65454 -114784 -11103 -52534 29487 47593 -5073 38717 21635 98931 4819 29263 -58265 4566 -86921 93751 75122 112391 -41557 -153747 79406 -5089 186333 -57990 97815 -94409 202565 89421 228295 22618 -121784 120359 -100215 -210915 72415 -49274 -90612 -88140 73063 137564 516 212966 113011 -153625 2525 -61396 21376 144188 -100784 219766 -9231 108319 -23346 32956 174961 -59794 -110194 -129276 -39594 -53351 52937 -6914 -36665 35951 -58531 -20124 
+-83357 -49072 3041 -73667 45169 34550 125922 -19388 93387 1970 15557 -60034 56046 -23759 79567 19370 15855 -34139 -1935 46718 -150860 -171264 -55937 -93874 -13595 101306 -67228 19829 145199 -74742 34650 17899 -74936 34925 93605 -102070 -64745 -4802 86076 -16273 -53593 -92971 114520 157637 -77877 30290 -65972 36993 -178207 -61704 -37987 153300 -169548 -34937 33467 -26205 -87355 -35528 115258 -34347 51971 52479 -163095 19383 73655 72097 97307 -35855 -65984 -13496 25892 -66036 174369 144457 73608 -115112 99333 117368 100780 -179856 -215510 -36895 134976 -49305 73385 57181 -97752 -67617 1431 25653 61833 84056 148946 -193386 64183 88060 -82925 -88583 -37584 107253 1384 -38892 39539 21483 64837 133647 -102945 33801 -223058 151376 -70049 91377 86469 123582 -21347 -32338 -24011 -39891 69904 24622 264408 -50217 -4060 -51791 124968 -10702 -96390 -76532 -104538 102154 -89948 59353 105518 -123818 68565 -68030 144369 59606 -8215 30727 32953 -9958 -35687 -23939 7479 2539 -185352 -39865 -66197 -131664 -152926 -197531 91947 -134938 84234 -39396 -13457 -86996 58779 72677 -80137 -140447 83058 15405 3712 -56990 -208018 35636 134032 51705 -127819 80260 103648 -8281 -115514 -2439 -53683 -29510 -73422 -188121 -42493 -72296 114440 99448 5895 -2524 -7700 151022 13439 5516 32789 -10893 -25340 90855 34204 93460 5039 -148030 100399 -30821 218642 -32976 78770 -581 103560 98327 197458 -104357 -151947 97934 31776 -180461 62661 -49652 -113460 -71702 33877 194893 23115 227306 125239 -121345 96260 41374 50940 113039 -104098 100805 -19920 104090 -8307 25065 163440 -84612 -73869 -92616 16077 -25100 52319 -36909 -95301 101597 -93531 -12856 
+-92492 -6412 16336 60347 -54684 39772 -4830 -14280 -51504 8811 -8415 -60879 57346 75671 92824 64431 -9872 13993 13921 17751 -174611 -181705 -9116 -79201 -7784 89035 16509 30219 84580 -99686 109925 70465 -64564 4450 76477 -132221 -79951 -29639 29993 -36217 -178149 -108177 28885 103465 -22662 7485 73625 4985 -135852 -18844 -33424 -17992 -132156 -74206 5007 -91286 31483 -27283 114933 -45190 31657 38107 -33929 94325 61344 50097 -10488 -194124 -69968 -93666 49501 -116884 58448 29520 87860 -186515 75865 69582 37305 -168504 -199865 -14924 35465 -49942 20978 72757 15587 -124943 -44215 30112 -7896 84242 146749 -166726 71049 103457 -48227 -3409 -6817 34489 13160 -64598 33914 -30625 23269 95808 -109857 -91741 -62425 77338 -113527 59613 87695 165403 -1544 -51878 -18568 1429 -49333 -62970 246602 -132867 24175 -85614 65860 -42206 -121690 -58195 -163318 110611 -8262 55102 130125 -145478 69551 -68859 230183 58519 8260 74188 35665 -51249 -71565 23822 1570 64982 -192128 -217189 -92797 -147368 -59950 -200055 70571 51008 80379 -7070 13899 -78874 61882 157236 -41623 -146607 162211 -60158 -16719 -3703 -138427 58691 81656 34089 -80229 48248 121266 -77551 -141441 -5877 -56433 -92397 -83172 -208055 10452 -78717 187463 12570 28496 -26057 -81160 73535 13582 -13538 180073 -13914 34472 102512 1095 96121 16405 -121131 73302 -42738 240730 21023 86318 52408 -22780 135654 168385 -171885 -109014 77586 144598 -61633 50320 -18648 -122145 -54166 45801 234037 9403 196927 -35377 -80049 150375 118798 77348 105785 -4768 -59311 -28715 93569 -167644 28876 165410 -77036 -4885 -57620 66148 46840 57798 -50687 -102533 871 -71301 -14308 
+-103108 -66961 -12236 188128 -160453 32405 -141204 -5639 -230622 10803 3812 -59656 55830 158981 98526 77458 -42444 33060 9549 28810 -188829 -174087 3105 -102317 -6108 75166 95903 6552 79799 -33458 116236 84563 -39576 -11688 69571 -73399 -90408 -39715 -25505 -18966 -198810 -142260 -50697 29359 21453 -4180 4993 -63352 -59334 -14990 29079 -92336 -77985 -73799 -75343 -139016 88920 -10883 114352 -12403 22298 9774 98363 137626 42452 -1482 12906 -204993 -67013 81758 125776 -142487 -95812 -30651 76771 -75741 15102 -3450 27279 -123903 -168302 21782 -49133 -33986 45511 -96250 67937 -121320 -22871 4934 -41252 82460 154657 -164322 22672 100403 -9918 122001 -16635 -69277 22852 -115482 38289 10971 -19708 52215 -113773 -166072 99226 -8990 -108731 65363 -52633 198168 -9644 -45254 -21162 22568 -55418 -24699 215329 -154940 29766 -83157 -51678 -36921 -143390 -46635 -131745 31185 66712 51382 144718 -138252 64422 -67268 236036 17491 14587 111602 37065 -26695 -8036 -1073 11772 124669 -13230 -101560 45832 -126717 2523 -205721 71237 89778 83409 -5324 84053 -84203 89632 169751 6932 -168678 164679 -147150 -9717 91423 -61999 49186 95 38011 -31652 35320 1936 -132446 -119879 11923 -47973 -190502 -80274 -150320 21048 -43663 189061 -167798 1028 -10615 -97768 -15275 24855 -27493 184395 -17757 41585 77611 17003 101914 13144 -100608 68912 -25284 264468 13552 66689 113198 -18313 113193 149402 -119066 -120246 -14739 136679 63458 -16283 -39797 -114173 -56050 10144 250345 -3564 144222 -181938 -47851 120782 192442 75589 67628 38267 -127408 -33548 78997 -207054 14208 172614 -97136 28472 -45316 52446 58686 14322 -39211 -89237 -98359 31631 -56278 
+-89617 -154512 -20224 172107 -183046 65283 -135990 8212 -256019 6943 -408 -45354 51778 172605 105736 88632 -45413 64778 16128 20132 -195703 -144961 63431 -79737 -8974 49482 80231 2821 -20448 77709 116112 67087 -34989 -40752 53425 114728 -98872 -25913 -57514 -13653 -93078 -133031 -70526 12127 -30783 11257 -114096 -28217 30486 11279 42052 -28751 8327 -64123 -150955 -83402 50080 -25537 122240 16913 14121 15419 143852 121330 23773 -13871 71005 -40298 -78368 201534 145875 -124048 -151270 37599 42453 122724 37851 -63922 -888 -68109 -139365 32043 -76852 -10753 40302 -233727 77275 -124236 -6714 5390 -81692 80387 163854 -134459 -54385 104681 549 189260 -29213 -190387 1679 -145121 24342 91160 -54970 1132 -116194 -123424 95888 -30933 -157449 60570 -184062 209219 -9246 -11988 -11764 17159 66495 154677 114025 -181633 24553 -103221 -73323 -56114 -160648 -71937 -118026 -43725 124665 56917 148074 -169990 40063 -56952 178343 -30766 -30100 155739 35875 59376 34687 -28656 33959 175075 117381 106838 158932 -108103 63455 -186596 47429 72728 83760 -39817 76419 -77772 120253 133037 42405 -188924 84650 -215819 -23547 104527 21367 75003 -82077 78121 -7623 -72620 -99447 -48330 -118006 37172 -52919 -218469 -78589 -136872 20774 -61361 141949 -194055 255 31762 -142865 -55951 32120 -22367 52267 -4798 61625 73314 60354 98194 -48767 -112449 76294 2242 265903 -2832 44020 161018 98941 97907 108923 9239 -103750 -30879 44477 125341 -81283 -21358 -91483 -72692 36163 238312 23149 58932 -177905 -31711 101619 162120 100617 51195 44360 -49377 -37318 67444 -170647 2708 134690 -57970 -36845 -70974 -25411 89694 -31206 -24937 -108027 -124229 95007 -56991 
+-102060 -159587 -25155 34916 -87906 63556 46702 34706 -96110 28500 30983 -41456 46154 123262 40950 87428 19829 71428 34877 42235 -189048 -98998 38169 -83528 -8900 12014 -37911 -12867 -47703 189473 71740 -33118 -36835 -82008 53698 196937 -88988 -30802 -140604 -20737 20635 -115146 -68452 -5697 -86197 32674 -186353 -68296 74045 38271 67696 149125 102419 -48846 -206486 -21921 -80194 -23357 127675 33643 24954 53962 69728 66374 16183 36769 150587 79163 -80741 152646 107955 -83346 -114962 154588 48920 111699 75918 -104034 -66343 21814 -110248 531 -20598 3435 15424 -220394 21354 -142334 22360 27889 -20618 80848 153049 -87758 -126958 122745 14134 145132 -77250 -251987 -7509 -128295 45270 128514 -73187 -47004 -116607 -10012 -68389 -8044 -158165 64956 -152557 190597 -6704 12489 -17541 -10175 143393 252019 32544 -229997 18050 -117504 65284 -80555 -177594 -105226 -23815 -130845 115919 54082 140456 -171369 49423 -41705 50821 -43064 -10072 143073 33115 149066 129806 -119926 60486 213499 51345 172436 188004 -70088 61547 -181362 26392 -82810 91356 -97637 92820 -58839 70759 44097 47504 -210286 -1593 -184025 -60657 59774 93522 85650 -144402 114625 40192 -91348 -167588 18690 -155235 10494 -56481 -221205 -64892 -70696 -1109 -3507 87531 -59199 7133 35618 -103111 -55619 45837 -10023 -76190 -19861 81597 68471 130473 86960 -110104 -72949 60480 57834 234754 -2400 23858 127812 227858 105237 65356 62274 -83222 -60864 -24677 150537 -119100 -39762 -57326 -87352 48584 208598 21490 -6622 -48766 -40220 126317 -21142 49154 48434 -58159 113811 -42032 62830 -48819 13519 109826 -64546 -151531 -114290 -53794 101588 -43177 -44451 -21659 11661 91695 -74848 
+-81868 -71564 -47538 -50857 7292 54555 114444 71728 75391 -30455 6325 -45535 46750 22261 -55807 60829 78547 57688 49329 51344 -186116 -87385 42789 -95634 -9244 -2326 -62012 -8414 -123732 249502 1218 -57512 -46310 -101471 64541 158949 -96506 -43753 -100812 -45599 33 -91437 -7718 57942 -87269 30789 -142001 -20212 103025 48356 112702 204636 192253 -64068 -234696 5803 -185786 -13523 131181 9169 33157 65410 -82099 6561 18730 39236 141316 544 -92573 1600 113148 -24662 2505 212186 59881 -51829 66790 -94453 -35525 71743 -69442 -8912 94804 23378 21736 -33426 -55864 -139191 14194 34064 40568 77149 166352 -44220 -111574 129061 36032 24419 -96899 -246750 -9009 -84691 60537 106566 -71209 -67535 -115107 104201 -226930 80284 -150667 72856 73011 164863 -31927 -3564 -20458 -62207 69972 185484 -25998 -209427 -291 -88601 158130 -54627 -190606 -96200 -83103 -142757 122862 50720 121770 -172360 61348 -32653 -8862 -39886 -28319 161460 31099 221321 162324 -172114 80829 220231 -141466 12730 94394 -34179 11367 -131419 -5206 -202598 84348 -62724 93903 -73292 97074 -11696 37158 -219200 -1748 -135097 -67952 -45901 128313 40388 -168565 133707 60894 -131522 -159296 31025 -84281 -15381 -54806 -159848 -56548 -25157 -1340 -67669 -16720 94736 11120 46526 -53223 17720 54884 18698 -18180 -8039 49459 32253 135207 99281 -153575 -49486 63559 108595 217954 -57093 -13101 111195 226665 67160 19025 -11678 -75856 -25040 -99730 126596 -101609 -40659 -17501 -89679 8329 150125 -14222 -63613 95605 -68553 108312 -101408 66176 96091 -127289 231328 -54771 62837 -934 8443 83530 -50850 -113169 -125543 11284 102777 -70333 -40180 -68657 64038 24186 -60887 
+-83385 -33990 -30877 -70837 -5837 57240 14223 91971 74571 26924 727 -51333 48568 -87098 -90467 24628 120679 26419 30700 43355 -162967 -42203 4007 -78949 -9097 -28132 -73379 -15002 -121636 254460 -71072 -37547 -53670 -123394 81152 -9997 -74231 -68833 -109999 -54396 -112206 -58673 73348 119365 -95390 8129 -10382 -26430 112342 75531 154930 84334 211410 -57933 -249866 17575 -219240 -1568 130323 -32004 40847 42154 -181830 28515 36017 67270 13750 -176716 -106903 -64849 74713 27114 146742 149869 48326 -201088 74870 -24790 -37312 147813 -24644 -54051 160624 13318 39432 95207 -144487 -149180 4706 36362 43589 75346 158138 -3635 -45057 132132 14743 -77794 -128576 -143421 8248 -37822 57918 25804 -47339 -93346 -111376 113215 -221159 166207 -145027 52571 82372 119375 -22226 -4177 -17972 -110021 -54053 47475 -87875 -251132 4910 -31903 114361 -27047 -192090 -115219 -121152 -73473 31416 66707 94206 -168574 48796 -45192 -47228 -1088 10853 119425 31287 235735 166798 -166506 89405 213309 -222315 -193956 -33465 -33536 -75881 -96123 -23727 -189719 92188 -71687 34135 -81780 97078 -17713 5393 -224954 77364 -20265 -62372 -26577 146492 118042 -151968 128654 84425 -151200 -46974 -47304 -113882 -28216 -49284 -106289 -28151 4501 4959 -109142 -74622 61642 4125 29858 4051 109771 73149 37831 109191 -18952 24011 58087 109672 90583 -85187 -28835 62224 170455 178519 -44621 -35224 64054 113922 65727 10643 -152670 -21160 29739 -182089 40712 -76184 -43842 22989 -72918 1220 93636 36079 -100972 122596 -98466 12179 -91965 107447 137161 -49766 249116 -45589 72199 -67968 -10153 71524 -87574 -25689 -111206 74934 75746 -21671 -42722 -40817 8235 -87141 -89655 
+-79746 -42922 -25322 60873 -42938 20054 -141004 130807 -117696 8735 -10523 -57375 55760 -163015 -197051 -24825 142322 -10470 46145 13887 -134412 -27726 -47993 -69685 -7620 -58030 32461 -6042 -148352 170899 -127717 52033 -75437 -148303 90073 -143870 -67610 -65513 -66352 -21391 -194976 -42172 146035 159923 7628 -4208 47091 -15963 68319 104299 136289 -62849 207904 -29275 -217551 -15182 -137879 -13907 131982 -49936 57223 36700 -154358 95437 57228 17067 -14491 -223906 -112049 58470 29312 47249 243455 25955 78687 -129864 113788 34908 -34969 167265 11879 -64897 159548 290 63905 20474 -175052 -153488 -23166 16899 -7348 72707 154546 37369 41393 136953 8780 -80686 -103229 -23543 26370 -45482 72878 -30141 -9696 -99230 -105895 18922 -52915 205790 -118826 59489 -61729 55883 -29383 -47804 -18140 -161863 -54048 -56814 -96279 -212837 14505 -3267 -44665 -10520 -193171 -122352 -137950 13336 -15988 59767 58358 -153773 25582 -42034 14933 45584 2020 115256 33664 181437 168850 -119473 81870 169537 -94458 -152582 -67154 -76731 -132753 -122841 -38582 23431 80903 -41717 7540 -60181 52861 50073 -41338 -226704 162036 34240 -100763 54468 106702 146799 -92397 89805 43064 -96439 70630 -99853 -81842 -13709 -49669 7301 -7204 32872 29716 -73890 -44124 -84602 890 -953 15244 109367 66464 50974 193895 -8403 5768 15188 65822 92090 -17148 -13632 32829 208770 139779 -79886 -66316 4755 -5406 684 -38124 -176371 16012 77808 -157821 -60544 -14179 -30453 57570 -59004 32282 29190 12942 -95902 -28822 -138097 35985 6327 102582 121582 17777 118941 -57312 84214 -164905 -9506 26589 -49649 38168 -71000 53968 41285 -34356 -36041 -103401 -133308 -93111 -63811 
+-69597 -133537 -49812 177924 -161667 38223 -125985 125015 -236064 -17958 -27250 -62747 56634 -185178 -232955 -72425 92669 -50994 68508 27159 -90481 28647 -41436 -58838 -6315 -68007 99046 -18483 -120465 62889 -192739 88394 -48240 -171313 56440 -98579 -58172 -41365 -15689 -63652 -143256 -52774 175563 194017 18416 10561 -69370 -5323 -6296 129360 84479 -103585 144662 -57995 -164549 -71246 -17531 -11184 126891 -23050 48719 24866 -27062 153530 72077 -6392 41410 -71619 -114123 195444 32235 38570 218376 -34853 86657 63091 122592 112837 27993 187724 38545 -122645 82143 -23274 56836 -161208 -76266 -131403 -47647 28527 -25008 73943 153527 83223 77580 133590 -24979 18008 -88059 76324 331 -86994 71702 7240 34846 -77703 -98499 -105248 104302 183210 -103094 61176 -192990 3585 -15587 -15486 -21229 -185807 57791 -33971 -77810 -243480 17976 32233 -83180 -3728 -188695 -112240 -97080 74206 -62400 71402 18145 -162284 41520 -42618 83243 55853 -12083 47377 36240 88974 172343 -28416 61850 121799 90177 46822 -74353 -80024 -181185 -75524 -44774 118241 104145 -40742 -34630 -61430 48471 134574 -78922 -235133 165521 -17808 -77881 117221 37641 70035 -13376 57901 30464 -45274 123895 -112243 -74993 -32611 -49957 64053 6924 -14995 46313 -52709 -29747 -217276 3389 -2800 -28635 64638 65622 62196 113936 -7027 -85428 7802 33484 87438 30195 23 63892 228798 71175 -122905 -88012 -52221 -28388 14904 -80423 -78700 45643 129519 -30572 -174448 50071 -58385 80555 -54551 -20228 -17265 45156 -48623 -184590 -162719 -73095 164165 126626 133119 40244 -30590 -55487 95897 -199095 -7145 -867 -62581 11761 -50489 -5816 -11414 -20644 -25325 -102383 -163623 -26059 -90163 
+-83864 -142237 44195 162891 -167311 42522 32981 151652 -247872 15091 27401 -52656 58361 -131489 -266480 -130899 45679 -111404 65537 39262 -47758 50457 -50653 -82150 -4042 -86205 73178 -16576 -82748 -38298 -226612 95702 -39169 -188722 56987 82169 -46302 -104048 36862 -9140 -23097 -56647 157014 192207 -25237 32288 -192282 15153 -74406 143048 27496 32010 72252 -57037 -112710 -125485 79327 -13682 133069 14457 30314 2769 108635 106600 73638 20876 153232 65119 -120554 191687 -32223 -3023 87241 31599 74277 126625 98540 129727 64354 155960 72060 -79730 -32856 -42497 58662 -252624 30875 -135781 -40335 34354 -64117 73008 158253 100205 47603 141664 -72829 140107 -33863 102735 -9816 -133038 78733 82288 72453 -76195 -89376 -170501 91855 97725 -108266 75516 -137685 -57761 285 -22027 -21700 -200545 131712 119675 -13086 -175020 208 75615 23325 -22638 -170292 -122438 -33641 125036 -88984 61507 -24505 -134409 71730 -33734 179723 32074 -325 16869 36972 2361 168710 -10584 36100 64969 99930 184138 92805 -108311 -194291 -67182 -31509 53582 102991 3972 -60310 -95264 36360 171375 -88342 -230278 92271 -122836 -110646 54734 -45890 89782 65050 22086 5152 34866 72182 -26705 -55320 -20089 -52731 84874 39986 -53043 -11742 -73125 61806 -132230 -14032 10931 -103678 -48279 53456 63521 -17438 -7326 -87545 3216 17398 70838 17614 22471 33075 233901 57127 -136095 -102875 -173051 67481 32070 -112025 32760 87975 121178 67457 -209945 75398 -39611 89330 -72554 41364 -49249 18295 17553 -189948 -154959 -93213 184183 130000 91193 -32634 -127397 -50026 105153 -74060 -1406 -23310 -50248 -9550 -53711 -53280 -77896 45092 -24786 -82754 2648 63447 -74780 
+-101150 -73094 -3425 28371 -121426 -7988 129059 140813 -105923 28259 40996 -38573 55257 -40965 -293633 -186844 -13527 -157216 16856 71723 -22152 82261 -26460 -87895 329 -82708 -19611 -6543 -28228 -87289 -210880 39014 14880 -187825 44140 164516 -44408 -92564 27161 -26153 25863 -107559 95418 132865 -102659 31220 -169113 33132 -166426 140382 70815 145729 -22507 -79183 -31613 -108703 82813 -5873 127650 31654 29109 39666 151409 42824 63078 29533 133659 26978 -127313 -4276 -648 -65886 -67540 158326 51483 -10312 130379 124907 117102 115693 89069 -47529 -77900 -52421 40827 -146836 43747 -126008 -13898 11895 -16548 76471 143215 70536 -26046 129712 -100971 189781 -12116 48102 -3173 -144811 86210 136214 95615 -28569 -78584 -113997 -77268 2775 -57957 82980 51090 -96459 -21658 -50852 -26710 -177465 81100 221059 51260 -185884 2804 106415 147840 10387 -150824 -126787 -75414 60456 -62768 62103 -66950 -137517 61935 -26656 236059 -3779 -20029 6868 35294 -45554 114602 25877 12909 378 -70060 50237 190957 -146392 -122960 -37167 -25633 -87989 97708 -23629 -72305 -69918 26889 128521 -80109 -247959 8434 -202465 -148002 -58670 -130073 119289 124890 41523 -35376 72697 -24420 51701 732 10320 -50738 71345 51507 -87736 -6554 -81251 171685 38462 -20586 55745 -150307 -75981 75336 48490 -61018 -8271 -97130 -9048 21065 97016 -79680 46317 57584 206024 -3413 -151770 -92785 -200088 194849 60232 -121309 15060 132740 65766 152222 -184178 76219 -43228 82135 -87318 45284 -52654 31527 88638 -47635 -128016 -126482 131600 114239 91562 -86386 -65725 -50895 107655 4726 1935 -53271 -60283 -143218 -89141 -10069 -134522 29725 -31733 -54253 76536 114293 -60551 
+-86560 -27539 26554 -61758 -13937 7642 32362 126039 52617 7629 -4052 -38377 50877 78917 -284479 -196822 -37338 -193335 12322 76079 12984 99488 21098 -79969 -9619 -75830 -77964 -32726 26032 -80544 -171585 -60870 -30556 -207448 76080 147912 -56201 -99052 66091 -15537 -47817 -120982 5065 77073 -94436 8807 -43437 30259 -215106 143798 -3129 225606 -121202 -54063 1670 -51842 -11359 -15111 121946 18814 10795 33352 57079 2039 42786 80576 39616 -148122 -127928 -59780 62903 -116152 -123974 210614 54717 -213704 81057 69133 110522 40804 83301 -24283 -40976 -38615 -7868 44752 71803 -128327 2424 17319 23485 77856 152559 69147 -109161 138555 -111230 127531 -28090 -62902 -15419 -118498 79383 102543 97247 -5487 -66070 13572 -230184 -33246 -34576 95635 93685 -123745 2593 -44567 -24015 -148584 -54459 190902 151913 -115017 16037 173677 114747 -9579 -132688 -118094 -133121 -24203 14995 57516 -105212 -110168 57657 -32138 222120 -42293 -3313 60787 32484 -35187 98062 -43473 1886 -54542 -215348 -160850 139493 -146191 -65716 -17535 1809 -232941 95166 -50541 -59232 -95517 42288 80449 -42663 -246843 -4024 -210603 -127971 -53511 -194806 108322 150502 81585 -87142 107590 -140037 31705 -15441 889 -48748 33813 62709 -151801 18055 -73445 208171 105037 -17352 15802 -129841 6162 97860 28983 66498 -15900 -83623 18462 72007 66099 -113876 47929 61418 166635 -21797 -112681 -97184 -183504 234095 91905 -103945 -91937 92489 -1744 144864 -68026 28609 -3397 59659 -89878 50752 -27080 33512 160487 108202 -101345 -105896 60111 134550 60683 -109355 98767 -48692 101609 -22879 -12384 -86461 -38664 -101025 -121974 54257 -150662 55941 -75727 -49373 36349 69980 -44595 
+-73930 -30594 14188 -53991 -15304 -29229 -150489 105059 113203 -2438 -19137 -37391 46986 156251 -242065 -233204 -42078 -218639 28442 43888 40771 110393 -611 -112973 -10757 -57226 -45606 -51442 74927 21624 -115692 -878 -69483 -210684 73221 1563 -64023 -123231 73757 -32715 -181146 -169160 -79932 22130 -55889 -4255 35922 62176 -249995 137295 -39616 65416 -160614 -34450 55705 23807 -130615 -5015 119869 -24676 17135 52305 -95264 37613 26266 36811 2900 -224398 -119156 65800 69604 -144339 -124226 147941 67282 -127620 75769 -63 115493 -34703 70721 21821 54618 -20951 66602 86603 2070 -99188 26383 18171 33239 80330 147712 23851 -138592 152633 -87312 2522 -56258 -180818 32730 -73030 88436 32131 79436 36903 -52163 113434 -215003 -19779 -1107 84570 -58634 -130541 -1212 -56941 -34009 -98384 -64479 59822 210036 -55343 7247 203065 -20520 -1522 -108761 -103654 -140452 -106568 92221 60544 -137061 -102660 69476 -43176 103030 -53310 -26086 52540 30839 30585 44658 -107440 6341 -104943 -167589 -177531 87515 -119837 29030 16122 27262 -168751 118578 -56600 10632 -74024 71368 4148 5706 -251095 72741 -154323 -129468 66914 -246050 105552 127820 108299 -135066 80214 -190989 -51380 -16011 60393 -54457 -51658 81724 -195916 41225 -38731 189629 -11217 -31161 449 -114485 117285 56910 4918 187253 -9584 -29900 28198 120901 67066 -120990 28143 64610 125374 -71588 -84792 -79019 -164154 148330 131812 -101468 -163272 137549 -45877 27406 52430 -35839 -49481 25671 -72344 31094 12034 37561 221485 119277 -61395 -61141 -95510 143619 59441 -62947 218655 -48271 90032 -153451 -4099 -100763 -51840 55132 -126789 55256 -206077 81597 -17075 -118980 -102844 -24862 -55336 
+-65361 -127371 -26445 25091 -57206 -18581 -144688 82148 -52931 579 -9560 -54658 46862 165111 -182905 -231912 28702 -224776 20630 37001 76848 125331 46725 -99822 -3178 -32587 25932 -54870 120748 114325 -27775 18891 -41997 -220348 74528 -99433 -75479 -104392 10377 -23551 -186986 -124455 -79508 8261 15794 9861 -13872 27573 -218151 140974 -31098 -81997 -157196 -28862 51961 36738 -209965 -29314 115018 -46530 35842 23357 -181322 103670 15949 15312 22786 -115987 -116964 212522 116625 -129869 -39552 19739 68565 17679 80418 -77706 86750 -87116 43191 71636 144422 -1540 61931 -51015 -82736 -88083 -11046 6642 -20870 82108 132012 -8002 -89844 157923 -68318 -86636 -54306 -257793 23819 -58547 74167 -25936 43532 98101 -36557 105819 -42599 60417 65712 80777 -250702 -114557 -25964 -50623 -36773 -47107 64115 -32973 269321 -23294 10296 236915 -90854 -77731 -78474 -104369 -93406 -147798 116323 40783 -160841 -61830 29171 -32848 -6174 -28687 11456 110285 31670 120838 -33268 -159189 24339 -113325 22729 12185 -68281 -100861 50367 -23001 47418 -18935 118083 -57005 42558 -30885 62577 -39420 36772 -241290 153964 -55987 -155544 103114 -247895 137336 61436 127766 -165308 44789 -114893 -122782 38952 10145 -47549 -132981 93984 -168848 34270 -70505 102855 -164534 -59023 -3926 -12183 128405 80948 -15436 152140 -6592 9448 32489 119445 49518 -98602 82370 50163 69296 -91909 -60405 -58073 -161601 12283 131765 -46227 -135633 131676 -44201 -82898 131439 -94399 -53399 -14355 -58341 59288 74072 18872 228108 -16791 -39478 -2321 -113010 149152 92992 26888 247833 -43488 76423 -177517 893 -98837 -38315 22066 -99057 -20123 -242007 50489 -35023 -99341 -126049 -101685 -33502 
+-94145 -154245 -32828 161491 -180687 -34830 29949 60529 -227167 -9262 4468 -58825 46165 130314 -101524 -219544 63029 -214303 -14923 18159 91050 122840 50143 -59539 -1143 -13296 93082 -20387 170106 221725 52403 76549 -74931 -195122 72777 -158868 -87535 -116047 -190 -42669 -93009 -105650 -59928 15222 14248 31861 -161115 27850 -204160 102707 -67974 -59001 -129094 -56144 20656 -14437 -179648 -58161 106245 -35645 58224 19345 -136170 160504 20284 -20121 118560 59264 -112955 189858 138548 -83153 112068 -28180 72298 75147 72568 -108819 96462 -137695 18024 36279 188138 19553 56056 -221136 -124040 -97730 -19196 17922 -37404 83697 129548 -59070 2249 150556 -64276 -69599 -95081 -245007 -40119 -59968 54036 1860 84 138893 -20518 13347 107983 154639 45589 80671 -119402 -73019 -24213 -58484 -41707 -9098 152550 -47368 278711 7640 11732 278747 -257 -71838 -58964 -97323 -56950 -107028 127771 45458 -174777 -48206 54915 -64050 -59495 9922 -7738 141901 34326 209242 -28351 -178944 50292 -103330 122553 170652 -62557 -61657 38898 6457 63027 111192 112867 -86206 100764 -39782 78898 16631 48721 -240822 171561 21215 -135304 79210 -224892 46196 -18356 115020 -209707 -21772 -14665 -81938 32049 -2878 -51532 -177859 84043 -119307 27409 -49847 41716 -195962 -54163 -44791 720 105354 104942 -29954 41369 3817 54609 65680 93735 54998 -19649 52821 64219 10753 -86478 -36080 -34900 -75170 -42136 156703 -65875 -3804 137439 -28431 -173664 183444 -127081 -70795 -54870 -57196 7250 139938 41398 195634 -180179 -33991 41295 -14939 134096 122304 28334 127699 -33406 66146 -142269 2914 -108039 -34610 -31049 -64088 -33295 -257487 9493 -24765 -111764 -21077 -83160 -43390 
+-71856 -103618 -22923 162259 -187024 -40369 125839 28777 -244373 6054 42239 -60191 51802 37003 -22796 -185880 112515 -191552 20577 34005 85468 112410 18557 -90274 1244 17584 84052 -2790 169960 264732 106992 104183 -5602 -203928 69746 17369 -93136 -131981 -69110 -21228 16344 -81891 14403 79164 -25154 31647 -197631 42488 -93520 86613 -22131 47569 -46318 -52159 -30741 -78203 -79777 -8224 101509 1200 54504 24222 -7881 118556 34427 15628 155724 48912 -100633 23417 153241 -21693 225884 33143 66858 -21020 43185 -80979 33053 -174402 -42386 -9694 120632 21500 67146 -221414 -114911 -84196 -41073 -10203 -51080 84026 135622 -109169 73299 165490 -19636 40431 -119138 -161062 -22155 -113639 77725 82574 -40127 186522 -3533 -119091 86278 200120 79120 49618 74653 -26172 -13291 -64609 -39801 8962 71946 81478 250472 53311 20331 292254 134574 -46556 -35372 -110139 -81886 -26686 94166 57422 -177087 -39074 46710 -66758 -59851 47675 -8193 162762 36773 238726 -59635 -114878 74347 -85984 8760 113823 3912 -40613 15242 -3737 71913 60150 115158 -106476 114650 -86417 96467 111470 39966 -242212 95980 -958 -122325 -20694 -150998 42812 -92006 87765 -212061 -91031 123809 21781 21146 -37766 -45274 -224464 91969 -77567 -28012 -98568 -42261 -29501 -60518 26864 -5816 28711 95551 -22731 -86209 -3253 89443 76306 69393 30468 25269 83594 18465 -17650 -86869 8875 -14455 28229 13635 76224 9166 55277 117566 51372 -171247 131514 -107099 -47369 -89329 -71897 32458 189261 19749 140861 -186279 -55623 97124 106487 179268 153263 -39493 -16376 -40795 61666 -63327 21701 -106687 -13973 -96269 -45029 -12386 -270799 24781 -31632 -28572 83053 -524 -22479 
+-95542 -20667 -34274 40607 -79208 -11102 52692 2395 -107403 9469 24842 -48226 53887 -80099 63854 -150030 164429 -159921 33162 71179 91042 127338 -17888 -77524 -398 52027 -33746 -8212 154473 225906 126508 20671 1593 -186987 73292 144741 -93317 -122890 -95941 -16342 6760 -81987 114276 127219 -104129 9495 -92943 -24622 -58645 75969 -37545 207243 40472 -65762 -102019 -129334 24350 3805 94662 26266 43904 46868 125258 54132 54675 38221 84330 -109527 -98438 -55290 76250 28737 231858 151766 58234 -208113 40327 -13222 6129 -173284 -64579 -52760 12291 12590 78988 -67432 -27784 -49493 -26020 18329 11567 83524 127504 -147662 69439 166832 51667 156254 -118362 -36175 -59209 -154179 55216 135662 -67034 201022 14522 -161124 -87455 175441 67128 54512 114815 39657 -24595 -40609 -41496 18756 -47785 229383 206996 80623 18439 259033 155673 -77045 -12789 -76282 -125911 71703 15079 58408 -168141 -27267 58802 -54817 64667 61147 -18554 142561 36798 202421 -50098 -40366 87928 -38136 -166107 -109552 126999 -64428 -70030 -28855 88224 -100179 109145 -65217 80022 -60260 89240 152246 2332 -223449 12373 -71409 -86568 -78939 -75937 27773 -154486 49864 -228873 -134921 130386 62507 89497 -28081 -45202 -203840 95644 -19587 -6192 -60437 -87287 85875 -73545 33189 -56313 -69267 69485 -9365 -3394 -9440 79251 69220 12408 50368 30736 94811 62025 -44321 -46961 1710 21659 111509 163475 121619 56776 -36144 102059 112281 -86978 55153 -53329 -15528 -112784 -88423 41110 233072 52388 65766 -38586 -94155 96553 217245 112570 106967 -87646 -126419 -26177 64261 -3317 9313 -86296 -20131 -114735 -78644 59038 -289493 9826 -36705 -72063 43608 83746 -3543 
+-83711 -13090 -4311 -24994 -8112 12205 -135051 -11751 47016 586 -4402 -37023 55014 -163724 55507 -98943 97832 -112338 66305 46663 84260 120967 -46902 -63071 2060 79000 -95238 -18831 125521 135033 100746 -33076 -53353 -170443 64048 165016 -87179 -115552 -119248 -2003 -123150 -59271 168539 181856 -105096 -4258 30702 -11016 58838 32493 41922 176963 136927 -51165 -148188 -105190 85734 1223 90221 22285 37116 19841 133566 4243 68147 79352 37343 -228563 -80000 57209 91376 49282 128843 210819 44070 -170366 99004 46244 -19154 -157638 -95393 -122099 -72352 -5232 41851 89401 56148 -38231 -8766 -25473 22890 79784 109908 -147892 -5015 181459 43877 186675 -105162 70768 11014 -142291 59841 104715 -74721 207744 32801 -99057 -236314 117311 37165 32826 -42788 96631 -30953 -66795 -40918 -11681 -60429 228992 136026 101421 27551 259673 7533 -40927 4333 -65963 -136065 126339 -50399 57974 -149108 -15327 35714 -52720 146372 31247 7429 125806 34622 118339 -25137 8214 87612 21985 -211340 -213300 202079 -44277 -142014 34615 78100 -192513 112018 -11504 67078 -84593 86059 162899 -46032 -217667 -3689 -173521 -62215 -4726 5135 53351 -176355 35359 -229269 -141480 56481 -17429 115230 -21220 -48830 -146717 79447 -6592 23363 -64021 -78853 77752 -99174 36263 -77220 -36419 57980 15276 151842 3287 27435 64121 11128 25967 -72573 76647 36098 -32702 -34078 -8112 41064 139527 231663 118488 106618 -150428 55211 132905 -2879 -69134 3710 -12809 -122013 -90719 -2736 246683 12163 -12796 99635 -128527 129257 154565 114379 86564 -97463 -90287 -20244 74684 -64291 27828 -58309 -28776 -42432 -104060 60726 -266587 10082 -22101 -70386 -81871 93306 592 
+-75795 -109314 -25421 -47355 -22573 -6102 -147334 -28723 119166 -26325 -3363 -38634 56649 -181429 95859 -32522 36406 -65321 33154 40309 37214 85040 -59972 -97484 1807 95471 -33133 14453 103487 13115 49471 -36273 -56977 -157103 63071 110431 -91702 -129135 -122234 -40886 -191507 -34504 179394 192202 -68116 9198 23989 -37804 100083 14921 50666 19044 213783 -38021 -215515 -52215 30796 24230 82796 -7055 18484 26739 32521 25742 73968 22627 4310 -152453 -66069 203888 1204 38157 -3966 146612 68552 -19068 83385 112949 -20385 -111242 -141440 -95160 -71122 -26630 20770 35716 80154 -55169 12030 4549 40730 79495 115791 -177980 -90190 165747 44311 107861 -51659 119668 40125 -110500 37430 26221 -60930 208582 51529 30741 -209228 26996 40993 45621 -181072 143388 -18389 -65590 -46553 -44750 48753 82009 48588 59531 -2215 234252 -103312 -31889 10686 -66603 -159502 111254 -102536 65602 -120298 3450 39182 -69188 227521 -19325 -13516 122555 31920 21301 9996 39905 72103 79511 -49035 -76123 149559 -84316 -183815 42025 72399 -175935 106107 -27375 24863 -82593 62779 100862 -75354 -205029 68528 -198320 -40238 81772 85887 6291 -143117 55780 -183749 -124757 -38818 -97620 142592 -26699 -43677 -69141 78302 2252 847 -56022 4284 -102301 -87780 5727 -112194 29374 68821 36045 189582 4166 14978 82090 23040 15106 -104628 84741 74414 -7602 4374 903 69010 159587 171609 56511 134790 -151380 48341 99465 83481 -126459 56840 -15982 -114788 -75161 45504 242645 59222 -69993 113815 -151988 118250 106285 132327 39955 -50085 86076 -5668 88069 -180418 19876 -90906 -20778 74163 -118998 5791 -256889 -31635 -40390 -104890 -113856 26465 14323 
+-76349 -155508 -37101 54248 -62685 36794 -5441 -22194 -94678 -12616 -8388 -47929 53817 -145066 103738 18174 -12527 -18231 50531 27945 24359 42021 -46223 -80997 909 102356 44324 829 74188 -68693 -12752 -8908 -10722 -135117 75840 -71385 -75283 -91399 -62595 -5773 -161135 -72030 154362 168604 19824 31466 -103857 -25784 106739 -9539 118195 -57462 219830 -51982 -235206 8050 -95418 -636 79631 -45708 26769 43595 -118527 88470 65073 -24703 83162 24773 -84333 189861 29193 -8601 -133465 26060 77697 116472 78786 136515 -46040 -53907 -178927 -73109 15626 -45355 46073 -136623 68243 -24981 29239 1316 -4202 78943 104792 -169384 -122683 186578 8982 -18394 -22906 69923 -5439 -54044 56189 -30469 -27140 210613 69759 118942 -33117 -43524 11139 62878 -151621 188980 -25919 -70631 -36430 -98930 139602 -39865 -16681 78837 5824 204977 -57591 -11015 14559 -52962 -53179 30198 -63507 62175 -84487 5572 77352 -72901 238334 -57903 -7519 79143 30926 -41951 67903 -27003 47044 142809 103055 145433 21992 -136935 -187249 25653 47666 8728 110834 -36030 -28023 -92449 52319 18763 -97566 -202115 152827 -172579 -73099 95672 130613 27962 -81423 88599 -155005 -89344 -129582 -115597 141694 -20306 -45532 1313 49325 3345 12078 -66738 80491 -193619 -112649 -6495 -122783 147481 79397 56949 77970 -3035 -44955 120127 94541 7035 -158446 111847 59012 37267 35477 572 73732 141448 46583 16944 163558 -44480 16247 45008 145936 -209718 73738 -35675 -92754 -56873 15829 205780 21409 -100369 -28713 -159799 120488 -34527 108382 39936 63308 216046 -10985 99366 -176148 25752 -9780 -12964 24508 -110312 -55445 -206952 -43250 -13488 -107298 -5697 -70010 13859 
+-66662 -102370 -34794 155054 -185182 29394 135944 -10037 -210244 -28048 23745 -61078 49159 -46566 38332 44817 -43412 30986 38894 29053 -13112 32847 1773 -113541 1982 100419 115576 -1493 6635 -91424 -90874 84251 -32530 -116128 81938 -129891 -59836 -127185 9975 -10848 -34996 -146386 46493 110119 20627 32065 -190581 -60044 88622 -25272 144219 -52117 179742 -50937 -227904 3165 -195138 6857 65520 -39673 19791 22591 -179004 153673 45481 12538 164959 72576 -69263 35834 11187 -64630 -143856 -34576 88691 55800 93829 127603 -25803 35775 -202686 -47973 121074 -49855 34253 -243829 -56878 -32429 10193 -15886 -56059 74124 99868 -161063 -104129 193676 34390 -91811 -9724 -46956 -23815 -54282 50533 -12484 13699 169837 88562 99031 111853 -26758 10290 78218 41355 206802 -10423 -85706 -50095 -146855 75061 -42158 -75868 79890 24057 189626 102822 -4078 17747 -61635 -24669 -92840 -42419 61403 -43686 1206 59550 -61179 167424 -58552 -6145 45477 32285 -44404 157290 -112094 21077 185719 77834 119580 -78429 -137498 -156552 -1767 21672 123978 101148 -52542 -60479 -65966 28870 -33071 -83582 -169642 168676 -70938 -25232 6714 144941 10324 8085 121188 -111788 -19098 -158307 -34583 173099 13623 -40301 76073 31439 -47663 -3920 -45307 180338 -133291 -113509 -14081 -100760 132839 34672 66346 -51733 -14950 -80664 127921 124330 3730 -123967 60903 95863 88269 107369 -65593 75694 102379 -47493 13200 197225 42064 -49897 5422 108201 -184702 71876 -46058 -59301 -55449 39847 156757 21349 -86597 -171539 -143420 67918 -113661 126093 82592 24432 237394 -5890 106531 -107751 42914 17641 -8507 -102448 -77881 -12335 -144089 -49977 -18670 -79533 66397 -100525 29217 
+-85128 -36935 -39458 153116 -156916 29100 55609 13456 -262206 20113 42056 -62081 47123 64654 42860 64915 -23194 54982 30837 40533 -59764 -8806 10106 -91131 2711 94335 62947 4663 -58653 -45657 -150526 70508 -35924 -90065 78563 -70547 -51241 -113184 52254 -26506 26484 -161010 -14516 59042 -47226 10178 -147580 -57125 58943 -28517 88937 94517 123760 -44933 -218508 -41185 -202785 -37626 63367 -13939 40936 21612 -120761 122467 24014 43641 123553 -71378 -64583 -73428 18113 -117570 -68645 42007 88443 -130349 146584 59577 -12087 99368 -217602 -14066 179206 -41463 23943 -179073 -133334 -57874 -15128 3568 -63389 74120 91577 -121358 -19045 180195 -23711 -55064 -40984 -181556 -6639 -81369 35733 78510 56037 132309 106686 -12578 79661 47762 -64168 69952 106826 204912 4836 -105607 -38550 -185765 -45989 74271 -89297 24685 -5753 121240 141821 -11788 7783 -76586 -85107 -163571 46892 52206 -657 3606 10131 -51347 51884 -13403 7099 7541 35011 13291 167849 -205568 5084 220942 -114413 -52510 -73735 -127588 -85379 35008 7216 82901 101487 -49461 -79459 -67431 16192 31374 -43889 -143675 103452 15152 -30558 -62145 112232 -177 82751 131053 -60404 49307 -97025 35907 183498 -358 -54748 90972 16221 -91825 -10587 -37448 193623 39774 -118930 57064 -25082 58992 64798 60186 -37535 -14488 -77399 131298 123184 -9909 -38577 52599 61771 140402 148073 -89200 99985 22514 -828 15990 226766 11154 -32398 -36027 7327 -97681 14220 -68183 -19176 -68614 11261 90556 12624 -55379 -202901 -112999 33087 -42911 113403 85753 -56988 143581 -3577 106274 -7362 52855 19380 -30526 -110265 -46563 57213 -83922 -4669 -46004 -48110 78950 -42624 37058 
+-80961 -22508 -28203 5672 -67435 42389 -117185 23517 -85891 -17254 -3491 -56748 46945 154099 -28984 102433 25720 66989 17088 51480 -89968 -40919 56610 -83200 9205 86856 -1736 26629 -103042 59224 -211448 19175 -38284 -59619 49898 88982 -49468 -97468 86506 -40032 -52979 -148430 -77672 5521 -102083 -4243 -2184 -5975 -23768 -50497 126288 195054 35134 -45021 -158697 -88462 -119528 -33152 48588 27920 45842 43393 9965 52521 15277 58822 4495 -219194 -75233 43448 46417 -139634 66275 157388 52096 -172568 118003 -22542 37738 151022 -233497 1582 142105 -24019 72341 20744 -139500 -14791 -35336 -7877 16549 74474 82071 -68358 50623 189925 -77729 62795 -66876 -244097 7714 -130074 40368 127218 86962 93593 124633 -130799 -95438 137389 -70719 68075 -47785 183163 -6678 -89420 -42307 -190590 -76046 227236 -75924 -18546 22803 85611 48224 -28725 -5467 -102484 -147271 -135140 120237 49518 40863 -1696 57329 -61373 -48630 36126 -26338 29041 36959 107814 187280 -189977 3059 223039 -222407 -226789 26920 -97723 27536 -17034 -19819 -127300 94768 -64523 -50116 -95319 51568 133871 2970 -125767 12712 -15860 4577 -42042 56871 -2973 133765 116442 -31284 98264 19699 47662 174585 -11060 -49292 76257 -6851 -182215 24602 -67359 178099 116744 -136710 28821 15078 -15469 36239 48634 102780 -1620 -77973 108537 98558 -22024 -407 28433 95409 184230 168352 -95346 97714 -44820 139245 40632 201547 -89261 -77535 -54300 -89447 24440 -47733 -51213 21266 -87645 35909 30059 20794 24155 -44646 -72025 -28349 66565 81490 154735 -90825 -12 6202 99612 -22804 20042 63547 15710 -87931 -52567 56894 -58130 5664 -41683 -45410 -66588 49651 37311 
+-80346 -94975 -49714 -75874 14515 69097 -173236 78632 62007 -5518 3765 -51581 48405 168752 -123904 99624 63357 67849 12722 46202 -116453 -75551 60720 -100260 2912 62595 -94468 -2038 -125447 169577 -222787 -27881 -54545 -32786 48489 194251 -48997 -120961 56104 -61069 -175206 -126225 -74204 -8252 -133648 8544 49006 -5920 -98934 -60050 117237 133787 -43930 -54737 -88760 -125827 4821 -35969 52807 33196 63893 32521 131737 3790 19356 49203 -27281 -175674 -76064 202064 80292 -126864 189980 216351 62694 -50184 107895 -60037 103511 204510 -212867 16184 46885 4872 82640 99884 -85991 -50278 -17970 -10462 53519 73395 74484 -15358 78434 195987 -87292 169309 -82137 -268447 13569 -156489 58138 110614 99905 42057 141352 -167212 -238540 194597 -134383 104354 -203598 145502 -22813 -49973 -34429 -180119 56102 230206 -10838 -59021 33116 18992 -81035 -25797 -21383 -89049 -141860 -68762 132389 58235 78930 9515 60257 -57956 -63603 61545 -7880 42806 36436 191522 230045 -134814 15404 204489 -123055 -116693 153950 -75039 56114 -31558 -36012 -227784 97464 -69033 -6058 -56790 61857 195479 37565 -129652 -5790 -80318 -28670 70431 -33550 -40997 140427 85239 22531 110203 123513 -52841 223542 -3335 -40437 25957 -35177 -152697 16374 -91736 110738 -9318 -131820 16472 47 -46016 44068 28459 168921 -2708 -39030 129995 45083 -35319 17206 -2199 115191 221319 227586 -110136 64495 -131075 248244 80164 197760 -190730 -85301 -22223 -171671 113133 -99507 -56402 56084 -92416 9593 -18388 31145 96092 103511 -38499 -69956 189573 73217 135344 -69401 -127855 4594 87191 -138450 46722 110611 -18083 21489 -83670 -7398 -9567 10083 -41779 -65856 -111136 112655 40908 
+-90584 -149283 -17452 -55625 1616 51185 2177 86395 78715 -18112 -26018 -36496 52522 135345 -170512 70951 88556 54761 17032 32187 -172353 -113951 40657 -88051 9888 26838 -85197 29796 -139952 242547 -202692 -34129 -3605 -12165 81562 127821 -59432 -138506 44681 -21757 -194579 -84225 -32347 30651 -38609 31027 -63226 -5754 -157659 -54584 56646 -22154 -141527 -52286 -9974 -106478 79874 -8102 47608 -754 59295 17734 136124 18352 33606 2681 62959 -11892 -86445 183578 96559 -82945 232629 147901 60462 129786 91661 -109628 93113 185976 -215376 24938 -51729 18222 63887 -29608 29684 -52057 -4895 -14372 2112 75475 67618 13787 24789 188230 -105237 180142 -115594 -178049 30280 -126122 35036 26271 89930 9644 157373 -85089 -202632 197026 -152787 109032 -144430 89067 -3356 -90392 -32014 -148851 138107 115212 66752 -81920 31409 -817 -48762 -19905 -44731 -116854 -100180 53589 108844 49900 110701 -22405 72252 -52064 2364 59106 338 90426 33884 234714 162223 -38703 38812 156567 59511 99207 202891 -50304 58161 -21233 -34268 -181941 92612 -81409 10316 -52302 71446 182053 57566 -76762 58291 -155583 19613 97460 -108845 -7792 109097 43664 44210 67129 119886 -99250 178804 -8653 -42185 -70698 -43827 -178518 6865 -68028 7627 -180937 -137428 -26155 -19539 -1766 32275 -1275 149398 -4350 5079 151800 26887 -20735 -38553 -5914 98942 229659 234754 -136592 37951 -192436 194431 153301 222468 -111893 -126457 21286 -162808 185553 -114714 -50280 80144 -75274 21069 -49579 16864 174218 125340 -31743 -117504 195406 57213 142552 -1464 -71061 -8186 74313 -191378 28808 128372 -11140 29164 -115225 -63675 55766 81665 -56660 -111850 -99254 95069 65469 
+-95741 -127266 -42277 64239 -48481 55370 117396 122145 -64378 -10796 10321 -47529 56545 39986 -271078 44751 136288 17937 21630 19439 -181653 -130234 -30289 -60408 5362 7153 23585 42440 -107889 244892 -163241 -8047 -31269 11123 70287 -13301 -65159 -91297 -1529 -7270 -88130 -47914 50668 89001 8722 32453 -166842 7641 -238526 -50780 36327 -97391 -176439 -58917 33938 -27364 67772 -8191 42859 -33982 42924 29676 24942 100179 55153 -22364 140961 71033 -90566 10307 142946 -16983 165556 18466 79624 46457 56917 -79602 129736 141645 -193429 20343 -73460 18349 19242 -190061 74241 -40786 5125 -29219 -16461 76974 61220 51003 -59099 205794 -85369 86766 -125970 -36967 -10596 -91824 39738 -19483 61870 -47319 172036 40091 -24430 136401 -147801 57523 26802 31571 -23095 -109170 -32745 -101818 81407 -33101 135766 -144472 28825 -50408 86547 -75657 -65550 -112084 -14886 109763 51852 48715 133191 -35849 55829 -57080 105302 11107 6094 137702 31472 216465 150466 8356 64338 103648 109977 176765 79044 -37406 7112 -62483 -36210 -13534 72972 -15233 78726 -93907 91647 130415 42046 -69388 148469 -219340 12910 30072 -194641 -6223 56173 33369 47494 18083 -3348 -102350 196133 -46854 -38867 -151104 -56586 -117053 -6649 -86631 -67707 -189356 -132303 -8016 -97988 57317 6313 -15940 3594 -8819 22665 89672 20146 -29518 -123249 9169 86143 217771 249748 -118993 11521 -194924 91311 161235 159003 24181 -138397 98052 -82529 140386 -87405 -30719 88919 -57403 8950 -52007 47710 213531 -21442 -49668 -155563 119050 48412 111402 44643 60542 4489 63832 -127335 17940 134218 -29211 -23401 -121399 -23081 116160 61413 -25108 -82621 74890 -14045 75253 
+-90734 -30530 -11858 146409 -157629 38766 62612 141634 -217135 -49736 40399 -51970 57435 -73067 -277096 -3012 110631 -29330 65413 45409 -189127 -168489 -17722 -81476 8561 -20433 93167 8430 -69316 189605 -74301 44058 -23919 37974 99701 -130990 -84899 -88738 -75317 3436 18674 -61003 137322 143448 34533 10865 -175609 61387 -250977 -23683 -16861 -9934 -150804 -89520 45000 24851 -38812 -18116 37288 -48471 30873 26177 -120287 155156 70676 35411 150230 -32630 -94931 -75657 137761 30804 23436 -33014 88852 -113698 64032 -20540 116175 92683 -158273 -65363 -18372 11879 37669 -245248 122477 -83624 34913 10938 -41588 78518 62038 67812 -131508 207427 -95089 -37222 -102665 70579 -9704 -40589 46839 -3985 21105 -66377 185555 119120 114916 45727 -185888 71022 61675 -30680 -38331 -99046 -29459 -55862 -41980 -51753 209594 -156600 20535 -87467 163699 -46883 -91266 -118255 -48225 104504 -14800 53643 146052 -76168 42026 -58643 208411 -35733 2677 143050 30976 138789 118935 35681 84158 45714 -35652 13342 -24931 -57601 -82073 -41859 -20061 103473 80178 -41486 94774 -95630 123042 12297 1517 -43175 175513 -188561 -42469 -41115 -229679 -5848 -36113 51824 76148 -62598 -88291 15985 229020 -44530 -34272 -204024 -71812 -105949 -36978 -59289 -116233 -41617 -153649 18127 -122722 142054 761 -24201 -51523 -10556 60503 71951 36588 -22018 -147906 -10616 76563 190043 222835 -124350 -19818 -155201 -19005 134415 116898 46882 -131548 126230 82408 77547 -47960 -15888 82419 -56151 31452 -32745 35733 231368 -170105 -77072 -88797 -38237 47351 78125 3623 209352 356 60738 -42868 6659 167853 -297 -149896 -94890 47249 110783 63235 -44766 -77507 79898 -86096 82208 
+-76441 -8117 -12278 162366 -177719 39513 -114780 147952 -263512 -20050 37559 -60224 57196 -155906 -259942 -43908 54557 -75817 69544 40900 -194620 -170435 -61083 -72310 8935 -47837 61956 43905 -45741 85552 3968 112873 -15026 58670 95981 -101523 -88716 -81968 -91728 -5496 11759 -37003 175619 198368 -19473 -4236 -51953 24362 -228746 3771 -30967 111522 -84515 -63188 48762 24206 -153927 -2172 37941 -19446 13341 60780 -192773 132343 74263 87536 75628 -196556 -104841 21664 116966 48964 -113218 36435 60387 -199435 64874 59912 104438 19935 -125917 -77632 81412 -4628 18707 -102028 41004 -110041 11136 -14601 -44035 82562 46476 83911 -132281 194875 -68816 -94099 -58488 122843 -8578 -55542 57309 71672 -21845 -98035 197446 90173 75043 -23159 -174109 57853 -78436 -78674 -5286 -104821 -20808 -4059 -61716 51462 263039 -162107 3218 -64114 38625 -17211 -120973 -143839 -141587 38125 -60949 51402 147681 -75916 80690 -34620 241331 -49818 7845 152338 32991 44217 25536 -9127 89591 -17103 -199770 -173294 -65647 -68869 -151215 -118543 -4658 87810 88752 -28704 102280 -87405 112605 -15739 -37926 -32748 107426 -123288 -24815 -53091 -253332 -5937 -114361 91556 53366 -113566 -180380 39172 241229 -47246 -41654 -218369 -65772 -68460 23615 -126090 -75149 97717 -146736 31951 -140915 105137 7115 -19344 44747 -14821 84558 81016 86547 -22660 -114197 -32983 99153 152378 227000 -130155 -44390 -166002 -28470 124628 55638 -38633 -121544 111612 135876 -33154 19790 -27902 60758 -68534 42062 11829 12218 199983 -198409 -115857 -64088 -95548 29534 49168 -53605 252470 -6821 65936 -13024 6566 166862 22158 -120982 -60963 78710 102761 36384 -48990 -58544 -71030 -98133 70180 
+-91915 -64015 11350 40821 -65670 51639 -149267 139445 -128545 17522 18844 -66480 51526 -193608 -284413 -109148 8516 -125406 64414 63893 -195953 -184414 -42907 -100122 11683 -74895 -44268 37192 -5868 -19427 66417 85203 -42631 73678 78274 79974 -101268 -93507 -118752 -20731 -98976 -59115 167224 203308 -114388 7856 32845 42066 -163987 23434 -45437 210529 -13190 -51562 21057 -9240 -206778 -8334 38525 30531 17126 50749 -111649 48284 64715 56724 -12110 -202583 -120229 206075 81635 37499 -132194 159302 57396 -70546 94056 123174 81335 -35736 -66134 -96881 161405 -30759 52620 70892 -76097 -123000 -30443 -11585 6077 82087 50955 89642 -53452 186328 -45969 -38515 -40841 74359 32420 -114582 71382 130176 -56015 -87564 207973 -20887 -104816 -39630 -160959 63720 -227254 -118979 -9745 -62177 -22529 15918 57076 177426 294008 -265252 6934 -91265 -38884 -16839 -140360 -126600 -137805 -54920 -113049 66458 137779 -96422 10534 -19310 195860 -38612 -9649 133320 35694 -19536 12904 -92500 79795 -75362 -178582 -124235 -52869 -114359 -213771 -109209 15962 -72889 76204 -63957 82209 -69361 82414 14149 -80542 -23878 18390 -6178 -29126 79914 -226030 3175 -156643 125893 37957 -155076 -144923 -8272 254046 3279 -42484 -171046 -83199 -1119 30871 -76876 -4959 70443 -153228 44776 -86824 49333 12606 -4416 169188 -10662 83299 55758 133335 -41240 -65038 -74402 65391 88629 214519 -38335 -57911 -68171 90474 109996 -3698 -163659 -92304 51393 138653 -122441 61152 -75249 27242 -85763 26586 69753 -8252 133122 -63529 -144444 -17394 -97177 42631 84983 -106779 137481 -13007 77381 -104915 -6650 185074 31930 -38407 -43692 3231 69811 -2987 -43744 -48724 -134262 -18675 69053 
+-110963 -152959 -2763 -70784 -11029 20640 -20355 134028 52780 797 -19136 -54892 48630 -146177 -279217 -154570 -51440 -178025 74765 43555 -161658 -172470 -33697 -107589 10367 -73212 -118255 12518 68250 -86885 102648 26866 -74416 88476 78048 211774 -91325 -62992 -127970 -33224 -188640 -76780 120389 148386 -116162 30606 258 47006 -96873 51554 -69826 119559 94776 -57302 -41551 -75838 -166326 24213 36342 33018 43954 51688 33127 18856 44867 24677 21950 -41141 -126406 196359 36785 -10341 -104420 207891 52527 70640 81011 127884 56972 -99451 -29349 -82866 165301 -43016 51158 69382 -160273 -96884 -52607 -6340 31935 82568 45456 62841 43441 194445 28736 85037 -26897 -15337 23930 -146377 70345 121515 -73978 -90700 216542 -139754 -241836 16097 -157447 44299 -117567 -130075 -5462 -67731 -22439 16324 147073 234892 252864 -221775 22627 -89206 -77789 -34504 -160423 -129957 -106556 -130349 -83726 62585 117515 -112457 50810 -47139 108756 -6064 -37506 122731 37038 -53955 -67487 -185025 57670 -104537 -585 16152 59726 -156697 -203541 -140463 49585 -212058 80294 -80748 51083 -57545 72416 82277 -94538 -6638 -7014 7209 -74614 128350 -171983 -13346 -160511 126290 3800 -150630 -54504 -81324 241838 10245 -37050 -123135 -74532 31877 -2179 -106242 99037 -91508 -144082 -3813 -28772 -64737 4053 15984 195554 -15264 38217 65638 126697 -49137 17701 -100922 64068 32871 182903 -43958 -85188 -12679 204432 86062 -29439 -166207 -85584 -3328 72630 -185975 83180 -69896 -12439 -91661 24218 133224 7369 57669 95729 -157399 67967 36593 23547 71316 -43077 16436 -15632 90163 -173924 5457 160091 22244 25160 -66463 -42292 56068 -17497 -26974 -98368 -48462 75965 63642 
+-94386 -145301 -27770 -41830 -41126 3091 115323 112288 96354 -5927 -1199 -40920 47103 -55183 -190125 -202985 -23629 -199792 44732 24769 -155641 -164887 7932 -97956 14430 -76499 -57319 51252 128670 -80164 129181 -33728 -35147 110760 69128 152983 -94273 -100311 -37627 -85990 -161282 -132279 39263 107273 -63350 32832 -159631 60285 -50696 76341 -34398 -29886 161633 -34210 -130838 -116801 -54888 -42407 39420 5295 55217 16590 147553 39987 28927 -36074 121746 80802 -126399 15137 24921 -64896 28433 144315 72674 83195 89302 97735 -51587 -140176 8962 -47359 85640 -47017 76598 -99736 -143005 -144013 -28787 1870 16838 83561 34670 36768 78499 196512 35774 179687 -37203 -151080 34513 -146814 58089 40545 -68795 -64910 223684 -159439 -197016 110129 -123068 55383 59822 -124784 -14255 -132987 -17039 -4770 90520 125445 205800 -209930 21846 -106900 65433 21018 -177729 -100224 -47135 -139298 8585 63425 88270 -129550 59545 -32040 -19046 45225 -3711 72639 36037 -7259 -57616 -166135 31544 -118869 118928 165905 174237 -155087 -137213 -169453 68108 -168132 81774 -90346 3231 -75675 48623 180933 -82413 18941 53521 -9529 -85467 58987 -93526 -6940 -128387 117070 -39503 -109934 55133 -98721 259530 -6189 -44613 -40817 -65735 4835 -17095 -83802 172819 -201968 -134868 23386 -5841 -51717 -11189 41147 65754 -4422 -25238 17497 103299 -42848 38153 -123596 72289 -8175 135174 3187 -96259 54779 236259 66179 -69430 -69663 -46888 -56419 -31447 -186191 57088 -68962 -52804 -76238 21022 190798 -1321 -13842 134078 -154972 101808 141918 3885 95662 23578 -91903 -28637 101973 -157352 -24296 181623 23202 -1371 -99120 -46833 14375 -41108 -50322 -137447 28977 118570 64321 
+-90866 -46843 -12079 65042 -104786 -12048 66567 87601 -39880 -21622 12232 -33948 47334 59158 -113446 -217693 7344 -224917 23764 28483 -118944 -155128 47379 -70500 11762 -84434 47670 35366 144839 -3347 90296 -39365 -19642 105389 74515 20792 -73907 -49985 -19789 -60103 -27050 -142559 -52247 36252 14890 11519 -169135 25450 47832 87871 31793 -63467 215443 -62396 -181292 -97321 50923 -16271 42110 -28108 60671 17939 125125 123940 16354 15419 163257 3543 -131424 -67019 28933 -121059 169515 23597 74672 -80769 106895 40757 -56398 -178700 32840 -22140 -21246 -33392 65182 -239747 -62273 -138212 -4363 -25528 -7338 82310 28269 -26369 57989 190508 30201 170335 -52861 -246596 2298 -111710 64857 -21146 -44997 -28927 228257 -74456 -15733 190036 -98282 45248 87795 -94173 -33642 -90948 -13940 -50857 -49478 -10657 112835 -178348 27860 -58509 137294 -7759 -185757 -106203 -73838 -87777 59595 62037 51720 -136921 76892 -36160 -53489 58789 11693 18391 33242 81182 -56833 -139747 10320 -105350 33202 43603 213280 -117956 -68952 -177873 89865 2243 75580 -74907 -39092 -43135 41950 180165 -46379 42106 140413 -140215 -97509 -40044 -14038 11592 -64037 72603 -113107 -83548 118326 -74803 262950 23125 -32932 20565 -63607 -37024 14701 -77972 235230 -121440 -127502 -1151 -33031 28906 -12996 55351 -61390 -11279 -80875 26430 57297 -53453 5943 -139245 60830 -34164 73358 17204 -85997 118311 103385 37423 -96914 70301 -36891 -64410 -136174 -126747 7315 -67041 -87838 -61496 58308 233023 17262 -77050 227 -122641 134509 238414 703 116623 43735 -89484 -26436 107595 -87815 -992 130858 28058 -99517 -123151 43398 -18911 -70024 -46306 -123820 97593 57883 51205 
+-71186 -13389 2495 141086 -164566 -19157 -99509 55280 -190077 -3651 49910 -40992 51743 148305 -23095 -248512 63649 -228639 2176 39560 -83441 -138782 57375 -108628 17889 -53821 111530 23269 159190 105167 29646 44776 5474 103304 78453 -77581 -57241 -49924 6752 -32139 15332 -162648 -93403 6765 3886 -4149 -89720 14388 111380 121080 87414 11127 215038 -59920 -227071 -40281 91575 -5232 47498 -53641 48754 52054 3615 152242 17719 54029 88342 -173803 -127111 29589 4514 -138466 224007 -27139 88953 -223562 119793 -24192 -55306 -169312 55115 46761 -84857 -19522 20706 -185733 49900 -166548 15680 -21016 -72787 79903 22547 -67847 -38713 206044 10093 64548 -68141 -246961 -15549 -59374 66485 -19182 -7562 6049 231539 57357 118438 200763 -83406 59416 -82965 -48476 -8416 -109297 -18897 -96123 -56929 -75778 35266 -189695 6601 -21735 98241 -12305 -194737 -107239 -141250 -4912 102115 58855 11058 -165664 86222 -43220 -24393 23884 1775 30916 31122 170464 -14192 -66563 1144 -75013 -159476 -163274 93749 -92016 34480 -160049 87356 136804 79302 -38409 -62687 -61130 12321 124047 -3071 56795 171564 -203957 -115197 -54125 69876 44977 24045 43934 -146948 15474 102445 20864 218123 -26483 -39649 80065 -50694 -97428 20794 -63400 180786 55109 -131956 10129 -60362 133966 -22136 64265 -39534 -7048 -69508 18780 11634 -61642 -51793 -133486 101709 -41058 34390 8900 -87163 141667 -9856 12026 -142749 9032 -14894 -6986 -173202 -7822 -71019 -32772 -112033 -55044 21763 249178 4186 -96137 -167002 -90015 133899 141476 -26973 137105 -43268 41657 -35022 105120 -12851 -11184 109932 18920 -79281 -117822 70718 -67127 -65340 -36105 -57890 -50546 -38219 44254 
+-76471 -57986 -11437 158653 -136145 -45543 -168419 23438 -282607 -3490 10918 -51860 55716 175061 16468 -230547 73422 -218282 16181 40849 -38660 -118144 31730 -114202 14283 -45462 34539 62438 149059 210566 -49842 110153 -39065 100576 74521 -143206 -54356 -73661 37954 23256 -53396 -115447 -68285 8525 -53069 7240 30348 9644 113739 122654 80101 180907 171331 -64029 -248412 14135 4745 -45210 47786 -30753 36505 61953 -136946 125540 32490 70282 -15513 -220088 -115551 192213 24743 -129470 200450 34285 77627 -123061 113806 -66702 -57438 -135722 66784 32770 -49305 -214 30582 -15276 99991 -142236 24205 20780 -36178 76019 18637 -107908 -108692 190068 27614 -54378 -107614 -176681 -36776 -32773 88064 67109 37205 53388 232430 122685 66921 151297 -37853 69413 -215011 4009 -12515 -130744 -16531 -147891 55809 49031 -8444 -137584 4927 45843 -19830 -37499 -191209 -87438 -155369 97605 138232 56250 -32011 -162887 42972 -36661 67521 -11349 -24421 42769 31272 226298 20976 37469 8141 -19674 -217972 -188941 -75459 -53971 67539 -193232 82419 61839 92397 -75589 -55468 -80870 19395 48282 37088 88775 113690 -221977 -92791 2874 123658 22942 93835 33584 -183559 64555 -20020 63752 224228 -4750 -34434 79765 -27658 -123863 30006 -74741 80190 102111 -132067 24596 -130472 117154 1467 63061 107535 6261 -79125 24543 16228 -57486 -140440 -175825 67013 -26866 904 -17595 -89356 143114 -49360 16968 -114270 -92396 37870 40683 -143914 145599 -115406 -33763 -121622 -66316 40639 240619 8251 -82519 -174737 -56737 140231 946 -20982 119133 -99093 187935 -45731 95643 -59308 -18025 99904 20430 -62135 -80930 18740 -110818 -4394 -27957 -83803 -128834 -102067 41545 
+-71394 -142734 -4949 42652 -49587 -16448 -35187 14499 -109900 -17790 -11837 -56501 57348 148416 89423 -188297 142792 -170951 25512 56674 -10624 -104273 9779 -81012 20391 -20774 -29604 -15671 127820 260161 -130030 81427 -41073 90579 81420 15172 -44617 -57156 53681 -3343 -170384 -84338 -5030 48416 -106886 30123 28480 -35683 97221 133803 69041 202414 97859 -21030 -240353 34518 -103962 -38551 54340 14078 20548 41071 -178053 47761 52308 20231 -23625 -85416 -108635 212968 83067 -83660 58075 155128 83210 77574 130208 -101942 -34174 -86766 67573 -14562 35963 18879 66821 88033 76364 -153884 2673 -13238 15054 73450 13207 -156868 -128808 172050 2499 -92192 -116792 -68790 -49134 -87315 66541 131881 73992 93136 231269 77954 -114407 59266 31825 79736 -117542 64848 -1035 -116321 -19579 -184613 152193 179606 -67506 -102897 29969 58334 -78282 -28429 -183190 -82952 -137050 125508 93368 53765 -73653 -181985 44716 -37264 154926 -40317 -18396 60072 33626 225616 44603 39864 28610 33759 -74444 1872 -103769 -41702 58513 -174861 79496 -85199 82268 -7442 -22727 -84679 48954 -18865 55793 90459 22219 -137348 -147510 121187 142029 43735 142207 53685 -204156 88422 -98005 -36167 244335 -32443 -36526 59404 3650 -145344 32011 -95064 29298 -3418 -102309 17697 -135908 88641 -36492 47724 184067 -3993 -122269 12971 40685 -35665 -149191 -195445 68520 13513 -55475 -7698 -49532 114911 47897 63824 -102701 -177288 89380 110752 -33777 153925 -112640 -6808 -115604 -86742 11544 210030 45466 -41333 -74288 -33899 109460 -81067 -78267 69382 -99243 260263 -46185 82389 -139224 -15859 55613 40292 17353 -50318 -33563 -197979 1302 -55341 -38355 -80249 -69248 30199 
+-104260 -155067 23999 -40027 26833 -25579 100342 -3461 54378 -34455 -24733 -62681 55580 52681 77541 -154278 91551 -141121 57711 32979 26321 -59512 -28183 -98988 20971 19101 -123224 12005 89528 233730 -166824 15474 -56051 86242 76676 131487 -55388 -44380 57277 -23935 -209390 -48247 70773 116784 -93741 33165 -78693 -38927 36979 136091 122610 60729 2750 -34073 -200083 -19581 -194503 9500 56378 34315 8801 36368 -97848 6069 68197 -21173 98082 71366 -99560 48166 128330 -24272 -63728 212343 68903 105753 81204 -69049 -17927 -6351 75780 -19895 148087 21424 69313 9817 6397 -155389 -12030 19238 25000 72144 8869 -164023 -87741 198620 -52285 -19634 -98477 48112 23662 -127345 74082 112334 95630 156281 228296 -48751 -244494 -7710 54918 105916 26621 115067 -27711 -97591 -21667 -197459 86130 250481 -97966 -59450 34663 96351 27405 -55148 -171491 -78139 -65549 59759 5712 52401 -111013 -177248 46860 -19293 244885 -46295 -4770 84848 36182 170222 127298 -24820 55459 103688 96464 162081 -18769 -45657 7150 -195332 50634 -235664 85113 -14061 8787 -76006 66095 -9618 43954 117643 -11110 -43709 -135675 74667 130524 67293 148398 87754 -222186 100996 -189445 -115850 183177 -30378 -37125 2796 15146 -165026 26613 -67905 -49965 -178163 -94170 13459 -107162 -23561 -26727 21137 114646 4122 -77572 21924 113422 -65850 -83814 -194119 14960 63325 -82790 -70231 -37321 94678 199316 83817 -103837 -114678 96009 129403 96749 167397 -92480 -32049 -93971 -91056 16066 159399 25755 27606 99829 -37033 66547 -109637 -49338 64138 -11569 173106 -48334 70067 -166024 -24177 31665 21307 44642 -53571 -39973 -212545 -20340 -24288 -72783 75453 24670 29996 
+-90130 -81295 -24599 -57450 -11267 -26523 100970 -13791 74713 15563 4283 -54022 53372 -60666 115492 -109396 77704 -101335 25628 34054 67048 -14380 -68171 -78594 17059 37542 -9204 12208 54874 135212 -212540 -5698 -31853 73338 60183 173238 -59170 -50424 24503 -34214 -98581 -52619 152147 164176 -25236 12242 -175380 -49538 -35489 128352 174563 -53395 -78738 -60673 -155747 -70516 -202926 -2289 67078 15540 28584 13368 46681 38889 73691 20521 168928 30729 -98458 -94826 113365 28311 -147777 147410 60143 -57190 62936 18532 36171 56982 47721 -68374 175247 8419 85404 -178515 -84937 -137427 -34366 -2090 38032 72536 6121 -169160 -752 192589 -79912 106427 -68905 109478 8608 -153013 68904 41228 98279 182249 223193 -148488 -189980 -47356 73158 83033 80099 166853 -11699 -91054 -16982 -187045 -47581 168536 -54697 -19010 26768 152186 145471 -91841 -156365 -55407 -50258 -33036 -54947 50906 -142090 -156122 30665 -41641 213511 -23811 -20207 92925 37033 70190 155880 -69268 77684 161281 82459 119817 117909 -82239 -44368 -234040 25424 -189821 90116 -75273 46181 -75176 62629 107175 3503 107482 45661 40 -132642 -43955 76115 69163 99344 136212 -215183 67312 -124054 -123810 223853 -57696 -32100 -86426 24539 -195981 -4944 -86130 -72685 -183107 -88823 -25584 -73121 -69009 5849 855 -25891 490 -3639 34552 133332 -47955 -30046 -172732 22233 126946 -95792 -71565 -10279 -36720 231960 75681 -74585 20386 130004 96895 132242 104112 -14167 -57410 -61054 -78945 42323 96377 -23950 103170 128638 -67088 21225 -22292 -87152 55606 46185 11984 -59040 63201 -118035 11627 9610 33332 -40737 -86704 25689 -244753 65911 -45454 -105265 104293 100185 10579 
+-76772 -18402 5811 58270 -87535 11666 -84101 -33263 -37024 -1056 24986 -40151 51097 -151491 65372 -70258 24312 -40673 43128 33136 75247 19362 -46369 -78541 16297 55135 73881 -3171 -18620 32157 -213958 -53952 -17790 53598 60139 95683 -60536 -13538 -22084 -58066 9901 -44682 189271 193105 8374 -4035 -170564 -30043 -143875 127327 118629 -38795 -156201 -36241 -73733 -125027 -109462 11577 72845 -16365 37076 -5592 144947 98188 64891 83621 116701 -141881 -70074 22726 106413 49295 -121863 30002 52148 -205094 28714 80157 81039 120034 35426 -104849 128996 -16527 88914 -249286 -136203 -138925 -26713 7439 -49064 72469 360 -194672 66070 187687 -107317 186320 -52856 81241 6841 -136929 66634 -28321 76677 193226 216336 -157969 -5284 3026 71868 88609 -19617 202209 -20410 -108876 -23843 -156419 -61724 23133 -14157 15214 37336 204096 115297 -57340 -137399 -54027 -92728 -106933 -88476 55114 -164157 -167149 54560 -43159 161950 16956 -14468 157810 35333 -12313 210009 -168943 89337 200648 -85840 -102826 189520 -88915 -143480 -214192 -717 6925 94570 -92947 104964 -71688 82846 160772 -39042 131456 138367 -25334 -168807 -53213 -2328 78480 28813 122416 -214605 -26340 847 -52159 207375 -27327 -36289 -161547 55296 -149029 473 -77742 -31806 -35003 -83357 1146 -27369 -24044 16363 -19938 -62470 2693 34876 13265 133527 -43514 26467 -190996 33672 163897 -82082 -135741 19787 -80055 140266 78113 -338 42283 106832 63274 146460 -25723 33181 -53355 -21159 -58645 25542 34975 2647 174648 -7798 -100005 -35765 97988 -78223 82028 31772 -86118 -55174 60860 -13402 -2202 -3121 32325 -140403 -111961 67934 -261249 61852 -20824 -124038 -44651 106425 16159 
+-99552 -23229 -1614 167204 -149164 12045 -161824 -8897 -223682 -2230 11581 -39103 46928 -189780 57607 -27329 -26751 11565 58743 44382 96661 65720 -37811 -81509 21315 84661 123413 -13533 -60097 -67196 -184393 7201 -11678 22904 44935 -80266 -82798 15622 -87275 -48630 577 -63050 156288 194227 6105 6606 -40899 -16975 -202601 110167 81681 40341 -141089 -67424 -6610 -122217 12908 25609 76709 -45361 54534 27098 111964 167361 48193 75914 35733 -230965 -62870 188626 78994 34456 -12623 -31139 91524 -138544 65116 136263 93641 158414 -10057 -114272 21201 -36483 57116 -122831 -108992 -151427 -4510 12089 -49341 74212 3857 -137803 76736 196178 -118331 157266 6280 -12226 -40446 -77342 40719 -6766 41088 208910 207856 -63572 119661 94938 87098 75114 -189129 204770 -6309 -81466 -29005 -108769 48557 -64801 40144 9302 23688 241044 -37471 -58565 -111837 -65474 -160178 -128705 -91462 59171 -175615 -147592 46926 -46111 30976 51855 -4064 152831 32604 -53572 188762 -176108 84816 219271 -227422 -198931 146522 -141827 -193105 -202619 -14215 109114 115118 -104976 116667 -89649 120462 176440 -77806 137009 174845 -81676 -130834 -6748 -104595 72649 -52031 109821 -179209 -86792 97559 52275 239108 -4337 -34155 -208522 61718 -69577 55217 -67686 69975 101274 -64676 24210 -1304 63122 -17047 -24318 65635 -10845 69370 50726 105551 -51175 15149 -177793 44305 201934 -89244 -94382 44080 -173244 23770 131080 11819 -15373 125269 -13242 17573 -141101 78439 -59636 19479 -55556 74001 -14302 17607 207914 -167744 -135838 -116014 185810 -33338 116661 -47258 -115086 -57996 68826 -4593 13699 -45776 44566 -69755 -124087 32535 -296728 41153 -36610 -36395 -130230 19271 -16542 
+-67645 -134360 -13670 173306 -130957 32434 -49157 24152 -264650 -13319 17669 -41142 46360 -152786 -23091 27238 -33969 43667 38386 69641 99446 81122 -13404 -103570 24890 96875 41556 -15099 -84077 -101867 -125758 71299 -64768 4830 92975 -116391 -88820 -22931 -118456 -23167 -103589 -84382 79865 134857 -30059 29616 53410 -44185 -227940 83927 44807 173713 -147950 -51458 38411 -46513 84439 -15237 83251 -41166 58287 36337 -27151 119376 29125 37932 -34211 -118991 -70166 232480 77726 -10755 139251 35311 87985 62212 31741 133726 110357 171337 -57227 -79883 -64768 -47781 11871 51264 -25996 -123868 17376 10567 -35093 74440 -1588 -108543 21481 188178 -87263 42133 -30368 -137563 -1399 -46397 47514 63976 -1926 213901 197203 66413 60484 169321 28056 81342 -143219 191470 -17787 -98350 -29687 -52856 144887 16940 136432 90363 8141 260335 -66809 -32461 -86580 -46418 -133603 -115917 -52469 61752 -176314 -136221 81024 -73861 -52143 58475 15625 157237 30830 -26983 249940 -140248 67122 215313 -153797 -51801 30853 -151996 -195020 -210494 -22196 51386 112302 -87914 83017 -71143 124161 144233 -96904 167108 119697 -166820 -154538 72546 -159730 87704 -128067 68980 -141598 -121655 113337 48805 160264 -11098 -35071 -227030 83840 -27006 22165 -123368 150875 54603 -70830 51732 -4233 140317 -3659 -19564 194350 -2393 85620 29565 54825 -37274 -57287 -184306 56909 230681 -75730 -112420 71804 -193816 -33858 145903 84132 -164966 128394 -57170 -62042 -214256 83007 -76213 54969 -67191 36019 -47256 -20442 228144 -205506 -159066 -82544 184461 -103322 163618 -102886 60795 -47121 81812 -93198 31183 -68235 45490 -19885 -100135 -31927 -277923 57094 -17022 -59430 -71184 -84253 -14429 
+-91946 -167517 17433 28077 -49412 47015 109029 29772 -133289 -30611 -314 -58944 49448 -64590 -113220 70892 -18217 71746 40215 48146 77135 114500 23086 -84988 21802 96957 -29958 -24550 -116455 -52741 -54977 95393 -20039 -19594 78253 -68561 -97955 -12879 -129796 -31283 -214152 -123929 3648 65144 -103228 33523 -43841 672 -239228 75130 4726 186939 -70054 -35053 52026 22480 58722 2874 87326 928 50204 2135 -144071 61354 16575 15254 65742 51339 -68306 57098 39042 -67943 217523 150896 69246 112199 49998 96511 149071 175822 -80853 -85757 -73103 -40843 50140 80962 64051 -91216 22939 10557 5230 80160 -7347 -71027 -72483 179518 -78431 -68583 -50943 -238549 14950 -56958 48191 137647 -40962 201437 184936 119903 -122241 195398 34269 61662 61300 164076 -25120 -95795 -35096 -13962 88899 180555 209207 83599 13139 281327 6798 -29196 -62951 -66089 -87996 -27555 33000 67023 -165835 -121680 34184 -73814 -59439 28280 -21692 139366 31707 49916 202445 -64454 41604 190815 40994 130823 -40231 -125267 -151447 -152150 -33639 -85323 98776 -84046 56002 -59435 81585 59374 -76804 158846 27890 -195277 -99531 114514 -226986 137147 -162890 36538 -105869 -126275 73058 -64324 139930 -1693 -35217 -185273 90878 -836 -573 -77425 196147 -109191 -38047 -6926 -62187 90082 -6368 -5857 162281 -14036 16012 63275 9843 -54108 -135932 -205996 64273 227839 -44729 -140461 91021 -220173 38974 146231 108331 -170265 130205 -49333 -150108 -218816 41422 -48337 79243 -85874 22354 -52667 20036 193276 -61494 -155806 -85520 54093 -100408 142514 -42037 185627 -58413 94343 -156694 8499 -86129 41093 22632 -60309 -57230 -244903 17036 -28062 -39239 11517 -112904 -49103 
+-69903 -109379 -80112 -81445 -4561 65654 90709 58376 58770 -14509 -15831 -57698 54756 43908 -192398 88642 39170 71347 -5782 11202 69782 135260 63293 -93737 25972 114121 -96710 -28926 -135230 40074 26694 35744 -20333 -53286 100746 106904 -100683 2811 -112437 -17282 -177992 -140649 -48068 17724 -105315 12964 -158106 6833 -214023 58748 -42611 51518 32329 -67301 39811 34796 -59476 -10532 97493 34613 31578 22182 -185858 4896 18829 -28671 164322 47476 -69040 -37670 3608 -119312 189753 209276 56357 -12442 75772 30569 94997 127868 -128143 25727 2491 -31708 13365 -71412 73000 -96798 11669 -11351 22846 80435 659 -31487 -129070 191274 -4785 -87043 -82092 -268016 -28260 -84422 62930 132914 -67686 169303 171746 68770 -244768 167847 -13592 46980 128660 118232 -15660 -64588 -34334 20085 -33804 254001 249786 85917 8831 301964 138664 21128 -35172 -85381 -21976 78009 101068 65626 -144551 -104908 49068 -49038 8120 -20722 -19648 58939 34305 142681 133077 2677 17295 147442 119431 170397 -35150 -118361 -66730 -173848 -31361 -174421 114633 3297 17386 -61745 63180 -11580 -49224 158956 -15653 -146302 -98566 26447 -263905 125816 -169475 31230 -50409 -129891 -57537 -116691 138922 -29381 -32925 -119531 91295 13491 -11313 -71624 184433 -193798 -40797 14052 -116691 28204 -16769 19260 21511 -20150 47071 94190 6347 -45512 -136767 -149218 40162 205308 13422 -129591 97836 -176901 153669 89606 125105 -43320 77898 -4981 -149658 -142302 -23724 -23198 89063 -91227 66649 -32238 37388 131217 96340 -135204 -117089 -64052 -95558 145956 22016 238389 -46075 103909 -135343 38371 -102718 37856 2390 -52404 18258 -233387 17637 -28288 -65852 82122 -33242 -45923 
+-106145 -33698 -57842 -23665 -21642 86145 -72066 87838 81857 -15012 20686 -56962 56332 140364 -253477 86013 105728 65132 31605 15542 32096 133969 59378 -80807 25322 83042 -39222 -26273 -109000 162604 90459 -9549 -4053 -84060 57055 188794 -91923 -7257 -61497 6584 -43098 -143309 -75294 7965 -20048 -3940 -192426 26947 -164676 22055 -86062 -76381 106051 -54615 -5053 -16931 -162321 -2400 106283 32669 15157 42199 -76554 49476 31703 44087 147369 -116528 -72482 -9752 -19717 -143337 127353 151924 59033 -170356 109971 -41556 64412 85037 -165768 25690 108978 -4907 81921 -246378 76848 -75882 -29426 5620 19775 81735 -6124 8744 -113988 180742 -4322 997 -89747 -196576 16122 -148844 43802 64172 -74843 132757 156985 -56293 -182713 85852 -21751 49340 -64740 65024 -17498 -89646 -45011 15766 -69330 173986 272287 63360 25953 272399 98766 3750 -20228 -77488 -76291 122231 132975 56471 -114475 -68434 62422 -40674 111983 -44894 -39675 51405 36673 217442 39924 34180 3270 88900 -10685 -35175 31720 -68296 -7811 -168626 -9852 -211595 122322 -7519 -24588 -65588 38343 -9863 1422 157077 41561 -67605 -77492 -39490 -233414 104918 -114224 55636 -26752 -97609 -150777 -81605 149669 -46762 -29928 -29950 88269 11778 24014 -94124 121896 -110359 -26800 -26265 -130863 -21244 7712 36901 -70051 -15026 -3560 90929 64538 -37738 -90155 -148636 71065 172843 43451 -88496 83613 -133004 234458 52673 195580 50668 71975 61008 -109655 -25215 -87131 -8991 83198 -79274 22123 8424 37611 49602 122759 -101550 -9637 -120648 -124744 87131 58694 190784 -38727 107343 -54828 9351 -97161 43520 -74670 -64901 73758 -182211 -48851 -37621 -106247 -50802 58622 -48926 
+-105238 -27876 8931 45846 -104706 71375 -155881 117755 -37603 -5159 15864 -46112 58554 167218 -292360 70795 131280 28272 8981 34576 2785 136481 16696 -119662 23087 70541 59109 -22719 -82697 233852 107631 -31116 -14951 -107321 69913 159493 -86312 19276 -14712 -1696 30057 -112416 -46087 31563 9923 5979 -89743 60888 -91981 18504 -30587 -33207 211145 -52982 -55809 -61516 -210891 874 108270 -7630 1674 4833 54353 108884 51000 80234 52001 -232366 -77027 163574 21031 -126227 -20040 24175 77066 -137629 95412 -101609 12275 9356 -188926 27588 169060 13728 86479 -217312 -35601 -81804 -27454 44649 -30509 83276 -4647 68623 -28165 175998 20512 126040 -124602 -77459 -3992 -144963 43208 -18655 -59303 91453 140813 -152547 2060 4690 -75314 52338 -249746 -3162 6243 -67538 -45542 234 50170 35367 267821 76059 35704 254947 -1596 -10038 -1183 -63242 -144939 97472 113608 67140 -77715 -80353 54204 -56183 219518 -23518 -7485 32333 36914 234139 26854 -13156 4026 20276 -188994 -183297 151089 -68615 73043 -147014 -1859 31717 114936 -30180 -72183 -69141 27687 79722 36720 151759 134419 -4070 -48296 -34226 -189815 120301 -48601 93517 18854 -27040 -168919 11857 74025 -32105 -24999 19915 97543 -25822 40351 -85691 69891 56335 -7988 38498 -102534 -63859 40002 56655 -4633 -7120 -64481 87465 97512 -29332 7145 -162192 104060 109405 102291 -61234 79778 -72186 173647 66206 198832 14435 27549 104893 28390 71570 -124287 -50696 62008 -59460 1099 68507 22312 -32694 -2790 -66803 -29599 -56286 -86127 78306 6735 -2348 -38104 103401 3683 20519 -106875 107513 -146831 -98521 21798 -141430 -29139 -33597 -98030 -118375 100424 -43188 
+-78083 -109435 -46924 186116 -188086 62836 -51362 138068 -213551 -8532 25823 -39060 58578 147268 -296598 65595 104551 4524 44997 51550 -38814 123214 -19372 -98100 25646 30068 102776 -53106 -51428 256162 117754 10514 -29079 -132922 58308 -12302 -77571 39762 53393 -15720 -30621 -66514 27748 62302 15171 29077 45855 9122 -19897 -14588 -6676 64891 204645 -35023 -145470 -136136 -148973 -26330 114508 -40465 27340 59013 138082 152660 69648 52133 -6164 -148073 -90161 236735 27584 -80765 -123875 -27026 91308 30733 81734 -85687 -19537 -76873 -223196 -2576 141658 17774 81449 -46538 -124990 -37509 -3088 44645 -69521 82512 -13593 92621 43730 179764 25777 189739 -107431 43342 -47777 -118453 31320 -14765 -26338 38669 123854 -144171 121155 -30092 -113860 70376 -141362 -58998 -6573 -36896 -36009 -44495 140335 -56866 190476 32899 28470 213782 -88709 -19026 10046 -95802 -147694 17608 65069 51566 -36214 -44723 69332 -51607 239937 1347 16341 16110 34657 183805 -39598 -87591 20045 -34375 -198360 -137311 172851 -45596 61039 -124370 16190 118152 118699 -80634 -65331 -102335 -4068 132816 54519 142030 172220 22374 -48508 74882 -126835 112443 32673 129281 49286 15219 -74966 44814 44110 -25525 -29722 98966 72379 -127730 7789 -91620 -41618 114582 -14222 44308 -51484 41028 16848 65451 158475 -21142 -67570 123974 146257 -33508 57162 -106524 78312 61373 142168 -32237 62380 45596 46437 22556 221300 -120858 -6098 121787 140116 141017 -108025 -41307 28834 -54782 -17560 130872 40638 -76290 -157644 -33794 81818 56590 -91968 68235 -57547 -99523 -36226 94251 -66753 25165 -102253 43803 -91373 -125190 -44773 -68168 -72569 -39762 -51025 -70808 82666 -48946 
+-109229 -156846 -37598 159719 -120373 76185 101359 135797 -286168 -4073 3734 -35703 52327 62931 -281887 14561 78410 -59008 -7482 63807 -66250 129177 -54405 -100571 28293 -9285 20575 -17822 28625 191302 78098 68359 -97004 -151912 82156 -133478 -59200 13352 68309 -29701 -167003 -33412 116375 136624 -57656 33800 -11291 19410 63009 -40430 -28740 206522 212202 -20678 -200536 -120395 -46364 -11805 120619 -45365 35106 25469 96349 122119 72838 22874 23702 26707 -104581 57999 71458 -24167 -161850 30885 82289 112912 124863 -57791 -35158 -134585 -226325 -38451 56721 18394 46698 85528 -136012 -48260 7877 21323 -34842 82127 -5089 94386 71985 188695 15003 141540 -73584 116262 -6565 -53525 44985 68165 15846 2037 106605 -41749 52891 -7614 -135084 77329 94986 -92090 -758 -50521 -43884 -90129 95578 2695 131567 -6101 -668 182081 -11829 -19811 18242 -113313 -109894 -77828 -2285 56371 6647 -40282 50511 -55778 191808 38186 12019 35611 32062 95245 -98326 -175969 44600 -77748 -30224 93438 115680 -72810 16759 -112079 45039 87113 103394 -84856 -24549 -66443 35950 174050 38853 144312 128404 -78760 -39834 92044 -33012 81863 104440 134882 76579 71045 23041 17931 58245 -35477 -35250 95504 58523 -133378 27566 -79277 -44377 -4014 -18001 55758 -18746 62227 49946 60570 198569 -4101 -85915 131484 136844 -31162 35478 -88565 91019 8816 182383 -10781 55035 108229 -45110 -30310 214832 -183235 -39487 97352 163701 205641 -58353 -43690 -10365 -64668 36265 189109 14623 -97580 -188826 -35707 114433 150632 -115176 77038 -93247 -101094 -22074 79764 -169473 15612 -66377 76044 31146 -115161 -48429 -21424 -33029 -60091 -39272 50478 -37131 -67156 
+-70395 -103980 -14067 49953 -61543 24812 114239 141997 -133428 22790 -17312 -50910 45133 -50057 -261543 -24114 -7001 -96635 54875 38382 -98109 103454 -53526 -95966 33650 -19603 -54700 -25448 67011 94828 14781 90116 -32057 -179630 81961 -83202 -61025 23003 73220 -4939 -193611 -47436 171937 174239 -109725 13661 -117881 43483 124182 -39132 -7092 157447 164135 -42139 -242269 -53417 77127 2379 125741 -519 51585 36014 -42982 50296 67218 -37392 136319 69093 -113740 -59581 136998 27998 -53808 153119 81924 48706 144105 14222 -33103 -172916 -229440 -65884 -49393 5103 44001 37440 -80750 -40161 15847 28516 -4135 80625 -1613 70498 32181 155265 28017 19614 -33721 100975 -10213 -40579 50990 124695 58191 -43289 88199 77952 -131893 68040 -161452 72916 63721 -117300 -6090 -65071 -47552 -140695 -41066 134980 37436 -47307 -283 136239 97877 -27312 18729 -112022 -24069 -137538 -75973 52084 47844 1209 34577 -83045 76952 56466 11385 91152 30840 4696 -58002 -169505 69373 -109545 105737 167252 36570 -86696 -67318 -61900 68702 -74740 104660 -109715 8495 -81349 57595 155059 12677 128739 28734 -143959 -18843 20747 39423 59459 141075 103428 77388 96356 104326 -83296 26716 -31793 -29836 48992 51977 -178241 -32635 -92181 -54397 -168022 7741 17866 1937 159242 40961 46332 86838 -7081 -72480 147053 78510 -16396 -45228 -50895 103294 -24693 221850 30858 12672 155964 4031 -11914 202019 -104309 -59799 54035 71276 94994 -1666 -57246 -51320 -82601 20755 229423 46655 -97059 -66193 -57184 120445 155509 -72409 96967 -45686 21622 -24474 68224 -174027 21588 -52291 74501 -4888 -84260 22700 21250 -5424 -52793 -41724 59883 -114501 -67241 
+-74127 -29726 -23858 -82308 -32 51974 -42973 121574 47675 7461 346 -60732 46389 -143797 -180711 -88975 -29522 -144691 92139 20849 -150302 84340 -46626 -82495 29399 -39292 -88572 -14764 132378 -18669 -66094 72671 2054 -203038 81627 43940 -49106 6652 30403 -52574 -104099 -42752 177830 194095 -95052 -3785 -210098 25335 102532 -48445 58641 22335 39603 -55855 -253989 14945 97126 -5230 126119 26779 53973 37861 -152777 -3451 48234 -7045 163500 -73606 -117785 1837 120831 55403 93664 212682 58604 -162826 69380 82087 -59726 -183123 -218684 -102084 -73148 -18565 18274 -146566 -9708 -31299 21516 31888 34456 79038 -5361 37782 -49075 159019 -40060 -80048 -22044 -232 23822 -75318 62333 128658 88291 -80044 69767 120554 -245946 151402 -174145 92163 -74609 -127204 -20144 -49121 -41362 -176665 -64497 233813 -10349 -104580 19052 89989 122635 -59767 10317 -127613 -57595 -155680 -102236 43001 85310 -5026 54382 -58972 -3497 42783 -14940 130081 32167 -48240 -51194 -150215 86484 -117241 53087 44915 -82928 -115961 -118138 -56116 79640 -201980 96536 -74120 48441 -55609 53966 72515 -37939 134371 -13748 -237752 -36395 -46298 113139 47829 137861 73304 35184 80949 111815 -124551 25065 -23799 -29237 -12599 26627 -198956 19881 -75305 -31763 -185894 -8920 -16260 -25098 81650 44703 19501 -52269 -9512 -12967 130510 43326 -3463 -127109 -92810 85215 -40369 220498 5437 -16936 135411 133139 34229 180660 45006 -82975 -2211 -43096 -3201 52136 -29139 -86484 -91364 17476 250689 33070 -36424 99922 -84194 160278 127296 -95402 117354 30801 167626 -19158 61341 -122567 41184 -34773 67874 -59192 -42723 66858 64076 -11063 -51451 -149675 7454 -86414 -77307 
+-80536 -8560 -16452 -17385 -24675 7527 -170578 122866 111871 6859 46019 -65230 48749 -185498 -127095 -136864 -34296 -184191 43071 23301 -167074 50845 -19183 -96142 38804 -60254 -62550 -33940 151039 -83778 -138566 -17983 -11193 -217048 58581 189614 -54914 6594 2934 -9685 22011 -66143 123607 156871 -26132 5333 -131093 36569 95740 -53184 79199 -81522 -29877 -54569 -246330 58397 11902 -36651 125790 27720 49050 25909 -174372 33310 28409 58415 83673 -211078 -121997 173273 112850 35439 208274 141371 77871 -177252 104611 113313 -1271 -160897 -190629 -99216 -28804 -37814 41169 -255622 47546 -20617 3290 24522 14164 74716 -8388 9789 -106455 160909 -67469 -78421 -32104 -134640 4906 -116042 53295 57982 99401 -87999 51030 54639 -174574 204079 -176004 76042 -204421 -111963 -17086 -29439 -40400 -192114 45275 185869 -67373 -121903 8513 42459 12134 -68775 -27 -122112 -102852 -41287 -70075 50345 115275 -11827 40428 -37058 -87184 3217 -29806 145841 35074 -34690 -36606 -71193 88652 -96781 -131227 -158235 -62285 -135566 -178995 -57050 88558 -164498 103859 -38877 67642 -51377 104657 25960 -74750 110293 32630 -188829 -21520 -42938 143438 63765 98197 34092 37140 36510 33010 -46998 6808 -9946 -28924 -94792 13004 -142631 14846 -72242 87289 -24038 12551 -22477 -72672 -4140 69864 -3960 -32128 -2936 34272 107369 8569 3962 -145255 -50852 104544 -32912 258233 9234 -51066 144272 222872 60008 130939 63025 -76709 -69507 -131934 -104632 88785 -3802 -111299 -79635 10114 241233 24130 27850 127452 -119480 121998 -31325 -82674 150086 42451 242131 -7503 63761 -13259 -2192 -11106 75791 -112083 -50304 48252 84146 25118 -32460 -83182 -139087 21781 -56767 
+-105879 -95130 -6096 64908 -80574 -6200 -82049 91507 -51618 -1459 49168 -56498 51481 -154992 -68396 -179160 -2927 -217235 29008 36602 -182163 24613 12594 -113418 38567 -71371 51498 -33706 160974 -77068 -193473 -32530 -6526 -201393 62596 135764 -60321 36916 -27034 -7336 5256 -124098 70118 115688 28028 28588 960 21674 31346 -54441 129094 -76194 -103317 -27577 -187559 -16739 -126167 -22076 129502 -679 39613 52340 -67803 103456 15248 77121 -28142 -178617 -132895 205056 104512 -10401 231661 25317 59246 -36698 64355 114326 11970 -131993 -172364 -62185 74024 -48111 50588 -155199 84955 -58387 -10220 22395 -7677 72649 -4548 -37537 -120757 149813 -74081 22458 -11715 -229844 -15534 -164527 47332 -21851 89076 -93164 32216 -73465 11179 186561 -210943 78293 -139956 -72348 -18073 3143 -38350 -191980 142152 49045 -93805 -163480 7537 16667 -86200 -53545 -13144 -133649 -152422 17061 -30742 56925 136366 -9730 24931 -38050 -29208 -56229 -22868 159272 36895 32256 45075 -15116 75002 -62600 -223233 -181845 92976 -147221 -209733 -53420 90326 -36261 91084 -19237 118106 -64107 97997 -4814 -89719 98437 127514 -112426 -1209 56599 115230 28940 20752 35744 -23572 -38669 -71389 44655 -20608 13471 -27397 -170888 -23077 -73042 30119 -86913 144769 103543 9785 30329 -103350 -95966 79821 -19825 103400 -2997 79780 138560 22211 -8052 -102903 -66417 56936 -1479 256317 -22294 -57412 87842 201366 91833 87438 -41400 -138142 -57663 -177716 -178427 59888 -7628 -121741 -60509 2094 210737 24316 108114 8232 -153627 129059 -119537 -74504 132900 -37162 183169 -12118 71699 -24062 -7108 38385 61867 -62337 -73942 -5843 94865 49941 -23820 -98358 -119258 91474 -60199 
+-101412 -163669 -31973 173349 -185427 -21638 84105 72420 -211414 25748 22401 -39975 55447 -69686 30734 -231247 44558 -227320 23232 65466 -195110 -19924 50438 -111633 30203 -77221 104974 -30539 162709 -18374 -220823 -33909 -46891 -215102 70166 28476 -83712 1561 -65087 -2912 -109798 -117922 -35354 63801 -16353 34088 36329 11658 -28606 -43619 96444 138643 -160598 -65774 -106364 -58188 -198236 -17510 129052 -36822 20283 51702 78016 150779 17288 53284 -19435 -7625 -132966 57829 64308 -67810 151121 -34018 69152 99094 56735 85117 43490 -58067 -132167 -44935 161117 -46209 74307 28094 17601 -40037 -38412 25060 -20524 71733 -3172 -101474 -69534 153896 -106439 143964 -74022 -263720 -33155 -129798 64649 -22675 59844 -85580 13975 -163743 122395 100476 -151165 75935 39463 -23963 -562 -50670 -37771 -162857 106550 -64675 -67860 -213795 -11356 -37618 -57659 -14578 -38624 -115560 -115977 100856 68402 65043 146909 5954 45037 -42292 82629 -59348 -10312 175657 36477 118469 86592 13783 52388 -10132 -98702 20805 162672 -106862 -145945 -19695 73888 143319 93315 -23797 108324 -76181 112224 43906 -89232 89800 175336 13185 -9101 148086 88685 39468 -69018 63487 -61574 -86953 -184226 45363 -47097 33696 -24430 -225970 -27299 -42830 -6301 -70352 228961 47025 21309 54912 -136260 -74294 70937 -27256 179999 -8270 57649 94152 67108 16105 -19514 16068 88056 30705 234240 -13781 -85131 31657 84003 154552 48918 -175144 -146472 -4923 -130610 -163699 15449 -44868 -116224 -54660 10290 161462 29430 179370 -145600 -161145 63708 -98409 -92710 92454 -102070 40208 -2215 83887 -125577 -113 69501 57958 -26563 -102650 -62228 77913 60759 -43089 -43259 40128 104597 -54622 
+-96884 -136437 -6806 176072 -134957 -24648 107851 32709 -243570 -10489 -11660 -32037 57611 33657 101583 -223180 85225 -216820 -3791 40045 -201821 -52502 41592 -92848 37154 -86660 46503 -4941 154594 97625 -218255 40880 -40623 -213288 61909 -99240 -87248 16638 -133447 -10361 -193036 -170967 -95823 14277 -61559 14356 -97054 -56833 -114975 7023 151628 241819 -160146 -47659 -50648 -111855 -187508 4919 125256 -46073 17082 43308 157027 132271 30248 -5125 90108 87247 -125939 -62581 8242 -117141 4744 28173 73413 73634 83797 23298 100624 19088 -87716 3964 170184 -31112 50733 89819 -84235 -23165 -7790 -6868 -32296 73529 8679 -131687 20465 167077 -83703 189456 -110765 -203617 -13692 -88722 94953 51117 18973 -70035 -3921 -138227 46052 19580 -118282 69509 86251 35555 -14989 -61079 -31876 -111768 -24933 -14263 -32383 -224405 21834 -46476 94930 -8546 -59761 -107680 -76147 125526 113591 65474 146556 -428 109186 -54461 205183 -25315 12305 128348 34061 201386 139137 -31876 26647 58387 79800 170552 196386 -90063 -63915 1910 57737 82344 92065 -290 97646 -94700 68250 141000 -50630 70369 131847 6250 -19620 67449 10531 -6906 -138085 100179 -119793 -145570 -180187 -16723 -36161 -23379 -26988 -223126 -45360 5195 -19023 -111918 196409 -100206 12812 21768 -95721 62346 81441 -19928 160915 -6506 74548 104886 95501 23967 36643 -2903 73336 103278 196398 -57781 -94886 -32117 -26095 100472 -3565 -156521 -140575 54761 -18142 -135221 -40479 -76810 -95347 -65896 4582 98936 24867 220068 -184421 -142011 -1755 65068 -44729 43489 -86185 -80754 5734 97211 -180584 -10202 94013 85436 42760 -125206 -14990 71454 40833 -34661 -50679 72984 31025 -55428 
+-89641 -46925 -12191 35385 -52137 -30327 -53305 4063 -119911 7956 -11837 -41926 55754 135471 96035 -221154 130723 -197824 5406 37392 -176849 -79735 14348 -108409 38075 -72893 -76270 -6977 125530 192592 -177513 98179 -168 -202674 63841 -121096 -89249 39079 -125680 -35383 -158765 -134908 -74767 -5392 -108481 -3599 -207006 -14017 -195380 8277 90938 126907 -98406 -28425 24442 -120621 -98456 -24200 129211 -11002 13068 19569 96188 42679 50342 -7718 177114 -28467 -132035 -10039 24717 -139559 -119934 154408 76157 -154651 58567 -50934 124813 76705 -59574 31896 93956 -8156 48075 -47911 -135151 -47590 -14139 10159 5689 71607 15771 -163105 73522 130051 -75753 123527 -77873 -95808 -18239 -44887 81124 122333 -24094 -30626 -21142 -24954 -140784 -31162 -71308 43602 -38699 93636 -29594 -36104 -32814 -68170 -76419 136657 57142 -237644 12850 -72319 166234 -22797 -84074 -129657 -31371 68869 125871 62496 134800 -17926 65726 -43217 249103 13912 15624 67179 31483 232185 160871 -71319 6718 121020 102025 89300 62969 -70393 2419 31827 28642 -78065 83492 -43336 24416 -73048 90728 167772 -6846 57585 38802 -23878 -24304 -59009 -71047 17758 -164827 127445 -167833 -149710 -53661 -102367 -80657 -31039 -29457 -162771 -53105 26875 -6852 -83039 125403 -216544 15152 5252 -52448 116638 84862 -4137 -14135 -370 62285 105156 127337 40366 13614 19083 58424 150950 177908 -112843 -95589 -138796 -22108 151744 -60773 -53903 -122315 101919 63157 -82172 -102084 -58556 -62719 -83660 19425 38360 13702 230591 -84409 -118837 -70540 154607 -27580 57975 -13355 -106789 3745 105286 -143421 1285 106674 70787 -12589 -96541 54484 49261 18760 -12201 -81906 -26401 -45433 -30670 
+-84897 -9896 -29904 -89591 3178 -17753 -173509 -19282 25392 -8341 12240 -46704 54080 179025 130575 -211169 120324 -163709 5932 33244 -169142 -116027 4648 -122214 37011 -55999 -100142 538 55653 259690 -108527 81266 3179 -203037 90067 22496 -97609 15632 -87868 -49052 -31128 -101230 -23461 32411 -85214 4742 -185133 -33958 -236991 27904 82780 -6737 -38859 -59130 51549 -61097 29764 4293 125865 17908 40774 25818 -62027 421 66649 36511 108804 -199762 -120432 146567 8566 -124093 -148018 207870 74194 -209745 77337 -101199 112216 129119 -13097 11761 -11648 13433 40811 -218044 -103457 -52029 19447 -14134 48033 75895 15429 -184097 55562 137400 -65803 -2262 -120120 22076 18038 -55104 68702 130529 -57562 8503 -37451 90732 -249429 -15632 -58163 60741 -200434 144407 -10509 -34324 -28989 -16391 29268 226698 143087 -214490 -5360 -97329 55996 22546 -109947 -102505 -107416 -45641 145462 64778 113220 -18269 69050 -16153 229379 56310 -31592 50511 30973 205417 208544 -146366 1418 177774 -67973 -135324 -41826 -30033 91907 32587 6364 -198811 78605 -49122 -31078 -86029 39435 172324 35440 42068 -13521 -112168 -79136 -51538 -163841 6037 -153283 130659 -176137 -122881 80159 -119963 -67323 -11320 -20955 -90978 -78727 -19301 30581 -102883 34331 -125271 2521 -17481 13206 164304 103580 19086 -71274 -3576 -14652 71455 139322 44947 -17577 47957 37560 204797 132025 -125679 -80124 -171867 115410 111846 -87273 46593 -98986 125838 150017 51333 -126560 -81484 -22809 -90074 13988 -13959 16387 188878 79187 -74079 -116923 215184 -37374 72787 40296 -5961 2082 107912 -22696 -22870 144818 45558 -141665 -72045 65187 17037 44789 -24576 -137623 -103174 -89582 -44956 
+-79462 -59508 -50643 -53497 -25736 -24645 -93714 -31522 113230 5706 36770 -65874 50224 150123 103402 -201072 76039 -118559 754 30690 -139865 -129546 -45606 -65927 44731 -27097 -8500 19724 6226 234260 -32992 761 237 -190635 67265 153314 -89556 40724 -37433 -45847 28531 -61806 60729 82229 -25402 27997 -31687 13261 -253155 67540 23389 -79537 57166 -75260 75513 -2031 98198 -21230 120304 32692 60234 13637 -160939 35147 75289 72566 29580 -203350 -109646 225177 5289 -79444 -95102 143453 50943 -65275 99517 -101487 115391 173072 20866 11925 -73367 13024 21053 -231009 -67907 -69073 20181 10626 12458 80353 27446 -175845 -18259 129395 -27451 -88048 -99232 124085 -30825 -98006 76195 65188 -74260 65656 -52446 120287 -166258 53132 -17321 49892 -131468 184985 -24437 -19829 -26970 16038 129921 202847 191169 -230485 5069 -115759 -112215 -5489 -138896 -105641 -137320 -116020 39980 64665 82199 -19973 62771 -48850 130486 50404 -25171 30865 32800 125749 178501 -170825 11440 192695 -215923 -206594 -92453 -44709 60923 36515 -13841 -165731 81197 -85087 -37091 -71043 14412 77183 51082 19339 31521 -194283 -98652 37051 -224943 9181 -102553 102970 -216969 -83230 114833 -18557 -133286 -39690 -31768 -21399 -82534 -36692 16943 -107382 -53865 58239 -3100 5827 35675 48837 86361 45149 27136 13579 -38109 29041 66103 38482 -106607 33420 85801 225931 81624 -138932 -63729 -211216 211842 141185 -94422 3194 -83728 104478 108032 132848 -111376 -43017 17523 -78052 16130 -45978 8810 124837 125905 -49385 -117164 166328 -20191 147870 19987 176802 2469 100750 -15232 -16163 152576 57901 -135681 -53275 -26421 -33092 2195 -19201 -94675 -81436 -70426 -16325 
+-81431 -127410 -26675 51157 -164010 -16544 76117 -24864 -17361 6004 18806 -62356 48133 65581 -19108 -141225 52416 -68711 70200 41033 -102786 -151649 -54061 -85735 42810 1849 52488 5983 -45815 146590 51468 -68191 -57602 -171806 88168 165902 -81609 -23029 -4751 -39763 -34783 -55097 143977 141278 24351 34376 37302 15558 -219233 78911 -33043 -34267 149673 -71881 41346 39066 37388 -17263 120514 5351 56102 45641 -156430 92889 67568 44019 -641 -43616 -100748 89337 30410 -23552 53129 22610 57713 73851 106736 -47303 88847 190643 53500 -21657 -61107 17581 6560 -78105 22391 -99488 1658 10431 -28258 79665 26909 -156006 -100635 127381 -9303 -66633 -59454 103143 1764 -135153 83427 -16816 -69564 105812 -66383 36418 19592 133643 6403 60893 50482 206267 -3689 -64579 -16965 26185 99266 62206 280027 -167249 15368 -110888 -47281 -564 -154395 -73599 -110855 -165539 -16300 52554 45074 -46240 57596 -21003 24220 25117 -24751 29337 35680 32105 175743 -146698 33390 218661 -169019 -20166 -49502 -91333 9804 39139 -32809 -32920 85734 -98731 -75218 -51130 70362 32193 41460 -11940 121257 -216630 -79742 103972 -248019 1 -27960 52402 -233615 -5306 104193 41368 -142576 -33821 -23315 52821 -88388 -101686 11719 -103244 -62156 102927 -8702 56190 -16692 -71433 69946 63095 162493 682 -54494 33187 22129 43467 -134990 56809 53510 228688 16545 -126378 -64809 -195330 223946 36946 -130920 -101998 -41903 51996 7706 205925 -49403 -42321 53505 -62247 -4275 -52169 20635 51986 7965 -34212 -73213 5235 -2304 136222 -59613 278566 -12593 89680 -65246 -11618 144026 68350 -26713 -60734 -52990 -95150 -19224 -31024 -89964 -17647 36877 -25531 
+-113503 -139614 13704 190532 -182261 25044 121506 -23061 -201935 8475 6433 -55294 46292 -37307 -46973 -91687 -22519 -21990 23328 56461 -74957 -150333 -55566 -75660 42667 26237 105838 14968 -92423 46231 104656 -59647 -46349 -140533 68414 113485 -71761 14087 47745 -23126 -175686 -18214 181821 182109 -7917 15079 -34087 -15527 -129576 103894 -40636 173376 192450 -70658 5517 -13041 -68127 -41224 107924 -25086 54476 57910 -42254 165111 49178 3573 70388 79304 -90805 -69846 82712 31015 180407 -28076 80142 62027 105445 29079 40907 175458 70122 -50506 37487 2626 83672 80646 108432 -104008 -21818 7228 -62242 83903 28578 -132049 -130461 116295 12748 45220 -11494 14811 -14602 -147335 63435 -19152 -43177 155533 -78731 -82388 123947 197760 41535 51314 107753 203719 2021 -21216 -24693 8498 -28429 -46853 283339 -169418 28805 -47499 73066 -50146 -174950 -52484 -74633 -77993 -81232 49671 3378 -64569 72494 -40255 -45494 -6969 7185 40819 37040 -33632 179720 -97763 59167 204768 15993 171550 105211 -104991 -71942 15038 -32630 102947 83595 -34841 -61094 -85541 34347 -40978 10294 -16342 174199 -107059 -116649 82653 -241407 21422 43740 37398 -224229 60174 -35423 50374 -101468 -8865 -22503 97559 -81514 -130234 10851 -107971 -49809 -21526 -13862 44663 -80185 -64248 94406 65778 175575 -2853 -104919 20576 23437 56147 -123676 117551 56712 217280 8431 -112383 -27909 -187664 110943 51351 -117045 -164064 -9320 -5801 -86864 104425 17396 166 78410 -55972 49450 -31844 9782 -33523 -145278 -43913 -74466 -75645 11124 132822 -112384 212756 -8183 76340 -166901 -15799 185413 42742 46335 -94163 -1349 -150738 -45905 -41031 -55162 61473 110662 -17995 
+-96865 -69717 -6585 155374 -140441 1427 -32793 16983 -249061 -9823 -10906 -41728 50380 -139524 -94595 -47667 -32318 22833 96427 59488 -26141 -191866 -26950 -107968 47313 54589 57181 38755 -113837 -46855 119105 50804 9348 -128145 63581 -54212 -56855 -6203 52755 15923 -206737 -58884 167124 184524 -79635 -3439 -153970 17499 -52640 133681 -60149 198006 222753 -21241 -71611 -84755 -182936 -9226 112456 -45367 35971 52363 88886 107678 29255 -18053 147683 5867 -89370 -28964 89829 50445 226454 26294 73872 -81501 100577 86895 -4047 121875 77083 -117536 138747 -26163 57520 54713 68729 -114809 -39537 -11007 -33469 82674 25304 -79235 -103086 96257 33687 159315 -34675 -106788 -4066 -123363 68351 51131 -3934 183139 -89752 -163033 38168 185797 38372 46884 -65184 184871 -17145 -23513 -20424 -32306 -63684 -45916 267512 -103544 3138 -14130 155362 -64862 -183364 -59653 -68676 16483 -103221 49588 -39251 -83701 47149 -47088 -31682 -52344 -4261 58947 36004 -44378 98464 835 80539 181141 120742 160170 235795 -148517 -143283 11971 -33219 105768 79497 -31639 -12387 -73236 32858 27082 -40177 -70267 135696 -32954 -120551 -12994 -205458 -65563 113894 36804 -194620 98819 -134140 -43596 -123140 12432 -30603 83189 -55825 -185849 682 -88260 39941 -180352 -17513 12772 -136697 -7240 84524 59246 52689 -4653 -48476 9530 49037 65879 -35800 93999 38631 182797 -42857 -77170 -15925 -87433 -8934 -8595 -113170 -106799 17549 -41390 -167623 30004 55823 -16904 88690 -64281 29122 10081 1670 -86564 -187858 -73578 -7754 -88150 13646 116711 -82441 70687 -17530 66240 -178128 1171 168297 95195 16807 -122535 57953 -193136 -42050 -56622 -78430 18232 95060 27306 
+-95290 -10186 -49213 61732 -34533 18590 -162185 22205 -118542 7195 10174 -36710 51753 -188822 -161738 20610 -576 51800 73376 12531 -3197 -176973 -14205 -90381 46640 73049 -62017 -5489 -129320 -101003 111882 75167 -17862 -99740 74847 -124547 -54573 -26934 39188 -24211 -109278 -57684 99275 144128 -127366 4160 -195165 44406 13394 129322 -64462 73384 181586 -60873 -148740 -109654 -207463 -23329 101600 -26856 7980 55705 145653 48089 18256 24920 128763 -174674 -74705 156420 123988 36441 197086 156047 79195 -208064 94027 132473 -32864 57773 73123 -108162 181357 -45116 53852 -119864 4710 -163581 -24362 6790 33909 80558 47015 -60279 -15213 88244 42012 185588 -40050 -219342 -22469 -53875 84298 121545 37644 210976 -98609 -127985 -148680 125976 70943 93601 -210834 140788 -15674 -8675 -22325 -81627 27992 110270 199415 -92243 25460 11387 56971 -60012 -190560 -38372 -89022 88099 -41936 53258 -80518 -106802 45334 -54004 23384 -57248 -4674 135220 33302 7400 19884 22075 89659 136569 15253 -81764 157205 -153805 -185248 21833 -26258 -86147 78238 -41995 12195 -63919 62229 101526 -73920 -61023 43046 44072 -110483 -48972 -131790 -7501 148760 64136 -160338 94168 -180766 -127963 -123119 21866 -17793 28521 -48879 -183834 13057 -99679 156353 -190365 -30897 3194 -148917 61228 81385 41080 -78041 -7008 -54574 11488 65362 65691 13095 91520 40070 128168 -45257 -60180 26321 -27935 -51170 -28268 -103468 29360 61202 -63349 -189066 -74437 78065 -28669 84026 -81875 34582 65890 8394 -105807 -71272 -110201 25222 -12822 19820 96073 24888 -74264 -20528 61201 -110425 -6687 146509 93220 -117137 -114699 50704 -237926 -42080 -16573 -79139 -106943 3823 28605 
+-78372 -58620 -19636 -80552 8815 50975 -112401 56044 44870 16433 43289 -45294 57138 -154692 -208172 57766 36910 61706 44216 43566 36156 -171989 50222 -69835 48332 91661 -112927 52265 -132666 -68405 63474 85759 -7154 -69029 56911 -59213 -52490 -31722 29037 -23945 17829 -110513 27294 93229 -94012 27446 -84984 49204 102583 146476 -50805 -36095 127541 -48258 -195969 -125037 -131349 -3726 91691 10157 7137 46345 75049 3192 16496 75438 57069 -221598 -70820 193809 140726 -8115 58815 212181 49397 -92262 77077 130859 -44206 -26700 57798 -77177 122008 -45847 67659 -244804 -110349 -134961 4782 -13641 64499 81548 50787 -3072 55032 90135 24694 103394 -59545 -248912 -19297 -51785 40100 129283 77046 221976 -106094 -10445 -249061 44971 95919 71900 -122913 93174 -27301 -31096 -15577 -127652 139007 215460 146239 -79489 3702 59235 -64623 -58324 -191706 -31527 -123204 125610 -4186 55411 -117092 -133149 68292 -22556 130598 -9399 2555 138210 31178 101957 -31727 -14983 82726 70912 -174438 -212124 88279 -137879 -185794 -29826 -10439 -230306 75000 -34114 79645 -82802 71571 153214 -98374 -105643 -7479 -14734 -129239 28798 -39601 -4562 125974 107674 -131888 51068 -135515 -79681 -151662 -9167 -29942 -19626 -23013 -135862 16822 -81677 175892 -29748 -39543 3708 -103310 113609 57028 18486 -10552 -19296 -2565 16394 99523 69039 53907 80942 17422 78912 -91843 -17755 48762 95227 67776 21749 -44937 56648 82236 -24563 -88877 -170141 61217 -60860 63327 -90679 45277 127913 -7237 -83932 82480 -149038 89486 128118 45884 54806 69020 -120959 -21947 65090 -34982 4547 151368 100749 -156004 -89863 8951 -270451 -27970 -27852 -88586 -104849 -97090 240 
+-62964 -143658 -21066 -74147 -68950 50809 72488 89092 120810 17158 31436 -58120 58544 -84820 -272171 80302 74057 66695 49158 22960 56666 -146159 56840 -91271 46291 104469 -16374 44693 -88841 39574 -11309 19749 -53010 -56763 80237 116352 -51855 5434 -1811 -57379 8485 -140341 -39078 50228 -29880 34582 15369 71449 70728 138849 2380 -70230 34133 -75972 -237030 -50050 -25622 -56756 94943 34775 20345 13422 -56654 44433 29850 51536 -16230 -80940 -71809 66980 106046 -69987 -75326 145977 47007 78440 54646 64755 -49217 -78295 38991 -9602 27646 -47430 59983 -182164 -128326 -140200 23845 -2501 -2930 80429 53999 23259 81445 104473 -12585 -22466 -101189 -224194 -29857 -56914 50553 61975 97468 200811 -111586 98508 -158920 -26158 93070 93901 69807 31997 -28202 -38440 -8659 -179273 102900 219950 69812 37064 -3893 106471 -80143 -28381 -185553 -76144 -161406 78362 63622 52571 -146657 -130485 41898 -24725 202097 32074 -24653 198713 31197 182817 -75004 -73100 62157 6445 -213904 -101463 -44795 -99805 -162056 -9830 21210 -175111 76757 -2717 85817 -90770 101559 154572 -83859 -101541 25843 -130856 -96140 94621 38830 -40807 76172 135376 -83371 8423 -15919 15336 -131743 -7588 -23503 -116907 -21104 -108371 -10831 -112318 213948 98702 -45451 13797 -36220 122970 51801 -5958 95403 -7193 -3107 10083 140230 93752 -40075 91296 27259 25443 -82909 -22519 75413 142850 191313 31199 24023 -51066 111009 37212 46744 -188117 18777 -72523 30979 -81330 48261 186535 16340 -37493 122285 -160648 142896 200040 31360 97416 12366 -32588 -37496 74506 -8306 7176 123402 58209 -93493 -58859 -71441 -285109 28733 -25223 -100158 -20006 -87659 64186 
+-76184 -143727 -3791 47964 -117474 64288 129479 118696 -31275 26578 34449 -61872 56528 27125 -270504 84539 114435 50691 27344 40450 89729 -111104 22393 -91910 49788 92104 48248 8094 -48811 141801 -82907 564 -58243 -26826 72530 212064 -57846 -5650 -86391 -91211 -95379 -148969 -73606 1723 16851 15792 22254 63475 107535 128982 74245 36918 -62488 -34880 -212803 10839 68650 -46129 84327 18129 44909 48138 -169198 118414 48612 28414 23009 65778 -62285 -67770 68718 -119897 -142674 25000 60565 96982 64773 9134 -40975 -142699 7549 7854 -67061 -24243 -13325 2703 -88001 -138356 12651 2006 -50500 79429 48486 68346 29026 84796 -76794 -92881 -138118 -107824 -4892 -124773 49567 -6073 95790 196518 -115096 119181 27632 -31083 37245 71589 103617 -33402 -28311 -22767 -16795 -186197 -23054 84735 -9963 72660 25533 133475 56768 -16022 -175117 -74339 -72833 -7986 142030 54062 -166994 -145133 84889 -65460 231151 60547 -7135 177406 33536 235087 -72383 -131126 35758 -52578 -58611 121880 -57849 -66806 -62575 -20492 42703 -33828 85749 -66998 104790 -108969 95681 121349 -45244 -139603 117964 -214133 -115682 91702 97957 -1566 -2279 127245 -42722 -57497 111766 51670 -114900 -46405 -25256 -173698 1463 -64406 9038 -128423 144830 59809 -84057 40247 -18717 37611 58382 -23538 180496 -5353 62074 -16956 115424 82889 -83586 93136 67889 -14156 -60479 7424 78845 175770 230006 44580 31254 -152451 104395 98200 134219 -166039 -57650 -54751 -8681 -62707 33460 227773 -2588 36156 1054 -156452 144596 163390 55337 62787 -86510 144440 -28441 87680 -127414 -1137 116728 77039 3670 -48946 -22592 -303573 11642 -31933 -82597 65158 -21839 40035 
+-101688 -67492 -9959 165406 -116847 56977 -11987 128756 -219616 21091 -4496 -59435 51297 139648 -278775 86859 121138 22497 26410 43778 100797 -108876 22520 -80986 52306 103439 91479 37422 -6284 238557 -169532 -39324 -11562 6311 73876 140445 -69068 -61996 -100615 -26310 -204722 -112055 -50496 3299 -7607 -3189 -108207 29408 78564 115864 67658 204283 -107105 -37170 -213925 32261 95062 -48757 72052 -23109 60869 67196 -144441 147563 66549 4184 130003 31983 -70985 -25289 19961 -140430 -116958 -31159 73319 -60668 22610 -50204 -33749 -164622 -34671 26309 -77943 2937 -6281 101862 -42764 -113348 -6495 17434 -36479 74642 67688 81220 -63334 94894 -74949 -51929 -119273 19928 -38824 -134442 62863 -15232 76352 166480 -116619 33317 123927 20747 8935 64154 -72734 -77604 -5361 -59639 -21841 -199850 -80284 -50922 -80570 42122 -5542 154974 163661 -11043 -161947 -92460 -50379 -120767 156341 65433 -176549 -158807 63879 -61093 193518 40116 -27833 124164 36175 218201 -90576 -193329 14029 -90869 104022 156926 15403 -50519 -1815 -25511 61074 110201 92141 -62043 100275 -69723 64740 41792 -4766 -155665 172744 -205317 -139012 18240 136494 -4895 -88065 97903 -91 -118815 103937 10691 -83757 -61434 -25579 -221392 24180 3437 6749 -69085 68998 -124899 -78032 48971 2643 -66151 50522 -27317 114882 -2306 72799 6396 62923 83172 -127982 89358 48714 -38436 -48558 2377 90683 136755 139245 105608 77041 -146032 147600 114455 153787 -69847 -107471 -46721 -49306 -54016 55728 250400 23702 117015 -152039 -121001 136029 52107 106089 120776 -98136 242471 -49757 99768 -190074 24558 78599 53987 41463 -77951 61974 -305520 67395 -41988 -73252 37222 79759 61893 
+-92893 -21214 -10030 165039 -162431 65866 -171386 161656 -255381 4765 -9120 -47357 47591 174537 -273263 75377 87429 -18473 14443 35338 80410 -67919 -36753 -108101 47775 78516 46468 46817 70763 261185 -211366 -121 -32638 22598 86062 9930 -85930 -61847 -114073 -34780 -157780 -124715 4905 51669 -89627 3587 -185845 36486 -13560 106651 82536 172972 -156196 -74591 -177381 11773 -22099 -21192 71044 -50059 59042 51588 -31694 113790 73089 -10525 163742 -156529 -80195 143053 -2734 -122710 -7814 36267 70939 -187900 18735 -110927 16389 -178606 -86056 35296 715 15116 516 5021 58016 -132394 -47914 16579 -27353 73771 73524 71578 -123511 90919 -99336 67665 -107495 96999 1804 -151951 50206 41620 38289 127847 -116432 -103497 29279 114950 -22567 65227 -204011 -110570 -12040 -78916 -24087 -171980 23611 -43795 -86449 99587 20082 229820 119229 -2444 -137844 -83311 -57536 -173023 92645 66111 -175152 -191327 55018 -63959 87263 17165 -16885 59566 37042 146876 -29525 -146403 2089 -114958 69699 -14894 140237 -49824 50498 -58441 70589 108619 93112 -77781 64324 -92921 59939 -9088 36579 -168622 141774 -158588 -102385 -22358 138719 34076 -141341 60815 30242 -142664 73947 -100528 -181078 -49609 -21318 -211600 38315 -12732 25904 -107000 -29567 -213819 -81145 -18169 -62900 -32587 73152 -18271 -20340 -16951 64009 49018 34161 88613 -113393 14180 36826 -45870 -21455 -14871 89029 86646 16454 170538 130896 -37180 159955 118934 95428 52596 -117261 -28724 -85157 -62185 29235 243020 32982 178298 -196391 -93313 130057 -49846 69784 151512 -20278 211170 -43827 107201 -140925 14089 41772 81547 -46395 -114773 82144 -256385 45622 -31177 -27374 -122650 122277 70723 
+-94594 -37621 20809 37976 -19856 81827 -115955 147298 -146783 7998 3107 -35860 45994 159729 -196154 22723 27402 -69221 29866 33078 66524 -45143 -53984 -89079 54528 47476 -55492 18990 113933 214063 -210550 86206 -24027 46900 52787 -129732 -91898 -41060 -92604 -19248 -44004 -25701 96608 99978 -130966 26859 -135202 -13089 -48646 87996 144456 81789 -157915 -25042 -109774 -54702 -141387 -27743 61304 -34239 43090 39446 105434 45289 68369 32983 84414 -232145 -86803 206075 518 -74573 151058 150098 59425 -125188 68204 -82343 59800 -162922 -105207 2328 100370 24379 39605 -203601 86238 -151564 -39335 -11354 34685 73629 82963 76220 -115485 69564 -100555 171748 -70480 107752 25240 -94518 50005 125583 -3850 86748 -114102 -163020 -156956 186408 -28970 50762 -163624 -129496 -23712 -93850 -23590 -132689 136610 84263 -76020 108723 10475 261584 -44356 25678 -118411 -85003 -110926 -114374 4462 57040 -162746 -166078 48443 -77976 -41312 -25214 -19580 62150 35413 54262 1306 -93533 6456 -109202 -104045 -202780 210988 -40888 40215 -88471 86447 -109423 104156 -76322 25377 -74725 71685 -21306 53286 -164037 50677 -60818 -112488 -23248 102903 35797 -171659 31674 50620 -135972 -52305 -129259 -109221 -38197 -27738 -150187 58187 23703 33330 -129520 -74028 -106969 -100821 -48440 -91961 63690 48782 -4827 -55966 -2094 32572 73626 5545 87370 -94673 42244 49307 -21656 4996 -24748 86529 35529 -38126 143130 148178 49321 139024 34162 21313 139267 -89703 -25803 -110477 -82116 23399 214348 -1634 221922 -72775 -55049 111305 -122476 92267 151927 20892 57746 -55070 106637 -62035 14594 3748 83836 -144324 -130920 1857 -210376 38438 -32360 -111127 -121160 60468 69467 
+-96494 -123298 -23737 -65492 10637 41480 60032 132360 39134 20844 36284 -40762 49119 76177 -82689 -623 -16523 -124986 26961 11565 55300 10770 -54700 -82759 49731 20764 -98853 37408 147126 106717 -206522 100687 -59438 73409 72860 -103705 -96861 -61011 -71299 12483 27040 -50747 159109 169692 -74125 34771 -18253 -8805 -156867 49118 148378 -71775 -92962 -42689 -40466 -127652 -198595 -43592 55042 -42 28590 31984 143472 -10130 50167 95400 -28904 -114717 -95084 95265 12055 -21404 249842 210391 61035 72074 102725 -30929 102574 -105952 -144694 -19789 172094 12036 62708 -250069 79568 -126088 -25636 -32134 43333 73346 91338 35076 -54877 60169 -102292 178350 -36561 17234 -12547 -52772 43492 133597 -42436 33148 -109160 -119631 -249153 203865 -72634 22164 77544 -118057 -7619 -85911 -24944 -67816 101812 231299 -33129 74082 22183 261293 -94329 -25186 -90773 -115416 -159645 -33311 -29138 51768 -139742 -172677 44848 -53773 -47368 -52885 -12947 3667 32678 -25922 63815 -6817 23431 -83534 -225868 -159456 129927 -65695 35204 -126881 87499 -227054 108815 -60039 -38312 -64897 30807 92420 47173 -192763 -9122 3863 -78536 55214 39888 17753 -142093 33322 75184 -102897 -168003 -50768 -99535 -47219 -23502 -86864 70461 5523 -3544 -116749 -82235 49972 -98208 12547 -99608 104951 41400 23056 35657 -5827 32837 69573 33543 105282 2431 83920 50808 27416 45911 -24738 59823 -17710 25067 125574 182876 13157 99439 -4822 -135660 186883 -31994 -22966 -121813 -91966 25806 163611 -4789 229884 78201 -29626 31799 -71844 89236 116562 53346 -72061 -57342 98077 27380 48461 -31457 92210 -150633 -106733 -40391 -179347 45606 -39178 -120388 -14858 -43802 79132 
+-71880 -158675 -22183 -50387 -30388 27210 132195 117291 74565 19711 28217 -45089 51411 -31699 -53950 -72007 -20530 -165779 75267 44822 37550 30318 -34212 -88572 59043 -6781 -2053 15686 164250 -9800 -156905 37641 -44916 76271 87404 63020 -90724 -59508 -40171 -15874 -29254 -36143 177659 183676 -17578 16496 46115 -17631 -204755 36885 118890 -59845 -9051 -70256 9425 -124006 -181132 -21989 54212 34167 15993 30103 54142 47294 31729 60903 -8177 49767 -100704 -47881 38221 33240 197589 146933 58355 114097 117227 30707 116208 -40503 -186897 -61234 155387 -7486 77226 -102545 -43133 -120310 8690 -23422 -16607 75329 89895 -13345 42973 51803 -29897 81871 -16294 -83994 4138 -32216 30876 73719 -70000 -5461 -103164 4950 -150596 149458 -131550 50264 117169 -97515 -32509 -80040 -31282 -20312 -26423 231546 49533 56545 2219 290285 8817 -9238 -66334 -119678 -112333 41719 -72201 48445 -108384 -185051 50486 -73803 -12671 -33772 -31512 10707 30836 -50179 113931 7967 49172 -45043 -125025 84212 22994 -122531 -68475 -114914 74786 -210617 100529 -28892 -55928 -69468 33325 166061 14652 -189293 20070 -26845 -79604 113428 -47302 30145 -95428 73134 57526 -48434 -171554 21801 -119849 949 -25011 -13790 78669 -59085 -4797 -92573 -22301 88617 -110410 21677 -140745 105264 24644 44484 180852 1496 -43961 32841 70166 79288 38858 23581 35162 79835 100125 -76324 33346 -103025 162833 88769 210410 -126066 102696 -47226 -186418 129938 24597 -20812 -116721 -83145 22525 103953 8497 180413 125071 -41756 -33886 86616 111261 100267 -22143 -139272 -53365 86830 -92086 42712 -38722 95015 -23084 -72731 -42171 -119144 30004 -26172 -80829 67217 -107415 63759 
+-91797 -101632 -30537 60326 -172960 23468 8984 86601 -5784 7661 -9137 -60383 54907 -129262 6451 -113439 -11194 -198656 49674 60293 -4869 68195 415 -82284 55205 -33444 94276 15329 156085 -73631 -73522 -27080 -57509 98879 83540 190558 -87411 -82964 24079 -39290 -164305 -89980 146982 177543 18668 -2954 -25025 -44401 -279270 12090 104638 64125 73966 -23286 69349 -65810 -58607 -36980 50420 31888 11115 78816 -84078 112622 18460 2392 106064 62817 -115006 -36309 86683 54488 83002 27701 56784 -7831 111341 94758 98124 46570 -203962 -61899 67088 -26630 40857 60605 -108078 -109442 48473 360 -20433 76589 98223 -68064 74822 49385 -40576 -41222 -1350 -224124 -39931 -86494 34220 3572 -74418 -53286 -94544 105166 36820 53918 -118011 53809 -51076 -50325 -10776 -80215 -31240 12156 -78719 113841 122613 32187 10651 287707 153480 -54086 -46506 -143086 -39305 102760 -69476 55413 -70779 -163869 55387 -52039 83350 10770 -21476 59508 31573 -11233 158177 -14319 74517 19456 57478 163519 -79884 -135739 -147367 -148823 55317 -18379 96674 -5594 -67922 -92952 54978 170711 -32461 -227581 109815 -102185 -46928 14308 -146056 49598 -4059 103830 26012 38106 -108142 57100 -96754 24086 -23769 84622 92429 -112866 -2926 -114365 77086 -28489 -127490 32811 -106217 88204 21534 61659 184655 -2087 -55894 61816 143199 99300 1119 25693 60602 133285 143358 -122063 26212 -179638 242078 87685 193407 -160317 77590 -49400 -191857 68880 64543 -72985 -96690 -61309 27274 39101 -8444 117420 -4500 -58316 -80404 179704 116019 72403 -92917 -34150 -51520 73756 -160076 38147 -89036 66485 18083 -46039 66283 -73377 20015 -49734 -52411 47610 -86454 79486 
+-97407 -16516 -29677 169638 -151123 3651 -149492 67512 -176224 38588 -11234 -65952 55855 -184682 91276 -157845 34908 -217811 59462 57267 -51811 88434 29937 -100951 56010 -67755 102505 4901 150965 -95856 -1841 -55662 15716 95980 82596 183532 -74372 -73825 37253 -65466 -207614 -88778 81491 141410 5758 3026 -168298 -53738 -245502 -12673 54507 161135 167776 -60235 44257 3609 42836 -27502 42650 -14776 30242 41648 -182171 137918 15040 -18312 176074 -102171 -125852 139074 102437 31480 -55174 -29321 74501 -184268 121276 136693 91353 107281 -226982 -64727 -32208 -39948 16491 82124 -145049 -124989 22233 -7629 -59396 77527 101440 -75663 40608 55705 7891 -93933 -48942 -266486 10285 -127649 34673 -31682 -57945 -77466 -84848 109848 124814 -15280 -208826 45588 -184833 6615 -17315 -72863 -38994 22109 24665 -35026 191735 7682 8543 260042 137266 -49933 -21564 -131904 -59879 96104 -31297 56301 -28785 -158098 66345 -81673 211237 50712 17731 85423 34100 78936 201234 -57586 87661 79265 111076 39915 -30609 -142304 -186497 -166823 29187 83346 106685 -38404 -23256 -69352 24630 128472 -72777 -231745 170667 -171039 -62383 -65105 -206431 53257 66812 130951 -13935 68695 17607 -32442 -46871 9296 -19272 92591 107288 -191972 -956 -82065 144318 -185717 -127158 19319 -11978 -16545 -3494 66475 43525 -4831 -72375 74570 127275 103751 -58099 2431 92099 175507 210055 -116542 4491 -197618 176241 3631 221159 -109164 28136 -7890 -39861 -91133 86128 -50877 -64051 -55407 49990 -12410 13734 36821 -161785 -94288 -62911 224372 137383 36318 -86089 114816 -60139 64075 -179176 32543 -77901 51062 -1251 -59129 64618 2656 -27373 -52636 -17151 -123291 12311 88755 
+-92746 -40062 -49670 153017 -114490 -21753 -133325 43114 -244058 8451 -20394 -55290 56333 -169366 151687 -210544 101033 -230774 57992 45449 -94952 128484 50358 -76050 56574 -60807 42867 -28095 108527 -28440 58334 -14731 -27338 106198 80055 55650 -60276 -113730 54623 -57080 -117588 -117157 -11428 71695 -104441 26305 -190584 -29480 -211091 -18769 -23602 182683 198880 -38541 20508 49051 86314 -90789 38193 -47070 45914 26512 -137850 115439 28340 17707 113065 -221633 -139849 181062 129607 -13573 -134943 33325 91077 -138564 122133 116398 77165 136389 -220812 -53863 -82710 -44845 26073 -84291 -89635 -104832 865 -6703 -1113 80538 114186 -154377 -24143 50052 -2501 -34747 -103427 -224268 -57718 -150135 51053 28707 -23983 -96722 -73242 10689 20344 -21591 -158375 71073 -122960 62103 -18940 -94992 -35086 7263 125707 -68618 208373 -27032 17809 263875 -10003 -46308 -6243 -146039 -119945 14094 34573 42822 14145 -133742 35648 -44063 245043 65867 20099 117665 36599 161880 202416 -125301 88114 132908 -32938 -170145 65609 -128109 -183242 -176259 3678 88829 119604 -19011 -18633 -70772 47509 52766 -89971 -235188 145950 -228669 -42537 -57352 -245896 93347 117579 120272 -44962 103168 139974 -115731 -52105 -13020 -21621 79698 99133 -168754 6826 -82785 198719 -176012 -137855 3032 4351 -70869 -587 56689 -26959 -5294 -116421 121820 119115 110710 -169170 -47171 68784 213447 235000 -133887 -52241 -192206 48036 4835 168379 6755 -4518 38205 54685 -143033 54834 -58248 -25007 -63514 29861 -44512 36168 -33024 -199323 -128672 -107798 91265 139799 87946 1307 235145 -49087 62076 -86459 62260 -99951 76896 -50905 -94897 20863 40493 -16556 -54827 -47028 -122060 107642 42567 
+-81902 -92509 -21950 17082 -21236 -19359 42954 9473 -166274 71589 15048 -48589 52667 -91357 129941 -217545 131130 -215964 52954 32365 -115852 134048 51512 -64031 61401 -84070 -64049 15595 63363 89794 108698 78735 -10548 102738 59120 -120576 -62408 -103950 74609 -19226 7352 -134391 -57974 29546 -105546 34976 -68183 -28483 -153407 -37343 -23372 -457 212530 -27303 -39546 13149 33043 -4485 39438 -46401 61061 46642 -15264 57723 47130 90156 2803 -159788 -123217 132752 152043 -68903 -135897 156628 90996 25025 131425 72315 30490 196681 -216342 -44859 -35334 -41807 44185 -250597 4034 -72676 -33258 14667 21123 81650 125615 -174073 -109423 42411 24931 89544 -119428 -123439 462 -124186 73372 107507 18786 -95304 -59998 -114168 -165668 10865 -180393 79221 34383 118199 -15627 -87857 -36690 -27734 105458 75244 279687 -76475 26081 221724 -71145 -44865 5965 -118748 -154189 -47466 73997 54665 54683 -115981 24303 -53928 235940 32163 -15144 132026 36895 224304 168833 -147886 71441 184588 -202582 -201080 158282 -92332 -138593 -193296 -12382 -38666 106577 -58072 56517 -92377 56955 -11452 -90699 -240156 55757 -176231 -14229 60904 -246720 79291 142049 95868 -83815 107568 125076 -115673 -47623 -29800 -23929 28340 75407 -169717 12646 -92407 197883 -24689 -136747 -21760 8032 -19488 17633 41527 -26790 688 -68426 109593 71654 103034 -132948 -61911 83535 234017 230129 -150650 -53818 -199741 -32571 -12396 136864 34495 -21657 103629 106256 -207764 -979 -70627 15946 -80680 38721 -55723 35017 -87618 -83636 -149449 -71045 -37536 118645 106991 52419 239255 -44550 66314 -21149 31025 -102374 68685 -129963 -127099 -55303 91364 -46157 -34543 -108083 -49987 99743 48845 
+-95933 -167060 -13323 -72418 -20988 -24278 136749 -16129 11855 44998 41117 -38493 50646 21084 77468 -229274 110977 -187317 -22981 18024 -152849 149304 14291 -59887 63317 -87120 -107884 -13557 -9746 192021 123547 79588 -64330 96188 66113 -154677 -56861 -59366 12612 -43183 28238 -143865 -92656 3292 -96409 17201 47431 -30698 -42857 -68520 -63317 -71397 167071 -61632 -91869 -73703 -91170 -16445 40184 -10724 57259 38526 116669 8471 65541 57734 -11167 28248 -127033 -41336 85614 -119253 -31426 209826 55452 113226 81547 -6796 -9696 170348 -222313 -8193 65661 -26080 29265 -198192 93315 -86352 -37498 -28205 23263 83375 117114 -175892 -136133 19827 28071 181470 -138662 986 -550 -71813 61885 131641 60258 -88351 -45551 -167094 -250952 94999 -144936 97745 103976 168761 -16671 -107657 -44026 -80109 -21146 222186 283899 -132176 16342 188355 -11143 -39186 13637 -105486 -134346 -135282 123090 45510 90713 -94340 37477 -75960 137271 -2386 2832 159257 34855 223842 156097 -181298 47467 212314 -188077 -37388 201188 -52664 -45267 -199040 -29999 -236080 109127 -61507 91893 -49822 74732 -17414 -52930 -237908 -7758 -89236 -39991 107636 -209169 107031 129598 55104 -141781 43852 23365 -15434 -12660 -47441 -21334 -29939 77172 -124448 -28500 -82661 156734 95482 -149064 4843 -28151 65011 5768 15253 147596 -2469 -15109 95031 4561 101854 -80616 -79335 89754 225393 250088 -142776 -96255 -102864 1694 41726 117559 -50204 -56607 143917 136747 -191632 -74848 -26057 52099 -91456 19400 -35965 -2012 -105323 75252 -165307 -52301 -82343 155010 136531 44458 138235 -39535 77602 -43221 18358 -106613 101040 -54301 -115620 -39554 84919 -41982 -59181 -96548 75505 26496 60145 
+-85094 -126661 17629 -55475 -46041 -28690 16986 -18556 136219 44040 21668 -40630 48435 131889 37086 -228452 71729 -154644 29613 34986 -174340 142170 2525 -126989 65223 -81431 -22064 -13044 -43334 260278 86168 64743 -60263 95639 97641 -20856 -53737 -115389 -36222 -6132 -83451 -108320 -45332 18328 -18110 -2701 -1148 22099 20510 -45791 -68523 -69945 89960 -29601 -158649 -99871 -197498 -38082 37072 30898 51034 28166 138580 35955 74269 2131 69139 81852 -121872 -41094 70781 -141752 127103 147424 63975 13283 112612 -56849 -54508 140038 -181825 30392 152187 1176 64446 -27084 74332 -52076 -24041 -16055 4624 85509 126932 -194527 -89823 21930 5219 167444 -103915 89806 18848 -59558 73889 80843 90088 -70761 -29979 -108729 -142774 179611 -144607 80775 -64420 194714 -41946 -117949 -44127 -129591 -73769 244620 215959 -154425 10685 131877 136346 -36863 17765 -88355 -75576 -135091 107624 59721 119486 -91982 34296 -27894 3628 -40246 554 175796 32024 167314 94691 -62921 21251 219691 -1764 186527 74640 -41775 15898 -193771 -41031 -181932 119186 -96060 119314 -97854 112792 53134 -4498 -265139 15632 -7827 -22435 69321 -151483 100993 60870 40578 -160032 4312 -102910 55131 -3362 -21723 -19432 -136267 68578 -81819 686 -134310 48149 42395 -142021 44831 -100248 138210 17039 -5044 208028 -4397 22383 149004 12764 94684 -24880 -86465 114412 195928 255251 -99514 -90644 -55896 134458 11812 63645 -162652 -85792 107528 59893 -82052 -118974 -25551 77590 -84374 29645 3850 5154 -79908 137643 -142554 278 -82852 165438 110892 -39385 -54601 -38410 90354 -141968 393 -80874 64607 18434 -83134 38414 83648 -16245 -51088 -73370 2731 -76416 50639 
+-90360 -37462 5606 73181 -134498 -29130 -142215 -7218 -10434 40216 9175 -53437 47425 168307 -35411 -196613 18169 -95989 18005 48085 -204705 149031 -31981 -74060 64591 -58354 51030 -29097 -82662 239961 58057 14698 -17629 77950 73899 150645 -62061 -91002 -78693 36992 -206915 -91780 31448 66624 20354 2514 -138207 13869 101816 -32755 -42138 98565 4884 -66276 -198942 -106810 -207087 -40148 40142 32334 31967 80116 47182 127582 69384 -136 161160 -72787 -116714 155506 40323 -123729 240627 28647 52910 -170077 58104 -94944 -34662 115543 -155528 24943 177930 18836 57001 83483 5762 -25710 15881 1662 -61371 81938 135951 -152690 9819 16590 -9210 59555 -53342 105453 -40403 -62510 91177 1146 99593 -36123 -13304 20407 43547 191749 -88661 95968 -200637 205463 5289 -110850 -47422 -169084 12968 113796 150965 -205812 34199 82342 128209 -9892 10226 -92409 -40947 -60463 66272 58176 138939 -43309 76767 -29095 -58650 -43074 -28111 126378 30771 77115 43172 4939 5368 210264 121223 77631 -18790 -23455 56070 -197255 -35551 -23881 117198 -93084 93807 -76724 111626 142519 32250 -242364 100418 26087 -6369 -17896 -65309 112237 -16904 35278 -191813 -69661 -187796 21337 11551 -67102 -16391 -200875 50915 -64061 13670 -107011 6458 -122299 -151084 27551 -139779 101581 13638 -25471 106075 6824 51661 87350 41774 94476 41249 -136187 74167 157648 231209 -51952 -94033 31729 219102 45962 12019 -160515 -109199 57437 -64837 36564 -115787 -27632 88759 -64131 61354 61730 4901 -17617 24757 -107581 67453 19467 131756 143490 -92658 -115552 -38089 102589 -168508 9441 -73596 60725 52830 -61171 69580 75602 -455 -15987 -78918 -88576 -109073 48599 
+-96331 -18990 -8900 163324 -198852 4372 -134156 -28506 -193637 27325 13947 -56565 49607 158951 -75918 -171329 2769 -39683 17715 45948 -192504 119236 -47385 -77541 67895 -39430 95371 -22387 -120347 171560 -25523 -85867 -36888 47786 79258 211197 -75184 -126288 -113075 -6350 -170680 -60667 121042 128533 -30317 25654 -183685 33245 135517 -34350 -20248 201904 -68135 -38583 -263790 -65350 -128803 -34715 40684 -467 16777 20273 -102595 154320 51901 -11912 129608 -218373 -100651 223943 2435 -75453 237364 -33565 68741 -166382 39443 -88542 -54001 26196 -102148 -13082 102774 22343 53954 15968 -102487 -43627 17800 14237 -42021 80473 135496 -110947 71228 20667 -26614 -57668 -50129 38163 5823 -107101 64212 -12995 87446 20086 3943 117184 123298 161906 -108247 57157 -160179 197359 -30548 -76882 -41467 -198170 129073 -21567 81722 -210700 11556 41948 23600 15060 3725 -72369 -97572 42381 -10336 59142 147752 -48000 77880 -33391 -22769 -22818 -9137 106876 32175 -18671 -14821 44901 1487 163089 40324 -136135 -71090 -80843 75956 -211858 -18757 99530 110318 -46611 56515 -67400 63735 187566 51588 -244192 168798 -49818 -12821 -68825 24957 120793 -94577 80309 -216059 -124284 -141076 -49126 -7978 -39691 -18972 -224606 8748 3737 23621 -74279 -117402 -195351 -138661 -4660 -128172 29538 -13802 -24778 -25441 5269 67864 86562 95412 87831 28871 -133322 88867 97313 206001 -24522 -91337 136391 204150 118609 -42813 -38485 -117315 -23008 -169983 120529 -85198 -50115 84261 -54425 18686 123717 31900 47398 -163013 -69055 69540 149670 138485 103162 -74654 -9841 -23913 107433 -136245 -8476 -53362 90909 -52274 -49778 25824 90377 59599 -28147 -45198 -181035 -43570 28209 
+-102843 -87156 5017 143390 -105466 -5581 35912 12597 -275674 25269 6090 -60081 55121 78700 -211506 -107858 -10177 -7537 21082 32086 -210484 101383 -36024 -109021 61130 -9494 27784 -23776 -127969 56792 -113015 -57513 -16776 30190 55501 82086 -78362 -127079 -141005 -35842 -43715 -29031 179092 176458 -83432 35120 -93705 43908 65510 -29023 -22516 144045 -112779 -57855 -241614 4956 -5363 -60630 46488 -38485 16030 6647 -177566 115672 33493 76419 53582 -177393 -95138 100789 -6246 -22063 141926 30852 85466 -5196 37091 -26511 -13408 -60297 -65440 -32389 -3306 13906 59805 -158577 -159810 -35012 4148 15996 10224 79139 143542 -92199 56580 19056 -50670 -91566 -14891 -86586 -5726 -149047 75905 32906 57367 64000 22171 104422 12952 69914 -42453 70481 65570 163565 -10578 -146930 -34721 -192037 102813 -53911 -12271 -225303 17043 -15354 -108316 7052 -11028 -64689 -134276 91998 -77944 69902 145506 -21676 83607 -26247 37593 22080 3460 52405 34972 -45996 -46122 13942 15551 112523 -152256 -195363 -44770 -100660 3761 -201671 -8009 60900 102593 -23182 43930 -88165 63578 133895 52195 -245875 152567 -148023 -38359 23423 95568 97761 -156582 112040 -225057 -149382 -61773 -132222 68823 -10391 -21137 -214850 2734 34729 -3863 -98893 -78494 -83230 -154394 11303 -82054 -37025 -7443 -21721 -18313 -5872 62453 105384 112521 80159 -57743 -167210 45636 48585 171465 -37423 -76340 132971 87416 115521 -70523 31160 -133218 -62965 -173607 165969 -23966 -70735 64519 -62239 47184 182335 32279 124108 -194380 -38695 116345 219365 129592 67881 -1888 112385 -16357 105227 -71819 -20181 -41312 83385 -130353 -77352 -56733 52114 65001 -31543 -71850 -88063 60408 38215 
+-99454 -160429 -26928 46823 -12008 6710 127108 41032 -203687 15601 31468 -50662 56343 -33698 -265537 -64704 -17085 37420 19498 13623 -183842 103434 -12044 -90958 68781 21876 -69754 -38734 -121996 -42322 -168822 50476 -17589 5666 65438 -56900 -82265 -93411 -106346 -47894 16555 -21075 173971 188597 -105520 17905 19370 43963 60501 7475 81906 -4927 -160208 -70991 -207994 36071 87132 -51293 49950 -46059 21198 38076 -136389 46110 18094 77566 -34351 -1203 -91034 -55674 24764 33665 -17989 155259 77380 77641 51812 35842 -29007 -101160 -22148 -56952 -71871 -19601 -16161 -261940 -137365 -29823 -17486 36961 37812 78854 140841 -35100 -2887 3735 -72643 -15404 -12167 -208014 12833 -137148 87203 107491 16962 112167 41045 530 -172726 6997 -15997 64451 115947 118097 -16249 -149694 -40968 -169821 -15793 50546 -71252 -221230 22499 -48996 107 5907 -33609 -61070 -140539 120744 -98350 63649 131949 -32509 74543 -27559 124190 48758 11474 32039 36950 -27677 -37047 -64783 38796 51937 -218002 -57174 139101 -117164 -52502 -227275 19039 -13953 114875 -28032 -22041 -56158 30958 56522 10438 -247362 66725 -186711 -26878 114074 144118 104737 -172193 130836 -229744 -135622 72798 -63915 54079 9810 -21882 -141480 -6417 -3869 -11781 -131310 -27559 46036 -143762 -16843 -20386 -77777 -7049 -832 91883 -9731 43697 94414 131189 77404 -120055 -159697 97622 -3240 146755 -17149 -54508 152239 -37580 150482 -74109 7075 -116276 -56780 -101355 127185 42470 -73227 32689 -81691 19365 227610 56275 192257 -81834 -31026 134589 131406 149008 50647 48665 223206 -10707 96199 444 -26712 -273 47270 -104662 -108730 -33343 -8151 82711 -22366 -119743 64372 91900 3168 
+-92225 -132614 -16488 -65690 -5215 23299 22839 55425 13076 41072 28601 -42527 57705 -127449 -238061 -389 27894 57390 25923 38742 -169305 37146 4532 -59639 68914 44449 -79946 -35378 -70404 -105852 -226731 100949 -10134 -12596 54263 -124411 -96132 -125473 -51469 -38021 -26264 -67607 141672 173077 -69325 -2411 34461 54837 -19439 47152 100657 -90731 -133106 -34196 -153391 -7270 69717 -65787 51657 -16904 42851 41437 1982 3762 16985 37142 17604 76611 -77409 -28888 48638 52207 -125662 212833 70278 38196 85379 92767 51809 -176568 3477 -103363 -68997 -30221 24440 -144345 -53831 -48336 -23411 23852 25095 75374 143184 5829 -86538 -6900 -95253 110714 -31959 -265047 -15096 -100458 72470 138457 -27051 157237 59541 -125345 -248038 -38709 -712 62033 -28168 59136 9856 -106586 -36194 -136702 -87632 200306 -79753 -239849 2816 -102385 98601 -30635 -61685 -67190 -73744 61665 -60406 57888 108300 -2213 67065 -26951 240374 54443 12920 16166 36553 42922 -58671 -160670 64872 -13853 -79357 145653 188376 -152349 -145475 -175648 37121 -193806 92100 -12095 -44785 -90648 83418 -24150 -33091 -206736 -3826 -197963 -31706 101260 141732 84716 -138436 123131 -184061 -97521 127369 37643 108862 6696 -17434 -67271 -36084 -53260 -18153 -129193 74016 112093 -118506 6364 -1120 -1588 -9695 23541 199722 -4526 -6935 97758 102189 75351 -134155 -191907 45503 -33102 74932 42446 -37094 132424 -18393 144854 -116331 -114243 -122717 -13685 20972 88968 84271 -72104 -6539 -89743 31674 250695 30788 224778 86994 -44026 122527 -951 119860 64933 -1002 222671 -2175 83984 -67500 -32821 43225 71403 -5380 -122657 31798 -51784 33730 -45867 -120784 71271 65560 13426 
+-84320 -35206 -36145 -42317 -45074 29359 -142742 92836 124217 47480 15156 -39155 55813 -180682 -302834 37945 85190 62497 52835 54081 -120684 614 33251 -131375 65325 71023 7927 -19437 -83232 -60518 -219742 92100 -34488 -30124 63430 -59005 -100866 -151135 -2487 -68745 -150538 -117123 44180 124981 -29905 1958 -93681 35645 -75219 60835 142866 4053 -56638 -49354 -89767 -49242 -28275 -38420 59849 15001 51814 48515 120685 51261 27778 -22488 140191 -26090 -70349 120469 92281 33613 -155316 142204 56622 -108931 91082 142412 64670 -186938 38726 -91588 31690 -52938 67643 38656 63488 -31524 -28312 19845 -3899 72838 155203 64728 -135513 -4296 -70052 187432 -88106 -223762 -6194 -47275 59719 76298 -59183 195159 78068 -162321 -133295 -774 57646 35618 -186248 3306 -14865 -81608 -33918 -81129 5225 252804 -73865 -177670 19873 -103824 146300 -57851 -78280 -58415 -54466 -59402 12144 54798 76406 10214 83269 -21473 243861 17637 -11923 59132 34091 146296 -43639 -201747 83526 -64346 91901 138010 164557 -102018 -187533 -165422 57814 -198202 91608 -65005 -89742 -99264 22707 -26973 -67514 -212982 12187 -89561 -81612 5051 103727 85720 -73829 94607 -150007 -38834 99498 46354 124961 2729 -19443 3945 -58622 -100862 26419 -132499 138539 -24238 -131251 60183 5769 70085 -17791 41521 137193 -7717 -52889 53285 60321 64963 -123859 -183922 56404 -39167 52152 5642 -2491 67065 102452 117163 -131001 -191970 -87442 48157 107213 -23973 74777 -20643 -47750 -82776 29947 244991 41372 217807 148753 -72513 61754 -88354 115887 97565 -58908 106225 -9459 71736 -158385 -12964 62678 75419 4211 -109869 59729 -110486 36074 -31891 -69060 -91563 -15833 -32705 
+-68588 -11870 -23934 41598 -163340 39131 -148278 106692 8889 4683 -7152 -38648 51290 -177622 -268625 62651 117262 55009 41731 49432 -87759 -25196 51311 -69769 69202 98026 96085 -24441 -7136 15504 -196372 26664 -34434 -60895 64650 66622 -90751 -127498 35525 -26174 -206339 -158057 -20163 54222 10579 25050 -185478 19652 -189818 77324 153494 124484 24186 -36145 -36156 -104388 -154222 -44795 64160 34896 57875 53995 125369 121354 46047 6531 140218 -198658 -62549 233251 96307 -13517 -63672 21012 76138 -213280 115154 103914 128865 -166283 62759 -56261 130036 -43755 87790 87219 113933 -29840 -18090 21152 -23858 72368 156173 75860 -105920 -5574 -75450 154169 -111800 -152956 -14512 -52408 68633 8222 -74271 206837 96442 -91582 51611 79136 61247 48223 -162775 -58977 -76 -98868 -28400 -35371 131126 142605 -37838 -162830 9904 -106761 36212 -86272 -108248 -47997 -76393 -116675 78782 49112 37825 11813 89939 -48075 174262 -25065 4328 103018 31475 208739 141 -171184 89705 -104289 91828 -62590 58085 -122643 -198145 -186186 80005 -9832 89641 -74182 -23265 -70723 15714 54126 -92604 -210648 97019 -40303 -88020 -51543 52112 75385 4883 56697 -115260 27631 -18780 -28676 121439 -10620 -18980 62898 -67151 -165001 30639 -84865 168009 -203941 -131114 -2808 -34076 126958 -29470 61661 3515 1020 -66993 80313 23641 59640 -47368 -159950 65691 -27031 -24882 17126 27963 3212 214549 94067 -116790 -101097 -67580 118460 135775 -126614 31887 -39610 -83532 -64398 20144 216230 2263 185872 26291 -113180 58045 -105249 118742 134848 -108829 -40079 1934 62692 -148302 -17288 90164 62772 10916 -77007 25877 -190177 23054 -47922 -43330 -135108 -98975 -12615 
+-90067 -61268 -8793 156214 -180730 59726 18287 129033 -203967 15075 -36234 -55461 49314 -92050 -255292 85574 131155 38909 22483 51046 -58715 -68765 18969 -84621 64104 104442 92885 -49373 71206 128400 -132101 -78483 7563 -88154 74180 211013 -76193 -120723 62024 -17267 -101217 -137075 -86457 4659 -14235 35250 -146900 33099 -210114 93760 122881 195005 102310 -45454 32441 -119116 -212875 -33113 65096 10518 51283 49499 26939 157953 64775 64718 87873 -207627 -63914 111341 118953 -67518 85024 -26658 62144 -32686 118473 56313 107654 -133627 72170 -57990 175298 -33321 71592 -53015 63861 -72937 11751 24162 -37828 73156 150123 51982 -27205 -19155 -31212 37042 -119126 -13414 -19092 -80764 70535 -29756 -67705 214695 114709 34349 119057 164408 54155 58489 56239 -100317 -2454 -88218 -31804 6885 110467 -6145 64095 -124139 -4154 -93442 -120585 -76510 -134237 -39166 -131278 -149836 126370 53845 -4204 14186 62923 -30995 63510 -58493 9813 120475 30945 232428 40327 -101253 79670 -119015 -86564 -173040 -20692 -62939 -144285 -175041 84290 102675 88137 -75018 -42290 -77788 27568 132740 -84977 -183850 169321 12214 -65942 31521 -44103 21494 80334 31776 -57048 76187 -136497 -112853 172272 -29411 -15347 96207 -79655 -182970 19760 -110636 204166 -166682 -120724 -11727 -97038 101438 -7403 65474 -82047 -3062 -92703 32444 32025 57475 37806 -191243 74921 2214 -46172 -43953 41713 -78447 211850 75056 -114634 29612 -30061 109983 126344 -217467 -32836 -17306 -109391 -55468 32808 165697 7935 115879 -148153 -146104 19164 5632 97183 138274 -43614 -124955 1779 61549 -60988 -20200 107537 67135 -54750 -50056 -48867 -209733 6449 -28252 -49806 -40648 -100432 -23685 
+-86509 -121987 -39914 173121 -78070 60225 123129 157657 -288599 35492 -2817 -64558 47919 18853 -200371 92508 108134 14924 -17288 26934 -10220 -71167 17065 -79710 67938 95178 6550 -39197 85950 233960 -61797 -27391 -36321 -118918 76369 128929 -68562 -101375 51274 -26046 4955 -129465 -67671 -879 -86894 18618 -39527 2782 -239028 124716 91841 109584 186013 -61616 34901 -64639 -170743 -73040 77394 -31545 24131 12524 -120534 113387 72230 66621 -15441 -40854 -69714 -35550 114337 -119520 185772 31572 72819 131892 114696 -24929 114215 -82101 58278 -5905 139659 -10297 50218 -218260 -6719 -94979 9465 26291 -25648 75226 156694 86179 56427 -26057 934 -71347 -114494 80988 25440 -133331 49790 21632 -40921 214206 131804 116821 3778 205842 65067 51161 130204 -124724 -11591 -105802 -28801 21087 -17619 -69871 136922 -92023 586 -71000 -32264 -62926 -148688 -74390 -166211 -101439 131540 47167 -47080 4945 51919 -48087 -22742 -46372 -4548 135658 32712 195710 75178 -22079 57001 -109984 -215483 -120652 -71889 -41740 -77156 -170910 81664 105276 82513 -62862 23875 -69455 87849 149572 -53464 -166782 155384 -19940 -104865 90233 -123864 87658 129174 36373 -32240 107839 -177128 -98732 150787 -36293 -21721 76608 -77817 -187543 27510 -116755 104042 -34888 -100393 -5731 -107302 7150 -4128 60481 45123 -23062 -63921 35233 44086 42053 9339 -188077 15465 59271 -83531 -64806 76505 -138877 129411 41807 -64813 42266 -6681 113526 24312 -170790 -72302 -41376 -121459 -61518 -6915 103193 30409 38750 -207806 -155799 -20474 122312 128513 139301 1324 -38414 4701 69198 -15162 9924 130761 97653 -104271 -57528 -33625 -267705 -32669 -53743 -63423 30696 6754 -42218 
+-77311 -138276 -20354 47840 8729 66020 47337 152938 -171297 45273 38523 -59776 49043 119837 -111673 88605 58835 -41248 -18898 29571 21984 -127527 -24847 -101206 72198 100628 -77057 -18293 158940 256846 10206 4047 -39847 -143354 76638 28558 -61458 -108688 24666 -1049 19607 -112005 -18268 24988 -113037 -2118 41936 -25990 -218161 144978 34085 -37423 206130 -45309 49935 -12804 -54033 -40070 81230 -52863 16357 42374 -186923 45713 68495 42405 25221 79955 -74723 -38910 107614 -139228 239917 155989 63125 62559 118900 -71638 83463 -25801 51981 25074 40168 5711 46707 -230116 -106092 -91082 14800 32732 30552 79184 168278 51485 73386 -26400 706 -85536 -64610 122619 -1988 -161580 55336 111242 -2746 189554 148331 93460 -181685 168120 60874 77752 -39773 -126773 -32133 -105278 -22570 10141 -72454 6127 194663 -26917 10421 -39104 87163 -35353 -174359 -113637 -116668 264 109863 62089 -86999 -2889 80268 -52277 -71493 -2698 20888 138839 35433 100161 122899 24130 31678 -76170 -147811 117305 -22330 -14322 19150 -134521 66901 -52440 79025 -28337 77538 -73181 71494 160093 -12758 -155242 70066 -120707 -84699 101867 -205205 67877 150813 76821 23778 84723 -113330 -43130 149138 -69569 -17271 17378 -66541 -163056 -18127 -131216 9496 112272 -88021 -6490 -109520 -59597 1121 41405 152376 -15075 -42203 -9077 91053 42078 -73478 -161964 51680 111034 -91576 -72463 76488 -209525 10378 41602 -41522 -66452 33879 49064 -103951 -109076 -123183 -61757 -117291 -80532 10692 43261 42189 -36984 -87893 -148596 -111022 203663 80802 82205 49314 101019 -412 81401 -71333 -11238 152578 29151 -76272 -91918 28817 -284767 -44185 -47411 -134643 47481 92842 -38182 
+-84482 -80744 6019 -84975 -944 84902 -126045 136795 31840 56699 13557 -50729 52812 174453 -52489 39801 -2135 -79773 -6339 38862 52580 -159925 -51820 -84064 66395 76319 -80323 -14715 154207 213973 81400 67522 -19627 -166527 52807 -139788 -49614 -140829 -7246 5742 -84767 -63016 69618 86355 -72537 1466 -46400 -20516 -141230 149038 -15526 -100613 198958 -27557 18260 28277 65170 -72976 88664 -36313 12340 66695 -120190 4659 52607 -761 114963 2086 -87468 113484 44873 -120953 165851 209415 65357 -103065 79320 -83463 76722 60190 43979 26089 -49651 15821 34334 -61283 -146296 -101595 -17502 48907 44743 78919 156682 30349 33875 -22444 55185 5722 -67651 42462 -29440 -129904 50953 136599 41348 158017 163780 -16268 -246345 96257 52984 93042 -206861 -108338 -5368 -108778 -26552 -21363 6563 171501 241820 -9111 5478 -9264 149182 -39670 -181639 -105991 -55190 87061 28743 58690 -122749 -19972 68153 -67342 2691 37989 3259 142528 37043 7264 201791 16206 10194 -30822 40238 160006 139043 -36239 83906 -114114 46302 -233188 92042 -32811 118892 -63179 88212 64554 39427 -138601 -5288 -186295 -138854 29643 -237294 21778 120072 110477 35846 34474 39883 51742 171735 -36448 -14986 -61318 -69800 -118950 -8253 -89756 -60759 38787 -94129 11142 -86701 -66373 -6828 13447 198936 -10198 -10475 18761 124797 38459 -117476 -198976 47848 158282 -81741 -121279 83436 -193150 -38227 30980 -7972 -147977 74236 -11917 -171656 4033 -113645 -39293 -97996 -92556 30309 -8614 18288 -87855 82041 -116718 -90911 152732 76205 81437 -29612 232670 -10123 93717 -126994 -14256 161745 36743 18183 -118126 68652 -269447 -11555 -54393 -108068 -48047 124037 -42628 
+-79116 -17751 -14157 -52423 -62481 64237 -153693 119776 122979 20862 -16105 -40944 56864 157282 42781 -8712 -36710 -151877 24311 59048 62398 -173613 -46145 -101270 73289 65334 12344 5108 180800 121536 129478 107614 -38795 -172718 65684 -96835 -53869 -114737 -23497 -28196 -198133 -31124 130986 133039 6695 24443 -175985 -42581 -115127 141846 -30873 22661 149409 -45795 -40632 12147 84245 -39038 96387 15620 28560 66122 21397 27901 32715 -6417 169522 -171577 -84573 211252 21776 -72511 28279 144362 34143 -190413 79612 -71658 24604 120494 13867 9863 -85199 19319 10206 87542 -132669 -131253 -9982 42545 -17901 81804 150001 -33026 -58469 -52982 11727 130016 -2074 -74108 15035 -76828 53255 86982 77327 127155 177980 -135038 -126388 4307 -5589 97495 -149365 -75853 -15802 -84063 -21460 -64377 119911 241990 292795 26857 24906 21461 49206 -142 -196869 -111891 -57719 129564 -39031 59466 -150618 -41932 68510 -64662 100421 56266 5348 111286 36075 -43001 210734 -66789 1284 32643 114380 9010 187049 -68077 58855 -90385 35443 -169883 82644 -18966 104818 -100785 94293 -10139 53092 -137065 5498 -189932 -152774 -77720 -254277 -8028 52193 127321 63579 -28112 80490 39723 245004 -19631 -17992 -138859 -58767 -40622 1040 -107861 -71578 -123922 -78816 27939 -51148 66038 44266 -11269 81926 -8414 56023 13321 103871 29275 -172113 -192243 47231 203235 -94930 -140100 83885 -176582 49579 18021 64295 -143321 106368 -53010 -188484 88540 -63097 -76647 -66130 -85142 33784 -43454 26847 -111066 131012 -84358 -106172 40626 75697 92910 -109240 271450 -4173 104677 -182573 41692 180421 35236 26801 -115233 29641 -282134 -38120 -72771 -70991 -128071 54647 -71769 
+-78411 -38047 -12659 40047 -159537 26491 -257 98576 7587 25957 -10872 -41458 59716 85507 76386 -45124 -12321 -178180 19596 60125 86543 -161075 -33119 -89779 74020 28068 83025 13926 148037 3112 110375 22717 -11391 -194732 77782 25161 -59802 -94642 -122310 -51273 -176659 -18628 185307 189206 23340 35365 -181227 -6994 -34348 152618 -30929 176152 75677 -38299 -106729 -58410 12512 -17649 107744 34279 37696 62539 127530 99737 17883 6747 125111 -217861 -99920 143763 15833 -19233 -100328 20714 48740 -97604 62933 -22889 -37540 163289 -11642 -22487 -17781 6151 48939 44104 -30916 -122464 -40541 28790 -49330 84073 156965 -64499 -121317 -35516 16832 189995 -7543 -179230 -47875 -60535 33874 8334 96860 76641 190911 -166389 58761 -34683 -29605 93938 12580 -25939 -16626 -106054 -16547 -123717 116406 156166 266616 105147 4377 62307 -52912 -7465 -192705 -124701 -105818 104846 -76963 67946 -169538 -54520 86405 -59712 183071 48725 -13641 86499 33449 -37778 207233 -140473 8744 95353 -9298 -163704 151126 -121220 7730 -49814 11749 12365 88320 -11616 72087 -96221 86438 -3005 44897 -103466 89445 -137280 -136022 -5579 -220777 22962 -28899 121234 69812 -112769 131305 -46676 231013 -12040 -20424 -194634 -44078 -11551 37918 -95628 -47360 -208698 -53589 29502 10940 104571 27008 -22349 -31255 -9630 91770 41043 91449 17465 -89589 -121163 19909 228573 -55413 -125027 70272 -144540 185659 55058 106382 -36689 111030 -65116 -78121 135249 -8389 -42604 -26828 -66292 15292 -54873 18072 -71220 29332 -48408 -99904 -63646 44687 72135 -77117 114523 -9027 108427 -112555 28072 167588 5988 -36026 -94814 -35450 -246491 3620 -45483 -18176 -50880 -72443 -49627 
+-74536 -122124 22724 160061 -179770 19732 125942 74168 -189976 40918 -1799 -41989 56175 -28498 69597 -92901 5967 -205331 80586 34051 101051 -182854 16453 -96216 76519 104 76414 16092 106731 -73766 93229 -16265 -7351 -206899 92875 194041 -59803 -122779 -123373 -24711 -60476 -39376 165208 187881 -34928 19317 -75396 -6771 58357 126118 -86316 193787 -34220 -58231 -182926 -118597 -88763 -13526 110243 18645 60399 18825 133184 151273 14359 77676 -5458 -66167 -106163 -26952 12869 31765 -130399 -31255 73761 96244 74873 45507 -52447 182549 -64921 -75244 90439 -14145 59997 -136286 57368 -147206 -22405 32860 -67632 83315 158713 -129605 -128054 -36986 -38949 137858 -21516 -250427 -9139 -50287 37557 -26704 96192 25284 202203 -80549 120193 -18158 -102620 65921 101012 35014 -15993 -77772 -18418 -164642 -14173 418 196664 86375 12190 117478 -75933 -25314 -190510 -127050 -150244 -4753 -102321 61061 -177477 -92556 84516 -90412 259776 11675 -6530 91419 31127 23827 143779 -181625 28499 151408 -178059 -157352 16426 -137562 -67117 -39720 -8062 120288 91655 -38283 28046 -88344 93592 47668 16986 -95368 167033 -33811 -123088 54581 -171013 -9757 -111204 80390 46910 -141520 52308 -119739 203943 19061 -19835 -219042 -35416 35113 -126 -135462 60149 -111252 -52426 25329 -17059 119594 46454 -24526 -3774 -14484 74156 25493 42384 11776 -30768 -148743 58117 237075 -32566 -126289 67333 -57760 213050 108615 166235 70363 109458 8565 12656 167121 56431 -60518 14065 -52443 36908 -36475 37210 -26857 -143425 -30775 -60960 -104623 53830 113630 -13485 -20417 -15341 103502 -57490 12510 154718 72803 -141512 -61267 -56980 -220270 30855 -36421 -72781 35398 -108290 -88770 
+-66483 -132949 -2324 166966 -97467 12570 51249 41288 -252804 30400 25133 -56598 54293 -124647 111044 -148002 84157 -224749 102170 33509 85974 -158737 45112 -103883 72771 -19930 7709 27986 51389 -94972 27237 -63778 -56444 -209853 90578 178473 -79290 -106804 -116080 2253 33929 -93057 94342 147589 -112492 -1743 22438 -3801 98321 110323 -62142 94929 -102196 -62702 -219446 -129431 -199953 -44282 115655 -25525 53743 20168 19510 109279 26888 46377 -24039 64925 -120835 -32720 23234 51458 -107367 41025 87647 74290 79971 101202 -65495 172990 -94045 -72955 169712 -39313 42580 -251329 83922 -111818 12841 15089 -14311 83540 159658 -167469 -61187 -33360 -60324 14772 -71846 -244072 -20957 -102658 33841 18317 75431 -7794 212031 53997 -5759 64027 -117336 71857 -37498 92184 -20051 -36266 -18026 -192925 -73268 -53290 143704 121474 26876 155708 65348 -20729 -182335 -137003 -127480 -98766 -61546 59308 -173891 -92821 66332 -46027 205093 -40967 -1567 32674 31275 121439 101044 -169122 54421 195249 -200935 61607 -63448 -130633 -158074 -22913 -19557 139716 76955 -92441 1404 -77692 38361 105468 -27065 -63635 158827 10700 -124606 129751 -79563 -7242 -166716 49383 33786 -155205 -62921 -103409 233884 24911 -17182 -188524 -22183 33450 -22096 -119770 92078 53343 -43257 -3086 -59828 38527 75537 -19074 110443 -6919 75363 80505 -10883 7275 4045 -122684 46180 212286 -5140 -96176 31368 3929 147327 110849 175433 3248 129578 36321 126497 72385 81931 -22630 50422 -60299 29926 3763 19299 46422 -214218 -41794 10731 -14431 37605 105707 51556 -110364 -14848 92950 -30665 39674 147340 19095 -97302 -49676 12668 -184467 21519 -52735 -104083 63118 -47523 -82893 
+-82345 -86320 -21427 51042 24928 14791 -100316 14246 -134526 8134 44811 -64659 50271 -182670 90728 -180425 106061 -221820 16442 29303 77277 -160348 64779 -81237 79592 -49764 -82482 949 2465 -40306 -68099 1204 -54515 -207402 73689 83191 -84773 -70526 -76317 7901 -39792 -129542 21935 106294 -116462 953 17260 18506 94605 90132 -34844 -73548 -164653 -31789 -246274 -79086 -205379 -15435 119002 -41098 47073 37459 -126980 42074 46305 19070 51525 35067 -126701 99425 40788 36405 22873 160920 83365 -52779 72276 122287 -52850 138847 -141032 -84230 158498 -39448 60216 -180268 57578 -163396 27084 26363 30792 82247 154941 -172334 34280 -46083 -34789 -81874 -106017 -142991 15154 -145542 36070 104360 37318 -56197 219837 125410 -187417 159474 -123733 55542 -186836 138980 -22472 -55379 -17327 -193786 -2440 7532 62887 96438 -10402 192398 154837 -39228 -161247 -117555 -58226 -143446 33389 51492 -159534 -107385 50113 -66109 110062 -55032 -24065 27224 33426 200023 71238 -82178 77744 214975 -39852 166239 -97488 -130797 -188021 -21061 -38423 -88992 84682 -115079 -50178 -70336 45292 168767 -65824 -53916 78291 -5312 -96414 63085 4686 -19949 -166755 40461 -18257 -108870 -149507 13485 267003 14736 -21114 -136289 7100 -20693 5396 -128661 162844 105405 -27719 9058 -83279 -24046 39437 1689 210469 1677 2885 37421 11582 4742 48875 -107388 50581 178059 35268 -97028 23730 30695 22479 167447 169111 -123014 123739 98480 144375 -64467 68018 -44422 76527 -78615 8523 60257 7845 124807 -78442 -68863 25700 64384 993 126834 30271 -73968 -25458 79937 -111749 15962 139618 45991 11097 -64945 67732 -117166 40211 -43548 -129881 -38881 40763 -74761 
+-85148 -30892 -15244 -37442 25222 -14073 -156218 -20162 39479 65708 19417 -53711 48220 -167430 51405 -219084 125501 -198578 34062 40781 66244 -146176 64937 -80577 72991 -70375 -69405 13492 -47681 70485 -137209 63065 -67441 -212359 79046 -76124 -92577 -109610 -1332 10238 -162804 -142936 -50110 45011 -67221 23758 -121409 13293 78274 62340 2759 -70282 -173989 -91188 -230179 -17930 -114183 -27715 123211 -37960 37582 60872 -170183 2619 64869 -8219 146547 -147272 -124703 222973 72890 -13141 144716 214240 71554 -174914 89524 101413 -28784 86958 -176652 -68570 73777 -43246 22988 4438 -55063 -138479 31822 21602 -3410 79934 151636 -192677 80331 -51615 -90952 -76139 -111396 -18650 11343 -148502 48887 139726 -5899 -66682 225897 88898 -243519 196489 -146728 68163 -160627 181065 -2966 -50008 -19823 -170604 130036 148742 -28277 60327 -5608 228882 97366 -33499 -146333 -138267 -54887 -124813 82024 48184 -134956 -124767 40858 -60474 4640 -36621 5575 29787 36079 238006 16756 -23366 88774 219633 113527 53183 48699 -56582 -200616 9581 -37676 -222635 92594 -62788 -75656 -95583 34920 176889 -94222 -12998 2786 -100405 -146066 -34696 76385 -20845 -132281 43360 -48462 -82851 -183785 45187 248856 -30141 -17752 -48786 23905 -73427 7242 -120788 211205 -40768 -13011 22114 -153627 -68626 58994 28654 108741 -6177 -36056 40929 54621 -17206 -10076 -105542 62454 116970 103498 -29599 -25644 137558 -37571 159608 201676 -164122 107316 131212 95355 -140030 29780 -29135 88676 -90746 -10100 119487 30347 194949 71766 -105855 107409 208331 -13037 115364 -51651 93364 -37679 68314 -155682 33185 115052 6874 55796 -109520 30714 -85085 49670 -12751 -102275 -136921 116298 -63018 
+-88624 -31331 4774 -75312 -69784 -22381 -10868 -25223 121872 8812 -16162 -48915 45983 -104266 10212 -218451 98047 -168634 7215 53204 21541 -125078 36364 -79068 75918 -79003 8218 28298 -71733 186980 -199443 102778 -24129 -216044 77718 -131406 -89872 -64660 14352 -18107 -206920 -153426 -76672 -1145 -11968 35453 -196173 34729 65643 37641 4551 36722 -120899 -57309 -202122 38002 15819 17132 128469 2242 16403 61182 -119897 43858 72938 -18727 152983 -231636 -134483 130203 140624 -71044 211073 149015 40896 -113329 81471 45286 11855 12680 -205736 -14478 -29435 -29019 39943 87139 -121703 -169801 -7189 31038 -34687 76800 140516 -174445 59659 -48496 -109132 27584 -115253 69709 -12807 -102577 52494 95188 -45449 -87351 229904 -32311 -116656 182040 -185762 52851 59074 206381 -23265 -22847 -25353 -142441 128822 235481 -67305 39050 5317 252716 -68417 -26441 -120712 -119463 -76149 -57499 127826 60051 -102111 -140174 31831 -75066 -53199 13705 -32122 81400 37057 207095 -763 28607 85112 197485 59186 -151385 174990 -59101 -140425 24063 -34212 -187318 96592 -64624 -42845 -76679 32064 114108 -87553 -7487 2764 -163706 -83759 -29597 138134 -21196 -60383 79627 -92155 -7581 -58606 23022 271889 -5909 -20931 16748 48218 -153846 1332 -102895 158768 -188884 -2100 -557 -138712 18053 52191 52017 -3585 -719 -64629 66991 95546 -8154 -89984 -66282 75894 63564 155207 7973 -55457 135240 35840 81315 182591 -88211 127853 103066 -39545 -163129 -47277 -37961 85051 -86102 13668 181513 11217 223875 144373 -143197 124892 155280 1089 48007 -112503 196651 -35158 62090 -170389 44399 81421 26915 -3746 -126840 -7936 -57060 44137 -39240 -71862 -66700 97862 -33163 
+-107105 -121826 18226 69548 -163847 -23663 114646 -12718 9596 38088 -13370 -39960 50865 -900 -111359 -232809 55273 -134287 1549 59046 2360 -93965 -932 -100068 72633 -96705 76743 29482 -90292 249857 -219094 62137 -6748 -195338 62326 -1805 -91685 -67261 55097 -13950 -120882 -118179 -61451 11750 441 20029 -115483 9047 -20833 22730 53549 193228 -56832 -31567 -141862 24685 87176 -36943 126482 37731 15509 4516 29851 105478 69240 57238 36159 -114526 -120468 -32815 117546 -121701 198687 25850 35683 92837 81356 -25185 50946 -59055 -225059 -10928 -85220 -8547 40085 -17034 -128848 -168038 -35023 -8387 -55569 76692 137499 -160818 -13443 -38945 -100967 147378 -88593 118837 4709 -48957 46470 13767 -69982 -103237 231991 -144573 65704 125430 -175535 64433 120418 206548 -25533 -42972 -20106 -81863 -15941 210298 -92191 8115 27826 298769 -83244 -71018 -98864 -108264 -151015 35753 135362 51279 -63562 -155493 32113 -45729 -24879 34401 -29669 133559 35523 121515 -71785 -19727 67245 151514 -130988 -173445 224794 -32488 -84489 7645 1669 -27750 105724 -48093 -24084 -88641 41269 19030 -56180 26514 86283 -213189 -71472 80377 145541 -28553 9802 121100 -122169 61380 51518 -70548 245667 -35616 -22888 71637 66223 -155363 -14871 -110730 64419 -182757 -11776 -1375 -84975 83343 76167 59554 -40113 7085 -86355 93639 120179 -25600 -139281 -53833 102431 15607 188279 24939 -62543 145992 152201 110420 186335 23225 81010 31496 -149917 -183363 -100715 -44710 65876 -67941 41945 227719 -8808 217369 23888 -163800 165815 70394 -6643 38844 -55819 228532 -49650 64059 -44948 24138 53247 29278 -120718 -111904 -50022 15214 42455 -64094 -52496 -73 -9189 -70396 
+-112246 -174029 -20022 156934 -160492 -13699 83125 -1370 -150022 66306 17803 -40863 55269 111709 -165609 -218836 -14274 -81827 6904 24054 -52167 -64942 -46097 -79453 78017 -81562 93641 37155 -126810 249723 -223720 -3132 -29151 -186558 68330 109158 -85194 -49267 68137 -43733 -5840 -100876 -99 40778 -19228 -1414 -8629 44214 -122422 -3574 121568 192875 67581 -46083 -83827 -34895 56576 -66789 125909 28466 17663 37085 134391 145182 53967 71134 -31220 48330 -126942 -59583 108062 -141333 71317 -28170 52863 118797 92730 -66830 99041 -117908 -238076 26255 -42383 9399 25221 -195989 -54066 -113696 -41757 6449 -21574 72864 141197 -121553 -105085 -63419 -73194 188692 -73935 54377 13884 -52874 48505 -29889 -73345 -90096 232027 -149423 118368 28934 -168395 52773 -15717 182251 -19651 -7769 -28459 -39382 -66932 27601 -89646 -37061 10372 288776 8263 -42467 -73121 -104416 -160585 118445 56240 62259 -21301 -160799 79398 -24632 54077 45113 -23745 148038 32734 29525 -63200 -46774 42106 96559 -225924 14779 144938 -55001 -6880 63886 7208 92279 102549 -15450 17244 -106123 51515 -17308 -13389 27384 160474 -187417 -44556 86956 106800 -40469 95819 132573 -174014 97176 121461 -106289 211687 -66586 -18118 101812 74286 -205418 -2027 -98187 2812 -28492 3104 -14507 -26613 135477 75877 63640 48342 95 -79180 101239 117334 -45529 -143483 4550 69467 -21692 229082 16625 -81209 114620 217936 60747 163231 34455 62667 -18779 -154800 -110087 -114282 -40093 34224 -53347 18205 247672 -9138 185376 -145277 -157234 123774 -82409 -60779 28127 -5741 184731 -39943 71454 -3043 28527 6269 32272 -109449 -77481 -4422 57520 46430 -29335 -55107 18312 -90405 -34358 
+-100969 -112906 -50072 173725 -97388 -18405 -98957 -3169 -292009 68979 38250 -46876 57783 170558 -247278 -169450 -28399 -26428 -9491 26532 -95655 -43741 -42527 -106815 79414 -72316 -13814 29024 -99141 191989 -173850 -63948 -35235 -156694 74943 231448 -64511 -59654 36898 -38229 11937 -44350 93339 119537 -84103 503 43512 76353 -180872 -13763 149380 6695 142425 -80259 4682 -84690 -54862 -6404 131482 -12884 46368 12195 118060 119934 33566 37344 34505 57142 -119196 80939 108619 -127713 -59210 34272 84542 -39915 104457 -93474 124510 -161958 -231215 15575 57233 18983 63932 -244894 2620 -124971 -12878 1595 19439 75298 131489 -59568 -124748 -51021 -10307 119073 -20626 -53891 -35792 -88126 65137 7309 -56830 -58492 229956 -64317 -14021 -27520 -162998 62430 -191059 142727 -9412 -35554 -32243 4065 7736 -62084 -53236 -41554 -14829 261461 154566 -32879 -49199 -85986 -66982 84064 -389 55676 21255 -182411 26864 -54530 181198 32214 -3398 168570 30932 -37059 -46885 -153789 17576 31360 -107051 152848 -10219 -69735 60750 -1930 35193 59282 96203 -14494 52762 -59115 45862 29807 29107 64220 164946 -50498 -54460 61499 59691 -18899 146393 120391 -203871 76864 90621 -67981 246343 -47315 -18054 63655 93397 -186027 19654 -122185 -47444 100177 19336 721 18549 119327 42713 54969 177147 1996 -92328 126499 77354 -25115 -51916 -16693 90470 -36428 235527 -922 -84631 38891 185380 31176 107245 -64891 14446 -55884 -154578 9140 -97047 -30336 -4802 -59800 57108 243274 5068 113683 -201234 -126373 112737 -92428 -54880 27436 64852 -2044 -54277 84097 -69955 32739 -11766 28681 -116879 -53850 73898 97500 -6562 -36965 -103407 -42803 -91308 -6837 
+-65809 -18337 -26281 66161 -14804 -9648 -161645 39549 -195193 26354 41852 -56769 56657 170339 -250908 -131455 -22081 17910 38475 24856 -129613 4863 -50222 -92741 77238 -51904 -80263 58519 -100338 69011 -106884 -28124 -14948 -145662 97796 79869 -64117 -86933 -571 -37980 -73983 -51445 160193 161600 -106797 23096 -59712 55498 -236167 -42702 133979 -78448 195807 -48774 36628 -117150 -163145 -48908 136080 -42151 57025 46520 -6427 45418 19469 -4103 141953 -109539 -98857 210094 64526 -81808 -158204 154802 74149 -192151 97077 -69099 110922 -184878 -220213 -14117 156180 16438 87367 -86152 87913 -134988 -2472 -8985 36017 74281 128244 -16338 -101575 -58901 12190 -6627 -1173 -175374 -19720 -123962 77093 104751 -22534 -25484 226348 66037 -195679 -24596 -131618 65134 -200266 93155 3909 -29698 -30001 18696 118514 -9704 45936 -127384 31560 257691 124145 -4235 -27671 -93905 -28153 17476 -89225 67650 61510 -161179 65991 -27200 245696 -11281 530 147236 31577 -52031 -21301 -170107 3277 -33792 74632 130869 -105303 -90591 70657 4086 54707 -60274 109957 -14707 101145 -94665 85670 143396 48562 54979 88145 -28732 -41340 -55343 -13875 -5187 145095 80113 -210669 63944 10425 30910 220518 972 -14950 -12138 98620 -139216 30403 -93696 -89525 49760 4551 -3454 -6024 45222 88195 35779 179313 -8388 -28290 120617 22659 -40296 8719 6260 87352 -35993 259403 21462 -104806 -45058 47214 -9966 63854 -152449 -20375 -59239 -39784 135525 -50453 -12744 -45638 -79339 610 218254 39380 27875 -92527 -98721 49588 -77673 -61395 102349 -4987 -135778 -50298 96611 -150293 4326 -34158 -12055 2873 -49769 43723 94581 -29147 -21883 -148777 -134635 -38706 -22531 
+-85266 -15816 -40951 -62792 -318 7329 -19605 44390 32224 21324 17292 -60475 54633 95805 -291467 -84216 20632 39679 40885 45175 -153338 25676 -42348 -102400 76770 -25559 -75436 20749 -48114 -31754 -22396 20690 13850 -118048 82430 -40236 -53714 -64476 -28935 -33605 -194973 -44004 184158 180385 -54105 35509 -164940 31265 -253239 -52885 88377 -58961 239879 -50178 39886 -62412 -199586 -52196 126431 -42022 60109 39207 -142770 606 15647 -11034 164420 -228485 -87155 148310 19839 -21415 -130602 211780 61965 -143083 98469 -10218 100657 -179389 -175504 -5686 174933 4463 52681 60464 75948 -113600 21772 35914 23606 76449 120916 32313 -2958 -77454 6848 -89431 -40412 -244061 -13207 -160876 73370 145417 21018 16637 220731 124424 -241594 39931 -100521 88783 15224 35148 -14179 -52312 -30266 19245 127082 133160 124508 -181346 -8772 216288 -51450 6979 -4746 -71558 -80159 -66348 -100756 65315 96509 -163430 44853 -30663 243456 -46754 22933 131254 34079 1748 24932 -156291 3855 -73086 101314 -96114 -36616 -118407 21602 50657 76604 -204606 103473 -58889 94239 -80854 69345 170311 39994 90708 2817 21063 5234 -98073 -119324 7483 101514 56462 -225030 11932 -127983 76939 226069 -28459 -17596 -76051 97409 -87897 33817 -103349 -27727 -134115 13684 33969 -54400 -57217 110315 11536 55260 -3995 18956 111347 17057 -38057 41945 45965 58666 -5553 259707 -15765 -80763 -125964 -43630 2510 37366 -138709 -43474 8823 75942 165289 6843 -35852 -82268 -90227 50345 163066 18083 -43815 73433 -63972 2473 32584 -72868 146552 -87165 -92505 -52006 105345 -164010 -10821 -55501 12990 43443 -86344 -3166 86117 -80391 -47882 -90669 -75019 84675 -4798 
+-87256 -107219 -10356 -39730 -108723 28735 117073 80230 127984 18628 -23455 -54680 50752 -6841 -277727 -24403 72062 65091 63221 44215 -183074 70737 28235 -88061 84311 -341 -9685 -8208 -5383 -87934 46666 96902 -3114 -88227 45070 -152777 -53937 -16669 -56563 -28181 -169181 -75129 143141 182258 -1455 20700 -158767 41699 -231554 -57200 111506 90543 176833 -59769 45900 801 -150712 -18109 128224 -6032 41479 41735 -180505 31536 26376 17197 69907 -155390 -74905 -41069 13790 29571 -17386 144430 59163 16962 68501 72373 84621 -115386 -158397 -55120 108315 -26404 42826 72293 26788 -77459 29678 18863 -13043 79298 111051 50803 76308 -68472 32809 -63534 -68875 -259574 9067 -125856 77382 102215 61249 68618 212893 73673 -107698 118088 -73565 80251 102096 -24542 -7450 -24574 -34447 -20816 5732 245681 183771 -197858 -7079 179199 -98818 8190 6981 -45522 -136878 -137608 -69707 72214 123740 -164275 41054 -35356 154591 -56319 -13318 116546 36591 98210 102042 -108721 19106 -108592 -62055 -211447 69955 -151998 -66659 8397 85401 -164960 118083 -86919 88242 -98699 67516 169715 21957 99856 -274 -66154 -20515 5763 -189424 24942 29907 32864 -204911 -65818 -180885 -23397 218038 -2548 -16575 -161772 89553 -12789 6973 -109368 49226 -199674 18267 7890 -133039 -23359 87816 -11767 -54253 -2431 67833 105490 5615 -50663 -6410 52884 88893 29623 246022 -69513 -75402 -165481 -15098 18486 14969 -27122 -59017 65700 139458 181272 57511 -21780 -108744 -84536 60761 108413 26251 -93750 126390 -42103 -39612 194888 -37883 134910 -109889 68511 -45869 107311 -105004 29509 -106252 14850 -8753 -117916 -45757 92263 -23090 -44986 -67221 40526 101547 29819 
+-85258 -169581 -21642 21600 -162718 21342 86511 97211 28594 47811 -4554 -42253 48821 -114865 -244863 30040 113038 72737 68911 35980 -207227 90160 51461 -84073 80449 36485 89692 42769 44643 -81187 106228 84493 -5248 -66110 52119 -69945 -52443 -17183 -110073 -24538 -43973 -101819 81095 140845 23470 -1029 -33440 6136 -133386 -58918 83234 198022 120970 -39487 -124 41613 -26202 -16175 119439 30447 32679 43602 -93808 129367 44682 83446 -243 20839 -64787 -54278 9215 46908 130956 29812 54429 128714 42177 125569 60112 -78695 -125563 -86707 2825 -39632 9503 -114503 -84576 -26769 -7859 -18396 -79174 78126 114766 86049 65424 -76177 13577 50343 -94256 -159958 -6577 -71781 58059 8389 90745 108039 203398 -49083 74485 188072 -27844 93908 -51230 -75429 -18546 -31774 -40127 -61272 -64729 198424 249379 -175094 -13054 147789 -5815 18360 15486 -74156 -156170 -117375 -24784 74226 141442 -156453 76463 -39715 59476 -23681 -47536 54395 36931 185089 112932 -17518 43213 -120111 -210475 -55845 166601 -126941 -126672 -2255 83455 -42601 109958 -104480 98854 -91971 76273 88592 -21306 129116 73578 -145865 10637 123316 -245452 20347 -47125 47476 -191224 -138232 -144126 -113233 228705 -12522 -13761 -206795 78891 15205 -23021 -109546 164687 -100907 12334 -2459 -119246 21922 74174 -25401 -18098 1600 73927 86787 69875 -59630 -107812 52067 87250 82647 220250 -106073 -64430 -209024 131549 15987 -37941 63803 -98005 106483 115417 121942 87458 -58430 -121372 -67615 26811 45148 -1859 -98103 24777 -36089 -107236 186004 -82358 132594 -32589 211507 -46755 101320 -26915 1536 -111765 31398 -104915 -120240 -22349 59370 -33092 -43650 -30723 53565 65044 18119 
+-104682 -108611 -19784 153323 -183924 23264 -87636 136147 -159679 40075 31008 -36783 46513 -181878 -217931 59065 135215 58957 37751 21612 -206557 129575 47933 -104238 80671 53721 112262 21595 128795 715 131614 27740 -31779 -36414 91046 118198 -64686 -32324 -117975 -18096 25122 -118777 -25142 74720 -39730 33 45752 -3930 -80435 -34796 1346 148549 11365 -50729 -76080 1797 52186 -32178 111783 34687 12745 13637 44990 149587 62443 67832 -1988 71660 -72276 97464 18666 36193 223387 -28842 58498 -8653 42728 130216 45523 -8044 -88313 -93049 -70270 -46585 61377 -238691 -136314 -61846 -22199 491 -34844 82221 108712 82674 10360 -74207 16192 162255 -104284 -45709 28578 -63378 60430 -22347 99713 146436 192404 -150669 114328 195207 19256 91719 -201159 -113924 -465 -22884 -34560 -111613 6170 73652 278446 -224137 40198 97472 143773 -7269 17640 -58410 -107897 -56823 56428 61419 148147 -124037 53452 -37486 -40378 27300 -14401 10736 34845 232860 184857 42088 68441 -92374 -170084 159659 169371 -100702 -160022 -51108 70252 77085 118755 -39192 27032 -75642 59602 21693 -66792 131566 155565 -202895 -20180 44353 -260041 41726 -125621 87794 -135013 -141445 -50108 -113248 178403 -7805 -26023 -221231 65999 18002 4697 -136817 183121 47588 22298 11558 -110648 150257 88162 -24922 133207 -2621 99495 140126 106665 -55570 -138532 48947 73620 142539 202014 -90350 -27596 -207741 217829 56715 -85428 -5080 -102042 130060 15467 -21173 64475 -86946 -117691 -55305 44798 -8155 32115 -74624 -130098 -55511 -109398 88490 -83024 115659 30017 220346 -44733 90844 -16138 -11991 -107531 17044 -105432 -93998 67602 20032 12224 -23944 -62186 -6046 -34301 30864 
+-97095 -40394 -48235 136013 -61063 66012 -160262 130902 -283211 57617 37191 -46317 50058 -175047 -140198 87046 109101 21198 19963 24302 -196333 150534 39667 -82194 80892 78851 11408 32836 151849 117815 120845 -36603 -53564 -14637 78430 184228 -70428 -20112 -80267 -57609 -12251 -118781 -87018 23102 -119358 22456 -30324 -38267 -27803 -11239 -8092 8510 -62805 -46069 -109455 -59864 77762 -16472 114672 -1195 18400 17011 128002 107777 73434 5274 109912 -64592 -64670 234482 43438 -11034 210793 37923 91591 -173089 68331 81275 -32528 69873 -45927 -77400 -75719 -41980 9755 -197736 -117164 -54148 -41675 -7167 302 84614 95662 89405 -79520 -52116 -605 184307 -126930 68729 9804 -61688 92500 10738 87275 171672 179467 -154555 -23355 144885 26760 63124 -159865 -125628 -16942 -23832 -47898 -161458 126849 -79926 288706 -183875 7340 34473 116938 -43619 14325 -77235 -57149 16926 108898 52182 143769 -126030 54682 3009 -48605 55275 8959 2466 32065 217741 173361 17104 86193 -66921 12787 132122 74586 -47873 -192814 -40492 54263 91108 110552 -5275 -28784 -69387 53389 -8708 -88855 130675 166859 -166835 5520 -12288 -226693 69389 -156717 115074 -86278 -130995 54370 -28769 172413 -3222 -22408 -185722 52819 -537 -3258 -134317 209779 90468 -2233 11242 -48432 108004 86224 -15949 217374 -11231 55800 109064 135365 -48587 -135815 84360 57308 195262 150708 -143905 -3498 -176849 201688 122873 -80167 -132631 -127138 105554 -94164 -115410 -11154 -33196 -98880 -60306 71014 -42727 -204 -16970 -210372 -95813 -117229 -24985 -67742 82750 51767 144835 -44752 76209 -102934 -22571 -114552 35267 -6972 -68036 58445 -9422 5818 -27490 -77642 -150327 -102332 32180 
+-95289 -1171 -62019 41393 6913 54391 -43396 138415 -191491 16846 29720 -50821 51858 -102210 -59382 101272 59179 -4492 41187 23692 -172599 134995 -11348 -94670 79478 95272 -106598 30951 146596 214962 64379 -42568 -30498 11987 85539 158008 -87358 -24371 -60108 -48217 -157444 -141426 -87408 1455 -119652 35530 -148996 -12860 30922 -499 -84304 -92993 -121683 -49161 -190142 -108748 7217 1026 107433 -38763 20632 42424 112047 41297 69969 -6985 158869 -215040 -70200 146319 94252 -71330 117389 145655 67361 -177078 76995 35971 -43093 129787 5479 -41212 20488 -27396 117781 -15863 -37040 -44348 -22085 9402 47256 83962 90883 49824 -135232 -74861 -35439 98655 -81491 134688 -33292 -107313 53421 83349 55778 206540 165775 -46434 -201992 45051 59145 57025 38038 -124355 -24849 -23437 -46533 -194318 123509 -17424 215639 -211676 21558 -11864 -27057 -39985 3046 -67181 -45929 101190 130407 47980 128660 -104441 67104 -40866 15572 50147 -2854 55591 30780 152935 240712 -67244 89416 -11933 119729 -41614 -11185 -41783 -141684 -65762 35317 -73450 110663 -11625 -53187 -70931 33205 -15280 -92811 134474 91415 -106657 -22546 -37117 -175049 78367 -160095 134667 -55605 -103787 126238 34712 143919 -15562 -17359 -114416 33216 -41211 3015 -111718 138481 -38113 7016 30291 -32741 98469 86697 1823 119592 -5299 20560 108895 126203 -64675 -80374 80564 79483 222974 97795 -117573 27375 -105708 92492 96117 -144653 -171067 -128717 47978 -142732 -161414 -64834 -53641 -67737 -78130 62553 -53259 12910 52568 -99114 -137479 -40248 -97301 -96404 48130 -24704 16687 -42651 66058 -193768 -28975 -98436 26983 13920 -44967 -874 -83052 39865 -25917 -118912 -76626 -80426 68198 
+-76752 -67249 -9328 -48552 -16846 71451 106995 143513 50677 47090 -14779 -61205 55427 -4313 36869 78371 -11516 -63301 6921 52598 -155489 142205 -32319 -80894 79779 109488 -83628 -9064 169401 264205 -9031 26618 21117 19149 76721 15008 -90085 -33164 -2648 -50764 -199392 -116155 -42393 11908 -47775 21374 -196920 -35645 82562 33135 -68981 -51327 -177255 -55910 -238700 -124331 -109562 826 97317 -44026 46899 49651 -8484 10704 55890 -14156 101394 -181552 -73649 -24605 111112 -122004 -22400 207989 79065 1297 77550 -27038 -52611 163497 31474 2083 121994 -3359 17514 99643 38547 -45901 4433 -35662 27305 83541 86034 -878 -111119 -78193 -37703 -26845 -62557 69280 31746 -148369 53013 137269 13519 205280 150054 73343 -240251 -11521 25272 55216 80222 -98020 -6638 -26718 -43872 -194344 1490 108086 144050 -166072 31175 -33672 -93545 -56713 -10274 -76950 -110532 125872 104205 49338 103325 -82765 98458 -39197 124450 26043 -39 70172 32075 52514 181989 -145857 76252 53837 11016 -200971 -80684 -53120 -69562 -87797 9833 -190502 111112 -4639 -37553 -86219 18267 82646 -60594 162457 6163 -4703 -40763 -8665 -98246 25485 -120946 119125 -7100 -54677 67645 37132 143287 -23321 -17759 -29665 10685 -98350 19491 -114364 61677 -181628 2148 22941 -22592 -24329 74766 29095 -18258 -15852 -38092 73624 83274 -60253 3903 90828 85484 232341 42319 -116306 42294 -26668 -36204 128603 -120957 -99388 -120763 -4553 -170726 -187950 -110151 -38798 -28866 -89817 39622 -35648 11281 131534 74820 -153172 -32512 -86905 -97329 73433 -97049 -113945 -31737 61872 -154296 1214 -67407 43095 -33771 -71265 -44088 -125006 49168 -10458 -85135 36138 8398 46746 
+-108371 -153531 -1376 -57193 -102062 62087 100601 124215 118455 40517 -20206 -58828 56980 101273 96372 66736 -21167 -108582 7589 43258 -120821 137251 -54494 -84521 84118 92183 20992 -19191 138421 232021 -89165 76034 -42471 33853 60094 -116618 -99295 7218 24165 -27685 -113509 -82611 36788 69597 15863 -634 -90565 -14814 113587 48069 -35265 122571 -145196 -54437 -239237 -81741 -205080 -25035 94920 -28188 53282 54097 -152056 26198 35948 65232 12834 -474 -80274 -68260 142174 -139441 -143769 149415 76107 113661 92604 -90651 -56785 191227 58500 27788 181076 15849 54675 -2259 102690 -36922 24108 -3500 -30026 83562 75787 -39647 -37015 -50099 -117763 -93299 -59002 -34932 -13059 -143989 77906 96951 -27716 202116 134035 120364 -97361 -50276 85970 61001 -39183 -58875 -18995 -60724 -46991 -190213 -84166 234821 47510 -181452 17273 -74141 -31441 -89517 -32318 -78739 -163828 52724 57493 53259 69856 -64874 43744 -48715 185820 -19857 -27170 102747 34887 -25531 119212 -168801 52523 113143 -163271 -106526 27081 -52254 8473 -101066 -12879 -196602 105469 -39568 -53416 -87547 -9240 145739 -9472 154240 -4935 -1170 -64837 94892 -16065 60054 -48088 78886 15907 18112 -44343 -42713 124087 -15408 -20479 44317 -409 -132436 -11656 -122320 -29969 -169656 -13085 33597 3650 -55156 65938 48992 -45471 -17950 -46116 61926 25688 -48737 17317 91381 72455 222125 438 -96000 67750 49376 -23416 139906 -93604 18352 -125944 -58932 -99268 -109876 -129338 -38116 12426 -87894 24721 131 28082 198514 138993 -165070 40418 -22173 -110793 98630 -87739 -101518 -28331 64640 -55625 -4715 -60253 -3310 -125189 -101531 -28806 -178501 73981 -19636 -57021 108598 107761 67113 
+-100141 -120203 -32918 51440 -203163 61213 -82533 92018 3545 43602 -5148 -57341 57138 168775 103305 13413 -7385 -157342 -10715 39082 -68955 108520 -58765 -72410 84478 88812 92625 -26581 114360 134073 -169792 78892 -24395 84405 50767 -85950 -97281 12819 23288 -8234 1225 -61004 121869 129520 38577 -365 23982 -35293 82882 58402 -10813 203537 -74022 -29198 -232511 -20549 -191903 -29900 86347 23862 55228 27763 -176814 118300 19299 53049 -13519 71258 -77779 120790 112395 -122895 -159673 22349 59890 12258 142903 -100358 -7198 168847 72440 -12512 143498 24287 95772 -170624 73088 -44030 12431 -20869 -49029 79385 70746 -79455 47929 -57020 -68436 -48210 -9510 -167146 -6351 -124726 34950 20721 -59485 192115 116657 63557 78792 20957 69709 46374 -207108 -524 -2209 -8217 -39358 -140514 3750 207483 -18503 -169971 -11199 -94784 121195 -56398 -56999 -77878 -126612 -29593 -27556 54656 30654 -60556 42987 -50520 254864 -56692 -15653 121194 36962 -50971 103736 -171274 27333 168211 -207839 113673 172689 -68468 58482 -103987 -24457 -10094 94377 -69526 279 -91818 74236 229787 28687 140103 67684 -23208 -58309 96249 68859 129346 34997 38982 50988 60573 -154465 -122122 109559 -19435 -23001 74780 -24407 -218691 -452 -133373 -66885 -11793 -18750 -18463 -54026 -40552 61947 61368 89406 -8749 -82233 51778 20963 -58651 -14964 85744 33251 195287 -43090 -41106 88792 112554 92126 115568 -82544 38905 -100190 -53647 19101 5421 -84924 -37261 48537 -68115 38827 58663 21183 219659 40839 -153156 57449 143031 -111289 135054 -26074 54984 -25465 74535 -13405 6676 -34675 956 -115610 -116282 72496 -220902 49811 -33502 -39717 -50358 101581 75062 
+-103331 -47939 -16093 148634 -164750 59570 -164074 70900 -145702 43405 28740 -43793 52001 174051 115268 -23808 23063 -203712 -16515 18945 -40027 88443 -18423 -88165 82752 68505 59041 -29297 62474 22053 -207555 43390 -60967 91105 58914 32352 -84323 21594 83087 -35868 33056 -47809 176311 169942 -31331 21778 34191 -5116 61239 83041 44943 108927 -12856 -57672 -181754 17681 -103313 -1546 79675 35565 43668 38593 -88286 144993 16548 25495 76726 -33753 -100662 224180 86508 -78378 -58517 -30050 66419 -132702 128911 -53102 4071 119228 72896 -18877 42700 18981 46951 -249283 -10579 -48864 -1411 -26300 -41975 77054 67960 -124719 85002 -52794 -80510 72353 -38994 -250469 13269 -57938 51249 -18808 -74716 152697 98753 -69850 112605 106430 38281 70459 -119767 62638 -15863 -54754 -38592 -89684 119910 66407 -86450 -109704 39224 -80400 134309 -55544 -76040 -89599 -60500 -119952 -66090 64801 -11604 -32910 54884 -61362 186592 -49323 -12197 156288 36578 -13809 58816 -97974 7054 204424 -60426 169049 200456 -105311 61905 -132734 -45543 84126 94590 -84911 42596 -93343 43125 137873 51752 151592 156292 -142264 -76400 -6484 130661 89072 114729 30098 79614 106548 -164067 -103270 78281 17175 -21725 76666 -33969 -217452 24964 -106284 -99874 93655 -27368 -39450 -136241 61764 55202 64321 160199 -17250 -78042 64501 25878 -65920 -83148 76358 70455 137000 -73169 -15860 86829 185135 206238 131832 -64798 -42220 -85757 -14034 119707 88682 -36738 -35164 75762 -56026 14300 120801 42631 212301 -134906 -106102 112168 194007 -97333 156457 64629 185570 -20137 87790 -68456 4381 -16597 -5965 -42660 -118962 88475 -257922 52117 -33500 -47643 -102743 22296 83014 
+-92309 -22228 -33370 140300 -53656 30162 -64041 40477 -235794 47950 32604 -34074 48494 109656 105114 -83548 80207 -215868 50126 12990 1346 61269 8750 -104510 86815 47300 -12246 -27594 10434 -69037 -222264 -51614 -60939 99994 65320 136552 -71705 -16856 54806 15508 -89160 -30901 171483 200228 -92377 35561 -99523 12485 -56807 111237 61324 -32005 99262 -50681 -108057 5675 23740 -24346 72274 18721 25626 34972 67355 125332 25731 409 147344 -196253 -104394 160610 64643 -16059 89218 27514 79530 -190438 100241 -9214 68861 56732 80400 -26993 -43305 -2810 25396 -145171 -110857 -37494 -25925 -4149 16571 74927 57252 -149030 42195 -46559 -65777 174036 -53320 -253420 17598 -35615 43692 1172 -67383 114235 80149 -159246 -31814 181132 17224 41121 27529 117657 -26175 -40410 -40299 -42640 123996 -50615 -76521 -34513 103 -90331 15344 -34827 -100573 -110765 -47025 -129108 -108148 54283 -54296 -28609 90180 -48556 127378 888 -29842 155997 34190 70481 -12577 -30229 1095 222732 108033 11515 178684 -131702 9528 -117399 -30037 92141 85840 -69977 89329 -82744 78570 80829 48366 137394 170557 -215159 -105661 -75373 134747 95353 151350 53497 77164 88002 -98677 12687 43018 4088 -19816 45420 -49941 -150838 45833 -143569 2076 9935 -39090 -7224 -143010 105943 54856 56321 144617 3141 -45831 70207 84767 -58926 -123585 43996 43084 88079 -93654 -5665 77841 145183 219246 46809 -24161 -153343 -33566 66687 166066 163720 23323 -49906 88588 -58737 37283 179097 42118 176117 -191171 -72990 146482 134097 -93651 164640 37226 278863 -21334 99935 -167547 -2043 29267 7737 58876 -87323 13524 -280427 11497 -12171 -91479 -103629 -76575 59528 
+-101021 -60792 -1153 50008 -9010 2723 109224 20824 -198339 28659 7015 -46080 46792 -884 41058 -126682 109967 -227873 79133 39694 28980 26928 26190 -127882 81858 10866 -95964 -36426 -63489 -99653 -214240 -39615 965 104108 85124 182069 -61982 10663 18003 -33539 -191168 -68965 128377 168576 -113433 22046 -208593 9417 -130774 143980 127213 -89557 155100 -53314 -52304 -45869 104201 -47600 70935 -33836 16490 47830 152102 40018 43120 -12206 133981 -211488 -117406 -5564 31854 30143 200450 155437 86942 -60491 101126 71746 82742 -16364 57102 -68711 -76734 -23035 16427 61785 -147083 -24039 -31138 -31905 44072 74763 48119 -183066 -41925 -69945 -55992 176272 -79253 -179911 21385 -64160 37493 84096 -39796 77409 61518 -141606 -208502 202124 -33437 87291 106476 162999 624 -25534 -29236 -4811 3582 -37217 -57051 -8757 1274 -104327 -111804 -5772 -121934 -109252 -113775 -89965 -42439 70101 -94120 -9770 62551 -47618 -19694 40233 13020 134727 31675 158599 -51540 38868 11748 213377 79442 -159044 -3631 -138927 -56229 -179822 -34570 -68727 86326 -39173 92271 -45989 83073 -23859 16561 149724 95331 -210748 -97228 16114 123340 113383 144433 88251 52123 31768 28290 43931 31474 -3825 -15975 -14845 -69842 -78076 27959 -126724 70549 -129586 -48855 5272 -125747 81295 40476 39152 34502 -2417 -21204 20701 101279 -39224 -164340 56948 52378 35994 -92567 16286 78967 134321 118887 11082 2354 -166069 -10077 105855 96799 200981 62773 -71728 85567 -74028 29160 225811 43126 111839 -98367 -40198 151550 -6589 -76335 100204 -49221 180034 -8271 107006 -169013 8635 76404 -47842 1166 -56303 -49013 -299741 5245 -41943 -90211 16982 -89498 69085 
+-74378 -145563 -5886 -47319 -51958 -2787 110656 13582 -37807 28817 -9872 -57139 48696 -110469 -39853 -176639 127597 -231887 99569 64113 66488 8751 70486 -62366 76833 -15169 -70574 -6534 -72409 -52544 -152535 -9653 831 111458 68766 81022 -38951 30342 -34721 -22900 -184252 -114045 61131 106149 -47531 -205 -167880 47221 -198695 136667 126055 14750 207697 -48035 -6047 -110718 39182 -13589 67505 -54842 15737 8197 104501 14700 61084 39096 31528 -35715 -120053 -54903 -1666 50147 228630 209688 71094 118092 100883 111968 111977 -74150 20781 -70286 -20137 -39770 47734 82180 -105307 -60918 -20366 -25936 23168 74107 56253 -206403 -123802 -74604 -25148 77284 -122276 -58095 -27491 -122107 20348 143769 80 16870 42855 -35472 -236330 155438 -23628 75062 -18665 195405 -2084 -40838 -29981 16365 -69264 104892 -47917 -4294 4990 -63866 -55550 14333 -148920 -135687 -146686 -17458 -24342 58876 -128357 -13130 53756 -78831 -74009 54919 2540 108032 30907 226493 -48748 2626 33118 188875 -107691 -154781 -74432 -101913 -147061 -169224 -10980 -178974 92181 -29248 78880 -80408 107970 -17569 -24060 123052 6216 -126228 -89738 53993 77334 90444 86528 118814 20555 -27594 95470 4235 9990 8035 -14259 -91110 -76047 -70803 1760 -120411 129109 -200928 -57568 13163 -49171 19037 37350 6701 -48342 -6061 38874 55084 130169 -42191 -65333 57681 42633 -6094 -98330 13605 63481 57616 -5904 18490 99303 -11906 22402 136959 -22456 128422 74589 -56866 67274 -91483 56030 250038 21037 21520 66360 -34081 134669 -75205 -90200 108999 -101876 29362 -785 106844 -85769 18787 84160 -34554 -66124 -51725 -32903 -279905 -37934 -20630 -62863 67318 -43296 75839 
+-77512 -140931 10251 -88881 -103861 -36539 -62850 -8075 112608 37831 -20032 -62059 50278 -177999 -76843 -212458 94676 -200127 69054 47451 91508 -34040 31133 -93798 86543 -37716 14390 -25717 -116582 57559 -96375 66134 -39062 107725 74920 -47436 -44680 40190 -91658 -21813 -55998 -151124 -35059 48512 9027 -786 -10687 41236 -224341 146927 156943 171364 228097 -49976 72525 -128123 -72145 4016 54094 -28042 28839 55965 -51969 34666 72166 69484 -23996 86890 -124947 89228 2605 37359 165532 146328 72792 58778 52257 147210 122355 -134635 -193 -57831 86174 -47827 41876 -64563 -1113 -99560 7999 -6531 -40862 73927 47862 -180464 -120828 -54101 17222 -45143 -119248 61087 10404 -138470 46880 105549 42329 -28607 24260 88463 -90035 71963 -79144 81616 -202067 206951 -12318 -71634 -27295 16851 -8628 226974 31138 40263 26434 -20098 70852 18484 -159572 -136837 -128458 78792 57051 58138 -154784 4657 52175 -63277 -15209 28836 -9994 67468 32748 225986 -67452 -58404 59447 130673 -219894 11857 -66905 -91515 -189407 -173199 13765 -182628 75822 -13554 84708 -73170 116027 63641 -69105 126317 -8012 -24760 -124247 111316 -2276 86736 18196 131953 -25722 -89056 117816 -105259 -1626 -15033 -20039 -159134 -79133 -3883 -14220 -110872 217866 -86917 -74648 12071 4405 -18242 49783 -11876 34567 1066 60077 10535 137555 -38889 -49163 27794 54630 -38475 -64360 2278 58699 -21567 -31212 11839 99355 61762 41386 99830 -99088 19745 46736 -69077 35892 -86693 57313 246492 33002 -46279 130910 -45576 94875 -102206 -101698 63325 -94235 -99530 -7344 99427 33563 24425 94116 -6194 -144677 -83467 38196 -255252 -49061 -35037 -31250 -5474 66476 67885 
+-108807 -61476 39219 43310 -182083 -35233 -163602 -18182 18848 15812 -2529 -61468 53455 -183244 -167623 -220843 49561 -161582 23359 25828 95259 -76724 11426 -88468 84787 -52238 121712 -20705 -116093 173696 -12806 91652 -31265 90074 57354 -128894 -62921 21993 -147887 -30772 31340 -174805 -80093 747 36849 21118 33192 40292 -208867 132643 139863 192381 181252 -56647 65367 -81085 -189448 -50151 45713 8852 45990 -7614 -161655 105477 71726 52502 61908 3302 -127880 237622 24038 -17500 7172 26940 52939 -90846 47159 91758 89088 -165457 -42566 -37646 169942 -41345 69294 -218555 49524 -60279 10562 10313 -54418 75269 32865 -143648 -72233 -53479 14726 -93741 -102624 113485 6172 -141062 30679 24915 79278 -56748 6237 119870 85240 -8897 -112219 88377 -122782 196448 -5218 -38381 -24862 -10813 119732 249924 104009 109729 11301 -7889 136516 -26224 -174237 -146062 -102450 111100 120596 54829 -171651 10512 58598 -57331 67194 -10740 -11860 50532 35453 168781 -8887 -125357 81792 81593 -123712 157188 52000 -56087 -199705 -193116 38279 -18333 59035 -40896 39695 -67048 88319 159590 -91686 134617 62109 11470 -159840 -9556 -84538 102734 -67123 113126 -68773 -131056 57297 -106945 2354 -45015 -23244 -208290 -72158 -1977 34312 -130935 159859 63803 -82522 9325 27088 -87900 14004 -22693 139068 3103 68220 7210 79186 -37456 22918 33966 55526 -37897 -23756 -30864 8296 -81299 49497 30675 167888 12186 74456 17845 -169736 -115618 -14561 -17848 -2903 -69045 37076 216586 35933 -98042 39192 -85291 51899 4755 -71698 39346 25020 -86889 1507 86800 -31527 30649 135086 -49080 -85548 -114096 92256 -239292 -56202 -39524 -56941 -118436 101720 76403 
+-108985 -19011 -43388 166410 -152282 -22808 -54817 -10092 -162585 -687 34712 -54781 58814 -113665 -204256 -237783 -1386 -114879 36579 17354 90268 -116927 -28450 -65499 86834 -75628 53141 -18246 -111164 241220 64579 86997 -33989 83278 71040 -51116 -51410 25877 -145216 -37652 -11681 -113365 -72958 -2537 -41506 35574 -39975 60180 -213670 134582 128697 116918 90000 -21896 36738 2994 -207769 28726 45161 33820 53136 33152 -174544 156951 57746 16720 137540 -173076 -135147 146051 74148 -69020 -125257 -29230 66046 -222899 65464 23001 64391 -178774 -91538 -32979 161609 -21138 71207 -208124 95907 -87244 9417 1263 -39749 75449 39173 -89164 27445 -60023 17687 -30724 -57466 92138 802 -87368 33665 -23050 96886 -80775 -11371 47377 108460 -36638 -127101 79084 41884 168117 -22666 -84831 -21849 -58943 121897 103017 194038 120454 -4163 88599 39123 -16630 -189866 -121146 -58705 99806 102837 55075 -177554 9113 36641 -78606 191142 -42374 -28480 28414 37107 77254 10438 -172022 89827 11419 60762 85837 168974 -48767 -120962 -172827 59090 103805 85107 -19732 -17741 -75024 93777 179881 -92902 109712 150109 -19444 -118522 -84567 -159428 76325 -141348 71848 -118533 -155318 -66450 -51850 -70741 -49443 -21358 -216054 -69969 4182 -1202 -100230 115653 90257 -77393 -3402 -24962 22933 21824 -24561 183667 -13248 98970 17986 41985 -45803 11230 22690 40448 -20367 3545 -37049 -10114 -113958 184804 75290 205465 -122848 110850 -10162 -137585 -216995 -78628 -35589 -43901 -56688 22750 166843 68480 -97293 -149890 -113229 -21370 129264 -81357 71633 37898 29879 9009 73628 -95019 17254 158256 1807 1536 -122619 10900 -184659 -4941 -40825 -92067 -93633 88275 78387 
+-94473 -43373 -23460 160632 -38771 -45606 89073 -1452 -283406 25691 6515 -39277 58161 -12235 -253170 -217913 -36200 -67177 4374 20890 97024 -117476 -49032 -107486 79606 -92485 -13030 -26216 -79876 251795 103759 -4021 -34921 70013 49566 126193 -65313 15918 -96670 -285 -142878 -90089 -13800 37557 -103002 22760 -171965 58495 -132926 126672 21618 -57053 12 -35252 -4793 12871 -149321 -13043 41396 18666 51071 74906 -69476 103884 37251 -19850 151300 -227642 -122761 -39694 90472 -118159 -164301 33555 76922 -51406 22411 -48700 23801 -160895 -124629 -915 78507 3540 42983 -45501 50800 -99099 -3107 -14367 11798 79859 16767 -54083 68841 -45815 10878 94520 -47387 -26257 -24442 -51862 59390 2841 95383 -92933 -28015 -83342 -42800 4541 -139424 69762 109333 123962 -29888 -104557 -21353 -109048 10669 -18771 244293 114716 26269 106597 -69460 -34675 -192410 -115825 -65478 -2472 72422 47658 -172358 -2598 29896 -45315 257181 -54782 -19956 47195 36215 -4068 82156 -183679 83171 -40821 112439 -142234 202067 -47170 -62849 -200729 81905 112672 81767 -50740 -27463 -59027 46172 127528 -61627 90039 175146 -100584 -133255 -41935 -227079 75768 -164745 48486 -156311 -160187 -201512 46896 -14766 -27925 -21225 -183472 -57019 -47041 7154 -105902 21988 -54538 -97837 15132 -77979 107994 17959 -11751 78430 -134 35961 5162 12637 -28434 -37137 -24964 22195 8932 61434 -81465 -34659 -177953 226113 112985 186858 -171545 103981 -56082 -50859 -198966 -111113 -5077 -80890 -58449 -212 106994 49282 -74672 -208061 -143746 -82001 191808 -57362 133055 19720 184581 7366 64842 -225180 40535 155254 -13304 49943 -100206 -48334 -107945 234 -42036 -111009 1533 -18196 47829 
+-105586 -132978 -41825 48261 -1573 -16639 104847 -1771 -159198 47986 13686 -40617 54334 104051 -281756 -192251 -22507 -12697 12715 39437 72809 -126876 -54203 -75776 83695 -80860 -81595 -62841 -53512 192970 128762 -72364 23470 54724 78822 232833 -80600 24459 -43752 -32882 -203290 -58918 70981 87303 -102253 222 -167126 41056 -53650 107543 58555 -96173 -82156 -38946 -80611 14725 -16797 132 40313 -23387 35918 41369 86208 48041 20610 22987 61970 -75111 -114674 -88153 88599 -142047 -91904 153129 93760 105306 76755 -86488 -8818 -109581 -152997 51881 -19289 24312 28283 83906 -51080 -116254 -36597 12840 74489 80409 16206 -10423 60220 -38403 -7225 182824 -17646 -150843 12507 -52926 71613 92654 72567 -106574 -43829 -160914 -212588 87208 -157617 66381 -77392 64824 -26303 -107150 -18757 -159186 -82906 -57066 285540 111708 -629 173489 -34974 -41528 -189147 -81888 -143347 -89844 21758 47254 -155938 -11557 69974 -63030 210161 -29947 11755 57638 33521 -51133 130892 -108686 63426 -87577 -33678 -181944 80094 -82976 -3290 -225406 81514 -75367 79884 -61619 -59181 -94541 30861 65369 -12341 49715 100299 -205844 -132345 66251 -247456 82959 -159942 35081 -180115 -109404 -178101 54152 -51329 -34269 -21865 -103222 -48042 -133744 10879 -112800 -61532 -203832 -106272 40466 -111288 115588 1487 9360 -43758 -12234 331 9045 31732 -15508 -116160 -36658 39880 70940 92236 -98388 -64071 -195097 155898 95931 185467 -97479 134488 -66359 94479 -146662 -119896 -21953 -107668 -76654 67616 46586 24809 -15450 -97101 -163391 -87959 177038 -50321 126377 -71693 240226 -4261 61327 -124840 38443 160348 -46247 -24834 -57187 -32581 -67989 4312 -18822 -108800 49105 -88271 31844 
+-84172 -149400 11858 -63755 81 6942 -38305 34651 19134 40813 -12414 -42408 51035 166218 -316803 -153925 -6825 29773 25356 52251 49338 -177439 -44853 -107987 84038 -65003 -76441 -22929 -8694 88218 107775 -23857 -26659 19719 67434 113831 -95744 5831 -6913 14974 -124471 -43024 146780 142632 -46075 -1193 -33324 39789 35749 73922 -993 16838 -149980 -49037 -145790 -43237 67064 -42003 38566 -46536 23782 71156 148191 -14579 14289 68836 -15470 67129 -108567 109608 143035 -128843 23409 213683 75410 79007 59408 -98742 -6640 -45338 -192495 8467 -82566 21167 32785 35432 -129376 -151991 -31409 -10378 10067 82111 22120 28264 -15999 -37992 -54271 164859 -20943 -242697 -14704 -107175 57627 139172 35280 -88110 -58517 -136142 -231844 153343 -166453 50079 -180756 7610 -14321 -70634 -15761 -186847 -19790 77795 261712 66345 -15318 202840 78361 -55208 -184176 -91542 -158687 -146714 -48825 57274 -129924 -28610 66550 -60576 163828 25751 -5214 82740 31181 -28057 147419 -31935 37277 -113503 -206505 -27113 35414 -94232 59848 -222705 82380 -201845 75194 -93496 -37337 -75881 37657 -26670 25041 50693 15096 -228513 -81244 110441 -232910 52462 -104675 56663 -210588 8852 -93060 -21585 -96373 -15759 -14823 -36523 -27997 -160781 -23372 -113747 -64773 -182492 -117570 17045 -134536 94100 -21227 30975 -46167 -2660 -44166 38643 76244 -8610 -136158 -57534 60798 111399 158004 -126319 -88064 -167563 17953 146405 222612 23532 143898 -10216 144100 -61842 -77773 -20702 -120910 -87524 37608 -8142 24756 58245 60023 -151817 -95141 83736 -14894 133293 -107426 186721 299 66714 -35787 14892 166559 -28408 -130228 -48448 50060 -21020 40809 -28692 -86063 -1550 -104528 36885 
+-69847 -72272 -43131 -65869 -102152 10790 -162258 58389 78641 32466 5345 -62357 46932 172962 -232175 -103351 87421 49542 36459 56496 13117 -181407 -4867 -116080 87272 -57249 33355 -36929 49094 -21735 46801 20171 -41208 3627 76691 -3723 -100581 13715 35820 -24933 -3948 -15078 195964 185286 31974 20412 59653 -9396 91049 73128 -63237 182710 -167095 -61658 -208941 -88804 80854 -2320 37135 -38834 10906 34418 87254 34615 23989 53369 13566 30750 -93686 184499 117971 -77490 173229 143265 50261 -71953 125792 -60589 -52924 23780 -213773 23463 -56310 12401 75100 -141239 -130466 -134319 -9784 31474 -41126 81540 6808 81288 -96326 -45089 -67876 54643 -53117 -257758 -194 -149349 66124 111660 -8408 -44926 -71824 -19322 -79696 202334 -161676 46847 -180217 -50816 -20730 -106484 -16647 -197933 122632 214203 209078 41507 27740 243537 146724 -66369 -167485 -55272 -120049 -120322 -103192 58813 -95924 -46918 76206 -47918 45945 52755 -11002 147895 31151 38261 156648 29108 13772 -118581 -186818 161658 -65246 -147597 50328 -205134 81129 -178980 86731 -89505 -21898 -88211 3850 25114 51708 68979 -10442 -150037 -122450 29488 -190051 52171 -29856 95380 -218539 70090 56826 -112957 -127042 -13694 -20428 42186 -3606 -173404 22697 -91748 -64380 -18889 -129373 -7731 -88988 16562 8212 48547 104936 -14116 -67612 67248 129858 993 -110251 -70652 73904 174325 170469 -126322 -101793 -144702 -35485 131704 208460 35946 126953 68339 124031 34005 -22932 -60143 -118360 -86184 25145 -42741 17096 137720 138195 -128485 -128253 -51785 -31632 114790 -51560 33076 -7622 77217 -29166 34439 166527 -23325 -95394 -58143 63262 35266 48770 -44730 -71190 -119880 -6430 37304 
+-86254 -5270 -34133 35738 -153593 16888 -85062 109191 24459 20391 25732 -63661 47522 111658 -197323 -45774 101566 60216 42535 28874 -29515 -177626 42757 -39935 83748 -35462 107566 -22628 83235 -86044 -22018 74637 -63370 -30109 76967 -138709 -93823 25199 93081 -22890 18369 -58921 168981 186353 16120 35480 5475 -1395 99421 17500 -44597 170739 -135052 -50828 -224769 -116002 -5943 -20601 38980 -1097 10406 10008 -53869 118804 41700 29780 111535 -141432 -84735 185662 97944 -16289 235310 31366 52964 -204014 151746 -1204 -41661 110966 -232466 -27879 48770 -8017 85096 -241788 -65992 -158252 -2357 -11918 -47747 83766 1268 73678 -139341 -39251 -65782 -60819 -67360 -186861 4879 -142803 70314 28625 -47402 -23329 -83551 91448 90340 174111 -140245 41665 51505 -91347 -5627 -114289 -18198 -184067 138343 222313 160569 -17330 5481 249339 64964 -26459 -146814 -27651 -55675 -26338 -70407 61352 -56165 -62498 50063 -58644 -60948 48684 -18321 158612 33347 141876 200197 28407 1426 -96739 -9604 117440 -49022 -132244 -2146 -179953 59771 -43304 78571 -65361 28593 -78441 73113 10289 47129 21406 50613 -60794 -123902 -39348 -114965 48448 55282 126472 -216953 116741 86391 -98465 -123054 40375 -23172 94838 20348 -198393 18312 -141412 6515 109851 -131202 -18272 -21727 -80998 -46538 69890 194081 -6907 -103301 54183 142360 1146 -12258 -83461 52982 209474 201579 -111361 -93102 -75824 19876 121303 171902 -60959 101676 91754 44260 143317 45467 -36630 -100310 -70021 -6248 -54160 45938 197942 36594 -88941 -105841 -109323 -4745 80694 18070 -111361 -14476 91063 -51985 23171 159112 -57439 -39254 -101702 16658 79967 59450 -2496 -45273 -115013 86994 10763 
+-93054 -44004 -10870 203369 -131467 19059 86925 132698 -161878 48725 52311 -61227 50219 3766 -140071 4494 121695 61493 91433 34466 -66478 -162739 31633 -83535 81792 -446 84273 -724 99609 -81558 -107138 54821 -9235 -54424 60928 -65798 -92375 5306 54647 -20051 -89931 -98943 108636 149652 -65335 23390 -122552 -57773 99325 20323 -63219 52499 -73113 -55046 -256063 -84665 -128842 15022 42338 40112 21475 41384 -162529 143636 59473 -13307 172632 -226110 -83572 -8185 56974 35839 193662 -31601 55831 -120426 92070 80550 -27229 136424 -224452 -87953 145573 -36101 46645 -161619 -15302 -185265 2881 -5708 -27217 80818 217 61324 -90047 -30650 -127354 -90596 -126291 -71289 2169 -131442 74245 -24654 -69482 27186 -93537 118121 103535 100228 -127395 60778 133614 -119779 3141 -146203 -21092 -154377 7383 109592 95552 -63449 27945 270611 -62639 -33606 -125703 -62312 -69122 55156 -36062 72109 -13619 -73365 64839 -44160 -37731 28040 -27950 168513 35954 218735 185114 -23902 6321 -48132 119048 -83981 59669 -121385 -53992 -184403 35136 110060 84074 -2262 74928 -89737 63569 131697 22069 24213 147913 7693 -60528 -60260 -31330 5800 118419 131468 -199072 85746 109563 -20722 -108806 -603 -19133 79173 33472 -178294 27733 -113763 85716 43253 -135831 -4703 718 -36018 -29779 61869 125545 -8352 -67867 82099 125008 6005 35156 -108228 37799 230334 225564 -114617 -84862 52877 145342 124011 108293 -165508 84807 129669 -75916 150452 75677 -26351 -69346 -56630 50689 -40733 26654 224215 -137817 -50166 -19706 -53510 -11621 54451 36684 -105834 -14919 101825 -151151 15380 141597 -58308 28632 -125316 -41328 95597 72099 -4850 -123378 -25859 104756 1260 
+-92026 -119657 4591 159812 -58850 36170 104136 148006 -258746 18008 33244 -44957 53135 -100513 -68510 27871 105495 47528 85073 25414 -115929 -140253 54396 -80121 79902 20260 -26151 21906 160507 -10726 -172704 -8342 -6382 -76139 43667 72913 -76618 -6675 21200 -43377 -185229 -105484 20697 99337 -100443 685 -203692 -52795 60027 -23693 -31496 -44346 12299 -42633 -240563 -5073 -208376 6528 44456 29801 43929 70103 -166665 130384 73292 531 117814 -119440 -69145 -78545 40301 55831 44899 36909 75164 70329 87179 124958 18450 179324 -214952 -88222 178269 -37156 50447 31906 77495 -150841 18724 24496 28550 80894 3075 68104 -6646 -32585 -97935 -11024 -117610 54371 -1846 -68697 74920 -5370 -73543 71338 -102280 33669 -51713 5235 -97587 52830 -54602 -128877 -16368 -102771 -20293 -95873 -87201 -22690 -4612 -121444 9481 265376 -62376 23414 -99544 -60245 -109056 102012 49741 70824 28623 -118091 49642 -31112 30653 -16091 -16819 149903 37140 235704 159782 -119045 24283 5792 46867 -178939 178152 -100653 -144882 -165504 13551 124102 102671 -19272 109561 -71618 108017 197659 -28264 -20276 172573 -3582 -37551 8133 53306 49233 145836 112656 -181543 73631 26260 35172 -121747 -12283 -25629 49177 43211 -123616 -16116 -139772 168497 -131938 -137378 14937 12727 47723 2319 58563 -16875 -9947 -25797 93814 57967 5352 15946 -87906 104173 228684 248042 -113486 -80723 66737 238831 79827 61323 -143094 60471 106463 -172452 121626 72609 -19084 -30569 -58403 48078 -2157 -557 210372 -188811 -34856 25261 62743 -22234 64325 -36462 21619 -25022 107709 -202533 7735 119220 -59421 -9900 -115737 -33577 111607 52741 -36269 -99296 66640 43003 -7916 
+-90658 -158972 -9163 66528 9779 65527 -35110 148151 -187007 60030 -7444 -44768 56638 -173179 2124 69388 56697 11537 55195 43201 -149929 -132210 28715 -108892 83147 50536 -86753 -5427 156875 101299 -207963 -51615 1464 -96320 46227 168234 -66477 5985 -20431 -11253 -186239 -135298 -51947 43474 -103274 -1561 -95902 -58422 14855 -38146 17001 -62664 114319 -51393 -177602 36031 -177474 -16769 45972 -8757 58808 56096 -39200 37130 72756 38008 10612 48643 -75346 59950 15108 34183 -86749 152422 83607 107346 115281 125158 21894 178358 -198689 -80761 120224 -56207 47468 90335 76329 -151979 -7523 10070 50887 77122 3681 70648 69334 -21183 -63489 115118 -93804 102540 -8620 -49008 80980 74919 -55053 120571 -108563 -95831 -218316 -26496 -65537 86776 -191241 -108996 -10203 -126725 -21994 -50285 -14544 -49245 -70823 -191507 7393 284394 59400 20089 -76230 -81481 -141382 103143 90145 65833 67911 -120080 81782 -37425 130376 -41413 5953 87274 35592 186610 129846 -198083 48563 71214 -150426 -75637 186312 -55795 -213119 -167721 -5310 -65171 88798 -37118 92982 -89228 91207 137090 -68012 -50184 107584 -83143 -61039 109965 111097 -30956 133633 71220 -125724 16121 -122548 13578 -92093 -75716 -19733 -24443 72615 -70092 -16521 -107173 231092 -204817 -137272 31293 -35726 88226 -29085 31993 -71646 -17859 -15707 115801 26647 -7563 -52222 -163985 80096 206770 240390 -48246 -66087 148268 171267 10445 24339 -9648 25402 47944 -166441 28062 33643 -26124 10333 -72650 13535 54544 26481 175089 -109166 -38252 63331 182542 28549 105842 -87539 165107 -32236 105327 -75030 -7728 83558 -43405 -44727 -80931 33386 110828 10591 -30037 -104373 26316 -52303 -18300 
+-80459 -101850 -10738 -79316 -52041 59430 -163098 138111 23746 18344 -6586 -41695 56643 -173199 76683 83984 2518 -22537 47302 68332 -174007 -119465 2728 -83866 79348 78992 -66051 32841 154215 211126 -223884 -50632 -69529 -135013 99930 166385 -55866 -7257 -90104 5996 -52741 -152326 -76845 3613 -45004 19755 -2799 -62785 -60936 -41206 38261 64409 194990 -41212 -109218 9578 -72205 10789 49763 -42746 50913 46756 96448 3269 57070 74078 -22305 57943 -69685 216864 -9049 -14578 -161088 206264 66890 -29162 52633 97480 59560 152003 -165710 -33814 12855 -37329 -8425 -35836 14906 -119877 -53840 32860 -11105 73462 -12688 777 81850 -19686 -41012 188213 -71457 100489 -14983 -66372 74170 131177 -19968 158154 -113262 -158296 -230237 -9285 -15305 83161 -153538 -78528 -25541 -69145 -25289 -3803 117260 55831 -61443 -194531 6964 265436 148201 12224 -55650 -95484 -107677 39792 144263 59724 101608 -130227 58591 -29758 216377 -41418 -6239 50215 32795 106125 78241 -164506 72879 130344 -221417 136022 77359 -58933 -195567 -113074 -27430 -212467 90450 -32911 89536 -84702 99773 94876 -94293 -43424 19364 -194983 -27649 74133 139367 -11292 71458 38482 -88173 -27446 -176443 -76306 -172932 -48224 -22101 -106690 84970 -20294 -4414 -135368 180156 -88545 -143478 18372 -75455 135512 -9927 12722 7822 -4797 29677 109885 11979 32790 -99157 -152884 93588 160808 234239 -51920 -37457 183183 40435 22337 -22317 47965 -18410 -17948 -105930 -101406 -32175 -44583 47410 -89039 63300 117612 27697 90253 60570 -58603 121913 183851 67537 101034 -102913 239921 -38750 96132 -20572 16500 50935 -40153 -139787 -51896 66433 78901 -47409 -42496 -63536 -96965 -121175 -28340 
+-77843 -29001 -30734 -47749 -105715 64263 -106804 119261 112151 28716 18212 -53310 54718 -118828 122595 87897 -28828 -83176 -13786 44507 -194800 -98809 -46248 -119931 78266 95142 18277 4091 114981 258559 -201438 40491 -26241 -148167 89868 6055 -49481 -7733 -91381 -1884 14788 -113518 -60899 2372 33736 35401 53943 -1595 -156579 -48897 95490 201149 225395 -56696 -47052 -17886 35711 -61503 50668 -42172 39763 28776 143140 33538 36626 38694 82254 -103572 -79907 176018 10906 -76025 -121835 141442 59903 -144549 81803 20616 117352 113994 -125318 -11340 -78412 -23711 29950 -201522 -67903 -129827 -27763 19006 -39998 73934 -3490 -50708 20100 -13846 -1340 150853 -47763 1804 -11427 -122435 81923 106998 23097 183623 -116035 -121725 -70221 55422 -23102 82594 24394 -31216 -28024 -127449 -25028 15985 134474 180920 -79360 -206262 14652 240043 102543 -12828 -32820 -118807 -68743 -63606 121977 51261 127589 -161070 59306 -20897 243591 -12444 5160 46193 30942 11699 -23980 -112267 87969 175624 -85046 182787 -33126 -46520 -150399 -134496 -40108 -187177 91296 -84608 60468 -72842 112474 18562 -92866 -83558 -4448 -247554 -47028 -12241 133684 -16043 81 38023 -32937 -97987 -161048 -121502 -149961 -58699 -22257 -189574 93981 -25243 13129 -135552 99727 64387 -141632 10412 -123017 37961 -7572 -11914 171554 -24578 70025 112748 29453 34498 -154100 -198065 112482 117197 212307 -21467 7167 114109 -37135 28301 -49916 -8964 -58335 -49515 -8205 -164542 -91877 -43082 75061 -87701 -4814 177102 24221 17140 134162 -104172 146578 88168 71703 132283 -38088 220846 -44542 82901 -71306 128 25305 -40538 -95289 -54189 28228 51980 -18214 -29970 -70960 -133817 -57285 -31028 
+-76648 -17096 -59160 47835 -180286 65161 75569 93944 53463 39994 43920 -61731 52807 -17788 72502 69134 -15542 -135029 8752 22262 -198320 -55412 -50029 -121061 80386 97732 124154 12063 66795 245089 -140464 126395 -43069 -176898 62399 -135549 -47360 13274 -108414 -37002 -22892 -66079 8445 40858 23745 24053 -81348 -17201 -240812 -52908 106038 169731 197526 -85996 12152 -78936 94800 -26154 62465 -2523 22551 -8817 55462 115065 21495 -2669 155231 -228863 -72496 15742 27149 -120552 -4625 23527 50886 -128949 49204 -46628 88915 34980 -97316 15732 -73449 -4967 44394 -226508 -110911 -117443 -29362 48131 -37187 72379 -7197 -99108 -68937 -18593 35044 32216 -24313 -130123 -9802 -148295 72507 35257 64258 203197 -116543 -5333 95959 143292 67771 74296 136307 31889 -23810 -138582 -35063 17822 24144 223372 -38233 -186694 -8478 202421 -59224 -37915 -16433 -64255 -45485 -114571 61860 55301 143717 -148658 49150 -30277 160598 41584 -4331 26909 31463 -41122 -43261 -53185 87247 211145 93108 -26547 -81127 -57808 -81948 -97532 -31039 -42216 95581 -93206 37371 -82443 95187 -14111 -55960 -96742 51829 -188894 -39995 -84355 79701 -25872 -88581 62074 11412 -155154 -50363 -79881 -180880 -36401 -19901 -216672 93191 18587 25238 -128589 -11623 91285 -153157 -8352 -109768 -58538 10868 -25054 198977 -10054 94319 108303 68949 41426 -124340 -178068 73524 52037 187831 671 32992 148225 -7588 16218 -100709 -142254 -73465 -47876 100735 -232058 -121507 -74437 88473 -71321 6407 222567 15423 -38471 27948 -133803 144217 -8297 106422 122540 33590 64725 -42037 69926 -136583 -10974 -20258 -39229 -21078 -81163 -36378 -3334 -71015 -16003 -55719 -3564 43414 -54358 
+-88134 -101825 -39438 163054 -125459 53262 124616 86274 -169334 -2882 13032 -64226 48685 88726 89569 42141 -5224 -169063 13230 22419 -204725 -21539 -34024 -100293 82143 91772 54779 30311 7594 148985 -55317 93137 -55662 -183382 63626 -122696 -54698 -59019 -113809 -34611 -143952 -68465 104609 103347 -42442 1175 -199606 6946 -210054 -38372 149714 11045 150528 -73644 40919 -149469 44490 -30976 63214 28013 10926 29987 -64851 146802 14837 -8588 131539 -144833 -80460 -89930 74840 -135804 146888 -31583 48685 59057 40307 -97800 96990 -27522 -50888 23406 6137 17987 103032 -82091 -133850 -105112 13192 41130 -30327 71487 -8237 -132227 -128788 -7193 57572 -73755 -7258 -232706 8580 -136833 50689 -26578 91909 205597 -115408 102085 99313 200444 80202 81194 -56070 94280 5001 -94448 -38118 -2158 -67558 145448 21110 -269229 10219 149714 -82658 -55503 4966 -90412 -92317 -143543 -6514 58477 148299 -176382 77563 -55322 78259 60008 -20115 43728 34141 -44482 -65520 24543 71605 227810 91473 -193444 6459 -96066 -3672 -72273 -35582 130729 104434 -68540 -24489 -66985 41348 16314 -18763 -127334 141411 -58693 10807 -26323 17302 -57442 -142294 95821 41440 -141135 73078 -2528 -130582 -13317 -22437 -197703 95192 -15801 -3054 -157152 -74243 -48697 -133882 -4824 -62660 -63188 -5918 -26932 56332 -18894 73777 114745 116178 47611 -53547 -186992 68817 10342 142931 21024 54351 53987 129494 -1172 -108476 -176881 -112758 -15473 173492 -188613 -106211 -63820 85951 -54851 32626 245991 49656 -89263 -141825 -161744 154121 -116789 56023 114350 31946 -64107 -41572 62475 -183994 -3425 -36702 -44229 20267 -115050 -46005 -55009 -43678 -64538 -85451 87254 112253 -57698 
+-74664 -148354 -30080 170777 -22020 38531 -23615 44433 -258665 21460 9217 -47093 47533 171689 41149 -1299 70646 -212485 -10562 25955 -179670 -8917 -21126 -66898 77808 94198 -7585 34331 -29733 34237 25765 13950 -15458 -193977 67875 29788 -64355 -39688 -85708 -46649 -204301 -47916 158213 172546 -114500 -1902 -142644 64016 -250641 -30217 115549 -74957 51336 -45383 53462 -84661 -91510 -2039 74523 32857 18585 82780 -181388 114517 22514 2068 44069 24565 -87638 54802 97203 -120689 234906 34352 72374 127305 29124 -97664 94483 -117628 -16587 57405 119050 17812 79106 64569 -43070 -86591 27745 60224 15251 72556 -11715 -179695 -123088 -5375 44592 -83827 -28386 -264418 35978 -83251 54550 -13661 99376 204281 -111943 115708 -59625 179596 86189 73768 -219649 137549 -20044 -140213 -36680 -55428 -14002 -19512 96322 -210611 5307 88918 28254 -59266 12652 -121327 -145498 -67368 -77435 46888 142029 -175948 72477 -36849 -1133 39251 -20086 34023 36460 19495 -67464 15402 46853 203486 -80904 -133730 110429 -101861 41298 -63513 -17291 88821 104232 -87017 -61442 -74937 64002 135340 26410 -141761 174294 10806 -6804 116728 -67837 -12180 -170101 128387 72946 -103601 143796 59311 -104913 -16775 -25769 -158909 85842 -82208 -21286 -134639 -102617 -177584 -131715 24006 -19903 8003 -5339 -11008 -57558 -8809 45989 105329 126546 43835 17278 -187700 106143 -28813 93140 -282 66025 -45565 224603 53879 -119651 -88452 -109696 75002 85807 -79113 -64413 -58542 68322 -59566 46392 248148 1533 -98638 -208091 -153059 69297 -90891 108084 53730 -30245 -113153 -48012 62526 -132546 15375 -73916 -95592 -41450 -127969 8765 -124985 -37980 -39771 -108855 25474 93025 -40569 
+-85955 -121185 -14642 47289 7942 28677 -173285 20298 -167425 33054 -10363 -41539 48660 167933 -33008 -57934 88172 -227287 9835 35256 -174340 50901 5947 -130283 78710 65061 -82753 67490 -74512 -57877 88652 -31221 -42442 -200467 68379 171135 -65472 -42587 -26190 -27261 -125303 -28748 182636 188603 -106184 19034 -14931 60216 -193577 -20799 109270 -21342 -31031 -43133 34217 -41323 -187263 -35200 76995 3489 28326 32911 -154166 36156 40147 57830 -13454 76366 -97461 199084 128893 -72773 211223 156247 69490 -22885 35088 -44908 84073 -160878 19943 -5981 177578 9094 52368 59101 34780 -94864 20975 50859 42229 76455 -7976 -179484 -57004 9172 45084 10233 -73040 -189488 -4255 -49378 68103 69060 85538 186282 -106706 14391 -223029 115567 48655 68876 -138774 183045 825 -115648 -38602 -100594 100029 -46644 185069 -177536 13616 64706 134205 -93822 19294 -96294 -131126 31212 -98463 52581 124810 -174237 66196 -25467 -52801 17031 -14685 104293 36910 119238 -34543 -24108 21967 173640 -219069 77184 150188 -117255 63737 -25836 6487 -39813 113976 -59831 -90114 -61908 29685 161991 56486 -140799 115757 -6334 -21832 108302 -147554 -35726 -154664 129973 60747 -61962 68445 -2185 -109193 11006 -22624 -81348 77753 -118278 7087 -132566 -13012 -173238 -134497 32739 -19171 96759 30846 9388 -18664 -11847 -3724 90400 92888 66161 37020 -194092 81361 -36930 44512 -28656 88856 -113543 198478 109436 -123300 34643 -129070 106817 5424 72083 -1283 -62949 37649 -72299 59247 218503 50578 -73316 -100786 -142273 29977 22072 105424 41667 -111413 1768 -52071 67869 -21762 -13151 -98713 -71330 -98287 -97213 74498 -152321 -7282 -22176 -68076 -88281 20734 -74998 
+-98366 -33936 -31784 -45271 -32465 15410 -102690 -10117 1939 22086 -15787 -39217 50752 113102 -90115 -95599 148292 -227008 78480 61878 -128837 71537 49438 -73465 84809 37663 -62443 58207 -117111 -103280 116597 -65442 -73255 -217529 94972 216400 -93325 -16255 4624 -44414 -10525 -73845 151745 178221 -34075 35322 50115 50112 -124466 21780 108150 106332 -116832 -47496 -24260 36845 -209450 35074 79328 -35662 40991 9687 -23271 15018 59328 48650 47444 -60916 -113173 178283 126981 -13374 113007 210457 82279 -153255 79200 23077 43272 -166270 50236 -50248 144658 -11370 39451 -119452 87433 -81191 -9750 1306 23493 77694 -5889 -164433 51388 12700 6309 134109 -82847 -79899 -2342 -37012 63110 134306 54688 154096 -99670 -108755 -224396 12188 63774 68751 27533 204305 -27482 -100262 -37590 -151916 131804 15778 253015 -167659 26202 -5607 103947 -48610 10602 -166039 -92111 87641 -75205 60851 97914 -168157 49884 -47009 -10290 -33793 7311 145658 34921 199895 -40976 -104934 5736 126088 -154243 164416 184679 -131299 12877 -36386 29127 -221861 113258 14768 -60831 -68642 32291 175020 50196 -169505 29377 -45052 -23699 14649 -221145 -42916 -91059 98095 60688 20398 -30248 -101404 -83746 -4417 -26125 -6254 68278 -185873 30932 -145427 45373 -3089 -127132 9955 -26066 138684 8049 32185 136018 -23360 -45496 115049 42952 75085 -1610 -209339 105173 -19550 2810 -49801 92443 -183037 89668 122569 -106390 22159 -144111 135493 -126598 146486 63312 -32417 -1013 -89194 50031 172170 -20749 -14905 54017 -97348 -18754 137442 123985 78468 -66494 138887 -58196 80676 -28777 5054 -96081 -53214 -112920 -63972 35133 -237910 29297 -48532 -57115 -107071 -91336 -57266 
+-68264 -16786 11279 -49934 -131057 9144 65109 139 117316 20149 17974 -42520 55391 8031 -163707 -154693 101253 -207303 84707 43890 -102179 110906 49781 -81262 79945 29879 38973 39132 -121020 -58314 123258 5187 -24943 -216352 75930 56537 -97216 -50363 59054 -27872 16341 -95412 73961 134748 33350 24689 -36844 58463 -44934 27474 86112 206248 -182744 -39826 -68438 27666 -141070 -20487 93172 -40689 60287 8916 107019 36074 71912 17783 128898 -227917 -115739 6852 91373 30663 -37282 141021 71069 -155965 81512 92106 -6840 -171953 71931 -79074 53134 -36761 29620 -245080 80556 -65155 -35761 19689 -36664 80192 -14552 -156555 88641 20826 -44649 189932 -103081 32980 30435 -94980 49615 117693 11568 111554 -90659 -167325 -60450 -26810 57108 47650 148054 199823 -9227 -100849 -41643 -184274 32670 180668 277800 -100022 -3532 -9354 -30302 -27670 10573 -155395 -46289 125860 2124 65883 63504 -170204 68547 -51447 82446 -51675 14141 145544 32210 234817 40019 -181357 2747 61703 32531 44328 54781 -94149 -55099 -47063 51792 -191681 117813 -8638 -9465 -73695 41851 137225 22133 -193279 -12596 -133774 3494 -78379 -247900 12155 -19685 68224 14371 53385 -178356 -116590 -79860 7903 -25775 55324 52592 -181958 -3238 -145423 112791 111355 -115224 -1039 -64248 83309 8930 52239 205055 -9454 -94101 89432 24675 82895 -108702 -165915 56225 -5928 -49276 -59520 92104 -180577 -33225 125631 -73148 -75417 -138790 105377 -194627 193420 89027 -17865 -42053 -89531 24407 110292 -788 69839 142115 -63884 -66106 199401 112163 72767 1147 273033 -51440 93320 -91859 -28029 -103293 -86093 -77045 -50510 -27602 -264175 32992 -37551 -16662 -44106 -104867 -60203 
+-65387 -98744 -12145 58501 -149055 -23806 132020 -14680 55670 18848 44650 -54828 55866 -98140 -221257 -190623 68225 -184452 45926 29293 -66013 137744 43392 -87485 77679 -3826 86513 31905 -110674 43237 79062 85772 -21545 -207578 96099 -92546 -94859 -35766 65236 -36204 -78653 -160649 -15764 68433 -13057 1667 -155070 66851 24319 58522 30905 145126 -154605 -64598 -158460 -25059 2791 -15369 97350 -16576 58389 21752 145288 119053 72619 -35638 154007 -189426 -125730 -73528 59313 52208 -135390 24553 60769 18843 102436 133356 -30258 -146742 76294 -91001 -43811 -43887 18081 -183021 -43932 -63597 -35878 31600 -65138 82348 -17495 -135746 40681 42001 -79675 133967 -115001 119937 -2585 -131725 32477 28579 -29830 77462 -80046 -112964 101902 -25149 13347 52829 -11907 184264 -18496 -96841 -40956 -193427 -72911 232690 261871 -146067 -1812 -61309 -77920 -11024 -7037 -138353 -82067 67434 68477 56412 23273 -149449 53854 -58470 181886 -25369 15729 165012 30842 207331 88837 -179245 15658 -1773 124898 -159877 -81695 -91148 -129546 18622 72510 -58947 112693 -48432 50647 -50627 50460 20850 -24284 -195729 47098 -217826 -58318 -12603 -255193 -19459 59036 39283 8467 95453 -173407 -26533 -84175 -11771 -26830 92315 40411 -162408 -2684 -114248 203475 45271 -113720 -26224 -92742 1011 47349 61523 121019 -8662 -88134 97551 -98 81670 -130535 -182626 66698 41417 -77540 -97778 73404 -181415 -16621 156483 -37078 -178184 -103118 43341 -146795 135156 68752 -14452 -79275 -72602 46042 50710 -4827 144427 33625 -41371 -86095 132571 138579 126664 35025 216957 -58078 104015 -183353 3273 -114128 -110703 77914 -61887 -55352 -277574 65650 -66506 -41224 33819 -20128 -84312 
+-75498 -153937 -39623 149524 -148195 -9049 -9045 -39925 -143362 -15035 10892 -63436 56915 -172201 -301744 -221701 4149 -137959 31132 30148 -15114 138560 783 -110539 79764 -40055 64711 31553 -103717 152012 15244 95954 -26941 -202274 72926 -149153 -96543 -68314 44881 -5511 -187131 -145309 -77892 12136 -47314 -2210 -170490 38687 66999 89944 -28378 2360 -113714 -47201 -207610 -96446 89377 -21721 99467 23164 42219 44010 59207 160455 58253 -5301 46269 204 -124286 44495 -10204 32070 -154072 -35276 76912 122415 108957 117740 -25505 -88770 75791 -83987 -75294 -53475 7627 5650 -74483 -49221 -6761 44782 -14454 83199 -11007 -84128 -36524 13717 -110953 10011 -68746 105052 -12490 -150932 48819 -26638 -62017 27753 -67785 12842 95029 39951 -25393 65541 -208699 148352 -11045 -33603 -37155 -188432 -15694 156971 207540 -26757 -13524 -58199 -5087 17370 -24791 -131671 -133945 -24551 129704 76059 -19351 -117991 59192 -43094 240371 389 11496 127319 31987 132259 143067 -111479 37220 -62590 -3524 -176332 -106108 -51306 -177437 29776 75154 104235 111261 -45195 85384 -83347 66243 -20412 -61519 -206007 137298 -221033 -64040 71421 -214739 -5311 130536 26660 -31661 91392 -109891 52204 -72487 -14102 -29873 80886 1235 -107781 -24620 -117014 189616 -121462 -96849 35826 -135095 -64154 32451 63533 -37127 -6884 -74029 105879 33235 62244 -105074 -140372 44703 95101 -83941 -127023 69668 -154372 104938 109627 13371 -137718 -72872 -22474 -47365 -4805 20279 -53001 -106942 -57372 31195 -3697 -7673 205847 -130329 -33942 -130030 18927 152584 150226 11506 59434 -43001 107584 -172781 -21143 -79263 -92968 9730 -99338 14274 -266082 71485 -40563 -116582 60813 63946 -63922 
+-85293 -127503 -61576 179115 -77953 -15860 -155765 -11355 -279659 32419 -9129 -61137 54322 -183660 -283485 -223603 -42193 -93562 13215 53713 13515 137581 -12483 -95490 77262 -50281 -29891 -2044 -65826 236202 -58161 24489 -17201 -181761 72572 -32903 -84453 -92456 34286 -29824 -187626 -135549 -72907 793 -123020 18299 -82511 28753 128236 109751 -53960 -109998 -20848 -63064 -253587 -99561 81682 867 104271 41140 17744 79587 -105607 105968 38732 38295 -10621 83004 -130867 194284 5702 -16562 -51048 30743 68080 -3463 119144 89463 -78134 -17565 53001 -61751 -31841 -39550 55981 85298 -129990 -30521 18436 35500 39498 82636 -1122 -48325 -111133 46005 -120129 -84048 -41153 5468 6641 -109934 27769 -5665 -75165 -26538 -54249 110264 -70612 139905 -44717 63503 -134819 91936 -11275 -62718 -41907 -152486 94685 12868 150547 -1672 9487 -92408 121216 16126 -50652 -97736 -147788 -106284 106993 60047 -61694 -124746 54911 -67847 220901 56077 -59091 90775 34810 32801 196879 -57351 64812 -101822 -179834 22215 -12717 -39720 -205404 41771 83489 97443 112620 -56290 108827 -74265 115311 829 -86220 -223291 176299 -127650 -39111 118882 -132048 -545 148305 63910 -88307 64152 16568 27099 -71468 -59198 -31530 19583 -13334 -93052 4632 -133789 107155 -192296 -82812 54155 -107183 -62854 36714 50734 -29002 5083 -77247 12558 101278 88087 -95168 -134525 48215 153706 -94256 -122038 43603 -114302 206622 123218 43713 -37834 -57549 -69004 42705 -79616 -38926 -50279 -120811 -57745 20429 -38052 2243 226210 -202474 -55179 -99844 -86567 139768 160556 -62372 -93285 -43550 103539 -92656 12843 -80844 -84429 -79877 -132347 64513 -282735 62631 -46679 -114137 -85960 112460 -59248 
+-92637 -51041 -28253 38804 28708 -37521 -119141 15422 -199887 -4541 -12705 -57404 50695 -130834 -327606 -241155 -44805 -46662 -8496 43297 29222 122833 -68211 -75087 81579 -73538 -93089 14412 -19913 261807 -147790 -20281 -64940 -172149 49391 116744 -76053 -72982 -39062 -66462 -64580 -86768 -42233 21496 -102448 35220 27571 -25463 116931 131980 -49798 -5378 61083 -64934 -243859 -85495 -29261 17129 118876 11874 16403 38334 -176607 36856 22478 48316 12600 -28827 -120043 217335 -14614 -73558 104883 154179 80411 -125268 103615 22405 -14284 52215 46217 -17383 79349 -19338 54243 -10374 -113188 -27803 28587 13602 46062 82294 -1310 7857 -124342 60116 -98825 -73830 -39797 -125466 18871 -74482 44775 63985 -67078 -59618 -38820 109730 -229709 201973 -116382 81291 49655 36452 -12259 -64200 -44435 -102488 136943 -58492 63144 9486 17924 -115658 129971 -4313 -72605 -93867 -107019 -126727 94923 64635 -100555 -100121 54478 -66929 120155 59192 -6768 61003 36798 -32407 212529 7676 83626 -124217 -202807 194980 162780 -36759 -140002 20552 78409 -55339 97710 -70529 99398 -80467 118987 104976 -85363 -212887 121838 -25318 -89486 60597 -54501 -4503 119307 99456 -131347 -14737 115648 -26420 -84857 -54110 -25472 -59087 -28306 -23653 38371 -121222 33778 -102665 -72861 19146 -66211 54411 42011 31150 64803 10419 -5367 59360 132907 100184 6717 -141511 27877 202171 -101520 -95185 11376 8166 229222 50949 85723 63319 -25932 -48176 139159 -176737 -106226 -63589 -118904 -73292 60525 -54537 18837 216540 -118152 -96266 -43863 -93154 140980 117301 -93807 -121325 -26947 92774 -25021 27845 -39411 -85227 -130710 -123031 43779 -250291 30950 -29948 -85177 -89497 61684 -53218 
+-97258 493 -12004 -55352 -23029 -36819 53709 38020 -25561 10446 9491 -41406 48304 -31051 -227598 -199142 -12643 6397 -20747 46566 76713 125829 -57623 -96994 78444 -81683 -81095 24840 36130 209356 -195925 -49727 -57031 -147764 60281 213900 -54976 -94226 -71502 -61161 26248 -73828 50586 70460 -16475 25283 18969 -26877 68823 128248 -34797 144314 169563 -48223 -201649 -10636 -147604 -45382 121864 -31460 16461 51048 -144486 6057 15959 25336 111078 -200047 -122723 -6322 4229 -121497 217718 214865 83164 -150846 99091 -73980 -2459 120724 1571 -13635 163442 8768 68817 -186550 -14289 -35772 18095 6387 -2797 81168 5423 47720 -88467 44586 -46537 32536 -8143 -229929 -5764 -44513 47521 137898 -37667 -82601 -22967 2403 -218490 196142 -119726 78570 128733 -23497 -11270 -79146 -41047 -51570 30410 23677 -11944 72529 12338 -80641 -12644 15220 -96247 -97003 -64361 -103168 31000 64851 -133441 -74965 45540 -68203 18666 41541 15970 38886 36666 -52993 206356 5448 89781 -115440 -37456 97924 203675 -91001 -61764 -747 58878 -220769 86286 -65359 69220 -86649 96133 186327 -60081 -240623 32212 6737 -133408 -58293 40803 25411 62576 132398 -159853 -58794 104445 -111943 -16173 3188 -23571 -128258 -41667 16605 1441 -144512 -52778 80901 -66401 23779 -39012 135152 63793 8450 182169 -3143 56018 7675 141207 98555 37235 -112623 50532 219866 -65523 -113265 -2716 25977 115016 40476 147925 -13274 10706 -20363 160846 -210602 -123279 -71569 -101567 -89456 -631 -41413 27906 168670 55794 -127878 -37782 4845 132151 72902 -68122 -15417 -24712 79848 -65963 22813 -48313 -65560 -48296 -83770 -33613 -255546 11986 -24641 -28839 -56936 -27020 -54085 
+-94683 -68071 26793 -50744 -156576 -2759 130582 81201 76098 14769 31216 -35420 45939 79047 -194552 -159284 36363 41586 32064 20754 89153 105705 -45807 -96746 72977 -84111 20043 27829 130643 104996 -234765 -23372 -23013 -135953 89154 101846 -47395 -73942 -121395 -27953 -13401 -54291 119489 134715 39510 2183 -104515 7523 26784 143914 -23719 204666 209487 -74954 -167102 28891 -216017 -8519 120213 -46990 29579 16314 -5292 39250 22319 2051 155391 -214678 -121079 -91325 50806 -139775 230036 151466 54468 -8669 92080 -101619 20839 162419 -36018 46500 159051 21873 30720 -251485 54997 -20279 -16872 15014 -42894 79146 6859 50712 4324 47223 -67051 150729 -41752 -266175 -7457 -59695 44979 119716 716 -96296 -5887 -119621 -51022 138867 -158614 78361 -21015 -72257 -27356 -38648 -37934 -5885 -74043 133231 -81148 51357 40410 -86409 -87464 -45800 -121499 -81054 -82033 14751 -35836 54516 -158507 -66512 41118 -52126 -65744 -6839 7951 20408 34255 4724 177131 -38573 80437 -84684 110585 -142015 152247 -95361 -5112 59280 42678 -191823 91398 -63512 39069 -81376 91616 161600 -16346 -248254 -7940 -23386 -96642 -47188 106793 56088 -19465 128036 -201148 -122978 15484 -76226 7758 -14254 -25879 -185419 -61957 10743 -17674 -160275 -57778 115662 -47406 18231 793 143117 57652 -14520 144458 41 106200 6898 77915 95307 19979 -82499 36147 229136 -49466 -129637 -43068 127555 -7983 -32428 174534 -136760 41359 48305 74793 -164706 -99716 -28345 -70757 -89148 5226 -2901 9189 100103 138400 -155370 54153 102365 136654 57644 10618 130049 -25713 68925 -165749 20892 -31784 -56383 38725 -55039 -75920 -206075 -9095 -30865 -60384 50199 -102669 -38531 
+-88959 -144394 9088 30897 -152057 -6808 5099 94852 71122 -1196 14916 -47006 48152 161519 -131583 -126873 89715 57528 27245 7548 88492 62923 3025 -71184 75130 -75649 127306 6368 110620 -16218 -222397 60435 -72242 -102736 80717 -50772 -56354 -101012 -115855 -52624 -148076 -18179 175695 180734 9553 -2534 -196595 -40587 -97283 133677 7190 125016 212640 -48169 -106198 9494 -169361 -25808 124146 -30470 52076 10431 118289 116241 38871 -8788 100483 -43051 -106818 34056 81365 -123123 138415 23146 65114 97146 72275 -89572 53182 179424 -66375 23583 95666 21562 29031 -123414 83819 -63206 -37509 -6458 -45185 76300 28445 87129 58565 60834 -10355 188300 -56817 -217957 2714 -119426 47128 46612 46186 -85840 11982 -161165 104330 43684 -144092 85747 -197202 -110095 -9387 -73339 -31870 9430 -18183 242975 -72960 117644 30990 -38336 -22292 -68887 -141969 -79332 -111394 73651 -103515 53415 -173076 -45093 55401 -70036 -53196 -56606 -13664 43342 31640 89946 84037 -104836 58377 -41853 65647 -218326 24789 -114704 61236 31744 14757 -37899 89339 -19909 -15910 -74146 85118 114869 23496 -250884 37394 -121417 -148240 51308 145867 83502 -98642 106568 -231636 -133768 -77824 -12536 33214 -21931 -26105 -228720 -72984 -2486 -642 -104687 -100852 -56552 -48195 2972 -7782 87351 81314 -26238 26500 -6871 97099 25387 39755 101496 -56800 -60135 58338 216533 2872 -60486 -69766 154268 -23176 -39807 199556 -181656 70170 104342 -38837 -84161 -45193 -48141 -32528 -70688 34435 52273 12967 11674 57559 -159692 91598 166103 112693 50076 45978 222551 -29631 61622 -186631 33336 -1881 -78296 23365 -53354 -420 -162204 -71646 -26040 -71911 94629 -80409 -9627 
+-92370 -145943 -6844 152064 -124076 28669 -143733 123864 -133983 10880 -9014 -57909 54071 181959 -72676 -77475 140727 86474 59284 41021 102607 62413 29594 -81828 72795 -60272 83749 -14156 144711 -81706 -182013 98561 -17169 -69446 65171 -115832 -54770 -113841 -93920 3440 -197253 -30947 183462 187655 -50076 17623 -147002 -358 -179105 145350 51304 -52296 194529 -33322 -3435 -28391 -48288 -7977 126822 12557 63235 43561 140926 155133 59756 42520 1956 77170 -94067 196994 129471 -74507 8271 -28456 42605 61507 35423 -27120 100501 177174 -110730 -5191 -8959 5271 24011 57106 20275 -68237 -28972 8243 1471 74260 22195 73612 71165 58074 2618 115057 -103877 -104062 3086 -138806 59807 -13819 80602 -77052 30139 -104161 89270 -38820 -191345 81569 -171579 -129675 -4440 -46051 -36790 20065 100394 180525 -76809 108975 13816 9618 110153 -57492 -166479 -60819 -129965 113682 -87630 53402 -177399 -28800 23235 -68722 24179 -46203 -10082 26380 30900 185011 65299 -143179 32311 26518 -120575 -64639 -109127 -148845 64413 8642 -4340 117108 97446 -13868 -40615 -82082 61595 14444 49924 -236471 131218 -197754 -119309 111157 131728 82907 -150981 70759 -220986 -147672 -178661 51821 35921 6010 -25821 -189723 -75458 -49835 -25487 -125934 -15044 -198480 -35522 29087 -49710 -58410 73089 -27754 -55606 -10453 84600 12400 12968 96690 -137551 -51765 33170 184951 62436 -11308 -71685 137935 54220 29516 213564 -83705 101330 125298 -139137 2960 27170 -32539 8635 -55599 56786 113771 18061 -61551 -118760 -145311 106263 168139 150214 89819 -18965 231445 -15080 63305 -135366 41007 57055 -81215 -79760 -78409 60197 -88672 -34844 -15733 -114443 -47603 20309 -15773 
+-74175 -73714 -15177 151305 8087 14638 -125953 139460 -252604 -6879 -2692 -56920 57217 132563 4262 -17690 116300 53524 100470 46845 86614 8871 70777 -123636 70766 -40197 -42307 -19244 151767 -88064 -112910 77679 -52339 -48625 68565 -84380 -61288 -106563 -40192 -1814 -126698 -54575 125411 170803 -121813 35054 -6166 -34130 -185181 128554 94181 -84519 112114 -59881 31953 -102526 43683 -5012 134871 33309 62414 66162 37635 99575 72219 59131 -19101 766 -85319 223066 151023 -16757 -136373 36784 61210 -119767 32684 37315 126091 147128 -164019 -16950 -72752 -17636 18706 70126 -33691 -78074 -4268 -17901 43067 73105 25746 72460 -4185 86709 8253 -10992 -117325 18608 1297 -143446 68627 -9116 98231 -49350 48571 28163 -80351 -32507 -174727 75622 41504 -119961 -10730 -82130 -23543 -10045 137232 7172 -44187 104465 6019 30758 154644 -18538 -177653 -69826 -108548 91977 -42937 45537 -170131 -10746 80338 -38307 110115 -24603 -42084 95668 32606 235560 15196 -171986 10852 82098 -226771 146368 -35421 -137193 10913 40601 -30202 91326 89251 -13151 -70850 -90987 13901 -11441 51238 -249260 176544 -227217 -139116 71035 105718 94731 -168672 32754 -216201 -95854 -166769 36497 74012 -8994 -30414 -147839 -84873 -111544 9749 -104960 101810 -189983 -26689 32131 -93330 -63647 78191 -13925 155 -79 32667 24934 17283 88337 -124829 -35265 68760 121271 71003 -18185 -104606 156045 178857 68065 184972 19585 133285 103987 -157580 148795 60937 -8696 45835 -56753 37490 175326 42310 -91051 -205546 -118287 125324 81332 117183 104013 -99757 95420 -10164 71297 -688 13312 68021 -95128 -103799 -116650 52926 -71866 -55162 -48815 -94923 -118326 92875 -23143 
+-75652 -11264 -17697 46028 5018 45190 30905 150932 -189113 23265 -5813 -55946 58296 24510 95311 33345 64581 26842 60962 40693 57440 -27817 52908 -110683 73619 -14052 -91464 -9253 156975 -14761 -33618 -33585 -49204 -24274 64463 64346 -72767 -99743 5667 -3513 -14911 -100648 42021 127617 -97021 25933 40018 -2959 -256044 117595 131629 15058 22924 -71210 60634 -108354 87558 -10898 130640 22836 49385 38324 -105673 24576 70740 43847 88423 -173992 -75373 24723 107146 36037 -163931 151565 61242 -190441 71575 94042 90511 66844 -184060 -60409 -58246 -32792 68795 -85110 -96627 -65456 12322 20787 16232 70920 36924 31889 -76990 67617 16869 -90412 -121092 99030 -33929 -86039 73240 59418 94311 -14829 67340 118805 -231721 29628 -147219 75298 58914 -97201 -4147 -8595 -21097 -44207 24549 -61301 17923 63462 5182 82911 31326 -34344 -189621 -73522 -45385 8366 25388 48589 -151840 -7524 58130 -64320 222931 14796 -27145 85222 35380 221415 -36305 -119234 1904 144456 -109322 145651 78425 -120394 -67271 7863 -34257 -54860 87393 -44795 -38042 -71904 19530 -8675 26269 -232512 130277 -164437 -146181 -66059 42810 98860 -145785 36764 -190598 -36032 -92723 -72251 42913 -35215 -27004 -72369 -73233 -181113 -71 -133625 164663 -11691 -22095 13080 -150188 -11144 80157 8092 151870 -6034 10470 18929 73143 107725 -66878 -6583 55834 81589 132649 26269 -85587 58847 233195 111090 199893 47096 147542 49111 -141463 138303 86952 -45367 73831 -70872 49239 223320 16538 -98466 -117308 -83415 132096 -67621 121531 126067 -82261 -56157 -3080 84544 -26026 23504 109388 -115424 -90217 -124743 -28602 -15157 -23703 -70702 -63240 -52732 113087 3607 
+-100827 -20419 -14565 -77680 -80049 55339 124210 141672 -31502 36049 19958 -45467 54882 -86777 101513 66887 6699 906 54538 54343 33275 -36331 25115 -92692 67701 19736 -58714 -21845 113536 91943 32691 -63010 -42262 -2388 71228 156958 -80759 -78553 53740 -31120 22322 -125999 -40419 60719 -17815 2733 -44154 7827 -214004 80413 112759 159380 -66048 -55639 60716 -76812 20736 -6819 135578 -19106 26212 19538 -194740 -622 59620 16642 163446 -218695 -74206 -65717 59203 50753 -76488 209889 62740 -73733 96327 131858 82269 14122 -202311 -95967 38571 -45224 44652 -247799 -150648 -75130 29644 -11725 -6981 75703 37082 1161 -130434 84807 44144 -60432 -74967 100140 -29274 -40210 62257 135405 71273 35386 86060 91852 -213253 105196 -142515 66360 -45363 -52753 -21744 -64345 -17245 -87258 -69637 -5860 111112 30463 725 157891 -74078 -21599 -187840 -38974 -46394 -84832 85564 57103 -124146 6400 95166 -55712 235990 54594 -16343 135318 37020 153282 -51429 -53088 8052 191388 75120 -66766 129957 -85066 -144172 -37834 -36228 -189824 81593 -56227 -14647 -67073 -4737 62819 -21333 -227767 36631 -55781 -109551 -50382 -53426 73708 -75022 57350 -160160 16359 49293 -122623 110024 -34844 -33365 18590 -62347 -232196 11478 -117506 205101 109985 -12884 -996 -99624 78166 69454 35375 193306 -13411 -59585 28043 108384 119290 10426 27204 60830 24787 155879 16388 -105115 -23739 160789 116389 167661 -66701 137570 -32613 -36685 132405 53602 -58831 87485 -88541 12737 246760 2115 -66165 51883 -49387 109174 -64491 163948 137220 -15751 -117962 -3852 97713 -122080 40827 123681 -109319 13093 -103540 -63115 50235 -8575 -47511 -40120 63977 16494 30677 
+-79121 -139301 -57174 -36942 -114429 52070 23002 121646 122941 29626 32216 -38708 51493 -161244 117443 82849 -30987 -51344 51804 30838 -9046 -65217 -31462 -122431 74836 42918 28735 -51703 87690 190430 115934 -49349 -30841 21835 68282 184496 -94613 -117717 67400 -28476 -66222 -165129 -86114 10634 6306 -2796 -190814 13666 -205636 68696 130188 214545 -122405 -40618 24329 -30882 -100233 3529 127365 -39986 14270 40314 -141274 44134 39881 -30578 133441 -75139 -61338 41686 87189 32554 63380 153640 78254 91572 86034 106485 73835 -68144 -220378 -90300 138188 -40665 65926 -209149 -102898 -116232 5743 5480 -60070 75731 41687 -63005 -101736 82802 -4565 55001 -56048 19701 -8726 -61911 70896 121261 32404 79705 104170 -6248 -41508 182801 -106826 49457 -223386 2652 -31040 -46385 -20626 -147281 -17919 144141 180021 -27741 13622 172492 -50678 -26262 -187667 -44089 -94691 -137275 117704 68626 -89343 7809 43050 -36610 158970 46183 -20104 156352 36178 61963 -63645 21900 27864 215037 102638 -208126 170378 -47153 -202174 -54288 -34146 -232349 93873 -53608 23550 -98816 59170 172269 -63165 -229851 -13433 21631 -124230 5239 -145596 121737 4951 105445 -113472 72191 104459 -84087 99407 -29521 -27379 78539 -47469 -175390 -35409 -136771 161257 26616 6487 -4316 -69957 126926 77168 55882 68873 -8930 -112415 50514 128396 101069 39966 7580 62303 -10014 205994 26450 -73526 -85205 15171 169616 126687 -180680 110371 -64373 102050 64122 6654 -56862 86379 -88355 49778 244556 41372 -13301 130347 -27532 74995 -44444 130885 85598 32811 -40755 3768 105990 -157375 26538 132548 -129701 60039 -68643 -4252 63341 32689 -42054 -25702 98990 -73708 15156 
+-95035 -162131 -46181 49342 -169014 53902 -141167 113329 60370 6475 46793 -34859 48472 -196457 138048 96024 -38044 -107663 52704 21507 -46196 -112189 -26954 -118850 62532 54422 103663 -13353 16581 261871 124587 44475 -31602 50688 68266 -4313 -96094 -132787 72937 -61817 -188038 -139758 -71098 7843 -9827 16929 -158091 7879 -95968 48408 123511 86777 -155690 -47612 -27579 27138 -202648 -35168 125614 -33482 13253 22012 1323 115789 22845 19859 32218 76085 -68085 195756 18671 -14529 191439 31254 63190 96174 139250 52415 35496 -139070 -224215 -51280 173881 -29599 61520 -30583 26229 -121893 -9751 -9260 -33724 78800 50083 -93138 -22039 102082 -54811 165034 -45211 -95860 35009 -93443 72692 46403 -11312 112400 121846 -134765 110403 197177 -76738 43076 -123176 58788 -27641 6368 -15685 -180169 97714 240495 218199 -46798 12573 209229 84800 -19259 -183301 -38232 -149849 -109524 114635 67269 -48996 4379 105510 -44171 73562 23140 -8038 163413 33565 -18784 -51675 28527 54018 225315 -55040 -100109 84972 -45971 -221600 -65117 -14438 -79224 87771 -65016 70412 -85753 81663 193765 -93089 -220285 31269 -15501 -122500 91327 -198519 104686 78641 137209 -64471 110244 126796 22979 154717 -47303 -31062 95427 -40614 -130591 -16622 -115006 104266 -135844 4378 39330 11359 101829 58641 63964 -56040 -8641 -114975 59028 139310 95591 17739 54091 80587 -41214 236912 -14490 -54797 -152214 -47730 166018 105896 -121467 115155 -50027 144456 -31135 -67099 -59187 69270 -75389 42682 221402 29044 66753 39843 -46552 6294 86628 95182 62379 37945 127120 -1218 106905 -160771 306 138080 -95458 8515 -48517 65415 104189 21269 -34720 -63276 -35053 -96994 23305 
+-96422 -96718 -16435 159388 -90904 62968 -137461 87017 -158326 9090 -8513 -48530 46553 -128095 59572 92695 -2140 -151862 8181 35057 -84866 -130651 -55092 -86205 71912 98935 76821 -41817 -26180 248065 115576 110701 -26932 56956 78646 -91927 -95066 -120157 25210 -63125 -180092 -106382 -7154 28372 -81331 34897 -50425 5915 -20867 26752 63902 -52627 -135467 -53207 -114238 29959 -201925 -26650 121563 -6437 21285 45696 121102 123983 14989 84902 -2040 29168 -63764 196180 524 -72258 241109 -27530 45000 -89677 121128 -1399 -19869 -169268 -225787 -46706 117029 -10175 41206 103072 79640 -139825 -53709 -4876 -17721 80131 60223 -140837 53662 113360 -74339 182905 -15010 -204738 42425 -133601 67673 -20522 -48342 164828 139185 -165569 84302 157155 -20769 43047 52948 121461 -21586 -25734 -11757 -197266 134421 196016 287570 -88383 21619 259038 160961 25302 -165769 -67793 -165694 -36736 70347 66484 -6296 -7218 68767 -52584 -31989 -28092 -15767 122678 31277 -46732 -15460 -22819 77952 198515 -207105 85821 -34667 -35465 -169913 -65375 5633 116147 72830 -69715 106440 -65862 62727 133755 -88197 -215664 128888 -94871 -78091 72585 -246783 119881 132812 126018 -18836 60683 14355 42745 201390 -20095 -36557 65381 -15813 -127178 2992 -137595 34423 -212170 6229 -1650 -4390 32456 81883 61428 -36071 -2112 -74785 40189 101695 94780 -35937 24236 90788 -38494 254195 -76535 -28685 -208872 33032 128516 43183 -4658 83756 -7707 112745 -138432 -107591 -22544 39253 -56861 22430 174167 25736 151347 -129677 -73714 -34243 196919 85113 54899 -50285 231005 3066 101313 -65040 11757 178225 -99962 -85648 -59255 65119 79754 57369 -35225 -113027 -130498 -66853 59913 
+-78090 -9519 -18234 144472 -14309 49583 30720 54653 -250862 -16267 -791 -62770 45232 -42313 -14585 54951 52739 -187605 -9194 45824 -125469 -143613 -54727 -78004 68644 101352 -13173 -21790 -65237 165151 56582 106243 -59998 85346 92567 -91505 -81591 -119417 18897 -14119 -73500 -65228 71482 82823 -113810 26521 52496 49923 31865 -6906 50760 -76885 -84756 -83086 -174535 -30611 -120225 -14187 118013 33887 46543 23765 137411 91780 20376 59786 55565 -138980 -70346 13003 -10677 -123316 180232 35575 75997 -229614 127696 -67885 -30308 -182078 -205011 32116 30236 9575 34825 12825 69296 -158801 -26278 -9968 50352 82607 67258 -175096 80606 105943 -63606 94413 -29616 -264939 -12260 -148483 79211 -15975 -71208 200260 155077 -85926 -89074 72478 -12851 59810 134171 165905 -16174 -8864 -15559 -189492 23570 45704 266478 -114534 10697 236710 76868 14856 -154657 -95477 -79264 45700 6220 59582 35787 -15561 48611 -26600 -72856 -47223 -49798 69931 31086 -18067 49302 -119184 88786 162310 -179886 162924 -92529 -89509 -77971 -135125 29627 141135 87030 -12253 113691 -74331 103717 60208 -61627 -187135 177652 -184789 -59122 -19383 -248550 112342 145703 94114 28640 39098 -135726 -27768 171122 -19774 -29143 27039 -5555 -35775 12208 -143230 -35928 -75085 12994 8980 -41143 -23994 78263 51443 85238 -9781 10417 63676 44210 95311 -123064 44473 59202 -20370 254434 -77961 -1 -187589 152243 108514 6739 52831 73746 54383 35085 -213669 -116712 -27275 605 -55981 60283 116929 51746 205129 -211567 -110450 -77841 172644 95412 45232 -107997 209110 -2034 90075 -10704 -25747 166529 -102755 -117377 -101020 -6243 74480 52725 -53313 -87526 -73950 41142 35498 
+-92923 -33640 -22492 52782 975 54219 129355 23075 -218483 431 -1712 -60559 52879 75424 -86910 10640 105402 -218257 8759 34315 -162599 -154411 -8913 -89645 68768 89461 -104389 -18490 -108353 46436 -517 1283 -50221 95052 77646 10832 -76786 -126756 -40929 -4162 33085 -41245 149513 144318 -68810 3256 -27027 44674 102674 -33285 5826 66837 -5403 -51700 -216410 -104579 2165 882 115322 23951 58708 27066 24721 32926 38187 13168 139889 -221206 -89897 -100262 9924 -137821 44145 158120 77014 -75519 112279 -101710 -39352 -177441 -180050 61327 -58426 19286 65063 -150886 14001 -165809 -8 -14429 21354 83255 74411 -193033 26119 115600 -81002 -30687 -67300 -232990 -40054 -121284 77005 62480 -73436 203833 170011 41530 -235461 -16674 34688 82791 -31193 196247 16652 -35548 -21067 -157015 -69126 -74716 229915 -168799 -452 264284 -48522 18943 -127651 -126287 -55845 123837 -52258 56436 74324 -20659 67898 -44031 -20711 -35062 -5998 10809 33261 71860 70870 -170085 85726 106184 11244 -1906 -18367 -118687 7780 -111050 57914 -58529 67311 -2760 82804 -63181 91305 -14067 -20739 -183499 129664 -198330 -62995 -74201 -204509 78595 113945 57927 48454 -22427 -165722 -90307 162111 -6442 -26873 -52349 18877 23361 19833 -142515 -62948 66484 20410 15888 -52643 -88861 72393 29300 193493 -2861 7737 101196 7259 80334 -169521 109545 79873 20928 245420 -90315 48011 -185753 239097 88732 -32482 -19895 59455 94033 -119813 -156843 -93290 2212 -40337 -70166 12502 53461 37028 227357 -121802 -141988 -115154 106949 115983 90736 -68040 110093 -4571 76613 -106408 3310 157242 -109054 -116556 -125351 -68057 77689 50289 -50929 -90411 57880 103871 92174 
+-88252 -124191 20040 -32953 -65572 35887 39223 11212 -9872 36805 18080 -52481 56393 154285 -151005 -39818 131745 -226602 503 32332 -166498 -182219 32758 -87998 67764 89386 -80786 -19396 -117174 -58131 -90506 -16727 -77908 93561 57531 178917 -63872 -116628 -117255 1074 -13752 -39054 178650 188966 -17021 -3066 -132206 75846 109896 -30260 -28725 176574 92062 -62053 -245624 -126399 82146 1437 104699 -12074 50926 2730 -127217 11236 56816 -31499 161626 -124711 -86058 24167 60276 -124476 -94247 207001 75747 94216 75255 -68439 -37064 -152293 -145603 34887 -73360 6845 79668 -261420 -86451 -161641 14425 -3109 1790 86760 77895 -162786 -54230 128123 -86638 -93736 -103502 -117536 -21348 -77448 70326 125090 -53044 227302 183877 121195 -206608 -41257 77028 76552 -230675 205513 -29212 -53265 -17621 -110179 -21426 3664 142188 -193042 4933 270702 -71928 -32340 -113824 -130006 -66602 117224 -96997 60598 106901 -33460 29235 -27442 97114 -11420 -3710 17668 35963 163357 143278 -182657 67786 37911 120435 -169106 98311 -160338 87031 -157313 73242 -199267 84657 -30980 65948 -72097 77904 35138 30006 -173606 41497 -175560 -75045 -41476 -151157 117419 52702 41284 48678 -78393 -160220 -126961 204005 28520 -34732 -148318 33404 27136 -23874 -126247 -72139 87885 18136 1830 -119978 31558 59572 4489 158103 -19923 45714 85517 9836 79699 -81180 99365 54197 72657 226818 -123676 53877 -140304 177817 51046 -83549 -133136 29669 135670 -160167 -79116 -30360 -60822 -77602 -89812 32263 -2907 51957 215721 64284 -156102 -84165 -36472 65979 123393 -25188 -53192 -7537 66354 -164557 -11248 158390 -111363 6114 -115259 -26245 5058 10555 -28323 -14837 86048 89855 75854 
+-113360 -156654 -14012 -60312 -126325 21071 -142303 -14081 111287 -34770 1189 -40277 57654 179104 -201341 -91084 109955 -225360 33881 17940 -189044 -182412 40334 -138025 67551 69572 40677 -45678 -110410 -88395 -157967 -59022 -13552 94888 76201 183322 -49240 -118050 -111557 -14698 -141042 -30160 174223 182522 8456 16197 -210550 24386 94529 -49308 -76721 198834 177261 -61243 -245943 -103621 73222 8086 101803 -44094 41444 1805 -192430 42409 71581 17208 85222 42968 -102967 164664 90529 -68702 -150435 145316 67024 128590 81327 -36696 -11634 -69109 -114904 -10221 6083 -2172 82938 -140134 -134566 -177810 5455 -11506 -25627 82152 73052 -144396 -130104 120800 -69498 -44677 -108523 11834 15204 -42579 48604 119614 -17788 208353 196056 92434 -33747 12573 58241 81371 -153200 200344 -15457 -55302 -17902 -58087 95112 143315 81826 -193207 24383 256286 54955 -64568 -82707 -107825 -140930 44431 -91136 41467 131198 -45187 21425 -41040 196736 36276 -24094 4861 37013 227694 147907 -126546 42023 -18617 17051 -148533 201950 -102388 56386 -179397 86527 -192866 84187 -33934 7846 -74565 105215 47255 44724 -166832 -13104 -63262 -33778 85570 -63334 94249 -28108 28758 52948 -131507 -39639 -43828 229017 18784 -26934 -193964 62157 -11807 -15830 -133477 8194 -65778 20123 -7838 -153951 113318 60038 -17215 -43823 -12180 80057 107888 85976 96958 -6724 60114 48579 130705 163910 -130525 64069 -55386 50176 19829 -115718 -176432 -16362 88926 -193080 43174 29963 -51361 -105885 -90579 34167 -40664 46693 161608 128213 -145216 -50895 -81891 68812 120456 54268 -115805 -6701 61967 -173744 -30516 142435 -129087 8396 -85309 69802 -21623 -9362 -59652 -45775 -49142 -23626 77324 
+-112353 -88961 -702 73624 -205533 -450 -145217 -2281 27897 5001 -5246 -37559 56882 124453 -219476 -133717 45197 -199726 80993 13603 -206502 -182386 54394 -138177 64870 60579 121370 -32092 -99172 -72512 -213502 29751 -29137 114488 75833 66158 -52821 -119845 -125395 -21935 -204667 -79179 98671 149584 -20026 34687 -105478 43160 58900 -57714 -73105 41976 200570 -42399 -210364 -29283 -59532 -22218 90329 -38711 24281 56588 -125092 126033 72835 36485 -16889 63405 -112689 195763 110871 -13508 -96933 24831 38122 -65420 45910 55482 36287 2275 -70522 -70737 99593 -14020 40265 37146 -119241 -143353 2410 -16334 -50964 81703 82441 -112779 -118196 107100 -34764 77397 -102811 89898 -32101 -67526 51370 55506 24525 183236 206624 -30787 112203 90720 51397 91684 47085 171957 1107 -17910 -21950 -12997 139662 254167 9491 -236046 2137 216392 180723 -56196 -54327 -131678 -152859 -31948 -17145 41783 144910 -78927 81842 -37510 252437 61107 -1535 86246 35655 228750 207440 -48216 18308 -77120 -171839 56167 138694 -106263 30079 -210845 82757 -78713 88954 -62203 -30344 -74225 68363 152850 46246 -132448 31005 -5357 -50605 82328 16952 74340 -111495 72278 56823 -137582 78318 55708 237457 -32606 -33453 -228811 69997 -22623 5994 -144252 96066 -191733 7731 -6988 -114332 138703 46065 -26384 -63428 -435 86304 114513 103567 65986 27355 97338 85462 181419 135670 -146697 92535 17128 -29089 30338 -112940 -91935 -77842 55213 -85804 150773 69686 -67200 -120540 -73831 33523 -50877 22606 88181 47490 -129707 -51108 -76775 35472 111448 19841 -53134 -14259 64709 -117503 -13376 136356 -97416 -25806 -57128 59867 -47151 -29408 -53580 -105009 -89008 -88126 66332 
+-89924 -32013 -19852 165570 -136734 -2486 11082 -17809 -128564 7059 -20508 -44797 54313 28546 -272578 -180584 34106 -167121 39921 37330 -200424 -138971 45339 -102854 67054 46908 59254 -17622 -56991 23321 -223079 121294 -23233 94984 79618 -62014 -45752 -108579 -85239 -45436 -132205 -109845 8972 97192 -99656 27105 24139 -216 -14718 -54751 -53061 -100065 218891 -42346 -130217 34815 -182686 -27122 85784 -6234 12621 65429 31143 138064 60832 61646 11046 -103331 -121714 33969 120368 33487 -7676 -29822 45369 -220894 21106 106987 44566 78963 -23740 -100618 168442 -43870 67415 91966 -54600 -154038 -26553 12270 13902 80684 100747 -73912 -69802 135732 20929 176332 -92819 117991 4417 -120354 59954 -14793 65151 156096 215256 -134614 79562 179271 60368 98736 90241 116022 -26074 -46291 -21986 9128 26213 210284 -55858 -218483 22563 205291 71945 -75948 -35587 -134684 -119008 -115162 83742 54341 147736 -88453 31651 -52425 235905 38425 -8968 82702 32953 180731 189680 18496 3750 -108348 -217033 192809 36883 -76373 -48930 -200419 74531 117767 95285 -77107 -60992 -89513 75279 159242 28951 -107766 121006 21566 3183 6264 89585 71606 -154234 107029 37110 -119823 120298 34073 246612 -59089 -30129 -213219 82604 -97361 5587 -120073 189696 -166053 3985 69485 -88052 75755 49583 -26781 26753 -6475 74520 124549 129450 65955 51128 79828 91004 213170 93937 -133220 99996 90823 -12261 22450 -104497 35703 -68947 -19524 -10156 187233 76390 -64259 -119112 -60555 31001 -43207 13815 15799 -117526 -87833 -10031 73431 46395 133390 -70740 98441 -18845 73859 6034 -11841 79693 -113841 -173227 -50875 2599 -124404 -59325 -17718 -119398 -101259 -107682 75989 
+-77381 -25205 -244 183649 -27465 -3807 133400 7055 -247353 24281 -8986 -50957 49670 -75152 -270546 -209577 -17216 -129642 81858 53348 -172406 -123472 -4703 -93235 62195 15295 -63810 -28142 -25609 144819 -215460 88648 -42794 91634 89795 -122447 -61587 -110132 -44823 -22749 -6784 -146951 -61868 36146 -118139 3800 42106 -34394 -106042 -55179 2946 -55075 150897 -33295 -88314 21013 -215464 -15726 78123 25558 16636 36747 131884 94532 40293 38388 123664 -225509 -125853 -87760 132456 49860 170307 36118 45987 -106538 30143 120816 74716 118142 -924 -77652 153247 -49911 32979 -54662 40745 -148285 -35859 -34079 48673 77038 104044 -49133 30896 143473 14892 174008 -64232 31227 -35783 -151338 36225 -9411 91767 105578 222641 -159421 -97874 209533 52538 87131 -71207 70336 -26724 -31608 -28694 21713 -72508 74734 -92799 -203411 9390 159203 -64693 -40097 -9966 -145496 -64806 -158417 95153 43970 139861 -103988 52188 -39735 117058 4764 -23606 122653 31067 90574 210819 34535 4139 -117272 -62042 60552 -77034 -49936 -126164 -215287 68120 110740 89510 -74266 -57062 -87557 10575 141195 -18417 -96700 176214 -74397 -9971 -70156 142831 46073 -166625 129667 -22025 -63578 79492 -21782 264941 -43547 -36664 -132019 92879 -144253 38207 -118580 192409 -5328 5843 12292 -27906 10821 33407 -9884 160078 -541 17140 133626 127496 48336 -65408 92033 70128 232654 50793 -84235 81321 125659 120772 23364 -107183 45774 -94923 -60804 117894 189152 49032 -45708 -102438 -54800 56484 -5735 25977 -54320 -202824 -53929 46471 205367 11450 110362 -88649 247960 -34293 87266 -17712 35 55703 -88993 -102613 -69919 -29710 -169268 -35736 -46388 -80083 40022 6404 77130 
+-67670 -101758 -36011 74236 -4048 -26008 48152 14311 -203580 2204 16927 -60392 46027 -156131 -273235 -230137 -33918 -72533 47877 48917 -162264 -115065 -41867 -99412 64806 -20994 -112950 -16250 26303 231298 -172558 59819 -21351 76308 82416 -62235 -66784 -96905 16925 -45091 27361 -160269 -83302 3825 -96895 -3282 -84747 -21199 -167138 -20782 51570 105179 91588 -44857 -13979 1516 -160784 -42221 70066 29034 27145 15081 126486 41000 24060 -9954 163939 -146167 -133236 42241 86246 33416 261102 149998 79153 69512 77277 120984 125884 180930 44960 -121776 66973 -43227 38687 -231572 91962 -144061 -30571 -27640 -554 74685 105454 26608 65855 150920 49036 72541 -43755 -85538 -13661 -159258 36747 64059 98928 65166 227840 -73734 -239851 168866 21054 73528 -173156 5743 -32753 -25194 -27959 -5184 -36207 -62329 -81204 -175594 19170 128710 -84519 -43065 105 -121046 -65961 -94174 136858 66135 121148 -126193 61780 -50237 20518 -33498 -21185 129234 31485 223 167557 -1666 19233 -107602 97046 -131857 -85959 -15829 -186798 -190373 42232 -72265 120192 -75213 -40133 -102166 39249 63695 -59492 -102273 137157 -133934 -9742 -1815 137910 22863 -141957 124872 -42060 695 -32935 -126382 235790 -19755 -34781 -60199 91990 -186767 -29174 -102063 171513 108218 -9772 8436 27206 -67589 51517 13694 179772 -5519 19907 121574 89094 59879 -103978 72342 84145 224214 10839 -69802 93198 126425 221726 91937 -106249 -86995 -124158 -49556 148588 91883 -7957 -44798 -72479 -72471 14915 50641 19652 -99143 -125486 -37269 80547 198124 -14643 74037 -49643 237582 -38337 100220 -116570 -6176 29132 -125750 37551 -112506 -34962 -226677 -62622 -46334 -66735 82073 77063 64124 
+-65493 -160940 -24907 -67184 -53345 -7001 -123221 34585 -37944 -2355 41008 -60799 48110 -187246 -188741 -239037 -16069 -19997 42769 11284 -124482 -66371 -59128 -69730 62555 -46894 -43245 -5223 82529 251168 -80666 -49144 -38887 63093 74854 123174 -86027 -102093 68878 -25907 -73137 -115957 -63150 -4157 -14334 15491 -196540 -12816 -229899 4673 46816 183937 -2979 -54222 29019 -102695 -44544 -5649 72321 -26 48344 42404 8635 3158 14824 -21109 90739 23855 -128673 166716 66799 -17610 196306 213992 84350 87627 31694 60014 95576 188543 70127 -47610 -43130 -22979 24487 -233924 82995 -140501 -20340 -27881 -17259 73417 119329 53359 55359 153922 15464 -48464 -39532 -202492 -28866 -99804 36972 122722 84177 26862 230788 51066 -202768 78141 -17636 57009 -150828 -51049 -24807 -8778 -26594 -43406 93342 -20238 -42144 -143348 -4504 42554 25500 -34530 11577 -136709 -147005 5763 130936 73683 92586 -137515 67391 -21525 -67824 -54107 36 160573 33895 -44285 93033 -116571 43584 -69226 80284 -177838 23263 -45652 -190612 -227198 7166 -195232 105703 -24049 3545 -75455 12907 -27186 -88625 -53395 47663 -232535 -38036 65944 111068 14914 -64626 94332 -100446 38514 -148780 -99154 229932 -31660 -39245 19751 89654 -169568 -13842 -136094 89891 27631 -20735 -21085 -22885 -48985 22973 37239 64898 -934 -32392 122453 27398 44911 -137802 57963 64301 197047 -35936 -11589 64802 133304 205864 104122 -66036 -171788 -130120 -7460 111002 -43539 -86256 -17985 -34273 -89882 17020 112470 18628 -92193 52325 -36167 135228 119498 -24659 50741 44519 126489 -46214 106519 -196157 6678 -24396 -127901 47481 -125901 50545 -248218 -23972 -32342 -24180 -54055 112640 66272 
+-97714 -118841 -5169 -56048 -166357 -28072 -154719 74140 118653 -16529 28053 -50439 51709 -142099 -179899 -222365 30083 22694 20468 29126 -96379 -48293 -36138 -93286 64982 -60312 54603 -12045 133650 207760 -19261 -44069 -26805 42361 49850 186485 -100382 -117886 80997 -27450 -178505 -75080 16739 34860 21812 34481 -147968 -41926 -241237 20558 115925 143382 -79897 -51364 44454 -112833 66895 -35389 64893 -35579 53241 28961 -135468 58813 20552 28522 -1508 70933 -125903 193497 7065 -79974 82036 149307 72995 -63068 123932 -14249 104014 164255 73871 -7055 -80484 1531 24948 -70876 -41377 -107174 16783 8933 -52080 72444 117190 80931 -19882 157539 17475 -93209 -19681 -275754 24874 -50785 37423 120799 51630 -28162 232425 119955 -22953 -5901 -38231 56561 23523 -98449 -22200 -56539 -31233 -88495 148473 123610 24564 -90860 12938 28048 134945 -4155 19686 -96535 -163185 96985 29618 68207 56613 -149619 47749 -67460 -28232 -24572 -6135 125928 36499 -26077 55906 -161768 68854 -21429 -96544 -5590 151916 -64293 -158854 -200288 -4499 -169757 111522 -144 72172 -75254 48818 -29340 -91677 -63266 -9009 -210864 -44624 142665 49979 32553 23709 51831 -128010 75102 -186507 12902 259386 -7596 -39209 77997 95361 -143591 24527 -140927 -17075 -135988 -21436 -40990 -33310 37972 32768 57770 -52327 -5054 -76084 111476 8178 47231 -84396 70895 90998 159043 -70232 5812 45409 102833 95985 133800 -44001 -129145 -104808 51705 -23529 -133664 -113161 -22016 7173 -89644 34986 174858 -2048 -62375 136891 -57390 130624 -2261 -48131 65527 39328 -67037 -37900 107095 -163340 -11242 -20568 -110447 -20022 -105485 60780 -285441 5461 -29906 -47952 -122276 50068 57195 
+-105139 -45189 -32309 40479 -167454 -2950 -15135 97989 77601 5951 -12024 -40847 52806 -52273 -63783 -162575 112582 61565 22017 32113 -34624 -1217 -42797 -71912 65213 -77850 97002 30741 174944 112947 67299 -344 -41244 14434 66274 128552 -107318 -111754 67343 1158 -175467 -48696 104922 112168 -25883 27666 -323 -33291 -243362 44941 123733 5091 -152521 -55786 39147 -124372 76869 -22478 60935 -47946 55571 50171 -195852 112479 36499 62424 -19445 -74366 -129341 43639 10067 -122312 -49767 23778 58320 -189936 92678 -80265 98827 128018 76237 22492 -35014 8034 65011 85145 -117584 -62615 25020 24242 -62857 74672 115663 79464 -112238 162960 3784 -26471 -41806 -230684 -22161 -49273 44003 64647 9218 -62157 231768 76698 115496 -39297 -82778 40352 124581 -122295 -5471 -78421 -39499 -140045 32573 228327 105419 -49640 26882 -2785 95635 -16974 11034 -112828 -108853 91565 -29713 59046 16097 -156563 67780 -63588 57814 17326 6506 121623 36880 40073 7150 -156887 85808 32922 -225376 146033 205937 -85420 -59568 -192960 -10773 -24924 124933 -10372 68020 -64704 84845 35260 -68695 -24485 25445 -83836 -52673 40816 -41446 -13819 98520 33999 -178529 116399 -121722 42609 267606 12820 -35662 78824 74108 -134380 25193 -114761 -81765 -200237 -30829 15586 -107746 101787 5859 65830 -6379 -8508 -105116 103066 22042 25004 -25956 60945 80887 99713 -77019 9245 10198 45858 -29823 154001 28832 -7248 -124579 101607 -143251 -212439 -123604 -32039 44303 -77604 51404 220860 97 1470 52125 -96571 158796 -114045 -43319 131867 -29519 -124500 -40919 99291 -53980 11211 -34153 -107680 -101217 -72216 2946 -268211 -8012 -37651 -101659 -90268 -35949 31949 
+-89184 -24020 -8021 153388 -69557 650 116997 130550 -121466 23445 -14470 -38618 57683 69410 7015 -146784 132161 63962 24747 45967 -10801 43682 6178 -102301 56631 -80561 21902 13988 149401 -1246 101296 67422 -48524 -20077 100143 -10300 -93402 -40097 14922 -3334 -76866 -24413 169098 157267 -60739 4396 23008 -32156 -178927 71795 148596 -102614 -141693 -32990 5604 -22923 6379 -32953 52717 -15051 43927 55110 -110624 133263 56833 56528 91217 -217615 -106795 -67250 464 -138897 -149723 -28443 50421 -195579 106775 -87332 39820 63391 53987 49420 71903 17547 96170 41802 -154795 -71608 10341 6318 6972 74894 132487 90517 -135063 153190 -29448 99102 -69962 -127271 -27523 -91346 33584 -8050 -32679 -86336 228735 -43993 73471 -16049 -117303 36899 -29312 -127213 -8932 -35644 -40729 -179562 -73135 227067 172548 4853 -12584 -39694 -21771 -4558 5441 -65542 -43332 60173 -100259 68079 -26735 -154509 72246 -54905 157126 56860 623 77180 35053 139958 -61107 -113352 88503 103730 -131378 126116 128556 -125856 6017 -180857 -41889 106354 112939 -9704 107394 -71803 124050 116978 -20572 -1530 113450 -13890 -40480 -29904 -125472 4786 139975 37674 -206699 52214 40256 -6755 236719 5651 -36117 73798 65422 -69064 -11601 -125238 -92004 -90622 -41564 37560 -153471 137276 3407 65866 105499 -103 -41709 57905 72980 25542 15373 31695 84842 41593 -100008 31118 -22906 -49654 -16016 114307 85337 52789 -108975 136401 -205341 -183949 -70794 -75549 72803 -59087 -37537 245997 31472 76088 -108941 -129651 100507 -80838 -73489 141634 -82628 -46904 -58827 86396 -31343 2541 -54482 -110526 -122565 -50173 -43872 -288389 32003 -29425 -138494 10582 -109124 49370 
+-75857 -78729 24174 144415 -29537 -7818 58729 133019 -257577 -12895 12573 -42484 56518 155275 78495 -94659 96972 60548 15628 45042 27366 59831 23546 -77176 57104 -89041 -36468 2603 147548 -82949 139097 95832 -93726 -32228 73548 -112497 -86958 -86278 -13138 -48857 20652 -68202 178876 202002 -93261 -3501 -64373 -22494 -61806 110202 110915 -27994 -132948 -52429 -52147 40792 -107704 -30943 44729 26440 28501 13778 39907 96859 71145 15010 156505 -175450 -88153 12662 5693 -118650 -141376 31205 61361 33488 130084 -79646 8156 -32971 45975 13985 160748 22880 70169 -123284 -95051 -81170 -23478 40899 31784 77528 131956 46851 -92315 170885 -78017 184315 -107558 11028 -24296 -145744 42457 -20144 -62140 -106660 224221 -146383 -107158 75590 -129593 48349 -208991 -114933 -21706 -46724 -46265 -202953 -30054 79369 254858 23494 21059 -94921 -97593 -17583 671 -59322 -62899 -45106 -84854 46011 -68766 -175361 40838 -61465 233561 52161 -18055 38114 32279 208976 -91942 -64104 76738 157239 54020 -99818 17379 -139638 50978 -191195 -41844 104804 103229 -80707 117198 -54510 91298 187430 24318 17237 176363 47330 -84268 -78793 -192510 -15362 143024 76677 -225786 17247 118406 -86179 249767 13746 -38238 2748 57790 34101 -18585 -107095 -14897 72550 -54988 14759 -91775 39985 18465 48253 167251 -2999 -44602 52757 104569 15995 29659 21052 16040 -5915 -88855 -15859 -49348 -132985 79261 113045 114111 -10803 -68442 88712 -132082 -105884 -13063 -45631 87486 -54042 32610 249180 8654 152760 -199760 -156777 89746 17151 -41791 139181 -95280 118506 -44979 73089 -53263 26994 -106144 -134176 -61356 -62716 -38951 -264477 66707 -31344 -97481 55615 -67956 17746 
+-64558 -163445 -22057 43341 31370 25514 -115062 156712 -210882 -10500 11456 -57007 55825 173184 121298 -45996 71332 54171 75269 60064 55433 82306 57266 -111902 60877 -65970 -96928 38707 124584 -92083 95292 51063 -45920 -52641 65627 -62578 -80737 -81269 -84024 -24418 -15099 -47116 146106 186911 -75047 14777 -175286 2075 -14535 101614 93069 126751 -68773 -53780 -129327 20278 -204675 -28071 43843 28852 6311 49584 135808 35038 73685 -15749 132764 -6194 -87469 175984 56015 -71359 -46666 153854 73891 142380 122458 -20887 -36994 -82860 10467 -292 177070 -4987 31293 -252731 -14588 -62303 -35930 19547 30475 80024 138075 8094 -4675 166464 -111393 162085 -112833 101122 -27561 -159150 49608 49873 -75083 -91941 217488 -156407 -242493 154474 -142304 63813 -164388 -78378 -10824 -30721 -38846 -191809 86784 -22600 273573 30918 16045 -97763 8425 -6159 -22585 -88260 -109995 -98793 -54553 54029 -106888 -168958 77851 -74129 247110 29641 -8053 14713 30854 236493 -61340 11376 52994 193924 117023 -201168 -78374 -125129 65300 -190614 -26279 -59673 98003 -73438 48729 -93890 110407 171860 47048 51864 142064 -33912 -83583 66655 -234706 -14610 104214 107785 -215042 -49372 114674 -116872 223302 -12377 -39721 -88874 22909 29436 3779 -101374 61648 83348 -74431 1388 -55918 -61525 -2988 24746 122507 -10396 20580 62578 127910 5997 -3765 29646 50461 -32224 -50337 13785 -62732 -167667 206310 54714 149972 -139478 -40546 51020 -48372 -1611 50197 -49471 87074 -70847 19446 226518 487 203031 -127640 -162491 -13162 132374 -31920 122502 -11221 214587 -46870 64139 -165758 8360 -101935 -125033 18436 -87817 27309 -236435 35805 -24530 -49065 -15163 27816 17229 
+-89842 -130872 -22990 -71101 -24805 30323 -155518 147100 33522 -26428 35304 -64631 52873 133415 124662 10534 332 21589 55214 41665 80860 100089 29191 -96524 59798 -48439 -76473 31965 84083 -26466 26560 -5187 -41697 -94948 93291 38297 -67978 -79032 -153465 -44863 -149059 -40570 72189 134916 -20423 34257 -193946 16335 62021 120873 42663 200206 67359 -81632 -174907 9759 -199951 -51673 38656 7298 14010 39844 113188 13977 61509 13070 14049 82985 -89019 215211 89688 -15032 114943 205005 101065 2601 108955 63253 -42509 -139993 -23817 -77724 104685 -38992 16133 -183419 59349 -39302 -29830 24444 -12644 82572 140824 -36633 80977 144078 -104415 49681 -114906 122766 8786 -119909 61910 124743 -65839 -70907 208943 -53534 -195201 208320 -179949 62185 31413 -27205 -27493 -102177 -41027 -164782 139298 -33328 269051 90317 14727 -95451 131278 -67123 -35803 -45230 -133163 -128122 8154 49772 -138922 -150952 73471 -69839 169072 -62 13675 45766 32033 192536 -18383 32696 26299 216237 -26846 -74691 -53231 -108785 21230 -156150 -17928 -202928 108925 -76058 17282 -66642 104893 77316 53497 70504 56875 -141343 -106157 142513 -260296 -53740 36640 123477 -208042 -91119 28007 -63486 230454 -10532 -42574 -153108 12821 11973 18030 -115692 117570 -47268 -82309 -25956 -14847 -84962 -12889 3033 -15834 -12128 63413 31493 111147 12508 -103526 -10577 57374 -41130 -37096 -54353 -81437 -208465 209085 55002 196012 -161368 -37730 -20989 74349 124484 79304 -24115 70518 -87961 -5074 179420 6293 232231 42446 -138016 -87282 184515 -95950 103334 26828 225603 -48540 61603 -188235 37730 -105748 -127215 14365 -126785 65387 -172876 38985 -38209 -51005 -129280 114109 25656 
+-77024 -41439 -34593 -75099 -180039 50211 -21100 108228 110466 7230 9437 -55808 48254 38576 107643 51203 -7832 -27955 111847 33917 105499 113698 19668 -121193 56507 -11120 60106 33489 22902 75436 -28361 -78516 13019 -120430 66062 179166 -65075 -46414 -120184 -45886 -202045 -126207 -14136 70796 24971 28232 -67666 53305 124402 141736 1129 129471 119634 -35931 -228830 -93678 -97424 -1842 41956 -27831 26140 12097 -24302 46822 42010 39781 -13093 -25843 -73928 52087 118502 32115 227812 147985 70215 -156703 44073 116449 -53762 -173409 -46122 -61480 -6703 -44449 63574 23211 102395 -37370 4772 21776 -34676 81192 150175 -89613 71219 183308 -113552 -63825 -74749 59960 38291 -78253 56177 132357 -36294 -51074 198997 67949 -13205 173417 -175306 80835 111047 26868 -10991 -111078 -45932 -114573 40143 88601 213734 102582 2057 -91439 117277 -84683 -65221 -60304 -136971 -117181 72305 40084 -161871 -151534 37841 -88031 68167 -53996 3861 72391 34653 108500 -32917 -6449 6458 217972 -196080 155907 53964 -79416 -37383 -168139 18811 -193329 98463 -77791 -16372 -81735 44835 7411 25722 81285 -9204 -203130 -107417 65680 -205610 442 -50773 123759 -189140 -137427 -88845 28450 176828 -28693 -40850 -205579 -20599 -22406 19323 -138596 182834 -188590 -75462 16307 17233 9685 -12514 -14489 -51508 -8625 74972 23070 94991 -12088 -142240 -46624 28295 -22986 6397 -85744 -94426 -167624 118480 16755 196100 -80143 10911 -49714 138576 149431 63686 -28547 40950 -87888 12136 117981 -17496 201902 138540 -101423 -81778 178475 -97569 49075 39722 137880 -59823 65989 -91647 31462 -92310 -111105 -40956 -125436 16039 -146731 7370 -19125 -44473 -102255 89674 9929 
+-126166 -10444 -32626 39281 -158405 68847 119477 111419 81878 10460 -2250 -51062 49224 -68826 48634 70887 -22816 -70131 62850 45506 91854 149646 -19612 -144255 54436 -4031 100551 14415 -22119 183279 -103449 -11015 -36519 -138908 77538 149843 -55158 -44454 -92701 -29945 -125469 -168959 -69695 24390 -5833 5002 47414 43572 134500 133472 -21567 -13223 188867 -59140 -253600 -110198 20768 -17601 39669 -51280 50655 53159 -142792 119721 24053 71856 47488 -196597 -64630 -58765 132585 49537 215286 27262 49474 -172235 56629 134238 -19852 -189551 -87734 -125262 -76427 -50242 59436 93331 32943 -24138 8114 18863 -38071 83128 149761 -118689 15791 177560 -74866 -89373 -40912 -69686 -144 -45838 54654 55961 4381 -15201 186973 122864 118531 99788 -159281 98573 -39983 87850 -19832 -98267 -46421 -63541 -59487 209917 168754 112396 19880 -69009 -27551 -62336 -88257 -41209 -87938 -7457 153561 64014 -174680 -147996 47694 -69833 -5919 -44911 -7065 104729 36813 10774 47436 -91894 2071 192774 -196475 161900 147829 -42269 -116181 -130046 26456 -63072 100638 -59762 -55651 -55135 49109 -24260 -15503 83573 18834 -207613 -113089 -8635 -168954 3036 -121093 91103 -143955 -143972 -179079 42060 176239 -32630 -45880 -218780 -27779 -68835 -1671 -120638 193163 -151896 -113287 23209 -143 96974 -3678 -25593 71747 -11106 76010 10719 16556 -17258 -152660 -52391 31851 225 36822 -113757 -92139 -156062 12631 5077 206330 39130 24992 -49302 124666 142635 29712 -21069 2333 -75930 52290 50991 20209 159555 53242 -69690 -116657 58542 -101471 94977 -53347 -8691 -44353 77153 -10462 33582 -78635 -94875 -123378 -94441 -52209 -88217 -10565 -48837 -116484 3257 3792 -2006 
+-87611 -76857 -48332 144751 -89593 71534 68052 74997 -68259 -127 -4738 -42485 48097 -159336 13596 94424 -975 -126021 59001 62240 101282 146010 -60158 -94345 50686 31811 59944 19223 -58965 252559 -173641 62973 -74163 -156255 83996 5816 -42609 -21425 -53951 -51237 -22385 -139142 -84298 -6160 -79311 -3669 -5266 38638 113387 134082 -65879 -44601 211460 -45673 -237921 -89813 81620 -27115 39581 -29773 55421 43581 -176675 163137 14440 9356 136560 -200036 -69809 6657 126065 29199 130110 -24612 41929 16017 30541 104936 26176 -157713 -128731 -83949 -52299 -42291 64258 -29665 -43309 -29492 23317 13628 8959 82603 148322 -161751 -73082 177302 -54903 -6446 -15055 -196843 -10588 -54415 67428 -14626 48292 28385 173545 69540 66616 22986 -160027 95534 -193760 140575 -2965 -102459 -42446 -16483 -25261 243083 81248 79675 39653 -46948 -74264 -25610 -109767 -88817 -57771 68124 103160 53498 -176985 -125855 56007 -63834 -49963 -18239 2929 109611 36635 -42681 117845 -169496 11558 142984 -16670 -46295 187353 -16884 -182146 -97803 51295 103536 109923 -2985 -71197 -60004 17332 26725 -63518 104740 105018 -124967 -145474 -61394 -87590 11721 -167677 58162 -103390 -120972 -166228 -34261 183336 -42090 -34132 -169405 -42280 -120626 6706 -131015 143284 2671 -108098 34367 -48449 125547 -9796 -22373 181075 -7632 42270 21023 1119 -14422 -64459 -102582 -1345 45854 85153 -129078 -84740 -76715 -40020 8692 204297 30837 74779 -12060 46968 115641 -30349 -38667 -38506 -58050 9556 -3366 12422 75776 -122984 -41108 -104097 -101068 -76991 73108 -117050 -101090 -49035 90895 -6211 25888 -64549 -127461 -96591 -58507 -52150 -30175 -35943 -29918 -74710 90670 -70810 -23684 
+-81171 -158738 -3689 160782 -2709 62894 -91948 60566 -232668 -19642 19684 -36748 53134 -189816 -67476 93585 6235 -164867 16523 72040 91255 144876 -74837 -127157 51433 52281 -62334 31108 -116468 252154 -227484 99148 -33088 -178947 104902 -89275 -56750 -37031 -18446 6784 27120 -83367 -44103 15937 -102252 14061 -119564 66744 51253 104073 -67752 26620 199330 -35128 -216397 -28022 49180 15490 37829 18928 51503 57518 -100763 95218 21092 -22882 139206 -46684 -67774 165765 95752 -12905 -22144 34318 66467 163104 34674 38924 17789 -110576 -170831 -64314 33812 -19786 84930 -204789 -139909 -60327 1324 29076 12753 80463 162391 -168101 -127859 174685 -23918 119519 -23932 -256001 -23359 -97637 85006 -22254 81299 85566 158767 -64446 -116141 -40718 -149742 86378 -145828 180605 -7935 -100623 -40647 10576 91997 130453 11061 95098 91 -21358 -12616 -44845 -140920 -92863 -74714 123941 77030 59217 -167679 -103156 43007 -40146 -5463 25350 9230 153534 34401 -39054 183215 -185433 32650 90446 111440 -221563 91947 -57331 -179802 -100713 69039 75670 87088 -16630 -58268 -78835 6136 123835 -91260 106863 173482 -23838 -115096 27238 -6293 2419 -166996 35475 -52338 -56168 -69979 -103138 183278 -20048 -46291 -129143 -69292 -153966 -52513 -150511 58088 118258 -105620 17477 -100127 89685 -19625 -7675 163704 -16768 -15791 36955 37158 -33363 19798 -104330 60875 110296 126638 -122238 -79406 2711 53716 31491 192427 -77775 91598 57280 -93513 -609 -91815 -47793 -76222 -53335 61506 -39400 -2435 8637 -205538 -33484 -48742 -138567 -100815 127939 -64179 -63818 -39207 102133 -140010 23978 -24222 -102749 34597 -49128 15228 27466 -18152 -46388 -100483 -11933 -96773 -45187 
+-72952 -148356 24310 42811 15527 69899 -164210 32987 -248016 2106 16685 -46079 55301 -146149 -88118 66938 91545 -201146 -26372 39482 35701 138099 -45792 -79751 51103 74889 -117736 9943 -118175 167638 -219189 38278 20342 -184257 78627 -81348 -61709 -26188 47689 -9789 -78468 -87140 33044 65268 -99233 33964 -190322 50252 -15696 114538 -21664 116408 149760 -57242 -135823 14010 -66671 -14972 47301 36175 41291 15330 47319 28265 34200 1397 63508 81663 -73763 202338 62520 -82209 -120138 153210 80916 18173 66491 -16657 58259 -39867 -193741 -38189 129163 -2859 52056 -253836 -136251 -36917 -18413 33554 28577 78057 150584 -191770 -105510 190805 13961 188920 -35105 -234189 -719 -137360 87261 37675 99169 125602 143285 -157204 -244058 -24817 -75825 84844 26880 205555 -18467 -107875 -37101 20244 136801 -19812 -62306 47169 28413 7713 132288 -2759 -160023 -74043 -145856 85422 -26630 62236 -147809 -89751 36304 -52087 119115 50955 -24819 171706 31715 16482 217500 -139621 59075 27965 43546 -127846 -18486 -90448 -147926 -28467 79036 -63994 101047 -36577 2814 -75150 20024 145555 -93012 122696 150073 -1823 -143641 101355 73526 -11673 -112133 40309 -3461 12247 42442 -102384 151770 -4039 -34269 -29018 -73517 -212450 6934 -134595 -29212 21129 -134694 -17636 -134908 9993 100 13386 21104 -9914 -25830 22204 67729 -22464 63586 -144649 11207 158585 184398 -122076 -49537 101741 202446 62769 157845 -161404 126068 112676 -158593 -109007 -116003 -49708 -104701 -67360 24366 -54493 5174 -62106 -125916 -48979 -35901 -58583 -93790 115156 9490 82594 -34287 107239 -195910 26043 -3546 -97126 67901 -75759 66572 45009 -37624 -27290 -63392 -118865 -42771 -45663 
+-105193 -62003 -27900 -73502 -83593 46400 -38524 4852 -45367 -18567 27142 -58580 56387 -56382 -219819 31616 130936 -220880 -8070 24787 21940 104280 -18701 -39409 51715 101396 -33606 21064 -129506 62584 -189302 -12847 -34678 -197445 95676 16905 -91119 -46954 64477 -41232 -202766 -46923 127015 117298 -20474 28800 -122008 33716 -125781 101536 -34435 195856 54863 -30867 -55865 38113 -169959 -47794 41803 18834 22650 66040 138619 -10360 56349 54904 -39047 13610 -74643 60015 19556 -122552 -154954 208893 88100 -133447 99251 -92228 93373 31985 -219618 29030 180242 12867 39850 -102457 -101500 -61263 -22733 23126 -14216 77950 163031 -181036 -30908 183907 48650 147238 -75536 -138922 -1696 -150683 78026 119717 94709 172281 126620 -149815 -187241 42094 -69408 48298 104198 210632 -9797 -130850 -32525 4904 48951 -66524 -80638 47071 3874 104195 142947 -4176 -175377 -110109 -140754 12854 -65909 55698 -118954 -76900 47341 -68033 210785 42813 35480 155974 30907 114755 227903 -86157 80525 -36307 -138670 100076 -80545 -133282 -91323 -41255 85060 -191191 105932 -60012 18449 -72622 46796 176826 -69590 129838 61341 -32128 -134860 110937 129050 51213 -47119 74196 34697 84318 143171 -15088 153836 1010 -47588 39036 -78904 -149334 18769 -137722 -61570 -119868 -133258 17627 -92153 -80686 6830 33299 -74887 -4088 -86790 7576 126747 -35691 -10093 -142147 52719 201146 210730 -126815 -15947 112582 246780 73579 131213 -129419 145479 126902 -153397 -185442 -109490 -73917 -120133 -86186 34483 -41479 19790 -94660 44831 -87588 -4708 96582 -92449 165060 48543 211955 -25705 105447 -154892 10859 1744 -113725 -42281 -109886 39746 99392 -43365 -61148 -70009 -92646 84076 -59131 
+-82781 -9022 41763 -63358 -144272 40379 118551 -519 82345 -4017 8946 -60036 54148 58285 -280949 -10966 129798 -223318 19845 15504 -19458 90736 5827 -90719 50732 106146 40256 58174 -94083 -39042 -134257 -39423 -26398 -202226 68374 185514 -102455 27292 44373 -52591 -195645 -17015 176828 185517 15923 5598 25780 30184 -203496 69984 2784 77743 -40815 -56133 -14312 -13090 -204996 -31044 43760 -18382 4915 62328 108093 51568 70353 71883 21192 -180571 -89515 -70954 -23959 -139092 -59436 145518 83577 -198985 100611 -102375 121501 96497 -229921 27692 128852 17382 37475 64262 28452 -71045 -47287 10937 -46378 75449 153541 -144306 39614 179479 -1374 27252 -98358 -3925 16747 -97217 81306 131878 68624 193019 108879 -49191 -3492 142201 -9789 62304 -20932 188198 -8284 -82204 -30936 -28250 -67642 48300 -75858 -39256 10499 144066 12986 3640 -181848 -125651 -121847 -66682 -106443 64580 -82749 -48997 57233 -56057 259662 5271 2322 83414 32541 198758 173377 -5993 89717 -82496 -217594 178838 -40003 -147952 -5517 -19812 88750 -200297 79471 -64361 79557 -68476 50639 110103 -23637 149392 -8163 -91191 -122646 4395 143060 -34085 41478 120850 60232 83813 120845 47553 130853 -12228 -41010 93545 -68740 -160478 -585 -135833 -67568 -217322 -133937 6251 -64473 -649 -8708 56705 -15819 -10975 -99014 21363 145517 -42768 -95105 -159315 66919 223834 247698 -104484 1613 158306 149430 132370 52244 -17283 127830 109923 -116138 -186901 -60005 -60351 -119734 -92027 47488 -11187 37756 -98177 133754 -120669 63208 213391 -86489 129995 18235 213533 -15369 96082 -53990 27568 35127 -125282 -121446 -120211 -35446 87915 11849 -38490 -56933 15867 121628 -37189 
+-82791 -28566 -22064 33338 -178953 29634 73890 -27383 49156 -10725 -8658 -58399 53571 152452 -275294 -81375 71195 -219509 53972 46976 -56737 48616 41858 -77164 44689 97859 99511 11087 -88585 -92354 -63697 -33885 -65402 -217965 53661 174610 -96270 -10753 43701 -27187 -85306 -25047 178876 190571 -24493 -3839 18462 2057 -247493 57119 46876 -35254 -99556 -64315 50975 -83039 -150530 -26941 55171 -48608 16253 47456 -32857 127718 74326 56606 102228 -225113 -95753 7096 -3181 -119761 68047 24642 64270 -28790 91616 -66830 118841 147044 -230888 30059 25028 15455 28063 74572 55903 -89499 -1457 37356 -35398 72973 155387 -111760 84836 203297 25245 -76297 -116929 79108 -481 -50471 71364 68917 30162 212762 90629 79776 119587 189042 54150 40804 -193945 145853 -23500 -81320 -24718 -84455 -32721 210078 -39513 -78605 9111 174385 -67680 -20579 -195246 -137974 -53685 -151086 -86080 58501 -41435 -37906 41929 -51708 230898 -36174 4471 81978 35414 232799 118016 47460 81981 -121540 -86608 9978 125919 -140839 59291 -19907 63638 -64703 85116 -96838 93727 -87422 68088 -3516 24028 155461 15966 -190371 -112713 -68572 114875 29849 100427 127646 61935 96968 7466 30830 111520 64 -40391 90377 -72031 -87745 -10925 -138323 -28358 -78915 -129334 45881 19212 39021 5436 67235 163142 -6370 -78025 6048 108343 -57224 -156222 -140077 69873 227731 252717 -77000 34972 118239 18796 145668 29983 58458 122086 28139 1659 -108314 6477 -37528 -103384 -76285 50734 48558 8415 -61266 62581 -149362 85244 173913 -133365 89020 -84336 143913 -9070 82419 -4447 10881 47832 -99470 -89115 -104888 -62798 93532 12636 -59257 -123501 76748 81955 -70711 
+-100256 -134253 -7306 144468 -72255 26944 -80230 -17279 -112595 -31160 -9274 -44269 49234 181594 -268452 -110114 47468 -186880 41278 64570 -106934 3341 33323 -88233 47141 79152 50522 -9444 -15389 -74784 17308 24086 -34462 -212388 68011 80740 -91463 28388 -3160 -42811 19773 -64339 111321 180635 -86320 13379 -74942 -32012 -255616 9164 81057 -89468 -146407 -55902 49310 -131425 -25617 -22077 55506 -30380 22605 59190 -156597 149449 62962 19 163506 -80384 -99675 161079 43861 -74512 207575 -26972 58968 119485 153277 -10738 92623 179221 -217177 -4243 -60795 -8010 56004 -99987 67623 -106263 7835 25671 6725 71898 158440 -58439 49942 195381 -2494 -81867 -108638 114431 -8002 -34791 79964 -700 -13996 212151 72233 122035 58938 187449 42121 53152 -170307 91213 -5150 -115281 -26863 -127892 80498 251423 10380 -100766 29317 206555 -44929 10853 -190419 -111742 -51074 -109139 -39444 48387 1162 -26376 71578 -57260 102133 -54374 10302 64288 37058 213302 132444 -10054 62403 -116332 82981 -167546 182724 -118037 51353 -6967 52638 95980 84520 -77903 116197 -79000 118217 -22275 51122 166636 101163 -231674 -82398 -24452 52161 40290 140712 124015 76339 52313 -126036 -57511 66661 -25955 -43674 76801 -63662 -52113 -25883 -131925 92220 81536 -141768 76346 13273 97006 -3942 65330 221538 3036 -81772 51865 67348 -46368 -128199 -205365 52534 205446 244717 -23869 59773 106008 -50451 167628 -26519 -3954 95422 -12388 99053 -36886 58576 -33717 -74365 -60673 32834 108594 29204 6615 -116474 -166826 161837 96912 -86991 50838 -97477 -13284 -15724 70721 -82144 12921 88394 -109113 -33921 -69733 -245 89698 49202 -42865 -85917 23999 -47846 -94858 
+-96168 -162726 -64145 136724 11202 -11561 -161824 -6078 -258478 11795 29659 -45455 46895 145158 -242901 -157102 -18827 -152512 77251 40302 -135939 -20793 18063 -100807 41859 60170 -60384 -16310 27179 15779 79035 103375 -43426 -207005 68917 -94939 -91853 4894 -51357 -49307 -12475 -121099 43897 119707 -136418 33688 -207849 -38027 -195199 -816 127683 57506 -136233 -25141 45574 -106115 73078 -29690 62499 6459 42311 24588 -173222 83020 44761 -12831 90793 75465 -110755 214054 64338 -11121 238498 34532 51673 40442 133491 70558 83728 175768 -193780 -39227 -76051 -27083 44009 -241346 36300 -89401 15523 44086 24221 73079 154378 -8081 -45439 208389 10164 15036 -73907 71067 11716 -78752 66208 -25659 -49757 203376 53217 54067 -124751 121409 46888 41142 47743 31009 -26299 -127588 -19108 -177812 149701 112944 87073 -155166 26261 263842 95717 -13658 -184222 -122262 -110646 -43119 16853 49795 43109 -5601 84551 -29571 -3005 -32114 9956 44599 36242 131467 43729 -75863 37661 -100111 92488 -154523 209084 -104338 8823 597 16260 128771 73346 -82959 79661 -84902 87379 32983 51326 155147 165319 -135445 -104842 86817 -12421 66476 131829 88389 56817 2585 -183087 -129460 63339 -25121 -40695 -8689 -50589 46104 20258 -126146 141183 94726 -131847 12007 -20494 121731 16341 46682 92790 -6979 -45220 89179 16403 -54232 -80474 -201512 42901 171148 228391 -9808 74480 44580 22125 116329 -64011 -152440 103089 -45932 126735 80436 77213 -25492 -36169 -55049 58990 170534 4623 82321 -203131 -147977 126907 -10279 -96789 36258 -36509 -84476 -7936 62807 -161059 -4219 122921 -127573 33711 -48527 69868 69639 40732 -8331 -74606 -108693 -87838 -79364 
+-78136 -98384 -65324 53557 -3113 -2304 -38189 23509 -205328 3398 52075 -37149 46405 49652 -222554 -193210 -21142 -99098 91466 24806 -161386 -62489 3020 -74065 44020 48647 -96895 26819 76874 113278 116925 83259 -1422 -184616 61061 -131832 -82461 5264 -101713 -32686 -149603 -132992 -38909 44295 -77996 29297 -180100 -41618 -142757 -14787 118018 196970 -104637 -57214 -8557 -28880 82958 -2084 73625 39361 53123 -20230 -69447 26673 25351 12581 5095 42828 -120648 67636 98425 35523 165593 153528 82168 -99000 80807 118354 28636 153493 -171777 -84398 -8002 -41349 60260 -201735 -108711 -99118 4385 17660 34932 74432 155819 13124 -111895 202182 -84813 137981 -50947 -66829 2695 -124479 54928 26612 -71863 182092 34689 -70920 -244745 39935 71669 76680 109161 -24317 -11097 -93057 -17478 -194522 55475 -14088 188619 -207822 30412 274138 142115 -48936 -174754 -115677 -144545 65447 93125 41800 80590 -4436 25778 -34073 -47524 -1151 -5297 46551 33625 39898 6729 -181892 13664 -58606 -80919 49307 69491 -69343 -59683 21788 -3282 -49343 74021 -45118 77719 -49535 98975 95723 27324 141604 150790 -48617 -69059 92777 -103534 73032 92677 55541 22173 -75006 -148839 -92353 62137 -40723 -48689 -78894 -28760 12348 48334 -121208 182930 -51209 -148453 -23067 -79326 48756 16263 24818 -42026 -18575 13294 85328 -1677 -48889 -6786 -210525 55508 120863 221383 12160 92549 -24100 152940 86292 -58365 -169153 87001 -46933 113216 171505 74048 -26953 5243 -66400 43300 215718 21663 151377 -115572 -113080 95451 -151747 -105559 80121 16293 -67613 -11768 61947 -202571 -25903 149494 -123767 44686 -58517 38071 3163 34457 -31497 -35537 -116970 -69301 -82866 
+-67230 1712 -59638 -39136 -92142 -20921 106241 41523 -57918 -10843 19098 -50932 49149 -62856 -139041 -238344 -22501 -51824 25111 16576 -182092 -105117 -45938 -81147 44410 18308 -44325 -13939 109062 229535 121715 -3891 -61246 -180525 90955 -33561 -76001 47087 -127674 21770 -203501 -167477 -103615 21664 -1096 6220 -31700 -51248 -53369 -44792 111420 208128 -31057 -72985 -56364 23699 1029 -39648 76430 25219 48978 23101 75469 484 16004 43048 -10030 -140910 -134949 -76658 116118 54836 32966 216363 83728 -223225 89062 135987 25608 113663 -124616 -114131 104376 -48437 51616 -19532 -122852 -122620 -33160 40520 -1708 76678 154220 62526 -135104 210977 -69379 189799 -27039 -170567 -16527 -145321 54319 115733 -72926 144532 16385 -156420 -181569 -19857 60782 55085 -5277 -77326 11446 -92468 -13130 -194873 -64732 -62981 234172 -264751 16498 278085 55233 -66355 -154192 -126347 -108206 119419 132725 57786 111880 12362 62381 -28444 -57024 54620 -1536 56405 31360 -31793 -34896 -196455 2247 -3603 -212761 176131 -59158 -34525 -132191 41832 -24724 -197631 80649 9316 11507 -71406 83976 177501 -12575 149449 62624 2143 -22887 18882 -179048 71971 19011 30718 -14493 -123985 -27830 14952 43034 -2430 -48464 -172303 -16330 -10883 3915 -115509 201129 -184126 -154440 -19733 -158213 -42300 19221 2634 -22831 -10426 44959 72514 43103 -54713 52924 -196969 88031 60280 198171 18571 82645 -87064 239556 108391 -133600 -79978 55279 1867 22007 172748 11933 -50387 42889 -85769 28729 246981 39179 211505 42263 -86953 91990 -81370 -75441 114010 32674 84090 3009 68376 -93037 1669 175673 -91948 -110835 -98604 -46582 -39143 44166 -44479 -40662 -36453 6515 -71034 
+-73559 -13665 -11503 -54931 -159528 -23523 85336 66097 74706 -3292 5692 -58603 54619 -148485 -93088 -230611 31999 -2685 48579 29004 -193275 -96308 -79033 -99389 40682 -14907 83112 -9270 151153 261381 97800 -44843 -26107 -158178 86120 108813 -61501 -3358 -132113 -26842 -132661 -150706 -62539 -5824 27441 -3980 39346 -23804 -8531 -56405 134879 69547 59440 -43981 -127681 33366 -143203 -41954 84544 -10529 34521 57446 150094 49708 18990 73639 89395 -227188 -132176 -10747 150019 32668 -94492 147542 57287 -77260 62548 103713 -35533 42087 -81231 -100366 170449 -38546 20586 98130 -117275 -146920 -44358 32838 -47323 78387 153943 95577 -75478 204585 -99822 129893 -12095 -243855 22674 -139265 51412 134245 -52145 99293 -1375 -140884 3343 -41676 35823 86276 -188506 -109419 -18090 -77588 -19194 -169964 -53048 62102 282528 -214162 -5673 285057 -99377 -72942 -137260 -144248 -67437 108415 98042 58309 133929 5192 61598 -46078 45976 69436 -6947 49615 31090 -46214 -73644 -137867 6271 59775 -162771 99777 -112451 -50888 -165653 38783 -31343 -181241 89184 -10562 -49678 -65975 59105 179951 -59738 128536 -5976 8380 -42360 -65645 -229459 72805 -63666 40586 -57059 -130916 73324 36587 4844 -30625 -45710 -216460 2034 -58051 -10149 -123953 117446 -158963 -139534 17406 -139472 -92208 17455 -15416 111141 -6209 80201 116956 86350 -56325 -15660 -138929 96772 11716 102770 14513 90431 -145828 184425 58883 -135882 62477 -2606 52828 -153574 98883 -52692 -73767 71828 -91827 42658 250530 11528 222972 138919 -48267 55091 59506 -63314 120001 -5084 206386 -2614 80416 -804 3974 173304 -112653 -118558 -123184 -49410 -61510 29062 -56186 -88954 75210 103826 -69347 
+-63279 -119546 -885 50541 -164388 -36110 -65707 102175 11931 -2920 -11535 -55940 56527 -188856 91 -232885 81572 33605 42602 47002 -205202 -159629 -35818 -92019 38776 -46915 102425 -19059 164134 223687 24676 -46953 -47708 -146735 52204 191595 -58757 21071 -101002 -44681 -23019 -72600 -8276 35907 -13901 12661 -15866 -13255 72566 -57211 114440 -80931 150914 -63937 -190305 1413 -212095 -35106 91945 -52085 21990 36517 104901 126346 34655 18612 160810 -128534 -127626 143132 84199 -20822 -152054 25467 65579 122651 68403 32332 -48637 -28293 -44730 -64929 147963 -16218 17899 10510 -39729 -118349 -30975 13259 -27129 82046 141315 72507 8846 198080 -77081 5238 -23853 -252285 10146 -72369 40305 76461 -15917 56003 -18505 -27814 122688 33757 305 88128 -197686 -118856 -19575 -109928 -17967 -116571 81777 174055 287686 -227311 9536 286128 -50280 -29200 -108244 -151004 -65521 54437 36937 56521 146425 5640 27198 -40393 166686 33594 1490 125026 33155 -3259 -70849 -69539 23278 112997 32896 -122778 14039 -75022 -185112 6076 -33542 -83639 83635 -41937 -84146 -76652 13322 133256 -90219 152221 6155 -70752 -24694 -48006 -257652 87286 -130653 82404 -104152 -123664 130783 -11813 -28213 -2249 -44774 -211886 28643 -140102 -1974 -144953 60348 9951 -134286 28311 -105577 -33439 34984 -28251 190821 10039 62194 101359 146237 -52757 -72445 -159264 75766 -18777 75419 -15256 75814 -219889 54400 15114 -109522 42679 -29144 111016 -183175 16490 -101638 -48932 87346 -80255 26552 223662 1457 208673 63344 -28726 8539 157670 -58936 111271 -85682 239206 -999 94202 -57956 2569 199119 -86483 -70621 -125060 10398 -131786 9303 -38212 -123059 20922 115911 -45808 
+-94827 -148458 -21292 152756 -93063 -23739 -159058 123002 -124574 2321 9333 -52038 56830 -156875 59794 -201913 130283 52411 15562 60305 -196441 -170260 -31726 -87167 37453 -59041 29888 -3476 150949 131410 -52342 9408 3499 -125446 61429 122311 -44788 43817 -47420 -48064 43120 -68311 63903 87862 -92955 33395 -148917 4836 127106 -43053 45023 -107377 193249 -48582 -233156 -78299 -183164 -33790 98628 -39364 14674 44332 -49387 147251 55808 -14543 120397 59373 -128077 187602 86369 -81635 -107372 -31453 66387 74727 40178 -31891 -50477 -105151 130 -22074 67821 -210 35563 -177819 87449 -128750 -11298 24122 1473 82090 150916 77307 73990 202209 -73222 -85588 -63519 -154246 4937 -42597 33962 -1968 28822 13079 -35045 92270 51577 123096 -12154 96008 13538 -123977 -5155 -115673 -18154 -77047 129811 233587 206478 -237926 32982 256010 72084 -24029 -87001 -97375 -108940 -48435 -33690 63184 147457 -2647 73016 -34306 245039 -15611 23758 141140 35943 84245 -46051 -12982 48733 168157 120694 -209244 152135 -104886 -140971 10351 -35014 100397 84322 -83939 -36815 -79989 30146 39291 -92728 144240 94489 -162922 -22612 48431 -237313 103010 -165968 118236 -148730 -95283 62735 -82675 -10682 -2592 -45777 -165973 53905 -142914 4212 -129614 -62710 105006 -129528 6752 -40127 73029 19505 -20727 159826 2046 67386 121103 134929 -54145 -126265 -132333 113700 -36093 30674 -64657 65406 -195977 -30795 30313 -72143 -69650 -53268 130415 -169455 -102485 -125390 -50461 87224 -60418 26471 177467 36318 142913 -107208 -39408 -79599 156403 -48876 103787 -106833 182105 -103 104226 -165105 23275 174666 -105470 26625 -92517 58606 -183039 -14492 -28893 -100880 -76646 28023 -47274 
+-96033 -98182 -11824 166517 1982 -17043 -63825 118799 -277075 -34158 26312 -42213 55864 -61158 117856 -147159 127032 76144 20149 42032 -174605 -173830 15284 -46865 37909 -69258 -80584 -34227 132619 15736 -130085 61378 -25486 -98911 68492 -20835 -53838 34861 -9654 -28259 -62737 -43366 160739 151248 -118538 29823 -201046 6490 73106 -57219 -6438 69141 222610 -36330 -218987 -134380 -83602 -4380 105679 -11364 21193 10485 -167618 95458 70005 7666 7364 51617 -123273 83894 54129 -126421 35480 37371 62446 -67204 53428 -91316 -15085 -152288 25339 8391 -26437 20661 63688 -267261 94261 -149804 29674 14674 62824 84014 139053 34653 62366 197202 -62627 -71256 -95193 -27615 -12926 -57389 54927 -20419 67546 -23351 -50453 121736 -134213 183006 -12264 85184 94223 -96475 -2330 -84798 -18414 -28482 53357 149268 182184 -201408 44798 227650 139645 -31946 -65035 -90850 -120855 -126029 -78788 57923 137144 -10973 28082 -52066 241345 -41977 -2815 178065 37004 175218 -4892 35311 73664 206833 -3784 -25666 233059 -126867 -74472 31415 -23395 81604 83504 -61839 -39933 -92527 13184 5206 -67630 114949 167099 -209430 4956 97670 -179668 131068 -152645 131841 -177670 -26810 -8839 -121507 -62014 8264 -48119 -109356 64625 -185056 5001 -125605 -97794 19610 -132287 -2626 10215 123877 25839 -6258 5780 -6748 29356 119229 106089 -59553 -148283 -162236 62333 -37284 -18135 -81567 39384 -200964 -3292 6512 -80664 -178040 -64822 77091 -78370 -167945 -95949 -32311 71417 -54650 16934 119215 15311 73650 -205405 -65188 -101914 140371 -49507 62245 -23995 107 -5103 107755 -168424 16432 162928 -90039 64242 -58836 45221 -210165 -33667 -12677 -73124 -83823 -62168 -52355 
+-80987 -31399 9221 48159 -39401 -4095 113207 160262 -226586 -13006 30484 -36906 52792 56707 120649 -117855 85710 59272 22401 31892 -140815 -176186 34916 -97096 33150 -83625 -112665 -25957 87487 -72407 -199898 91629 -34790 -61900 84511 -141276 -55900 30648 52383 -45887 -172962 814 181801 183409 -60967 6867 -98382 21877 72276 -27449 -51759 230571 173692 -29793 -234155 -100119 38141 -14408 111089 23167 26023 32156 -166238 25323 73115 68124 -22329 -98157 -116445 -42924 35471 -142525 170479 158240 62415 -211289 17997 -107608 2021 -169388 53267 26283 -73950 19627 75370 -134489 49946 -179954 22290 10429 48793 84789 124436 4372 -10733 197932 -27140 37098 -128676 77157 8475 -106536 42818 23849 93426 -62845 -64482 43022 -248275 204365 -69897 80652 -15980 -56387 -13224 -78126 -19443 10921 -53286 696 99538 -133794 6668 163374 79088 3479 -34816 -60810 -125578 -118770 -118590 69633 116161 -35279 51589 -61465 150731 -62880 -37274 130963 35753 230711 31993 -14449 87229 223927 -181958 174045 153869 -130840 19811 -9954 1395 -33792 86556 -71562 9972 -100616 57091 17152 -23560 117745 161866 -171114 -25063 56209 -109496 122587 -99945 116444 -209942 60202 -125673 -40803 -73782 -6248 -56512 -17792 76754 -148002 8613 -130014 -43369 -142770 -121260 7675 14903 110953 30902 17112 -72138 -6259 -31872 134447 32448 -41488 -69729 -144437 115076 -10105 -45552 -118631 13210 -127383 111249 28464 -31379 -125023 -111967 47100 59346 -186786 -46248 -39021 42437 -63974 54062 57492 67617 -11965 -133517 -106433 -84957 -9514 -17800 64623 44196 -101039 -13535 103946 -155241 4422 129272 -101395 -22918 -53180 -26924 -277621 -44574 -43132 -63846 -22931 -102255 -20486 
+-78285 -16500 -69220 -55399 -92991 23351 117564 146197 -103588 -17237 9187 -44247 47431 144713 107616 -64724 48065 40512 12189 955 -111570 -190411 47351 -86377 34745 -83454 -18560 -22433 33919 -92904 -216322 34025 -55453 -47282 68348 -106006 -77235 43156 67505 -23534 -195376 -45673 156867 190764 -8361 -4075 35039 69692 -7884 -5242 -65689 200260 92313 -48509 -169468 -48479 94461 -43703 117184 32348 52788 65708 -53919 3988 64729 64421 49095 -224115 -103344 -13369 15425 -120347 240075 209259 81610 -101373 57159 -69640 38548 -157566 68846 30274 -35340 6439 64857 41535 -1059 -168572 3659 4260 -21363 82234 128852 -56157 -95725 199950 9917 154369 -110696 118220 12018 -133365 38971 109989 97888 -81577 -76966 -89063 -173904 146870 -103549 50037 -177136 -530 -7314 -64039 -27639 19440 -43947 -43865 9613 -112898 16598 160689 -83788 7750 -17974 -53682 -61256 -72584 -60412 65539 86730 -41525 59686 -48895 29946 -22650 -7693 105947 32906 222387 75329 -71072 87218 216159 -200919 88629 -14786 -132746 54343 5020 21734 -185914 82748 -33356 60976 -73987 44036 57286 19046 93724 71250 -75177 -13477 -46339 -15624 77626 -23982 88945 -235692 81641 -166128 29894 -79659 -14823 -46673 35869 88055 -139784 8519 -118480 7198 -205790 -111092 -12658 -27679 16692 57423 36383 37716 -17587 -99646 93512 25226 -63913 -39453 -94628 91988 39143 -42831 -128098 -19276 -32560 213628 58073 -4393 4250 -123133 -45342 120530 -156756 16263 -23318 4415 -85324 56149 6143 76959 -70717 32533 -137748 -118169 -105870 1844 72470 21319 -98756 -17873 93033 -30156 5840 121252 -107262 -139210 -67213 -40172 -283479 -61258 -48614 -48565 83237 -59069 -12019 
+-90445 -94218 -37231 -85127 -177055 31782 -54779 128008 95198 2332 -13070 -55225 47787 183114 66730 -11936 -17284 9552 28315 46131 -73874 -178800 38387 -114047 30045 -86985 73207 -16448 -25459 -49184 -219953 -7306 -27962 -14753 93435 98290 -82954 25545 70473 -25349 -85179 -106446 103231 150220 19345 11949 26493 56901 -41959 14344 -74330 -12393 15169 -50526 -126138 26581 39014 -56632 126570 1380 54128 28106 81308 49156 46632 42113 160570 -156935 -83439 138350 -11718 -68130 189304 141625 87676 76389 110298 -1259 70392 -138251 74959 -7012 67281 -11390 36977 78761 -104892 -124965 -31525 2479 -51738 78237 124139 -97418 -140786 198255 21918 187327 -97830 74603 -5278 -147187 57983 135338 83846 -102412 -88120 -163543 13017 55457 -133299 61156 -184092 54185 -18741 -52698 -29540 14984 84924 45692 -74987 -56599 26797 100080 -95962 5491 -4921 -44828 -62968 18918 -2966 54575 49772 -65012 91842 -39245 -40908 18513 -12425 80970 31033 156189 132284 -136863 71713 182069 -45231 -100419 -118818 -99725 58711 -40771 50950 -191081 101928 -19770 85691 -70003 58612 165898 51291 82051 3415 -15735 -15417 -61744 57930 75708 58289 43928 -215732 108295 -103125 40603 -98519 -28498 -50442 85463 93941 -95022 706 -97676 75341 -84884 -96365 41639 -83727 -42368 78851 59151 201628 -9769 -74000 134915 20109 -44157 38150 -97154 78705 84786 -96817 -137314 -47975 44432 205444 86552 64530 61764 -160184 -47136 139832 -35493 76135 -43429 -36708 -90079 71455 -38201 49107 -101956 150965 -158572 -51869 -109024 -25535 76965 -43066 47703 -14269 79893 -30572 -4238 89126 -126908 -141902 -103561 -7258 -288545 -14582 -31688 -77887 39924 33002 -23743 
+-69379 -157002 -11807 29653 -142372 41488 -169669 120038 111778 -9356 -24119 -60095 47892 145875 -7688 46658 -22463 -45575 20947 50095 -37068 -123351 4309 -94773 35252 -56011 114389 -21441 -58000 53839 -189131 -40508 -63316 5730 74819 192470 -93473 -5960 25096 -19416 22891 -101283 13053 93815 -13064 33049 -110999 88160 -137786 21270 -63336 -70432 -71823 -57770 -56193 37415 -95047 -30223 122443 -39838 52869 29899 153691 113998 26040 15340 167652 21910 -78908 182609 16970 -17526 70386 19783 70772 79039 98683 77812 104722 -85256 69857 -64116 157710 -35510 43035 -60214 -130743 -118853 -32858 -5055 -33658 77166 116475 -138780 -98150 199738 38280 110506 -31524 -49851 31142 -97420 60709 82328 49987 -79548 -97673 -125433 122213 -12904 -134614 43838 15929 114476 -19083 -82096 -23952 -27388 156695 179941 -61308 -22065 12475 63826 43276 -27861 9382 -48728 -81104 96288 64764 58899 8654 -91950 64343 -78963 -68849 55239 -12462 26524 31443 62657 194098 -176499 47333 128727 110853 -242883 -77426 -83092 28734 -49298 81305 -50484 99781 -8760 126561 -62669 91249 179249 52912 51463 8340 29094 -52308 39319 115338 109225 119652 36613 -199830 64257 12213 -45933 -114892 -33971 -49391 74850 107489 -39666 -10061 -117762 153142 77767 -79869 24380 -115870 -48360 76527 66759 187499 -16584 -104733 102850 44007 -46690 23984 -82187 100428 140055 -98810 -126447 -64483 111193 82579 126128 83839 -35113 -140098 -31496 83881 64727 82201 -40938 -74381 -80505 60126 -53528 26383 -103134 63346 -149508 8840 -29783 4637 87066 -86640 187246 -28927 68060 -128141 12218 62720 -87729 -3231 -124200 49080 -286724 -29047 -37805 -159660 -98543 98506 -12028 
+-90516 -120748 -50720 135887 -53235 34564 -82018 105826 -113800 463 45989 -62075 51646 52074 -94957 67273 -16978 -89909 23833 52053 -1156 -130186 -56156 -97748 33023 -41492 24849 -44318 -115432 176581 -126785 -35053 -3587 27187 51280 171465 -97027 11756 -17215 13284 -3274 -138355 -64000 31435 -86250 30324 -191598 66270 -200659 65354 3043 -27950 -117113 -61481 21550 -6210 -184742 -35796 130145 -50404 32912 34665 77173 129772 16777 11182 50888 69211 -65872 95063 61678 35139 -74027 -33460 46662 -51426 116543 117749 97846 -18683 61585 -88387 166752 -45926 24427 -218649 -105651 -76407 -36134 3484 20796 75313 107737 -175276 -14792 180550 15185 -15697 -17909 -175018 -17524 -52269 47816 -2510 6428 -78740 -105166 -9864 44018 -40774 -148885 39979 89422 160485 -4002 -104154 -29090 -70847 48223 230358 -68321 -7415 32462 -15373 132787 -25067 15912 -50831 -135418 95259 140863 54842 -34160 -103239 7254 -70930 11811 56072 8969 19073 33845 -21670 206914 -145943 21808 74251 61999 -97941 58636 -45129 -58395 -36801 76037 92392 110791 -51902 102035 -38129 90812 137529 24788 29182 91426 -47194 -74645 135998 143170 60325 145160 47749 -171684 17316 63907 -120662 -108762 -18846 -54480 35407 95903 -1275 25151 -161240 215097 90764 -83534 5626 -128648 11867 79523 56027 54148 -15292 -54930 85063 92755 -53357 -48356 -45399 107102 200601 -68961 -114537 -73222 143676 -21676 173176 126780 -153602 -121611 -2066 -22481 162296 44628 -72771 -103696 -62798 -9939 -42296 50946 -54677 -103750 -138902 28519 162678 17502 110414 -74113 252966 -26894 62933 -206752 28573 22252 -71961 15914 -112952 62755 -272135 -14932 -8729 -61954 -148349 69143 11188 
+-93317 -30731 1853 190317 18189 62269 90971 72386 -270372 -6496 24360 -51782 53764 -56486 -163650 82765 34678 -137583 12642 45342 40625 -95106 -53278 -123920 34108 -14638 -74286 -17060 -128800 245758 -33819 69935 -18495 53470 58992 54040 -93534 -2097 -65649 -41683 -138534 -144987 -74727 801 -111318 7520 -128138 30085 -230255 97651 29447 117892 -155359 -49286 25257 -80923 -221368 -21487 126643 -24198 18488 26467 -73644 88735 18711 34876 -26278 -64232 -69029 -76336 118562 51597 -153619 39331 50986 -169709 90650 126886 116662 49838 22421 -122676 105657 -47236 28401 -210649 -29667 -126710 -388 -1774 30079 70513 111963 -187744 68496 200679 -12623 -91601 -10716 -241552 -26851 -52628 51079 -30740 -34845 -39460 -110831 98551 -142679 16966 -194549 46642 -39075 196575 -23225 -20703 -34714 -125994 -59024 147422 -69005 86727 -2345 -59551 95742 -70504 19941 -86367 -157369 54122 136570 54036 -75345 -110622 60478 -47310 142836 28458 -11251 21657 36450 -51422 206884 -72255 5117 5048 -126637 131983 139352 -49087 -124822 -79100 89259 98146 108731 -36924 84653 -87238 88797 59890 -12644 24675 161569 -140086 -73900 73378 125989 68336 137819 87525 -118672 -61791 101078 -89572 -109023 -32371 -53086 -23328 95470 25951 -10522 -127981 155740 -56515 -65502 -9361 -89979 112181 91266 45556 -35044 1614 7961 97645 116458 -31334 -122733 -63886 69449 218532 -73550 -95515 -89668 150701 -22100 128549 184615 -168408 -75780 56677 -138235 180946 -8048 -67104 -120014 -55804 48942 -9085 9374 8732 -200989 -93795 85080 184604 42977 152667 -23907 168521 -37858 62988 -171016 33760 3989 -87602 20219 -77825 -22047 -218648 58824 -32037 -65030 -19933 -16872 27807 
+-80793 -12574 -8601 41591 -3585 61152 101918 48477 -219923 5169 28434 -43168 56828 -154985 -179165 101099 70100 -185525 8682 14096 68444 -65393 -67236 -81389 29548 17540 -102973 2715 -117869 263688 30854 79484 -2661 71477 58999 -96722 -89824 1644 -85373 -29174 -201127 -131773 -39806 7016 -68315 -4171 -15794 3332 -249025 111525 85282 183290 -142464 -41383 53468 -114154 -128430 -23713 130547 23525 11686 7917 -175768 26383 33758 55861 -477 -217175 -66763 -27413 132270 26974 -138423 154998 66199 -144242 129702 98688 80250 106421 -5327 -99488 5316 -32505 28461 -50431 93562 -48662 16502 2141 14698 74636 96962 -175256 70291 195608 -28355 -57250 -52051 -243746 -20582 -80039 37831 31549 -64083 -7695 -114726 119272 -247642 101152 -175434 61842 -173298 205852 -26292 -48520 -34652 -169737 -51493 16085 19021 101422 31746 -73470 -46007 -58541 7781 -47205 -96645 -30453 94852 53021 -113213 -128976 47940 -83828 243762 -14525 -18863 50715 36959 -22105 179406 -3667 2289 -48423 -218655 135679 224393 -45121 -193763 -117840 71247 -54033 107750 -60491 10362 -56065 99980 -10242 -61375 -11444 163083 -197155 -69961 -25115 68180 61773 83871 119688 -78466 -94083 -1692 -27395 -140392 13905 -54391 -119499 80730 26184 19221 -123212 79320 -188956 -62285 4898 -19968 150854 72824 22893 -43007 -21473 31525 85334 121355 -20872 -109839 -53684 86170 232471 -48639 -61342 -91367 127062 94252 98260 198929 -61156 -84049 120230 -189830 139290 -69556 -52460 -120012 -64755 35393 44584 32306 87546 -133861 -57210 125820 151578 50447 125315 63810 20472 -48420 71500 -106058 30973 -33902 -114674 -104319 -46809 -47498 -162700 56406 -16103 -66443 71378 -95454 40226 
+-83001 -94611 -14521 -55764 -103528 42935 -56986 18638 -39269 17935 2402 -39429 57243 -185868 -265107 78837 119979 -203300 -21899 30544 89706 -25549 -30559 -73557 29135 44641 -20262 -2156 -102183 189545 92947 48267 -32040 86657 70540 -118305 -90950 212 -109338 -62226 -135124 -87644 20871 30785 5932 11257 15388 -833 -179961 109995 109949 147645 -78222 -77335 40418 -117371 -6381 -11112 132321 35407 15367 72663 -161094 313 52863 65254 119762 -185056 -73328 152506 143956 -16243 -19502 203983 79923 58511 64715 35820 66124 164652 -55232 -65387 -67149 -7457 42522 87078 105535 -66167 23104 6823 -32411 73844 95322 -177401 21964 179155 -51118 59976 -63129 -169865 -19697 -123568 84562 115340 -74197 36663 -116744 28632 -164606 179157 -142607 75320 -168325 196413 -21898 -53857 -41185 -192063 86251 -59655 79796 77015 3460 -72564 -97953 -58416 315 -66042 -39723 -115007 60521 52380 -143111 -136079 79992 -33474 232737 -59306 -8950 54692 35114 59990 128989 48651 15410 -91428 -117130 -17818 116483 -67470 -165887 -105907 64624 -165926 113882 -75879 -38024 -76836 76010 8920 -83981 -29808 77085 -199364 -82418 -61955 2630 51103 -6260 134690 -31774 -121853 -89911 51386 -141087 14969 -53360 -186802 71678 -35230 -21987 -113718 -2119 -160232 -54479 -12634 -22327 97132 79922 2871 113924 -4202 66337 56013 105195 -38160 -86747 -39071 54871 227667 3644 -70291 -78905 88039 214085 122924 216956 56574 -49210 124367 -120904 10420 -116165 -23721 -104532 -84984 21025 105847 50328 159970 52546 -38910 152452 49042 80256 82466 8428 -121705 -42128 83783 -16401 42888 -28734 -80725 -116589 -49803 -4530 -126200 60567 -32480 -66833 44779 -95940 42426 
+-82668 -157451 -3665 -73582 -180545 60334 -168442 -9284 94934 -15602 -21110 -41057 55097 -160377 -269385 51982 122787 -230147 8040 51240 97291 -11587 3821 -99478 25988 78291 39146 8662 -76021 83903 112863 -1439 -56110 86552 111390 37928 -66840 4415 -138920 -18686 -15439 -87437 121837 111071 18942 32693 -69522 -6046 -127107 140069 88510 -13848 -7613 -51699 -7169 -63829 76921 -36643 128371 13706 36711 80851 -43998 53412 69662 36641 161340 -6275 -69466 251465 135098 -78880 155420 146573 89666 143790 69947 -34944 14141 175445 -79632 7255 -60084 10823 65060 34409 57451 -51769 12356 -3043 -58396 75473 87546 -135634 -69139 176810 -82850 167697 -108236 -42841 4364 -144872 63064 136997 -64327 81199 -116230 -100880 20962 200412 -118171 92219 51233 167414 -24402 -50352 -41499 -195809 135998 -3876 170323 90592 8620 -95747 16590 -36071 -16414 -55426 -56157 -166737 -61037 59677 -164917 -160364 28428 -64187 190995 -37897 -39684 140847 32299 157018 74425 4930 38341 -113245 74935 -215834 -21575 -112990 -142601 -135253 42092 -167970 112090 -86102 -49774 -90151 40404 74815 -95001 -48849 2210 -138287 -107630 5203 -79565 34373 -81079 118879 -2814 -160688 -204356 28735 -132452 10942 -53630 -215947 51754 -87799 -10121 -139323 -58765 14111 -38630 41469 -11123 -19433 76654 -18602 214434 -1301 82394 44115 50571 -18914 -40810 24910 40145 192646 20100 -28054 -86658 -13585 236254 49029 225878 30637 -24944 111784 17039 -120504 -116204 -33194 -75408 -89899 39289 165535 20967 206957 130237 -31916 143436 -70393 72206 62619 -65364 -100959 -52053 97778 -29335 28342 -64118 -74390 -53875 -90000 56698 -91655 43156 -42793 -112659 -102859 -19313 54782 
+-78373 -123944 -52143 70902 -153377 34840 -89583 -16333 81356 17466 889 -59503 51107 -67590 -279792 10832 92924 -230638 27814 64027 97583 34508 48845 -84115 29520 82609 94676 -8689 -53788 -25880 111504 -30024 -21898 104802 100011 158852 -58431 -3050 -58730 -30385 29700 -23250 175705 156197 -22720 30785 -187326 -10219 -77172 146127 100003 -111600 87948 -43861 -74757 -2179 62627 -16672 130556 -32368 45902 60044 98169 125855 74717 -20432 80884 85232 -74972 103714 95973 -124192 221740 24705 84940 22330 21761 -94022 -20053 167016 -123337 31795 24998 16336 39590 -139584 -74451 -41333 -15993 -49130 -23360 78529 88907 -75100 -117696 171583 -88094 181255 -109131 74800 -38497 -136938 83245 72105 -34602 122838 -114362 -168198 123474 161801 -110748 102764 120433 120705 -16267 -42083 -42246 -169800 56356 164057 238639 97847 31374 -81162 145309 -28234 -39690 -84116 -105695 -108749 -91559 48689 -176150 -168061 53237 -61439 87198 -123 8840 118760 30949 225003 22790 -61151 64624 -110979 105516 -127154 -107292 -137263 -112589 -153789 20155 -53295 110601 -79014 -62524 -76038 56801 143866 -69018 -79241 -181 -40306 -94272 84016 -169270 17313 -139928 77936 46012 -131211 -149397 -65806 -93898 10308 -56337 -206102 23468 -123674 478 -94188 -70530 109982 -35415 23218 -63716 -78264 74500 -24326 133066 -6291 47413 51703 20650 -7354 51747 19071 44644 140528 81549 32062 -43641 -59646 118011 23447 202198 -72986 6829 28658 65029 -176667 -92879 -19560 -37976 -79927 41149 216582 22926 225033 78460 -54292 118125 -123948 73426 72395 -105167 19302 -48843 105010 -133803 32106 -106410 -71752 27336 -111876 68596 -22447 56175 -22892 -100444 -75365 77293 65045 
+-79754 -41180 -82428 172583 -26387 36566 76784 -13491 -78900 14431 19607 -68699 45014 48109 -269509 -46401 33110 -199097 9629 49685 78481 49654 61748 -106204 23647 91850 36947 18661 26926 -83790 56541 -3675 4492 95860 69703 178602 -42186 -36579 -38835 552 -64834 -48873 177033 193027 -109370 8139 -166647 -26857 41396 144548 138982 -29519 168976 -74791 -115821 25196 -27132 -11991 123166 -46295 55905 55894 146191 163049 64374 14711 -5335 -27904 -78169 -40886 65508 -140381 212340 -28199 75318 -195796 36290 -93712 -31909 139595 -161149 40488 134176 21637 33714 -245322 -112356 -36946 -46251 -20715 919 79006 74675 -28125 -119978 167509 -79862 89475 -142227 121452 -224 -94290 77276 5781 7634 172285 -110062 -124540 36336 75149 -74291 84984 -28161 62948 -35319 -40909 -39420 -125434 -54253 235033 273737 53547 49850 -56143 92217 -10535 -67088 -76116 -150489 -39832 -75904 71254 -176240 -170966 27738 -46180 -6212 55907 -6321 166321 31878 232323 4678 -135602 83307 -91445 -47797 82708 -56846 -136970 757 -195241 -2944 115086 117765 -5159 -52146 -61410 40254 166443 -30984 -77661 81456 23212 -155473 80113 -231556 16191 -161256 47828 69565 -55934 -56800 -110754 -140131 -18452 -58327 -171009 -7594 -150682 35323 -134623 -49464 7009 -22051 -23561 -102160 -109 34112 -24226 -23216 -15963 26339 21994 9957 -5729 -3759 22584 80791 89311 141105 35239 -38496 -127798 5802 25429 163689 -164812 18812 -25000 132181 -193653 -28530 -33256 2808 -60902 10635 246010 254 197278 -84585 -92610 72055 -46719 85020 54393 -52272 181021 -58126 106883 -177832 22869 -97953 -71463 38783 -125488 3660 42780 5459 -39012 -100527 -20008 99192 53802 
+-85438 -1804 -65289 148419 2970 14224 118380 -2043 -269963 -1625 36842 -59794 47456 139966 -215068 -86211 -7313 -176267 48423 30575 72946 79168 32402 -125047 23300 105288 -75477 50388 75459 -77932 -7302 38056 -33413 100991 58154 93838 -55680 -22681 2737 -16036 -172995 -73502 144202 181414 -109963 -4206 -61526 -3201 102073 135423 79966 121256 234509 -63024 -205801 -1137 -153781 -35109 120731 -27134 52571 41363 63951 112427 48593 47721 6748 -192841 -91544 -30713 15982 -121333 109538 33651 62423 -161496 46016 -48683 -31406 96129 -200310 -4875 178383 5428 8959 -167814 -128662 -43668 -28963 4445 42398 79095 73118 381 -51771 159747 -79903 -34846 -112249 73194 -46261 -52156 75198 -20598 50215 196773 -103979 3283 -151189 -4445 -38077 85110 -167686 4536 -30452 -51467 -38779 -78779 -50044 182105 287426 38694 31396 -44642 -16363 -1344 -81872 -118359 -119794 81645 -53827 58717 -164912 -178777 48527 -60894 -42738 62524 6822 155972 34615 175705 -72936 -190050 89331 -43886 -203614 170754 117124 -106272 44167 -183339 -19742 98987 106371 -46619 -9096 -61190 18320 141152 18728 -98320 157255 -27918 -105132 -6954 -245369 -371 -155790 31020 77840 2255 84001 -77266 -153526 -38401 -54499 -92852 -32092 -177282 16781 -118672 21437 -152078 -15839 -20437 -118875 80798 53741 -6261 -48886 -8188 -15924 43402 63268 7252 -13298 54027 57028 32965 183453 5181 -5204 -194769 -43905 -4755 141798 -120526 80057 -51588 116568 -175797 31584 -49912 41323 -55202 12491 250750 31031 143990 -196885 -131501 16888 96131 75540 74896 39020 264934 -56964 100755 -118584 28752 -107445 -21118 -36933 -99648 -54106 64085 11747 -51830 -48576 69706 48572 71045 
+-95254 -57876 -59588 56291 10004 29528 -36915 5290 -229414 -8056 -16397 -46256 48388 176702 -145392 -152663 -45231 -124401 47388 14065 28481 112596 22923 -77396 24563 90582 -79352 4430 116082 -4498 -74545 100895 -48644 97868 65604 -73876 -58112 -33130 49114 -5890 -184819 -97458 56702 134021 -63923 10556 44080 -35781 103325 121288 75596 203491 218739 -42781 -262596 -85381 -206763 -41979 116862 15957 39105 22350 -93342 31349 26933 49532 98889 -212124 -102202 159687 -15359 -69956 -40798 154568 68606 24975 49560 7895 -22661 22181 -221872 -19802 137780 -18118 58586 20885 -44541 -41002 -3165 -10933 16098 83840 62608 34205 36531 163150 -66902 -93752 -60286 -32710 13786 -60365 83711 32219 83785 223855 -96129 106910 -249026 -33643 30506 63933 -170235 -45545 -14163 -38607 -43698 -31176 58761 26106 268939 42972 10129 -21092 -103090 -6286 -112037 -82991 -35370 106807 11873 59507 -143230 -160839 46406 -61249 8259 53211 -17237 103985 36769 86550 -76363 -159014 79977 8791 -181433 42137 146698 -98119 67172 -179728 -37018 -43483 98878 -7648 23545 -51819 45558 88004 46975 -97295 163770 -116150 -134784 -67172 -236818 -3479 -82667 48877 62960 59305 112020 -3334 -118404 -37427 -56060 -10093 -36066 -142287 -21068 -128356 124347 -206120 11532 35306 -116802 147297 67244 16911 75772 -1140 -33633 4566 96485 4114 -110529 77557 52565 -9533 241910 -31789 13494 -197725 54951 35783 121189 -9990 103442 -54461 39243 -72134 74616 -76766 70765 -64651 1432 225670 37662 76748 -141473 -154784 7090 186130 98419 138743 66934 186441 -61525 89704 -2680 20209 -103253 -64621 -120917 -57569 -6752 101028 -15065 -30728 -41199 36670 -42076 61817 
+-81994 -150744 -19395 -39482 -110995 -11898 -171521 38860 -69385 -2902 -23845 -41263 54771 155946 -65268 -181409 -40486 -83377 54675 42716 -9077 143107 -11592 -56658 17896 79598 -26460 24516 150301 112929 -158057 96479 -65646 83351 70696 -154779 -73885 -62396 80446 -34251 -83586 -126188 2387 76536 27693 32304 -8361 -16882 96731 111394 41648 112448 169115 -66819 -248088 -137084 -174818 -22112 108372 31998 20226 42517 -175261 20958 16211 12983 171443 -46175 -114201 219858 -11290 -14604 -136615 207448 69459 127127 84144 66533 -37477 -69047 -232019 -89350 37581 -35614 -2218 86173 18260 -36988 16999 -16586 -27094 83560 48155 48374 78318 167934 -25691 -40959 -34969 -160310 -18264 -116178 83474 96409 98835 214459 -86384 106776 -156623 3651 25141 65020 27315 -95327 -16979 -20032 -39680 9963 146324 -54438 169111 2743 12253 20690 -13419 10719 -133282 -131237 -50725 70883 100688 61056 -113002 -173218 43440 -28952 76458 2978 -19018 91479 36666 -4765 -66979 -68667 57441 83807 8245 -145911 173552 -75204 34932 -193475 -46639 -169175 98722 -9515 83418 -56313 62848 -9543 54006 -129055 85383 -195168 -146753 13549 -190628 -28557 -11730 81591 25036 83996 93937 46461 -92366 -45181 -55428 54121 -42314 -147882 -10871 -144696 154469 -70460 4416 46632 -82981 150488 70772 45406 183927 -15886 -76404 14734 131261 33387 -136063 92967 57995 -40890 227440 -46855 34825 -196239 185213 44705 54598 66672 128304 -6152 -105380 78119 74211 -33226 86649 -79376 18465 181339 14811 -15594 28257 -157313 -121749 168198 102230 156375 -19095 25758 -44169 76168 4435 10917 -97358 -105688 -116991 -47092 48197 100817 -36789 -50057 -69636 -74348 -86425 68898 
+-83658 -146782 -7423 -74738 -190423 -43505 -100114 57338 90739 4376 -13210 -38933 57178 67913 -2984 -212484 15386 -30166 62476 40661 -35873 126597 -35802 -65279 21704 49367 87666 42190 160213 216754 -212552 -16600 -33362 63644 63153 -33525 -76322 -49443 55251 -49970 23927 -127164 -77579 28391 33751 31232 -153011 6044 85761 82886 -18255 -18129 99445 -35317 -218322 -116414 -49477 -41039 100716 21021 11399 36638 -161829 50972 17057 -6524 117594 83890 -119100 118970 15374 38929 -142417 149449 71945 -104 70992 133798 -25899 -118248 -226262 -81787 -51131 -47660 43684 -33729 102091 -20868 18907 -19732 -44644 83500 49352 73896 55716 152150 10179 82222 12563 -249354 -53011 -154571 67755 137235 92955 202372 -75099 10759 29186 74360 67608 59726 101659 -121391 -23668 -17521 -35689 19570 64755 -5134 100307 -73302 11346 60149 132328 7247 -154396 -124228 -110812 9696 128534 56159 -75536 -150232 32047 -41992 180538 -40171 -18767 42131 34450 -50605 -40844 8468 32579 135572 123975 -184150 72197 -40275 -48714 -201155 -35960 -228502 105071 -68934 104147 -66038 61340 -21133 30193 -158497 7011 -182156 -120517 96781 -116483 -8816 68598 121308 13501 95802 -13944 -9050 -82965 -30535 -51174 82301 -67242 -58856 17814 -113824 163798 84393 7501 43196 -26391 45805 58172 59667 179893 1402 -111324 14713 122534 10103 -128671 104538 42714 -36094 264972 -90070 79333 -151653 238546 84248 1435 -17952 134687 55481 -179811 168045 35870 -59052 87448 -91376 8510 124871 28635 -64345 122582 -145735 -113036 60245 136128 157406 -86631 -83327 -42282 65629 -89381 6730 -68706 -82632 -14399 -69240 69107 77456 -34432 -34543 -106420 -138227 -65393 90940 
+-86265 -65218 -36664 25364 -141430 -19991 70945 83626 74122 20444 21527 -49750 55781 -53369 83926 -235155 78580 10247 71853 52418 -80905 153760 -51718 -75925 18214 16629 120281 44332 133874 254254 -227108 -26209 -352 43864 76611 96487 -88379 -40385 1856 14485 515 -140492 -84004 3158 -40796 8791 -192478 35086 11797 39301 -58029 -88242 -118 -54542 -157101 -51909 66391 -32748 99831 -20689 15163 20832 -11994 136701 32187 -9265 17555 6727 -121963 -47702 60256 51655 -31126 24634 67346 -170780 91089 120749 43249 -148772 -224885 -84242 -63553 -46203 80822 -216140 82383 -66148 -14651 2658 -22137 81960 42428 73980 -39698 143358 -5784 178391 -19451 -258700 -6451 -148153 81233 89043 67460 180197 -62271 -106114 123485 159703 81482 32455 -665 -128357 -23691 -8280 -37256 13330 -50156 130844 12245 -96997 -1877 101487 149230 -21978 -174902 -94028 -147943 -86061 99581 54094 -34227 -144206 68948 -22901 248506 -48869 -2920 43161 31782 -37597 4531 25148 10646 189743 29771 2904 -10089 -44998 -123701 -216647 -15933 -74556 101357 -63462 111391 -47095 123448 49515 -11693 -155237 -856 -132492 -101384 105314 -25543 -17572 121988 125723 -29154 49203 -112059 -80279 -87546 -4306 -59090 89823 -72815 -32151 30286 -135024 117459 98523 2853 33636 -17302 -15666 55804 65376 25425 -2277 -72208 -11454 80944 18563 -25777 97671 55878 -15715 247248 -96816 94743 -59411 145831 144365 -42528 -123812 127377 110149 -179474 186977 -16893 -11750 72543 -78721 31099 61369 25877 -97045 72536 -109868 -127007 -72981 155365 117975 -98495 -115400 -43688 60774 -199578 11023 -39318 -83222 14385 -103826 -2078 62979 -47087 -20882 -114759 -3856 40695 70786 
+-78111 9638 -22893 138687 -22780 -23844 124027 115111 -121434 -6035 21039 -58170 55354 -146005 131767 -237432 112528 46554 38175 49015 -118062 136770 -36048 -106980 18994 1084 49667 50574 151604 222337 -219380 -31691 11179 41564 88534 200796 -99290 -67968 -39883 -28360 -124555 -135971 -31679 9828 -96681 -4258 -91609 22985 -99508 33732 -66958 11816 -97760 -39606 -104845 2368 96821 -16862 94480 -48508 29000 3518 92542 156412 52584 50137 -14581 -168233 -131616 -12135 113794 28493 89427 -33003 52064 -181045 127243 73108 55793 -191086 -205137 -79217 -4537 -25050 83542 -240303 23717 -53836 -10135 -10856 14510 79528 41532 49722 -109224 146930 28205 171625 -48985 -178782 -13472 -120047 55015 8662 27116 142944 -47677 -165160 28326 203898 64030 57324 -198369 -114210 -10044 -33235 -32240 -22709 -55131 232772 -40745 -136435 12750 159711 5859 -77330 -185377 -119932 -133517 -140886 62868 52124 8725 -125811 35895 -39191 229945 -30593 6671 28563 30910 36641 68611 -10982 1514 220064 -164634 139970 -114987 -73169 -185933 -204825 -2306 97098 87414 -95928 57047 -57343 111520 116362 -51905 -192701 76387 -38016 -121062 39008 50026 -20271 143757 114443 -83239 -2429 -180931 -90475 -61311 20398 -57408 36145 -66605 30274 23961 -131618 34204 -67271 16109 16251 5193 -43743 44330 61628 -99823 -2354 -28537 30440 38635 46019 36725 97697 53994 24586 237773 -135258 102392 -2948 32646 131318 -81425 -178438 145468 126831 -58362 141939 -82369 -32150 44170 -60684 -21540 1411 -7842 -97993 -94533 -72215 -121235 -142309 121819 86525 -22801 -1831 -50184 64313 -183018 -1640 -23745 -47000 19473 -128186 -42809 36453 -28696 -33037 -120367 82567 101273 85559 
+-88530 -40110 6661 195935 6203 -18954 -14248 148043 -255883 3522 30101 -62230 51719 -190492 98868 -203535 129141 63675 4516 41173 -143963 116123 -17849 -106983 17845 -18838 -77196 16415 88406 140343 -163046 6092 -43759 -2628 57459 111413 -91550 -76027 -96814 -20011 -197565 -81104 55272 67992 -104880 9876 35548 36874 -169896 18866 -31419 165474 -145595 -55684 -24565 33035 20037 -33075 81224 -44365 49895 40742 141319 92587 68845 72879 46568 -218706 -125915 142206 138388 -17833 197049 39537 50195 -8882 80517 20261 122092 -178153 -168578 -24437 89940 -5629 61801 -77727 -71073 -59698 -18355 -34216 44518 78099 30151 17687 -134412 156864 23704 67256 -92740 -66867 -45855 -70550 50689 -22727 -16086 106903 -32124 -108993 -159616 174870 53981 56440 -142624 -81849 -21890 -20471 -29908 -64350 67494 209771 -114110 -186739 9798 198479 -82428 -58541 -189267 -101822 -83498 -105706 7028 57610 49821 -105350 63416 -58265 159784 10013 -8126 48758 32492 132416 137581 -79334 7872 222328 -221390 68504 -11139 -92505 -182852 -203313 18315 138854 82397 -80780 58540 -81882 87671 149271 -90829 -210185 158592 14718 -74602 -67482 110627 -4343 121113 86383 -123771 -69177 -121399 -44999 -54975 12244 -58452 -33037 -75504 4668 -29191 -153646 -42595 -191038 17270 -6204 -57230 4572 48878 45627 18520 -4866 13076 4224 3623 43312 41327 118711 42884 65957 201265 -117150 72010 75934 -35959 103529 -89657 -60777 109567 77601 28385 70277 -113362 -55936 6425 -52916 33258 -34604 -22500 -54643 -191506 -43917 -86212 -72909 119149 24466 45077 172546 -31225 74752 -56786 13647 -323 -66886 -113001 -115117 -38420 15357 14139 -67493 -60758 26170 102815 61534 
+-99096 -119182 -53007 45647 -13772 -12504 -145350 130406 -253193 24644 -8011 -54773 47696 -165707 112083 -171612 81328 63021 21995 9626 -151916 93139 11623 -124897 16538 -47475 -82021 28335 35811 25575 -89834 81561 -53325 -18063 58156 -7175 -80492 -56611 -117991 12955 -153629 -44985 130123 120371 -52124 31876 20890 69023 -225344 -3577 -34224 198541 -165242 -61511 4423 1827 -105544 -38096 81997 548 60497 51888 53763 26919 72439 40204 141767 -78345 -124530 238418 99293 -77073 241537 159544 45956 126764 105391 -58430 115723 -134952 -137583 -9776 165666 10755 28133 63550 -133278 -43551 -27294 11018 26716 75894 28062 -21372 -77275 141106 -26884 -52028 -101856 56810 -42360 -43942 52020 19578 -51559 58189 -15690 19499 -248158 97603 35860 39863 20181 -30463 -9656 -26606 -33445 -120080 146883 74054 -101296 -187200 23330 222386 -9304 -90603 -187671 -110543 -55262 -66069 -59460 55833 86850 -71883 72643 -34208 28918 59073 -14635 48948 35309 209328 155877 -128922 27676 202708 -66079 -119932 132864 -136808 -159814 -203367 54173 -41984 93350 -30006 -3025 -96896 99402 171367 -96361 -219224 166408 756 -62964 -37813 141948 -41071 61027 36463 -163968 -137013 -31388 24691 -37418 39229 -59780 -120310 -73841 -7428 8339 -125826 -69609 -147298 8301 -11286 -119642 68047 52273 15717 148935 -9274 71693 94257 8426 61460 -4960 84787 68112 122444 183825 -117411 81060 96620 18105 135373 -121225 46867 81925 32890 123382 -46184 -112933 -33065 -34860 -64988 30323 -54847 -17500 19485 -142554 -37123 9480 63749 138445 61881 39668 240662 -33357 87732 -11750 -6187 20488 -31023 -130755 -72528 49374 -33894 60007 -13362 -69203 -67689 -7086 49715 
+-97042 -150663 -35805 -53582 -131219 -10012 -114496 134265 -37881 31672 3590 -46060 47605 -80408 81733 -129003 67286 61788 -3885 35053 -182493 54703 70024 -90828 13541 -71106 -15180 15954 -7923 -68149 -5992 96069 -40579 -33221 64927 -130607 -80401 -106070 -101115 -5460 -18862 -82897 194791 158913 16709 31686 -105002 32503 -274229 -16114 27043 87129 -120064 -72874 51419 -64232 -196736 -22885 74814 38663 51501 51834 -89992 1498 65060 11179 158034 66608 -121352 110315 137595 -125197 151290 208168 80553 57915 104211 -76329 127871 -71006 -93909 34088 158837 24098 13096 58961 -113646 -75980 10818 -10516 -45532 73967 22543 -50668 13359 138042 -16199 -92614 -114527 118163 23320 -83462 32102 102950 -72382 9976 1567 118218 -149891 10043 32466 59264 109865 30998 -9941 -34534 -23451 -168378 49342 -55574 -53496 -212772 7782 252630 90311 -28638 -189204 -86931 -94848 45974 -91497 55686 116400 -64758 38765 -39289 -48021 71894 -32801 143726 36981 238148 200027 -203720 53908 168051 105390 -203976 198084 -152618 -81592 -174677 75236 -203264 84134 -17606 -46355 -66546 70150 90899 -67612 -219131 88511 -81043 -60081 50817 137683 -7642 -15168 34280 -203550 -149423 91670 26082 -50038 -16128 -59149 -188582 -64914 -75518 19822 -136117 -63914 17350 13041 13830 -112234 154964 26805 -4916 191612 -9623 79560 82055 51268 57255 -90253 97101 72746 172082 122115 -105604 65556 169059 154772 91661 -99498 40055 75388 -15796 133905 -161278 -81556 -50734 -73005 -84691 37671 -44478 -9392 99456 31479 -41189 86184 197361 125108 92563 -34259 196758 -26638 99872 -74658 -21293 58261 -56151 -51377 -46201 80321 -100934 59890 -37186 -94566 -103734 -76925 43803 
+-75434 -78775 7927 -70677 -133414 14096 63126 129704 102780 2341 -17601 -41573 49749 37486 602 -74878 -5382 17970 54405 50117 -196115 44894 48000 -109718 14075 -74207 66238 30359 -76365 -100142 64639 25331 8085 -72680 79763 -95346 -57937 -70905 -83778 -50001 34930 -31209 185084 181421 10765 9473 -186152 38627 -213722 -29139 41424 -38599 -67951 -45091 52951 -114068 -203311 -37831 69180 21532 37199 27044 -184052 49671 49221 -12102 45489 31930 -106291 -35448 83551 -140294 1397 144939 56441 -139761 82168 -88939 67702 3221 -45086 23432 75844 12827 36619 -117170 -56973 -136692 22095 -926 -57611 75137 17486 -102792 70090 137589 -62638 -22248 -98519 74533 -12322 -107322 35511 140799 -72326 -33284 19708 101548 38277 -26698 -14070 74397 -3038 88774 -4384 308 -23255 -192814 -56435 -16526 16841 -238921 37610 275518 159909 -10775 -175869 -62003 -139914 123053 -56061 54989 136836 -47750 71577 -36658 -24734 43798 -9542 139922 36260 198731 225009 -158050 76952 108037 84251 -55872 169978 -132034 -20481 -186467 83949 -191097 76531 -16624 -67098 -73573 27001 6596 -28563 -216861 8569 -172525 -54206 98553 82127 -18679 -90238 46540 -225539 -156669 122728 -51443 19384 7070 -56907 -215860 -46788 -114783 36347 -121442 27225 107394 17681 8012 -128923 88374 42418 -20314 98391 -7953 85818 88499 102545 66047 -129112 70503 69293 218256 89444 -86857 50818 127097 233829 82186 -84861 -94920 46069 -48285 58342 -187585 -20235 -47246 -102552 -92757 40674 -9720 11725 171702 121407 -76510 123998 199507 136755 107919 -109967 70193 -15317 106725 -165755 -1494 101483 -42549 21159 -53482 14509 -175437 83830 -54622 -100873 -54418 -76447 30301 
+-69645 -10840 -63784 22073 -168239 26479 127604 123256 81138 38338 32953 -43308 51763 129434 -47062 -23304 -32705 -13064 30518 54180 -203996 8983 31168 -103630 15085 -85910 110140 27174 -106293 -55238 106242 -58386 -18504 -109429 94526 45647 -57419 -112540 -11860 -45845 -73165 -104938 121302 168406 -41642 -4267 -153453 20223 -183933 -57492 105109 -78031 44819 -81309 46159 -110809 -115725 -41340 64391 -2160 20644 54190 -142779 123471 28206 1464 -36271 -133251 -99672 -55656 53338 -118293 -115731 23066 95213 -222218 36585 -52557 71318 60453 -20975 15816 -24894 212 49152 -248832 32609 -123650 7061 -12864 -32246 71903 10567 -173749 76192 136338 -85866 103700 -69964 -15543 -24852 -141909 36420 86720 -50060 -52342 38184 -5146 123755 -16123 -54898 83583 -192571 139022 -10183 2301 -19399 -190977 -51700 110504 87327 -241539 35981 269976 43832 -32705 -162418 -57613 -129879 89998 -3016 63788 147215 -30417 71145 -36669 18406 -1996 22580 175198 33748 111628 201164 -92534 88768 57361 -99189 178498 -10409 -95429 74355 -152395 89235 -22982 80407 -5732 -52673 -68777 -5024 -14978 14783 -228117 -3710 -216876 -38997 73370 21014 -3359 -147851 97646 -216886 -124481 51761 -128489 13877 -54211 -57650 -203988 -33560 -172812 12219 -170486 35161 27150 -1164 36588 -80557 22734 11839 -26041 -18510 -1137 56790 126546 123169 59020 -112235 38733 67095 230624 39293 -62598 38726 126582 181140 34437 -109720 -167344 -19300 -30399 -35778 -159589 50642 -74513 -119200 -81832 36938 40866 -2701 213191 64289 -115194 177795 98614 135106 121122 -68925 -82784 -12402 106395 -181424 -18770 111961 -51733 10721 -84346 -32938 -205397 47813 -16183 -99448 62291 -34293 13168 
+-83856 -49965 -14265 148954 -11223 58400 -3603 81785 -41180 23949 34552 -48050 55281 175913 -100112 10534 -17198 -52504 47706 50113 -185101 -24726 -109 -82764 11444 -79700 9085 30332 -120422 39482 129050 -63444 -46520 -122444 63206 146238 -47825 -97978 -5204 -26223 -175561 -84159 22251 112080 -113334 9240 -20127 18239 -121419 -42553 48861 23120 137193 -23483 -14716 -67514 6198 -48376 53247 -45986 15008 34068 -12644 131505 15590 49514 7386 -228829 -89828 138181 38024 -66308 -146223 -32596 59137 -78460 65651 18854 27116 138731 23545 -24029 -77668 -24505 55221 -190184 79725 -138619 -328 27915 25567 73593 -78 -184500 -9454 115069 -96149 185657 -31900 -137291 3476 -135795 28829 17720 -13676 -88775 56746 -125899 20003 48134 -103038 110635 -161885 178346 -9866 -35767 -19512 -184654 60702 244108 180964 -196792 8776 271830 -75041 6535 -139834 -58623 -94718 45925 85903 69719 146638 1571 68688 -34675 121525 -41552 1240 186128 31359 21062 149727 -11591 85640 -10536 -219071 129350 -31768 -59548 56520 -123274 78591 134987 68544 -36841 -23434 -85524 13326 10105 55327 -254844 68109 -196406 -14486 -59228 -78225 7079 -164774 130947 -231794 -55324 -80514 -89794 8854 -40623 -66745 -143889 -23773 -184029 -13381 -147036 165161 -145097 6700 5293 -6159 -52161 34524 -21063 -24989 -5415 35357 121515 140132 74883 -85685 49187 48235 221908 8944 -34815 -15041 28165 55135 17102 -59255 -107383 -29065 -15727 -124735 -48887 78082 -43672 -120413 -61099 67830 104648 37603 221607 -93636 -139979 129288 -22666 126086 131574 -11614 -86859 373 98494 -121822 12463 128047 -40905 -73451 -124264 -43969 -257871 60158 -51410 -40582 79854 57397 11736 
+-86232 -132303 -35526 161695 17991 60891 -150182 76062 -235377 25490 8595 -66137 57798 151863 -218579 52905 21818 -119640 41224 11501 -152124 -55877 -34286 -144780 6694 -71764 -77859 23030 -116297 152864 103369 -21222 -47398 -146524 55495 196781 -51950 -123674 26263 -63487 -195586 -152410 -42642 53786 -116278 31469 51870 -3369 -40675 -37194 142755 179140 190212 -65126 -100987 6633 85831 -29082 52142 -44194 12766 36945 125005 96836 17584 59368 124614 -114683 -73105 237475 -10095 -9628 -88456 36157 48414 91950 73746 89070 -35101 162735 44199 -66269 -40962 -43913 69068 -6947 40535 -132188 -26340 6403 18630 76710 2105 -177173 -86776 116291 -77187 159245 -21801 -233983 21238 -95164 43215 -27856 29563 -104157 75262 -164220 -167047 142843 -109792 82604 15300 202670 -8086 -21893 -16876 -136116 143638 203869 239098 -160973 -6457 267111 -47412 1393 -117476 -50589 -36833 -53525 112975 68627 134503 9825 54246 -65829 248855 -46551 -11307 136243 31089 -42271 125613 19119 67433 -61109 -134363 -36378 -69530 -45802 12830 -145991 65422 82242 85841 -53546 31176 -89822 28161 101808 51898 -247931 153923 -65907 -10446 -66150 -146762 22428 -143530 131209 -195880 5333 -145496 -9385 43810 -33126 -59392 -83677 18952 -191896 -8212 -162963 203098 -203697 -8687 -2632 -4098 -44333 -942 -5594 64914 -17619 -43430 93640 82709 82737 19150 27123 98214 210973 -35824 -2915 -58028 -49700 -46198 44736 -19947 3722 -55013 53401 -175440 17828 70367 -28550 -105785 -55791 41046 162750 32779 197185 -198466 -161468 146322 -126658 115474 83160 51813 5236 -7834 86674 -27856 11410 132097 -62545 -138111 -120404 48395 -264729 -6109 -16554 -42873 -54641 112540 -523 
+-81869 -144570 -26721 40535 17277 45781 -119033 48160 -245341 14170 12894 -62269 55604 73209 -300516 95615 52190 -162612 38025 41770 -121018 -86463 -52307 -109237 7974 -37681 -91683 3085 -98939 240796 42872 33450 -42756 -164727 59510 12203 -63067 -133067 50049 -13891 -95104 -153317 -81458 14207 -38038 32101 -37190 -26036 67124 -35330 163443 161937 213809 -39211 -165665 24313 63898 -16782 45885 -11021 32001 51112 130746 37664 31519 28120 168421 46527 -75119 121795 3881 36168 37638 152304 71850 47591 72077 134212 -20040 193409 73555 -70108 56136 -51526 54200 96069 -9446 -133869 -29000 26769 13445 78110 -3612 -185629 -129311 117757 -54594 45022 -16775 -261572 -20128 -56668 51259 10076 68835 -91732 93963 -90035 -246672 196131 -150601 55400 99818 207668 -30592 -31675 -19000 -81475 76352 64269 268268 -122471 -14712 225474 82643 17251 -94874 -52199 -103194 -132983 119525 58486 111490 -4746 59878 -41222 252930 -15726 -13932 88988 33134 -45959 35068 -7947 42320 -100854 49470 -205246 -3052 -42883 -51611 -108198 50345 -32406 76365 -63532 74754 -86191 38657 172062 29859 -228186 175973 -14567 -15460 60515 -210451 26875 -84057 119447 -154158 72257 -177019 66816 86208 11793 -56878 943 26990 -153135 22657 -136727 181432 -75164 -20787 14806 21031 61144 -25071 20495 196971 -16137 -65187 123191 24915 94494 40113 3095 96309 163618 -43848 23342 -71281 -109550 -1490 34369 14118 55441 -84597 117985 -110293 133243 27459 -40348 -77350 -61972 43844 214412 16113 134678 -150617 -158037 110596 -88267 100915 88428 29488 165295 -1386 73565 -2878 10974 174824 -5387 -102384 -101572 94041 -278847 -13380 -24646 -41063 -170889 59455 -13382 
+-88276 -111109 10041 -49518 -95417 64698 45684 15260 -79871 7266 -30200 -51991 53533 -38111 -291546 82831 117795 -197516 50455 38329 -92320 -130664 -56539 -111529 9977 -26042 -2988 17890 -80486 257292 -36057 97474 -19702 -183097 62255 -99046 -68936 -104232 71005 4856 12065 -127519 -63585 1412 6015 10187 -146487 -57850 78272 -5422 93852 49742 205564 -29209 -233316 7515 -47832 -40337 41657 28181 52091 52963 34141 1179 51170 10905 77829 63112 -71475 -38128 10116 53229 176890 211749 71715 -94023 87468 128710 -38549 166936 76351 -98327 154842 -41493 77919 -15952 -98511 -149026 -19210 37479 -17337 80061 1209 -146234 -110368 100283 -52282 -66712 -39945 -209025 -1121 -53735 49918 89768 94039 -75732 111887 38085 -141712 185667 -169858 93035 -32712 182624 -28833 -99985 -20567 -41320 -51385 -19451 274850 -82045 31486 198243 165731 7269 -64735 -89627 -131560 -155284 127556 61302 80622 -12509 85339 -60679 167053 24946 7581 77882 35840 13194 30800 -55782 17401 -122135 116169 -97152 178321 -59415 -126496 -80350 24087 -142708 58308 -101624 68607 -97334 59733 182566 -7895 -264156 97291 -18328 -6960 147964 -250636 47014 12869 74215 -118094 88680 -113277 22973 86835 12100 -63829 75209 50600 -79322 8275 -104169 125747 80491 -10522 39108 -64335 98950 -1834 43397 154051 -11693 -133021 129253 3254 90080 1408 -31064 62572 113260 -87809 11365 -84750 -177599 104263 54854 57794 -24606 -123998 104471 -23430 167874 -42182 -46810 -39847 -79618 49913 244097 13056 65137 37841 -122464 44627 35505 82271 55657 -67676 247537 -1021 64434 -111931 13181 168887 -49319 31520 -68108 37186 -300911 -28715 -37734 -76550 -42861 -26776 -21335 
+-83914 -22324 -41127 -49866 -172362 52961 124278 -10181 56242 26841 1238 -43777 48844 -134163 -295673 91412 129648 -221931 24383 60652 -66647 -164438 -46344 -66966 10007 14045 86799 -2657 -40328 194729 -104943 76527 -544 -187004 86279 -113880 -79873 -128151 42607 -19722 -2665 -116523 -16198 39036 8995 -4228 -189093 -13447 129100 -3335 60915 -102449 138490 -55970 -236350 -55932 -143393 -45938 39807 35030 48203 13581 -114364 60360 68634 7731 7054 -103152 -70681 -17170 90906 32656 237540 144550 94443 -196006 67166 85424 -39361 122933 71794 -104627 180585 -24613 14768 -192737 -126446 -165323 -6483 28908 -62865 80890 -4399 -122242 -34586 117018 -7440 -88052 -95129 -80792 -17925 -92499 47325 136317 98445 -45678 129566 112416 46175 119292 -178937 59158 -217945 145785 -22947 -74141 -12514 -4169 -61529 -41259 256625 -12252 -9240 171256 54255 -31520 -44356 -76302 -149119 -72296 27798 52374 42760 13351 51005 -67451 70614 51670 4139 47976 37102 107458 -50357 -127138 3593 -105805 -16281 116046 206701 -71347 -193488 -99751 -1719 -167984 89921 -62972 107784 -72430 77196 122127 -53121 -236810 11285 -72622 -41514 62757 -247588 71209 81449 40343 -70846 80441 10241 -107314 116581 7920 -62895 80612 69573 -19165 20506 -141815 38162 79920 -36998 16019 -115278 110072 -13900 60681 -8364 -16045 -100031 80799 21920 97660 -88458 -42840 68319 54550 -105098 14611 -101887 -193510 223904 80021 129133 -170623 -122138 106127 71103 97666 -97424 -58583 1392 -91298 27175 248950 13439 -18781 131648 -80221 4359 143207 106099 34879 -109635 188579 -1517 61273 -191364 25382 174887 1472 39118 -36736 -52401 -266265 -57048 -46436 -114015 65879 -96072 -15744 
+-83515 -32841 -8574 31317 -127072 44462 16912 -4485 73573 7533 39019 -40765 47937 -185751 -278922 63702 102906 -235615 -13978 51160 -29264 -165014 -3078 -90544 4547 41376 110500 -27018 -11458 94112 -176635 -42078 -31465 -208808 75700 -22083 -88549 -117853 -25951 -34084 -127201 -73331 71515 88243 -70874 8547 -56564 -81955 109270 32691 -4567 -54942 71235 -71261 -234042 -117320 -220875 3224 44969 972 51500 -103 -178600 123150 72147 64703 11722 -229748 -76735 129496 81177 -18547 181042 23846 84417 -69147 144866 3861 -7439 53837 57657 -58435 117863 -6787 58775 -251956 -86215 -178463 17216 3929 -13933 82324 -3424 -65685 47037 87665 15038 -1762 -103160 40018 -45599 -138256 66304 98158 81555 4646 146023 96870 123009 36582 -169976 38383 -179499 98108 -1319 -57703 -15396 18597 65407 86199 171336 11412 43195 98098 -61033 -47561 -25665 -86336 -116888 -20516 -28121 49276 1083 -4612 57608 -63507 -43228 53619 -20527 43997 35871 195832 -53063 -165215 3402 -75385 -199286 175472 137884 -127422 -189716 -42809 -25420 -30167 81709 -22052 85802 -85693 117865 61315 -87769 -230679 -6285 -137363 -25287 -10183 -208051 63215 136731 28440 -30857 43456 102558 -149074 157632 20711 -62989 76686 82943 24239 10268 -105234 -65437 -66157 -49390 5201 -143174 92993 -14071 67101 -51208 -4090 -80021 96422 66251 99601 -141001 -49046 63442 6719 -94985 17839 -111821 -211662 216370 111453 172799 -151315 -118713 35017 152976 74109 -121649 -40366 39947 -83164 43420 226833 42356 -73806 77155 -46542 -78954 195154 86880 101248 -40744 61808 -4999 66596 -172963 34767 162497 -28272 -18582 -69523 -40341 -205598 -28266 -33700 -95027 83213 -89028 -40886 
+-79229 -99988 -14849 168646 -55593 56359 -142638 -10474 -56702 44996 33898 -46195 48811 -167534 -239898 20182 60589 -223699 2875 39136 27510 -199281 54196 -96861 268 56187 31480 -1595 95590 -17219 -215451 -66905 -31545 -213941 79703 157611 -89771 -97525 -51936 -44866 -206524 -59394 148297 156790 -118983 31058 21364 -30247 42108 45806 21084 74874 -19759 -43075 -210864 -133534 -167654 -41538 43239 -35791 38878 20336 -127669 153253 65259 83949 82706 -155379 -72014 196295 125354 -77905 30671 -33312 61814 81622 135637 -65685 18323 -8240 31444 -28042 9440 17096 37520 -127779 -14731 -149579 16239 38971 20403 82992 -14683 -8648 76490 90722 26319 123634 -124632 111261 1142 -163431 80409 13332 47966 46827 161516 -22029 9802 -30396 -137509 68545 34413 36419 -16689 -57879 -18764 16036 153081 226950 106947 30727 -10233 27449 -80711 -43209 -7840 -96838 -39619 74994 -78148 51913 -41435 -8573 59229 -57323 -62950 5450 514 42998 33087 234305 -85169 -152365 18491 -28855 -188346 -26336 11583 -148492 -153950 -13138 -27365 92855 84113 -5943 54312 -55740 69435 7943 -96737 -244482 62140 -210878 -45503 -52259 -131153 102646 139926 62250 18953 -22424 145411 -73845 130288 1571 -60047 26914 92802 20987 15708 -119765 -70049 -192236 -69685 -21575 -100837 -37779 -25826 59223 -2740 -9766 -6291 111488 113067 97355 -110472 -74499 92940 -33032 -89096 -25023 -91844 -169909 90676 134342 181110 -49227 -132448 -16519 110630 -35279 -111269 -61953 69770 -64560 70267 183324 14232 -97126 -73966 -38036 -92205 132027 98042 164405 14520 -96448 4944 77765 -60190 12112 115651 -17808 -101175 -103105 37106 -201946 -43362 -20280 -74738 -52075 7035 -47241 
+-75127 -171972 -41081 184854 26598 22716 -123358 -5252 -243780 -873 -3264 -49062 50470 -89814 -132093 -37944 -15520 -197074 4735 21970 55599 -181838 63690 -86497 3947 82643 -56673 -24445 136517 -88766 -209978 -18134 -8517 -218838 58273 221010 -95145 -122041 -104079 -29521 -154006 -45735 183227 184384 -91117 32455 11900 9214 -20015 74514 -42100 205072 -107332 -53904 -173585 -65284 -41254 -13734 36653 -43256 18868 35073 5569 94330 48771 56065 165714 22690 -81074 120063 134595 -126125 -82359 31519 54991 92215 153088 -88070 59720 -82082 3041 -6629 -75286 21140 86877 51199 55544 -141093 -3539 28738 52517 82955 -23266 23869 22371 93390 18395 189453 -95817 90661 -5983 -128568 75105 -26033 3992 83690 176186 -130017 -174326 -20307 -157327 58895 109771 -23035 -13271 -68125 -24930 -15852 78169 226752 17850 83243 14880 33348 40335 -20040 5193 -128936 -52048 92089 -98580 54606 -82413 -27526 42143 -49001 -14810 -9315 3916 69614 31030 209268 -30820 -67663 42376 25004 -18680 -191047 -88054 -148300 -82496 -38457 -39819 80464 94960 -11156 12819 -73901 92567 17949 -70567 -226286 151275 -199927 -71326 21745 -52641 82674 108726 95868 36283 -97598 45602 18701 168824 -19705 -67933 -76255 97291 -14025 -680 -113531 -27327 -145382 -69740 -13896 -39711 -96165 -8267 42297 158802 -8649 -1864 87776 146821 94903 -67548 -123839 69395 -40051 -64543 -72050 -65013 -125842 -29894 122458 195273 39306 -119877 -59883 61822 -189327 -49337 -78601 86476 -54722 61395 126803 17520 -84372 -205432 -37230 -123286 39010 58443 141095 49423 -103434 -7979 91313 -1337 42270 125221 24937 -93753 -126168 68049 -151112 -23040 -49841 -35364 -86284 83255 -59174 
+-90208 -136587 2387 53337 -29334 10868 33460 -4314 -242208 15217 -5206 -61996 52523 22661 -64809 -74232 -38301 -165613 -19871 29503 66991 -194585 54217 -86909 155 97092 -84715 -42354 147911 -74039 -202424 36202 -14604 -209092 49937 80548 -89046 -123661 -123385 -37542 -12154 -45154 158764 189907 -45162 10854 -114924 28162 -95186 87984 -63664 148456 -148608 -53370 -87757 5891 58254 -40354 39392 -23792 7984 45937 137966 26031 29745 9895 123881 73258 -88677 -29708 122616 -141413 -147973 155714 57910 -50010 89574 -88428 93821 -113715 -20262 61462 -74680 8336 99918 72973 71781 -161774 -33416 40073 5976 81978 -2091 54899 -63021 89927 508 143634 -103773 -6418 -12804 -74338 76044 9346 -36500 124340 189145 -159987 -248499 28058 -112438 59344 -12735 -71607 -994 -56990 -29171 -63875 -44796 83173 -41680 97717 -2534 -25375 136154 -34254 15119 -140234 -112666 59579 -49363 59509 -118651 -34313 47350 -50722 98691 -56261 6454 125523 31334 137069 -13727 5243 68732 100869 118214 -147503 -87649 -100868 4932 -14780 -35205 -33690 106121 -41237 -40373 -34286 66338 87498 -24986 -202959 169554 -140268 -79740 94797 30530 60337 41452 125640 60156 -131005 -75810 63802 152075 -19830 -56593 -138575 91891 -56178 52421 -129484 2486 20550 -81979 31940 -17082 -4357 -25607 23546 186148 -9103 64215 91387 113202 96244 40451 -101891 65060 -29548 -6821 -114434 -53251 -40691 -24103 174653 214586 21461 -91706 -34679 -80659 -209236 14468 -47659 87936 -62305 36718 63271 25884 -48802 -138368 -63233 -139741 -35005 40277 127704 -11376 -25654 -14533 102121 -121681 55426 113200 -27488 18970 -115082 20390 -88511 36216 -61386 -45465 -93007 96920 -63913 
+-75867 -35021 -16868 -56376 -114342 17595 134713 34014 -89158 42819 -14973 -57429 57083 122839 -19183 -124093 -35553 -117564 46825 36670 85189 -165549 21835 -64761 1789 97979 -20030 -16542 156790 -14737 -129225 110718 -4884 -200905 70828 -56539 -80449 -134350 -91829 -12673 16348 -103391 93336 154253 12519 -4197 -188430 24108 -158090 128763 -48296 5611 -150627 -72018 -20032 43581 79440 -36743 42210 18076 17999 55381 125253 4500 17237 -19103 15249 -69823 -101849 -31163 72271 -114527 -113115 210933 69316 -208211 80141 -43087 119606 -172373 -70508 36387 15586 -1472 62445 -82610 64153 -121095 -36323 -376 -53791 75817 -4047 70222 -115818 82702 -11106 22616 -34518 -127751 10652 -48620 92314 89079 -63944 167727 200748 -83455 -131323 132013 -75071 52735 -177565 -105381 -3895 -137588 -30493 -105238 -66081 -35795 -95922 78043 26671 -53799 127354 -42090 20465 -126596 -162532 -12890 12476 59228 -147860 -59461 15741 -52438 180341 -38982 -28760 148484 33717 41338 29114 19986 85243 158911 49228 61514 52470 -88223 38204 26215 -20658 -189585 109762 -75146 -58458 -77151 61742 195221 11921 -203492 106945 4019 -38296 93163 100112 115543 -40390 134264 67807 -152573 -163151 -26364 232102 -45421 -60313 -190651 94966 -102719 22793 -105247 98759 113102 -81137 60149 24410 98782 -6211 -3658 79077 -3997 64265 79002 66781 105582 20787 -123581 58400 4932 17249 -105660 -24973 41640 83529 84976 185491 -82872 -101562 11861 -169356 -154480 52891 -20184 73713 -81198 17617 4773 23712 23360 23831 -96194 -80718 -79927 51510 106374 -104480 131771 -14598 107714 -149815 33304 90612 -12449 44720 -77254 -35189 -39668 42718 -48828 -71602 36553 20821 -64152 
+-102639 -11736 4405 -69461 -185441 -31288 20215 51035 92331 26710 17197 -49260 58780 188628 27198 -164391 19975 -59480 79411 46448 98728 -149123 -38088 -90585 -135 91130 112962 -22876 150983 103144 -69333 111170 -1144 -195830 71757 -131767 -60394 -133023 -77542 5431 -59368 -112201 -4069 94839 -1020 7885 -123281 29083 -221667 124002 -70965 -73629 -96414 -61468 23561 -3533 654 -5964 46732 35401 33936 18721 24410 44526 17325 17105 7149 -213208 -108314 122126 43431 -71599 -9381 147350 98827 -123102 57493 38318 89377 -183309 -111564 -3394 125882 -25247 69388 -240095 -73428 -97122 -20622 51628 -51141 77982 -14262 77382 -121400 61240 -14771 -78536 -5553 -232471 2667 -60478 77909 130509 -73651 195799 210750 50124 53459 193192 -27895 75731 -175452 -130975 -21151 -131967 -34784 -159509 57278 -57929 -101485 90838 11169 -77690 -54162 10579 11726 -117397 -123059 -109306 88544 54552 -167785 -69018 58632 -62285 263865 1619 -11527 186253 36360 -29854 79440 11164 88018 191792 -140916 150174 179810 -48001 59406 32733 4595 -196664 97471 -86823 -57410 -80753 39966 153427 46174 -185001 17963 15424 -125838 -343 133481 119966 -112082 99055 78497 -136591 -161570 -126547 223287 -20680 -61725 -203591 83252 -137400 -23782 -138842 163426 31617 -101339 44975 -17686 122501 9800 -22074 -70863 2865 63142 25602 47590 101685 16052 -135550 54517 42495 88913 -137639 -3779 120981 203510 112328 172663 -189971 -54371 73032 -163508 -81369 77917 -27354 45387 -91798 11941 -36081 16524 101337 134198 -138861 -10024 22976 55409 55129 -83829 241638 -28203 105575 -200750 32559 49847 -9071 -11100 -56576 -44239 18656 62549 -39542 -122076 51997 -62331 -70312 
+-92977 -105094 -28807 40724 -124354 -16630 -135624 83460 49944 20044 47170 -39291 54263 154433 117351 -214040 74543 -9159 62324 51145 102537 -108145 -57887 -85379 -2412 82459 99818 -17516 148266 199244 5677 -18190 -23056 -178587 98227 -64947 -54045 -100765 -20401 -30863 -169013 -144287 -56380 26568 -49917 30587 -5526 60924 -235990 154119 2732 -32504 -22180 -64272 58643 -66569 -126702 8494 50883 16002 50923 48333 -131253 128402 29048 87364 72035 -181247 -113398 231536 23544 -5916 143277 28781 82793 -7991 61371 95805 103155 -167036 -141021 -63173 177010 -42477 42481 -214629 -136407 -91694 1615 45909 -3469 74730 -11105 76108 -66882 41596 -20357 -79648 -37796 -259319 -51634 -101853 83330 100643 -64348 208731 218957 121369 120752 200610 -16729 81298 8483 -121307 -35089 -125816 -36530 -186200 140970 67112 -54788 106594 26971 -83679 -97639 2805 2573 -125442 -73093 -139327 115784 74002 -176789 -93621 34775 -68837 223732 37623 -37943 178751 36883 -48367 147687 -87568 75720 220120 -222469 45854 150765 -26582 41424 35796 18899 -73779 95541 -58977 -53976 -72322 -21549 103842 55068 -176851 -9469 -45384 -116228 -66518 133569 96779 -158207 72048 22327 -91914 -55323 -92459 207929 -31532 -63179 -196787 77131 -186394 -5654 -106150 170641 -164460 -106684 -9024 -84961 82701 -5626 -28879 -63565 -5811 49722 8646 6697 102786 -45513 -161031 54102 98639 139271 -137145 25378 138966 214447 15802 151742 -116730 -33155 116959 -167802 10220 61889 -38902 8122 -82922 37180 -50708 57195 165473 66271 -157464 2157 83600 39683 61187 -24767 222919 -29631 95768 -94058 12906 27720 -24266 -72948 -56993 11395 51375 53701 -25560 -69220 -42532 -122782 -94246 
+-89885 -148454 -21611 159400 -38524 -7269 -137223 118315 -82768 31937 15508 -40507 51924 75990 123630 -225655 109993 27329 60601 32274 74899 -72925 -45196 -101667 3051 66295 11109 -6013 84640 247493 88808 -50784 -94729 -147138 72333 112870 -48533 -100868 16809 -12657 -194236 -123723 -91944 7431 -128290 32828 47661 48686 -214012 137762 573 100027 61226 -64584 33952 -125429 -209380 9056 55385 -26571 55649 15645 -178887 133789 49983 66672 164164 -14500 -121053 119983 13947 36742 222511 -31954 66212 127148 69502 122161 75073 -118964 -182103 -114320 140903 -52167 19876 -33581 -128582 -62837 10732 16343 36760 71763 7192 66353 18192 55239 -85040 19765 -35021 -191927 -13290 -157561 68187 12845 -32673 206692 225241 90284 3018 134208 34716 89786 134505 -100833 -14466 -128228 -45501 -197082 78806 188094 12325 15286 35124 -75245 55922 -5999 -12959 -138762 -58206 -124702 124306 62609 -174887 -96527 51659 -45325 133318 51487 1255 126392 35153 -5388 188228 -162744 52978 215873 -88412 -156096 87239 -50110 -47076 37048 44481 88355 99047 -52825 -10590 -80335 23284 39718 33091 -142981 54952 -138967 -105596 13969 110977 67812 -166858 41000 739 -21840 61662 -36387 249993 -5026 -70453 -143549 54403 -177353 9931 -121305 180015 -192132 -106367 24659 -125331 25881 -1463 -18165 92781 -354 8559 40465 38784 98900 -123505 -168849 57639 156891 167342 -108263 44264 183084 122729 27675 119977 6942 23461 118689 3940 112942 17939 -32886 -32854 -67083 25954 -43637 20510 218346 -100447 -162274 84473 198145 47876 45575 51530 56890 -33752 83238 -30740 33211 -22182 -7042 -91282 -80444 71288 79709 48633 -37713 -57212 -104833 -60623 -56856 
+-77991 -125858 -26465 198147 35115 -21364 5177 119538 -264312 10650 8974 -48422 48462 -29375 78987 -248437 139642 54773 23152 22618 43029 -50905 -42107 -99603 3072 29444 -88167 -40555 60412 247113 114076 -39416 -43668 -125600 84762 206412 -49893 -99883 38691 -15636 -81615 -138046 -50175 5929 -113478 11539 -63867 50806 -133235 126149 53914 204498 148961 -45821 23397 -122542 -195010 -20092 60936 -52644 50307 54606 -104151 109970 66350 -3752 138725 75007 -139251 -17908 1090 48030 212738 32948 71134 -44276 36897 113978 58964 -53941 -214193 -135018 40425 -45150 38644 93341 -82934 -65326 19212 34488 35991 73299 -8122 24587 80584 60415 -101339 141622 -77130 -88554 20522 -138745 66677 -28104 9622 198834 229537 -35313 -182601 46604 56774 79048 -22239 -50081 2816 -121839 -46145 -182775 -43152 209529 91648 32070 27218 -72416 149558 -6112 -34360 -109741 -91087 -37073 88929 64516 -161432 -118006 41026 -69264 17648 43179 -4031 130240 32477 81828 205101 -181410 27132 195795 83724 -167185 -47397 -84050 -118462 42730 74974 86138 104264 -31868 22324 -69447 70544 -26562 -6890 -152537 144508 -186758 -148135 59150 45552 70088 -127983 43000 -47497 48396 115972 58148 236889 10181 -69725 -62101 34282 -155041 28011 -130052 103623 -75355 -126368 11687 -122685 -66930 3382 -352 169577 8420 -58687 18275 75360 102516 -140296 -125648 65131 198555 201738 -111885 69993 124992 4496 12415 84268 29494 44630 89421 129560 166112 -42507 -57739 -71144 -53218 23979 -15239 41379 221037 -200957 -132858 100454 170120 -2917 67163 30486 -66293 -41041 70479 -62238 10935 -35764 5876 -73027 -114665 47457 73198 49934 -51109 -39203 -80314 34828 -69929 
+-59066 -46398 -64100 64951 -36389 -25714 132011 124887 -285968 41900 -9926 -58265 46253 -130707 75461 -215616 111723 72277 2164 35060 28041 -27330 -19993 -90565 4498 5431 -85377 -42659 -9873 149960 125828 44897 -8605 -112093 93595 164211 -54867 -122076 83042 -43303 29607 -62830 19311 45965 -24286 -4128 -187627 69512 -80190 135373 71754 105236 194368 -50486 -47234 -62431 -102394 20727 70049 -33342 38114 67501 27439 40773 73993 -798 47252 -30912 -137459 -44202 27843 27135 100481 148010 50996 -184321 54941 67910 10925 17971 -221916 -77750 -46769 -22586 42191 18488 11163 -34839 -4609 33151 -17943 74291 -4448 -30199 49654 52250 -70789 189753 -112776 37739 17761 -104163 69270 8017 52731 177593 231862 -142097 -246545 -25143 32899 76614 -171221 -2396 228 -128141 -48249 -140757 -52666 109734 159298 -18346 11858 -61579 122129 -33775 -48859 -120282 -125991 74742 34753 55312 -139044 -138901 60374 -65275 -60812 514 5764 64057 30829 172872 221267 -137699 8490 147330 108275 -2053 -57021 -104837 -178569 44498 82417 -36625 113326 -38227 72337 -58211 52950 -2116 -52310 -96202 175987 -201857 -144615 109059 -45302 108340 -62599 70083 -83378 100022 103958 31001 248267 -3648 -68514 33242 21204 -118295 3417 -118789 16087 76018 -133779 40489 -105880 -40900 5274 22176 130578 1087 -49452 12803 111649 90700 -78316 -186288 34343 226470 244076 -48919 91848 65869 -24681 13777 35631 -34483 73957 32832 155627 160215 -104047 -57509 -101506 -61636 12482 37989 33620 194372 -133999 -106073 155639 76531 6566 130371 -44883 -109457 -48528 62903 -113496 -11589 -68746 -35280 17132 -132707 -29968 95065 48594 -32374 -46479 -1622 106089 -47207 
+-75926 -10803 -39899 -40103 -142725 -23186 45041 141285 -99476 20462 -1662 -62040 48828 -184249 9967 -200977 72848 64197 -4076 41583 -5696 -6468 29065 -94707 -6478 -13147 43102 -26630 -56572 44259 98000 105685 -45685 -84328 70576 -35569 -73460 -87574 77558 -62487 8130 -44907 113588 117953 11143 7222 -174910 39625 -11798 120187 144968 18996 206805 -63147 -125244 20981 17176 -24557 74221 3672 16417 6509 146562 26257 67197 2555 -12594 -194578 -122434 95563 65550 -20791 -62576 206397 74772 -193745 90517 -12052 -14188 77232 -227037 -39222 -76711 3053 76992 -160045 121522 -46341 -47205 14773 -57170 76037 3731 -75451 -26221 51478 -93239 125993 -116574 112719 -39414 -58454 55134 86505 85541 132375 232420 -156471 -123714 -38469 50238 72183 -167419 60128 -19490 -119286 -39952 -90699 55576 -27240 214837 -44659 5255 -36319 -22325 -8216 -80309 -67398 -135020 109191 -36778 66646 -106999 -157859 68299 -50172 -30337 -44008 -11918 23648 31801 226605 189052 -122198 1349 94005 -70288 170049 -25135 -135458 -177716 47631 86406 -137174 107950 -10911 91106 -71923 58890 67881 -82788 -105915 110796 -163172 -156556 15861 -131797 54337 28194 93333 -142163 105463 -37396 -66421 269183 -12080 -68789 72779 -3917 -68044 -13267 -162430 -78905 93459 -137349 56826 -29600 44589 32286 44788 -12680 -10895 -80946 -6441 140681 97156 -25608 -193331 49959 230811 254646 -36136 94100 -25043 40014 51531 -16557 -155550 98778 -13969 87148 69799 -120563 -36248 -118887 -78254 34853 101523 25102 140225 21833 -63836 189633 -85043 -10518 144315 -93511 -44725 -41909 62180 -212888 8749 -81405 4795 58167 -100584 -54690 93310 -35126 -31433 -74891 103901 103063 -41066 
+-87156 -63211 -11411 -76810 -142304 1153 -128916 143620 47675 6503 33465 -61322 51437 -169660 -57241 -144387 23667 48146 11796 56255 -55665 26501 46442 -69766 -8232 -37291 95057 -6657 -78544 -55704 31467 90247 -36383 -67608 58961 -103110 -75100 -86514 8731 -20906 -113621 -37628 174051 159127 4039 30120 -52220 10438 51064 75059 147654 -102967 183974 -37930 -177330 32433 87226 -42134 80313 38833 15764 -5324 122141 37966 49929 85679 31272 -206293 -120244 227717 106150 -77673 -148502 146848 89591 68457 93839 -62049 -57682 146169 -221088 39185 -20715 18290 41561 -249936 78312 -41032 -41739 17499 -43797 77275 12189 -120679 -104083 21600 -43153 708 -99800 96610 22159 -40307 65276 138268 98827 102417 230626 -64392 61811 18473 50414 55894 34256 113528 -6172 -105359 -41209 -41879 142952 -51024 267343 -105308 3604 9263 -79605 -55589 -106597 -76040 -102641 114035 -108553 51133 -68701 -150965 104366 -26905 31259 -31015 -12138 23108 34512 224097 93727 -39940 10918 35866 -217886 112682 178163 -142719 -169012 3136 84915 -210926 116794 -13458 104188 -82824 97203 147541 -92158 -41902 19698 -42626 -142291 -53815 -201230 63641 88033 124920 -159252 86381 -142851 -114673 249547 -16641 -66414 72271 -20615 22689 -31066 -121972 -85767 -90713 -148415 30220 3981 98974 32614 60155 -41664 2655 -84688 7750 106924 77737 22384 -209074 64058 218161 270317 -6521 87641 -63320 181169 59619 -68495 -175598 99395 -57455 8653 -40576 -93909 -39381 -120829 -91564 22455 158192 27784 52312 133515 -33638 151397 -122607 -33926 136336 -54071 124817 -57969 67822 -116410 -3365 -86906 2705 -21544 -67368 829 63347 -58980 -20018 -134418 12655 -17967 -39479 
+-101615 -126801 -44568 27294 -111329 19411 -148606 141605 45451 20651 23218 -48492 56156 -95182 -138140 -120040 -25025 14173 14473 46859 -96155 75861 70300 -74281 -5916 -65944 78271 -32184 -120596 -94679 -41191 21385 -54360 -34941 73445 -87321 -83636 -101131 -32459 -21115 -211133 -6433 189157 184326 -51618 33176 49641 32342 107808 54764 136914 -33688 111611 -51468 -210147 -5399 58227 -16554 80679 19760 16542 45076 302 126540 30710 77761 134512 -47434 -117678 170948 139465 -122985 -125088 20866 79061 100662 104221 -104971 -46648 172898 -203670 13058 87755 23417 75896 -160055 30165 -57722 -27775 -3677 -4557 79602 1720 -169021 -135847 43370 -37005 -87092 -82319 23766 -31316 -82034 56604 99367 93116 44240 227023 57120 119150 117925 48334 63418 125814 169680 -11213 -105178 -36665 3877 80447 52583 281464 -100072 8522 31399 19926 -67955 -129816 -94732 -27496 15018 -96064 52093 -26752 -166331 19331 -49135 144343 -38465 -9550 47405 36790 159269 66553 630 32421 -26816 -166268 -76261 208471 -128585 -82623 2752 68982 -43609 109253 -35294 79521 -64618 121949 190366 -71083 -39039 -13314 17231 -152169 -30686 -248701 55760 138341 136848 -209018 18772 -198367 -96429 248104 -22265 -59312 59436 -50931 10629 13375 -159347 -35555 -202339 -148292 -18427 -10516 160919 12717 62762 32229 -6267 -54617 27205 79130 80464 3074 -162765 38427 180812 243796 -15879 74291 -131121 233803 89163 -94308 -55529 146777 -40894 -121428 -169111 -50754 -53388 -106470 -85414 28853 212448 15215 -17920 93706 -38622 70714 -27683 -40095 107975 -6366 238421 -50286 81308 -40424 -19770 -76913 25290 -78053 -46087 68070 11659 -31011 -44237 -67986 -97347 -73703 -30970 
+-94971 -137557 -23513 132545 -21717 11017 18127 87469 -75522 16088 1728 -38170 55644 8053 -220507 -44728 -32575 -38301 41713 3984 -118449 97817 30440 -90041 -5909 -70400 -13167 508 -138491 -57296 -134761 -27975 -40801 -8155 63180 79745 -103158 -109905 -67860 7866 -156164 -78344 133990 184302 -112171 12241 -16952 -46306 101382 28194 82197 162942 8450 -49256 -245616 -71155 -65858 -38771 87857 -10772 35682 37783 -145640 138511 17146 43615 160079 69844 -104146 -60648 132603 -141679 -44004 -30312 76776 415 91235 -92377 -35888 173120 -195965 45794 163183 -26 16481 42399 -65751 -8376 5457 7215 42826 80229 14446 -165687 -90693 14432 -13763 -68510 -72760 -120061 -5592 -137813 30350 26735 66606 16488 221578 127621 -5161 174257 25782 56202 8361 196300 -35515 -97318 -40557 25575 -33718 203049 242756 -190315 -1579 90556 139121 -54705 -147911 -70301 -81104 -84420 -33372 54875 16096 -161183 32933 -37825 205942 21326 -29202 76471 36714 60314 2402 19131 59142 -79250 25340 -228544 166444 -89057 -19174 -12139 47820 79684 98882 -67752 32730 -91430 95418 126967 -34632 -36619 51153 -11839 -112480 72796 -250889 49580 138852 101989 -232350 -42646 -153126 6715 246047 -44195 -67270 686 -63483 -6256 34547 -97736 38919 -155868 -138774 -24572 -3177 60235 43790 61039 178205 -10697 -17989 16818 16657 81175 -66665 -176370 46569 135015 212526 7749 55600 -194930 157582 106280 -127494 51339 118810 1774 -161180 -190073 19292 -4840 -78943 -68082 44643 245372 33196 -73925 -83903 -43260 43741 87201 -41075 64415 49149 234194 -50911 94677 -22933 2451 -95031 20179 -121019 -63733 59706 -36458 -37550 -31163 -59945 -105147 -97677 -12320 
+-76895 -70599 14353 170035 21224 38000 118876 92098 -241514 32220 8446 -42196 56444 116429 -219225 4487 4024 -78217 47959 18241 -156142 125220 -1287 -108169 -6131 -88330 -75253 1436 -104575 30556 -189516 -80707 -18026 12390 75374 171549 -101322 -84252 -95455 -37713 -27484 -82619 65679 121117 -105643 -4046 -142326 -32970 115790 7678 79713 215582 -54205 -61556 -251895 -108077 -163608 -22500 103665 -45179 56498 63587 -194396 98777 17123 4412 93832 4143 -90148 -65747 124878 -121489 106256 36020 58693 -171765 106176 -29722 -4963 152359 -141931 13482 158680 -7111 21034 79525 -130027 -30375 12383 12197 14651 84159 24009 -190788 -1822 10935 18477 42012 -23122 -223870 -4679 -159015 58485 -29739 25615 -33488 214249 75453 -189397 195963 3441 49997 -208939 209697 -24203 -99034 -38713 10261 -58007 239888 180312 -238355 34705 133358 118267 -28388 -170057 -80913 -136199 -137854 46725 53613 56578 -170149 46666 -20919 235659 54704 25195 83658 34463 -19636 -34313 -54152 80294 -104590 121575 -97591 50773 -70825 35411 -52431 37027 99887 94515 -62446 -19450 -78984 84626 65198 11540 -11386 138645 -90773 -77974 108243 -217477 34443 103244 69458 -223302 -97531 -37827 68050 211293 -13289 -68603 -76193 -62132 -22233 23701 -140335 131511 12897 -135569 34476 -88600 -15905 26263 41575 175515 -21063 13704 25722 340 79878 -105985 -126007 78672 77318 169699 12094 45248 -223944 32974 143382 -120774 36973 109388 61452 -114343 -175191 69736 -28066 -41805 -52912 -49 246824 27653 -98931 -196131 -87685 -40888 161993 -65493 61887 12954 108323 -49639 104928 -112281 -12925 -74167 3612 -31989 -101575 -13735 -79235 -59477 -39601 -76373 5202 -22448 -3733 
+-92377 -23676 -18869 59638 -69074 31870 57818 60762 -239899 19820 -6438 -50356 52937 179976 -272063 43623 61003 -131160 56222 40974 -180654 126190 -41789 -101669 -10346 -86020 -73758 15658 -79802 147388 -239307 14766 -22355 42315 91551 174916 -93173 -62764 -91492 -2660 30325 -113232 -25188 67707 -21662 6618 -194203 -29226 54526 -707 8289 101205 -139453 -61015 -201769 -120955 -216639 -30257 105672 -40313 59891 60903 -98632 29167 29532 -13467 -10373 -174106 -89589 94816 65209 -73257 202309 153778 39115 -227254 116650 36026 22627 106796 -109199 12784 79005 -37798 34679 -52734 -108950 -20213 15888 11249 -6014 85360 29137 -146436 58460 -7290 33973 157414 -13045 -261855 14580 -120248 20971 5779 -18553 -68209 204855 -43869 -243403 157197 -26928 43018 -169462 199587 -20906 -82462 -38952 -18465 57596 133971 110834 -230962 29840 157645 6993 -4586 -181807 -49674 -148722 -137712 91878 58078 92231 -171007 31319 -34347 173822 52734 -11198 113943 31830 -52965 -33287 -129015 89288 -115104 3651 137329 -42776 -43099 70437 -52611 917 -25190 113088 -59148 -46215 -86881 67926 4294 48835 19994 173371 -181081 -93313 61850 -151799 1221 26419 33324 -209490 -149638 102212 13042 214665 -51901 -67174 -143937 -74810 -84630 -10342 -168005 177662 112519 -129020 19259 -125862 -50471 45962 17363 49100 -5527 52815 31787 35209 67148 -146847 -170047 68617 22263 120749 2539 1047 -183832 -32153 96548 -134140 -82057 120376 107293 -46044 -96332 86992 -96062 -554 -59725 26313 229254 9583 -88860 -144869 -123798 -53841 201245 -45107 46579 -49955 -47637 -48993 108323 -142203 -19330 -58523 48256 29838 -126197 -73171 -148646 18058 -33998 -93561 45678 85164 26432 
+-74151 -71009 -10997 -69015 -151681 68995 -107524 17692 -112962 38743 15409 -62850 48005 151083 -302948 87860 83614 -175446 46814 66172 -215756 136260 -51633 -68055 -8361 -72101 20328 -11701 -35198 234480 -215785 67091 -10682 67922 66489 49163 -78020 -73218 -98156 -27140 -58152 -147298 -76573 29436 31436 29629 -104244 -50246 -55737 -31204 -40988 -35988 -172922 -54835 -146797 -78293 -147864 -12646 111825 2757 48044 6420 41669 9871 48108 51368 -17168 -223846 -70343 219438 43538 -11016 228775 216791 47988 -21275 96164 104950 64781 52949 -69444 -38749 -22436 -48650 42697 -211335 -32781 -51372 7684 8365 -47921 81981 32619 -158168 80415 -975 15082 186304 -42573 -219354 -44614 -80471 40734 85027 -54302 -90868 194069 -155536 -115771 72451 -71245 68826 7602 173063 -34619 -110006 -31947 -58325 139993 27 15728 -208001 19004 226393 -97295 7750 -189925 -74253 -124383 -48285 150720 72982 120512 -173033 35472 -56044 70230 19475 -5987 177831 30916 -20045 -67079 -171333 83376 -104318 -175376 145062 -71245 -29309 33931 -86952 -17201 -207482 99160 -50728 -48674 -73588 50584 -374 47607 12753 119829 -206512 -99622 -32602 -69124 -11641 -45845 35699 -174918 -150390 144443 -71218 217011 -2644 -73271 -212264 -80039 -139905 -42253 -144594 223474 26317 -143073 21384 -149938 -46491 49783 -4794 -57732 -5774 88296 75668 92519 25614 -115222 -147878 57738 -11408 91484 -30829 -17915 -134023 23803 126374 -101563 -161374 93417 128315 69352 28349 45734 -60248 38096 -75932 7507 183166 -3248 -45993 8926 -146937 -103677 83847 -54199 72462 -118765 -121986 -42389 102967 -154952 -15056 -56673 27724 -10842 -118021 -3054 -182611 -16204 -29009 -104001 1121 118846 1522 
+-73251 -118815 943 -58564 -148886 63102 -155585 1380 72657 32736 23316 -64990 47903 87753 -251210 84411 142050 -198437 56632 74138 -191198 127709 -56079 -112902 -9601 -48497 100334 20175 22440 259519 -188051 80659 -27911 73470 77471 -114730 -78064 -41066 -57939 -17383 -178568 -153099 -79456 12084 10533 33512 28434 -23111 -137774 -52682 -46303 -56162 -149569 -32847 -74294 -3352 -24234 -18932 115701 26770 38049 21138 153148 50488 66258 72725 73801 -84838 -64350 162732 6510 34402 143006 144949 89515 102945 73188 138631 103096 -19347 -22275 -102878 -77587 -43670 69838 -237555 47336 -70521 -24738 18350 -49861 82907 36709 -106026 7731 3471 17667 106075 -64325 -100124 -5789 -49905 28765 146079 -73014 -96978 181640 -148353 68556 -8211 -77602 62578 126171 121184 -19260 -65228 -28262 -105892 88126 -81657 -37308 -199283 29316 238300 7769 1150 -191584 -83868 -33275 46791 109574 66953 139873 -150816 52925 -22297 -12077 -10314 -15788 153231 32347 57920 -44829 -179321 63285 -69106 -208493 -40821 38866 -58255 -32631 -78508 -32151 -198478 94393 -67387 -39991 -91770 55464 42017 33074 53514 27467 -153440 -62520 -46045 17866 15719 -130956 63748 -154584 -141956 62310 -119393 218026 -18984 -65259 -222732 -85243 -176532 -7310 -125543 149884 -169445 -133694 -5129 -88306 69831 69058 -19025 -3328 -13989 92896 73203 133752 46710 -10583 -108823 64756 -47727 65086 -59877 -43500 -80023 149630 132098 -75486 -128043 78668 87564 164712 109789 -11661 -61696 68230 -89673 12970 127140 -4214 22812 140930 -158677 -102463 -31295 -81348 84473 -51831 -39489 -37948 93357 -91932 -24649 -26219 27466 -124649 -84649 69919 -239335 64334 -14923 -105992 -111320 62321 33976 
+-90324 -146219 -22171 43410 -120246 78869 -13534 -5933 121198 30538 26629 -55566 46906 -22500 -189240 100209 106984 -220533 49723 50212 -187360 130658 -43239 -101920 -5971 -28488 64068 2171 62607 205803 -122190 47308 -38983 94709 52339 -105662 -59852 -64884 -17970 -24775 -182075 -136573 -34764 17777 -55507 12954 2627 -35873 -161588 -64308 -53772 27283 -82983 -37314 -11296 22322 68719 -13553 123396 33577 16297 42800 109440 134644 74838 49424 166012 68865 -62718 -12288 1722 53757 -5341 26564 88608 45231 61567 117704 137640 -109692 5974 -63611 -52371 -34610 98207 -68405 92211 -79768 -37474 3379 -2212 78315 44634 -54057 -70625 -22499 -987 -19909 -102763 29920 -27393 -67827 32062 110637 -70617 -90516 167606 -44134 116790 -41030 -105065 91824 -8163 63179 -8359 -61834 -29664 -166953 -44370 32820 -66253 -201937 2021 304013 117350 -6092 -185416 -84630 -53929 101592 56899 63005 148106 -133462 69389 -42645 -71172 -57509 -7825 144282 35137 149915 -23659 -112070 37273 -18230 -45604 -212774 194599 -84122 -109261 -86959 -38674 -64811 95226 -27969 -14631 -80298 20386 160266 -5323 37659 -10052 -58818 -42139 18366 81643 -3095 -164176 99799 -108972 -71090 -47148 -43294 218772 26806 -70038 -184553 -68096 -180625 7836 -136176 46438 -197997 -124009 -5146 -38314 139504 51309 -24100 116860 -14574 37858 95129 139354 54328 54582 -93926 70009 -45587 7868 -106525 -74417 9635 235962 63945 -57527 28964 48610 32980 179378 162345 -69660 -39566 85932 -86296 48670 65931 19950 104716 82649 -150331 -103099 -132813 -66588 142299 29071 110889 -39057 80222 -16483 -9178 -8538 38476 -102625 -48653 51699 -249317 38447 -47860 -78047 -93745 -52255 52255 
+-90992 -100043 -23224 153273 -17106 66866 123823 -13994 -97296 52296 -28918 -36645 50539 -124752 -131654 64399 51324 -223800 35806 4767 -177488 111614 -2752 -89747 -14992 11042 -32097 19038 148912 97944 -50801 3557 -1554 100523 71403 -33012 -48922 -37229 46908 -9397 -99257 -63112 46644 65805 -104969 -3926 -110796 4557 -217447 -66833 -54335 194564 3984 -55239 29384 26388 78942 2088 120002 -5222 19234 31862 -10257 150595 69063 14832 120333 39027 -59669 -37224 13924 28411 -116556 -30338 66488 -98627 63793 57026 103155 -152448 33313 -105298 47227 -11301 15904 85467 57547 -79600 -25013 -14404 21163 79178 48277 -7632 -137812 -13578 -50199 -92331 -124305 95797 -12596 -105000 41582 22936 -49834 -64629 152512 75124 -16015 -6160 -143236 92635 -202319 8091 -22461 -72825 -20791 -184227 -53579 189342 -82071 -174859 25751 293597 143281 -6847 -178385 -87659 -130406 112018 2881 57339 145046 -118200 3162 -47176 -312 -51412 -4247 85467 36948 221026 -3228 -29992 14711 45951 101121 -118475 195812 -116609 -176391 -113530 -32876 86908 78872 -41167 31100 -74559 15890 220608 -48983 64628 40428 26335 4812 129437 135250 -22417 -162086 133078 -51386 15759 -164009 20387 183800 -11287 -68604 -109850 -57411 -160925 27173 -135356 -20765 -67967 -120818 -1396 -4834 108061 84447 -17120 187257 -19038 -75 76912 112101 29283 22923 -137572 110806 -19309 -36076 -125134 -85108 74629 183903 38496 -10943 59353 35053 -13330 52544 168961 -120114 -34249 88003 -67891 -54 5974 34754 174123 -83016 -116944 -89597 -57652 -93100 130867 50984 236946 -30716 67327 -40354 -31013 32566 53394 -87545 -50230 -11604 -276525 70175 -41629 -89460 9268 -95250 52354 
+-100040 -31917 -21045 205472 25543 59376 68065 -26631 -241859 54944 -16983 -37714 54673 -179899 -100724 36371 24801 -202702 -14419 13661 -145386 101165 32280 -95733 -5359 37706 -109300 24212 140900 -5834 39368 -60800 -7615 109565 67030 154590 -55993 -35134 61501 -20810 7038 -36974 129129 131210 -92900 5959 -195074 46810 -220457 -44199 -78160 191032 85465 -53134 48548 -78037 -2641 -13211 125561 -39477 19030 64678 -145017 93049 51690 -27714 26532 -131741 -69284 110566 19354 -21584 -132702 34746 50294 -190917 38379 -14924 106017 -192686 66845 -50271 144839 8846 46359 52777 -51745 -121760 15577 1308 16594 75532 60241 30602 -113050 -34149 -94350 -53959 -142650 103102 -1081 -154597 59914 -31851 -12095 -41046 136188 121543 -196350 88112 -143548 86358 -155141 -47311 -12767 -32331 -24525 -195375 61151 239451 -68525 -109678 -18973 293324 20430 -28576 -164766 -96992 -165733 39656 -82166 49662 131096 -89061 60480 -55618 105179 -15212 -376 103318 36443 232850 78973 43140 1540 108077 67816 113517 153225 -141988 -180147 -128136 -18555 99212 89717 -48037 59633 -86721 64415 177112 -81657 93628 137322 -3282 -17930 62928 150020 10010 -119090 131418 -16616 54576 -191017 53599 157886 -20237 -64748 -37858 -41696 -142507 8605 -136471 -85926 89412 -105270 46229 -24040 51208 48927 11 90440 -15451 -27236 92104 50804 29438 -10231 -64175 100696 23458 -90647 -117370 -96624 103909 57091 3764 47746 -29791 -14095 -54610 -69877 103325 -118961 1209 74198 -55678 40122 -34071 11846 216510 -202901 -74744 -13796 38668 -94603 131869 -20236 219105 -29282 62123 -135799 -6443 54382 54473 20307 -75021 -68684 -283055 47364 -43411 -30420 63983 -66843 34867 
+-83305 -9442 -34915 56082 -74472 39010 -105208 6171 -226380 21841 9146 -44902 57400 -179012 -24858 -1705 -38273 -177503 -7046 29815 -117974 45095 57663 -100119 -7378 49167 -79421 24738 155996 -82338 96183 -14631 -20150 107575 78514 186964 -47392 -20791 92632 -18644 1879 -29828 181513 180190 -31055 29075 -125940 18505 -210685 -45033 -32180 59793 175108 -44421 34983 -116911 -132584 -35943 127999 -47055 26932 33074 -180561 27356 31973 24033 -9166 -230389 -73847 203661 53286 -87352 -65930 156635 61238 -23916 69703 -64570 60231 -168050 74718 -32015 176123 19128 -18564 -135566 -128086 -109986 17737 -6700 -24841 71721 54873 74167 -41002 -19700 -80155 64724 -88874 27054 3151 -162939 61844 3941 33187 9335 119136 59301 -241733 171345 -152889 88616 -2877 -89919 -18954 -64061 -22125 -183737 144601 154930 7379 -102329 6845 281070 -104950 -25640 -145455 -105693 -96921 -36513 -104041 55725 106920 -73627 44260 -60118 199787 22842 3368 17355 33739 187229 137252 12851 5187 165221 -117850 171505 14297 -131646 -149242 -146946 -2617 -42357 79452 -57546 97967 -51268 49473 75610 -98770 111111 176798 -84524 4996 -19823 117977 -40444 -41368 105722 23701 112790 -97502 -14907 157269 4150 -65001 25186 -26753 -86628 -7620 -101062 -47804 90510 -108585 18403 -34571 -48418 75017 18882 -55830 -6047 -69338 102931 23679 14577 -76588 -50137 110169 78768 -81755 -126904 -104185 138118 -24176 7282 111124 -164319 -58835 -30042 -164455 -19230 -81382 -35090 46920 -58814 49483 -52565 20862 219186 -149262 -48474 21446 156061 -100015 51119 -78941 124162 -19176 63963 -197857 34067 89883 46525 35255 -109559 9496 -280198 35871 -36788 -86459 -22296 18689 67720 
+-57255 -112327 -30149 -50861 -118634 19259 -170055 22602 -82193 35932 24558 -55920 56340 -103021 72244 -59542 -16712 -138045 9673 55816 -82530 57035 38241 -80914 -10053 86271 6755 21614 156726 -91370 119357 65050 -70476 105655 61429 100197 -59144 -13902 45442 -33552 -114147 -38752 168137 207858 25907 33803 -14700 -4886 -132392 -31826 40111 -100408 207981 -75897 20577 -114406 -203200 -17686 132745 -8404 45356 20189 -79723 12581 16234 65112 54909 -114366 -84554 126076 112679 -128027 53292 217208 72378 118323 57757 -104118 54160 -147065 81971 7906 117446 23214 18805 -254583 -126191 -102664 9347 -33700 -57393 74406 59319 73439 47307 -29588 -93526 170559 -64277 -89922 -19548 -85396 69374 73925 70994 49575 101376 -66067 -105312 190898 -170350 86106 113439 -120548 -27818 -48793 -17559 -150013 91856 -1807 84858 -31973 -1110 221348 -40117 -44369 -118358 -110672 -61544 -106499 -60063 41710 74620 -65136 60780 -70822 245534 53743 -7871 18817 31325 95530 185318 -52786 23714 204041 -227058 2602 -82920 -104374 -73979 -183486 16390 -211561 76956 -95432 102577 -49251 55049 28201 -78022 131771 128274 -164412 -5483 -52819 53208 1541 34321 63195 52938 89083 45788 -106487 130638 -45535 -67075 95115 -1519 -45919 -8977 -112015 -55934 -62342 -91782 40869 -97982 -62535 61835 46093 -45837 -2371 -78002 126532 17141 5207 -147170 -6508 103436 129233 -113969 -110637 -94845 187131 73 10898 141585 -162947 -109906 -3112 -154341 -130161 -14630 -61686 9851 -79211 25943 -46475 -658 189931 3681 -30107 103997 188200 -109131 75216 -88716 -25601 -15003 71370 -126461 21973 90605 63047 -56215 -131192 61696 -263664 17294 -49744 -116351 -108072 105685 73460 
+-59584 -160885 -5403 -102124 -169240 8812 -35151 56011 70134 36354 6003 -63019 55615 8221 95439 -100874 28830 -87263 67171 51414 -47978 6797 8568 -67891 -8739 99700 110085 37532 132715 -28204 102308 90594 -42895 89612 83899 -47304 -73089 19342 -17301 17122 -202414 -64329 115869 171626 3132 13659 45077 54805 -64151 2490 120740 -92546 222707 -21545 -46569 -75412 -182989 -3613 133588 26819 52387 9575 71010 44525 17067 44737 144648 48219 -92721 -13692 129648 -139687 200489 145888 79840 89341 76932 -82666 -16720 -93207 64893 33672 12079 934 62933 -173841 -86603 -138318 -11339 -8777 -37967 75158 64269 101376 78918 -16163 -72219 179515 -17056 -220156 528 -54699 62395 134914 93947 93103 82817 -157618 72693 161523 -135398 65701 3410 -131841 -26117 -49228 -17995 -94691 -37307 -60917 180481 24194 32603 195272 72512 -35357 -97727 -113674 -51551 -112161 786 40799 36305 -47219 29991 -54450 217650 59962 -13777 27486 30983 -965 195168 -141342 49216 219450 -112761 -194677 -71624 -89304 -1101 -219266 53590 -168593 65339 -64318 97457 -100047 105940 -32934 -39973 150227 29290 -207448 -23576 31145 -27178 -22181 113440 26754 67068 40267 113148 -92103 102329 -32439 -68022 92427 9782 -11493 25808 -87383 68974 -195699 -75303 -15392 -134356 16834 81035 63089 68509 -1389 -69321 129042 59173 -3891 -123423 6395 93754 181254 -68263 -88182 -74871 79027 110640 62539 181650 -37607 -96369 54151 -119441 -181316 33281 -48184 -30909 -90235 -11598 -14960 -5448 125967 130835 -40453 116749 92354 -91512 47936 -18094 -136819 -16739 84093 -38876 31858 132757 54944 -98084 -101938 56149 -231482 -45909 -44114 -87026 -53787 103506 76367 
+-73602 -85659 -18098 21396 -92652 -5795 125511 79550 80317 6939 20602 -57045 51295 110380 92985 -156801 58075 -37561 37884 13863 -17711 -50950 -13816 -90032 -14250 97688 37699 14714 104467 84652 78431 65400 -35125 81866 74298 -137876 -79220 25060 -79449 -19097 -158633 -114945 22679 103210 -69436 -3786 -56074 65487 34107 34720 124395 57433 161742 -36065 -127497 -11067 -70461 -33525 126838 37355 51923 22988 137251 113614 29166 14742 140576 60979 -90042 -87884 120348 -121428 218711 24344 79211 -141546 123816 -26086 -47252 -21288 46933 6667 -72844 -15376 89735 8728 -39 -125966 -43472 -4500 1183 74545 82224 69262 41964 -24356 -59092 84866 -5735 -265360 -14031 -45365 78027 105472 98824 148309 64203 -140375 113911 85705 -121145 68373 -175978 -112533 -17041 -59895 -21184 -49273 -61552 16874 212259 22051 27018 184659 125286 -42276 -75592 -120387 -120474 -84079 62584 50412 -6018 -37186 71844 -62831 104025 16428 -32641 69283 33066 -48342 206693 -173922 72942 213381 67767 -165754 58552 -57171 44792 -215540 68057 -49449 83662 -28624 60955 -103584 84132 53760 10409 145817 -8335 -198046 -28071 54654 -110601 -3292 147174 33398 47810 26394 102332 -24112 98902 -2320 -68477 48390 45973 14674 10924 -104353 184638 -167164 -67457 15700 -150649 138923 79879 65205 179822 -2376 -50855 105030 75846 -3005 -77212 -8301 70631 208629 -70873 -48746 -54028 7305 222945 76553 197374 50427 -127259 120448 26448 -187217 81116 -48996 -69617 -86186 60402 40514 9905 56738 84633 -81217 150305 -10811 -101225 73391 45220 -55497 -3690 97538 -46859 44890 163755 42429 -132901 -58900 -1212 -176252 -35437 -33861 -38033 -26161 15170 60799 
+-94120 -14196 2262 148650 1883 3667 82274 102524 -60277 24156 -17991 -47786 46727 168057 126547 -188590 112678 8123 93768 7711 28731 -95381 -65965 -87414 -11097 102456 -24230 30857 38243 190246 -2306 962 -10130 68325 39858 -57244 -94426 19628 -86860 -25104 -31275 -126971 -54347 62970 -121904 5343 -178105 47620 54235 59032 190583 194276 77436 -50841 -185087 36303 36355 575 128788 5631 34134 22332 96439 151350 46621 -30181 45406 -107942 -112354 97620 116843 -65331 156953 -32876 67936 -158820 86648 54286 -47766 43853 27758 23822 -77149 -36427 61929 86673 68773 -161122 -28463 -5362 28224 76989 92976 51242 -52414 -34070 -30988 -38904 -11180 -229016 -22197 -91736 83432 38980 79508 167354 45382 -33234 -24872 11138 -113991 55656 -164810 -79184 6744 -50897 -17866 -10834 52158 151659 247513 76498 8438 109633 49268 -50139 -53554 -125652 -169114 9952 122451 54540 -48630 -27601 65671 -34270 -10570 -42160 -6608 68676 35752 -34512 190634 -153065 88093 178198 105852 47110 182381 -56575 46658 -233566 79763 116472 76428 -12184 4354 -73514 101752 150203 43299 131816 35037 -127001 -31287 110882 -188522 -18938 139370 72600 57978 -46131 40623 40674 112452 -44087 -68555 -24442 56940 -18751 -8374 -100806 204646 26714 -57028 -6626 -88528 142335 70446 61679 165259 52 8436 119167 155509 -5619 17579 29944 75382 230275 -46820 -30928 -31039 -62185 215603 128731 217598 13539 -137027 130346 84394 -147496 78591 -75743 -100219 -69475 22142 97909 -12583 -17552 -85887 -109459 113176 -84525 -105611 128040 50597 94640 -1533 105643 -74766 27639 162491 40551 -39831 -43251 -42929 -145678 -50821 -11163 -51713 69006 -72897 63142 
+-95433 -31275 -15049 159639 17084 5960 -91447 120196 -239556 42806 -10752 -40981 46472 161399 68283 -239004 137405 40853 65355 36057 71469 -108051 -75845 -85057 -12450 83463 -94005 38145 -11830 244161 -79524 -53379 -9657 34436 100414 97270 -103848 23275 -98737 -30189 39319 -133951 -89064 3806 -85035 28544 -180255 16072 105721 82192 161452 176496 -15470 -21984 -237622 17800 85485 -1966 122657 -33627 18556 40599 -35697 103207 65500 -6650 760 -224401 -118637 200791 94559 -7347 30766 38175 70355 -85263 111785 98337 -45823 110223 -22161 -55583 7268 -46513 43131 -30115 80360 -140053 -13690 -14844 25409 79835 91486 9547 -112942 -57274 -9363 -94088 -52315 -117453 2554 -133090 73364 -19549 45475 195366 26943 84607 -201871 -26254 -82431 44768 -14943 -28667 -9479 -49799 -17588 19278 131680 254636 255137 37750 25443 68426 -96692 -8925 -28175 -115986 -140098 91864 127132 73706 -88992 -18552 34435 -58077 -62875 -67449 -910 158720 37077 35011 184372 -113219 87985 129942 -45414 158518 211017 -35904 29704 -200718 81708 109601 104900 -27776 -56683 -54672 66870 196409 57763 153209 130082 -826 -40844 19968 -234725 -25620 93765 105182 30963 -130559 -95385 49981 80056 23674 -65618 -84333 72659 -31634 10144 -125217 168842 108287 -57066 51766 -25468 101284 96308 41537 31344 -7768 43829 130031 122794 -19202 19128 18983 87076 217850 -8268 -41354 -8968 -113610 96885 115641 223589 -72239 -145903 108482 157667 -40850 37831 -61862 -118670 -52650 2182 157720 7460 -83933 -200401 -142902 125638 -92793 -62295 162056 -22351 222992 5976 107884 -156516 44321 162796 38263 61416 -56737 -3324 -85510 -56713 -28001 -10305 12567 -96036 54203 
+-82220 -97570 -5692 62785 -78497 -13519 -154704 165675 -247583 46652 7623 -40717 49988 96867 -22297 -234578 95790 59048 40097 58966 85234 -118816 -42961 -74070 -12379 57619 -71934 22340 -42273 251675 -144612 -41906 1096 18005 83048 193878 -86540 29652 -122712 -20524 -42294 -161783 -54450 18186 -6707 34091 -61735 6552 109973 94038 114993 44955 -97024 -35629 -233770 -68398 35094 -33528 118677 -46828 12106 31410 -154567 36389 73643 56153 23734 -151671 -129602 162697 47671 38134 -94709 152396 48576 105593 98760 140611 -33662 152013 -64937 -104919 111709 -43263 21683 -200768 36407 -154358 -2591 197 -27713 81047 99186 -44592 -133633 -46964 41233 -37065 -71414 6247 -11520 -163815 65509 -12790 2528 213209 8651 125942 -238722 -17902 -56798 56596 115517 26867 -10616 -18771 -16452 18216 83800 166832 226061 100362 32766 9071 -40624 10399 -9410 -119012 -71221 97136 107353 73242 -124441 8732 37263 -73425 -44537 -39233 17989 146559 35879 126587 89142 -46557 72605 79053 -210789 60885 123997 -67748 -24890 -222165 85073 -50336 79280 -8182 -43352 -38999 49209 166786 29062 139369 176363 12366 -40666 -58570 -255515 2041 9115 129553 -22967 -127621 -188510 -43206 56561 -7014 -71717 -178923 77740 -119859 -10425 -98366 130753 -344 -35098 48729 5261 -28698 78637 15067 -66811 -10472 49820 120327 114618 -14717 -32200 59569 64258 191779 37935 -12093 18867 -164616 -32837 147837 218179 -162632 -107958 16475 123367 87609 -27596 -19169 -120709 -59640 10766 212485 10394 -106389 -151427 -156544 97039 -11950 -83605 134089 -103596 229160 1532 101942 -170013 36476 190661 77046 6421 -91412 61500 -13318 -10787 -44569 -59147 -117999 -54309 48585 
+-75368 -167439 -33726 -52901 -167512 -40927 -36578 149108 -112844 48492 40733 -45528 52737 -10445 -51953 -228776 81835 70717 -5289 61431 85811 -145185 12430 -77959 -13038 48739 34994 18148 -87240 167355 -207711 57935 -56750 -3434 67740 98202 -88923 28313 -78585 -15374 -168948 -100021 -2310 34375 17994 14343 53971 30466 69747 114815 79263 -80340 -137516 -37048 -208128 -110860 -69486 -22887 116762 -20284 27606 56923 -180291 17372 69120 48831 112351 21369 -122887 -7124 31621 51131 -152894 209555 59504 72810 85375 113403 6735 185250 -93317 -104070 180724 -29016 35081 -241684 -55889 -150984 19475 -9851 -56689 84837 108193 -78199 -77051 -60945 47782 86861 -108809 105302 -25516 -130518 61763 71507 -38700 206247 -9044 46618 -95746 51399 -2545 80771 19458 86388 2866 -15341 -12958 -5469 -44635 30403 178049 104340 16732 -3066 47095 9368 2695 -138406 -64233 68350 17560 58921 -151993 10866 34706 -72220 53905 11191 -9462 139601 33137 209075 23812 15881 47309 12998 -170578 -159545 -44202 -87558 -136601 -212440 64244 -192467 89026 -80110 -46302 -53171 44284 110882 -2481 160125 125998 -25063 -65205 -17541 -226794 22282 -65351 124455 -64533 -138326 -119634 -116093 36603 27654 -70098 -200509 80693 -147213 -3351 -129206 59597 -160252 -42117 -1984 885 -60636 89786 -5901 -6624 900 88551 119433 41982 -23510 -96090 74204 11680 148547 95452 465 57028 -202662 -28973 134921 172346 -113906 -83024 -31502 25758 147392 -80737 -18017 -107596 -78433 29140 241182 -4575 -87390 6087 -157729 26291 113802 -77669 114298 -83997 147214 -1208 89352 -62451 16540 185649 82392 -101516 -122144 87162 2202 -3598 -28591 -98053 -115426 60129 71303 
+-75817 -124889 -27037 -80537 -168017 -22866 124259 124996 91434 67689 36675 -57186 57257 -121161 -109876 -204852 13953 69901 17137 34971 93671 -162804 28364 -94092 -9905 18187 91949 -4058 -124714 48359 -223861 80663 -44048 -33350 77725 -16113 -71101 16378 -20477 -20106 -205923 -72421 92768 95142 3213 -3591 -3501 3466 10036 136501 13820 -32695 -153504 -52505 -186417 -132390 -190275 -38849 113710 16449 46356 16279 -68572 61159 51099 36876 137550 76024 -126206 -38787 -14193 29162 -108725 147673 71637 -67110 81087 37813 43929 169724 -137891 -88007 147334 -8667 25055 -97098 -97721 -120450 22345 -21166 -33747 84850 121983 -134771 11154 -51331 24854 180229 -121912 104347 -59263 -69919 59885 136395 -65809 199953 -25853 -82556 79831 150905 13506 72428 -214359 136237 -12763 -15336 -25673 -47266 -65216 -53320 106176 72883 18804 -37339 180394 9026 15460 -88910 -71380 -5475 -42766 54319 -170284 10068 46379 -53117 155906 37794 -14834 134076 31067 233644 -25866 39924 23003 -42568 7614 -201691 -92862 -145979 -164825 -191160 55759 -204230 92768 -70118 -33384 -71669 27658 19815 -49102 142897 38769 -142993 -97059 106959 -153389 33188 -139263 89614 -130426 -100283 -86623 -81540 14838 2080 -72464 -208230 91205 -202447 3687 -99082 -67432 -184765 -28624 -32632 -29760 -32112 55938 -24082 146586 3167 63126 39066 9405 -41443 -146304 65738 55371 95362 136981 32180 70775 -193123 85941 87243 189235 22629 -50782 -55485 -80575 148675 -119330 -21267 -80216 -92767 19061 251910 8312 -35218 148676 -134492 -35502 185481 -79692 88160 -8277 -32770 3120 76210 11649 3580 163229 54641 -129349 -123116 2085 59439 19221 -42980 -84436 -33025 110241 64126 
+-86556 -39891 -51705 30412 -96639 2813 88610 123544 130123 11488 25636 -63685 58763 -187136 -227538 -172280 -25587 38560 12046 27570 88726 -187282 56938 -95395 -12425 -11262 99258 7968 -133891 -46286 -214613 56701 -35158 -53744 78168 -145324 -62770 21253 550 -56801 -98544 -44941 162822 154262 -63001 4782 -140308 -45785 -88633 132467 -4843 126854 -131761 -40729 -148467 -71498 -201427 6184 103469 38257 62394 45978 83482 126050 32296 208 86551 -60661 -128184 82016 31869 -22798 38458 26169 79884 -159816 38037 -18067 70404 148488 -172441 -51287 51694 12557 48984 73208 -110228 -160400 -13140 41765 27196 81642 124606 -150960 68473 -46716 -8541 169238 -83854 35969 -19986 -48539 74612 116449 -74365 177956 -41433 -159906 111853 194091 39763 81870 -221405 180541 -12638 1044 -23882 -95873 34588 -5671 35441 8661 4182 -59509 62762 16285 19911 -78753 -124049 -119041 -85239 73252 -177538 1486 42139 -61608 233666 59566 -16520 106937 31330 195677 -75181 -29025 5788 -94081 117372 -36248 -65090 -131810 -190718 -168423 37641 -74469 93262 -82557 468 -68352 35116 -2905 -83207 155799 -14348 -194836 -123641 109548 -97595 55561 -169099 58834 -152348 -27141 68560 13172 -6501 1652 -66359 -175006 100652 -163292 14675 -125935 -79195 -66309 -18254 -2552 -107267 57970 66739 -25013 194122 -687 50679 57296 -665 -43885 -152544 59227 61303 55387 189295 -25530 83379 -177048 209166 101506 116241 52261 -58892 -38702 -187447 145898 -113246 -48076 -43439 -86627 36562 233296 29854 29765 84575 -93817 -93148 157763 -41182 68645 58646 -120663 -1657 65858 -58080 33400 125776 60912 -121212 -90154 -63420 79112 81145 -28647 -47393 73126 63656 63668 
+-90572 -2197 -52611 158976 -5134 1249 -83127 108782 -52558 36050 -17148 -63585 56842 -179561 -258738 -118543 -38034 -8913 23365 28121 81288 -175160 35461 -96241 -12515 -43053 -11460 10395 -107993 -92305 -156815 -2233 -23414 -79291 61500 -138740 -54848 18895 41039 -8363 28275 -48022 178008 198855 -116238 28001 -202182 -33907 -151414 147544 -34444 214892 -47653 -45243 -59480 14395 -121396 -25494 100909 10024 59988 29594 151602 147566 18264 -7740 -31768 -210462 -123322 193178 28342 -86315 163896 -26467 91618 -100672 51904 -65136 109832 87709 -205885 -12546 -40250 17127 81139 72395 -87479 -117064 -29352 1049 27860 79255 129013 -177071 71236 -52920 -27855 62693 -69748 -92839 -7267 -56442 58194 41340 -61699 129412 -56438 -128073 -34112 184265 83088 89737 -23171 204595 -24434 5405 -27781 -147107 137932 125781 -48052 38379 27288 -116523 -67292 -33768 13276 -112445 -168664 -143618 -72078 58399 -173365 -10582 75448 -56313 233998 29237 -17320 63959 33744 114507 -73052 -100000 2158 -115037 27355 160070 125379 -131572 -171030 -174959 8972 101914 102787 -108167 65597 -59015 55670 38975 -96998 161483 33966 -196549 -136180 36439 1266 57563 -157424 39908 -180258 37250 124499 45650 -23492 -20842 -73973 -115985 86044 -169710 -21888 -136509 -73394 79602 -102 23965 -132135 103018 53348 -20085 59999 -7155 -9295 49066 32948 -46566 -74189 100133 38306 -5472 208269 -34294 98057 -123431 220545 39500 41449 -42017 -5220 1188 -187748 10387 -71978 -51916 -2608 -67847 61330 185710 24487 114656 -70081 -52621 -122941 49279 -41642 43313 18437 -80518 -11369 61877 -176553 26422 102409 55193 -7037 -50213 -21223 90534 52632 -49074 -63356 25001 -21304 50317 
+-94704 -95443 20096 196201 -26721 16382 -161923 51537 -214847 19609 28 -50035 52128 -96958 -259198 -78111 11547 -48688 11441 35700 55442 -169723 34977 -55820 -10821 -55995 -71488 17925 -66559 -63171 -101046 -53993 -43544 -114692 72333 24128 -47263 34197 59158 36010 -10978 -26873 150882 203829 -87649 34330 -117441 -53152 -182880 145312 -87012 109764 39481 -42454 32224 23841 -9594 -13109 96181 -31854 58251 35303 98911 98672 16485 34540 1228 -179090 -99309 170742 81917 -128500 236583 37433 48954 83483 21982 -111074 119929 18619 -214595 48708 -78952 18584 80428 -100927 25806 -117618 -29638 13719 8698 77634 128857 -173000 -2371 -58675 -37224 -55528 -26971 -198456 17766 -91562 49796 -19180 -30917 85685 -69771 -17037 -209312 115892 80886 97407 132970 202706 -11762 10269 -27830 -189119 85450 231490 -77777 -8836 -28669 -130747 -76343 -36095 2662 -86690 -105291 -119925 -37257 60641 -158472 -22205 54731 -44061 170655 -13047 -57 55306 36334 23837 -95192 -165891 14735 -117052 -159125 135294 205884 -85906 -113041 -145055 -22259 115301 99262 -67447 104445 -71903 45845 108880 -79636 146300 122920 -114810 -148518 -54493 78272 73147 -106051 45115 -219590 66867 115828 -7211 -60746 -42869 -75288 -28974 80563 -87968 -15945 -93252 2945 81026 4850 58069 -116509 125932 41904 -396 -60197 -7965 -57976 50044 95006 -42534 21412 103552 54996 -28350 249833 -77395 94181 -36181 133095 8315 34002 -147865 36587 57650 -50377 -148602 -1938 -51810 36609 -57796 36815 130581 18456 177032 -191095 -44648 -107904 -71389 -18457 88454 -66480 34113 -12044 64916 -194365 7499 95241 53089 26878 -50639 51326 90966 57413 -63498 -92723 -98591 -105818 36929 
+-73627 -142932 -18664 75581 -66994 30407 -54699 49441 -227803 33584 13101 -40738 51063 427 -302552 -6031 48216 -100461 42522 57974 4648 -145551 -48730 -94922 -12999 -69140 -77813 -7432 -23855 16670 -19151 -54109 -60367 -138102 80237 185678 -44425 -5349 60941 -20215 -103198 -62946 72167 146511 -2429 15055 16532 -23601 -216623 128763 -22857 2889 121585 -43230 45890 26824 82517 -14410 86271 -43315 35733 32335 -58210 39884 26963 50803 118097 -8615 -98611 -10428 119185 -139935 194676 151289 50672 91940 70729 -66585 124479 -62667 -214669 27341 -29661 1007 66694 -239394 81902 -102169 -17189 27102 -15851 78809 142846 -175092 -81587 -56847 -86179 -91981 -12049 -263898 -33940 -134455 48780 -4325 12914 46688 -81956 92661 -236157 21126 90727 66093 -10733 186414 -12898 12466 -34793 -202812 -37970 195565 -87547 -62524 -665 -107284 54949 -54093 -13672 -58415 -64092 -34240 45658 56212 -133580 -33073 53694 -25226 56423 -49539 30483 29999 37036 -39567 -54707 -157865 37184 -84451 -212728 -97608 178834 -66194 -12027 -113075 -26703 -30172 112325 -3115 79193 -73327 76974 171753 -35811 116542 173391 -42422 -122100 -42333 127743 50734 -31416 67221 -212026 105512 25317 -89019 -76569 -53759 -69343 51764 70814 -67827 5061 -108395 86849 -91033 -5544 14985 -87115 62115 36947 28239 -19936 -15899 -77733 -7783 132528 -35878 56898 88911 39288 -33791 243466 -103870 71566 59682 -1990 -9675 -19747 -160969 43069 87663 67930 -181773 54602 -56116 67295 -58576 31775 66720 37142 215646 -157059 -37309 -107100 -126043 -1342 107816 -82255 216958 -16973 74610 -121663 8340 63596 75952 -45237 -72270 75047 84622 45717 -45170 -116323 -127160 -83936 17769 
+-105616 -127092 -34420 -51688 -156722 47257 102671 31967 -105640 76726 36275 -38471 45421 110004 -283302 33658 116725 -152053 40540 64724 -34914 -150489 -38011 -83055 -13662 -72174 22046 10626 12253 128992 61307 29545 -57131 -157934 65924 197782 -59603 44052 32309 -54765 -200526 -111831 -8362 94831 32339 -3422 19955 -23955 -254714 120419 -18781 -83795 206288 -48271 60609 -39826 76056 2387 78268 -33477 20687 62233 -168280 11691 46879 58843 149669 76183 -93980 -80291 111149 -116998 84639 212434 74600 -28584 54710 -13134 97774 -141224 -234583 32361 80135 -21304 23206 -203484 82125 -92672 3063 -28938 -71581 75424 151081 -145531 -134584 -66625 -104618 -18114 -24205 -237039 -15324 -151251 53538 60749 53780 2671 -92378 115255 -85783 -37750 54502 74007 -203799 149871 -25951 -50635 -36480 -194328 -79931 44448 -67392 -134171 505 -62983 157424 -32581 -28877 -58611 -91156 71583 121125 55129 -100466 -48500 67722 -36508 -57393 -59194 -4897 64154 35253 -50081 17204 -118963 63922 -56959 -74682 -204933 65395 -22974 53344 -112407 -43323 -192302 111170 -13713 96120 -70383 71917 138265 5156 117784 137375 -3330 -119928 69265 145176 54459 57316 110501 -215452 91505 -126106 -103131 -109755 -30061 -75384 74858 41555 12471 24637 -111358 170811 -190573 4918 17710 -47203 -17958 44753 46586 104086 -18674 -91994 3346 124963 -57847 4002 78375 56296 -23021 251626 -129279 53567 103589 -30293 -12361 -75358 -34198 68700 125410 122815 -208903 75397 -57329 85578 -73657 66755 8813 18717 222446 -1488 -69003 -88655 -23288 3551 141509 -55617 219870 -17962 87735 -17830 -20321 28279 76966 -124920 -111463 8545 54287 39524 -24160 -136939 -22019 21195 5487 
+-91862 -43808 -62993 -67027 -159323 67864 101980 -749 72805 24441 26419 -50607 49629 172713 -226961 48705 120347 -195554 -800 34112 -62082 -130380 -57573 -139092 -13851 -86003 108734 -26758 58179 229472 109302 60513 -48837 -174317 82103 49040 -68136 6721 -19789 -36502 -156497 -143534 -63760 28720 -20527 4156 -97718 -2926 -205302 129681 -33844 2243 206272 -53171 50988 -98939 -16042 -25411 72098 9590 17058 25683 -164511 55289 65341 18580 105803 -34218 -82360 68900 111706 -66919 -73930 144384 67077 -198983 49983 48198 63462 -172876 -202515 480 163217 -40402 20986 -11679 -4537 -60558 16864 39446 -40263 74531 140585 -74193 -95001 -55163 -85818 107979 -59692 -139324 -422 -117276 36200 132159 86118 -36751 -101118 27544 85353 -21577 -3398 71536 -166053 96610 -9980 -40210 -36358 -154269 38956 -52492 278 -159944 -3160 -34302 105794 -44481 -52066 -57662 -109355 108482 139454 53785 -61371 -84356 35671 -32159 -62068 -24010 -23049 40294 32514 9999 68648 -17381 82762 5862 97146 -68481 -42405 -25092 69903 -98877 -34446 -241907 114586 -33418 69633 -40982 117369 114189 43650 88679 45623 -22863 -111901 72672 121594 97869 117790 134353 -214009 27919 -180621 -53361 -118639 -2293 -72655 103035 32491 26117 12749 -121933 200296 -149595 21362 127 29815 -88141 44273 61112 199620 -12730 -72481 24838 111069 -59908 -68876 62474 33615 -3314 234258 -130124 43396 147904 33714 35489 -102401 61160 128185 89926 115362 -153633 68296 -17217 88627 -91122 44297 -32430 17375 197288 129888 -97331 2518 106491 23154 149996 12436 147118 -26933 99824 9719 6151 319 58994 -116555 -127698 -51312 28476 1187 -30295 -73576 99766 96798 7966 
+-70797 -6355 -8386 38756 -104055 58628 -56793 1541 89747 13484 -14158 -59928 50854 163253 -168573 88256 113370 -209519 59514 22306 -120995 -86015 -46032 -87057 -14178 -68457 40239 -22058 113589 259331 124364 95611 -56915 -182178 63325 -117944 -81791 11075 -72311 -13925 -30112 -125497 -84575 9576 -74788 27453 -192762 28623 -119182 79743 35937 132585 192841 -63598 -6780 -121106 -142164 -33964 67347 37625 17798 12775 -43858 127233 73179 -27846 11732 -194170 -70397 189577 110796 -8660 -130977 22707 75212 -123326 114871 120056 6067 -174004 -179371 -31673 164775 -47158 10424 100648 -81681 -46005 10844 10420 2739 73550 153113 -42137 -25823 -63570 -57763 186699 -95807 -12215 -5146 -70309 34501 118986 98824 -76310 -107795 -94359 107898 38077 -7425 65989 21192 35402 -9327 -55737 -41629 -105144 145790 -28530 64076 -171254 23156 -1672 -40956 -34262 -77619 -33842 -150945 109633 135582 54668 -19285 -101927 60214 -35451 935 14574 -20254 72918 30822 100045 125139 16727 88779 65110 91326 138096 -98225 -69172 29089 -98974 -27738 -45734 109581 -42393 29947 -72724 99331 20583 52101 99956 -13250 -127817 -161411 56348 61056 100721 140606 119098 -165609 -30722 -147374 31497 -96129 -16182 -71443 47256 7281 -4315 -8960 -104063 180674 33853 20824 -2919 -382 -8144 6130 65948 132277 2469 -39892 15537 23144 -55039 -144112 51917 64186 52966 216399 -171878 953 150007 185827 53723 -139266 22283 125664 26071 118898 -27155 24905 -41739 75100 -85538 66832 -54125 48525 121892 95583 -131306 41204 188648 -278 125691 68512 -12766 -38082 106878 -85951 667 -18541 51362 -5108 -106006 -31995 -27565 -25221 -29205 -56359 21043 102269 -19024 
+-93665 -52258 -3154 179821 13177 73806 -178570 -24575 -79488 39089 -14424 -62213 54151 102354 -45223 106296 71304 -226940 46951 20531 -131785 -39427 -28127 -97203 -11349 -53475 -7476 -45811 157937 214923 106633 30828 -17589 -201402 68188 -124704 -95971 15865 -118249 -24727 31406 -155456 -45008 15551 -129469 34563 -143550 22843 -44977 68936 47683 206247 146084 -34113 -84883 -63586 -214593 -15601 59621 18517 34540 41407 99064 153143 69425 22451 -26833 -210883 -64462 164927 77533 41102 -126542 -30907 88043 21612 107350 131572 -6678 -183475 -162543 -88156 91594 -47441 60342 18725 -124691 -45114 -12584 33953 24332 74263 145305 15854 62361 -54710 -41250 156104 -122837 87053 -1586 -45263 32251 45050 90551 -86042 -112562 -161804 -43566 127727 -18757 53351 144483 -21525 -29865 -55098 -48303 -50342 88238 130433 169375 -221453 798 38654 -74196 9049 -102724 -57829 -129144 21239 76288 60331 23494 -122468 66356 -40264 136636 54993 -6860 118454 31741 186336 155284 24334 79919 124996 -90309 156170 24546 -94985 -36776 -69220 -5299 91448 103544 -41146 -26826 -67949 109789 5328 36614 80724 25350 -204268 -91637 -68216 -3694 107780 131379 86783 -135566 -87463 -20356 37984 -147002 17272 -66413 -16749 -17953 -10348 -4998 -129828 76976 106999 12503 19277 -34666 108654 2294 52737 -856 1387 29787 36317 2483 -76269 -150108 71272 57265 113468 182569 -122998 -5394 138452 236527 119796 -98200 -102468 137977 -22077 -18878 88702 -38944 -43008 48516 -71121 37504 -47922 25897 45634 -81601 -157537 85579 163086 11743 63647 -20115 -106925 -36314 106196 -164680 -14829 -62461 61761 24258 -65485 57499 -78378 -30280 -38857 -32090 -68976 31203 7688 
+-89458 -136574 -28266 178630 -16390 36587 -71807 -25459 -227081 20742 2960 -60078 57400 -6887 -16572 79291 15219 -223458 18739 43532 -173557 -9251 8561 -65206 -14779 -42903 -120737 -38327 172087 127297 42965 -37180 -4728 -212619 51048 -3680 -101734 11329 -124861 -18038 -42028 -93471 32458 39806 -61192 15798 -25119 68247 49345 33379 97607 109672 66319 -65448 -133563 -21464 -176575 -4187 56040 -16289 58078 54696 149699 100397 53434 53940 84078 -43754 -63300 -5986 35965 55477 -25408 37169 72298 118669 115838 87023 -37368 -141604 -128012 -115597 -8913 -23978 64373 -183607 -112329 -43537 -46023 22232 28095 73562 142191 37284 81672 -67375 -38612 39960 -124776 118512 28562 -82220 40118 -18317 63985 -91250 -115794 -124443 -215071 190527 -69333 43416 -8295 -75408 -10936 -33113 -49179 -14726 -33590 239286 200671 -242494 -20285 51515 25168 1932 -120666 -98368 -75317 -91130 -19151 59198 63374 -123845 53471 -28191 226525 40236 -2726 189810 34454 239324 205018 -52366 57295 183274 -218612 -21638 154400 -133563 -138248 -47605 20213 119186 117458 -81683 -32012 -37676 51555 -11630 -2656 54286 114923 -201747 -106370 -34169 -90569 120386 73514 54014 -89920 -169435 88057 -42803 -139241 3446 -67239 -103248 -32331 -107608 22119 -110474 7336 -3843 5050 3608 -92750 131528 -15692 37624 -40946 -6167 33051 27849 -3787 -58683 -97136 54814 43004 162106 149540 -94079 -48770 66643 153037 111105 -99522 -178484 129450 -66865 -138234 159217 -93453 -23005 11849 -55624 15454 -17499 30326 -25054 -187271 -154409 154713 96191 52010 75759 -78220 -75263 -38191 98426 -165084 -12433 -98470 76625 -854 -55910 58816 -131920 -26135 -34198 -62893 -160824 -73995 -25202 
+-78367 -152443 11462 74194 -92209 59811 100629 -9889 -228239 49842 34839 -43787 56647 -104486 51048 59997 -20609 -202614 -5114 57392 -186003 31017 62868 -73262 -10836 -9056 -61470 -707 152351 8824 -31156 -45815 -56987 -223205 57627 137888 -104865 14451 -84649 -27654 -169734 -57330 119033 110229 -25344 -3215 66869 56850 105326 4428 120811 -21759 -35031 -56171 -187516 27879 -59873 3336 47069 -49784 63992 19326 69579 37822 33159 50511 143042 70428 -62016 -67709 15270 24941 114479 152746 52725 6587 96353 43507 -71858 -83220 -85998 -79733 -72771 -6373 44878 -250051 -46491 -45532 -38434 -11621 -20123 76063 152824 82032 26878 -59538 20073 -69649 -99396 48865 27956 -135659 28274 -13089 23938 -79558 -116584 -6159 -231495 193273 -109866 45331 -192574 -109779 2016 -73569 -46998 17127 -72568 210943 266322 -244957 12625 137151 113923 604 -146710 -85821 -48582 -147461 -59334 64265 98014 -145819 60553 -47484 253408 8909 24651 156489 36701 212350 217787 -121634 32041 215264 -145253 -179201 195688 -136158 -187651 -24212 36581 -1941 106659 -99057 -58236 -78844 35614 77996 -45272 53121 175535 -156477 -100261 -5240 -178919 114874 -8237 28455 -62603 -131730 105218 -105781 -130966 -8867 -69792 -173339 -44973 -164560 28165 -125760 -72339 -174361 4822 27820 -133262 135574 17893 9751 42762 -11438 110091 28982 51700 -54847 -18736 2605 24967 200811 103399 -43390 -51235 -47156 22993 123961 -109058 -118286 115858 -40420 -190962 164962 -119464 -77172 -28940 -56953 34727 34658 48983 -78442 -164031 -136136 141552 -47594 74680 54335 -94792 67996 -52840 86359 -79918 -11431 -57285 56290 -85028 -52756 24944 -180718 -41021 -35393 -104921 -41961 -118003 -44420 
+-87659 -58274 -18962 -44876 -174466 40023 113877 18718 -135731 38166 34179 -37223 52826 -185330 97356 21973 -51051 -164993 -22738 52498 -207008 51289 48050 -103773 -14464 28035 39622 -17583 147969 -78385 -103851 9589 -19245 -209171 71250 209046 -94364 28416 -61588 -40235 -186732 -6035 174040 160607 24477 3591 -24059 62210 117032 -11262 123856 -96347 -131302 -56057 -214421 24287 51275 107 45241 -33007 48769 14952 -75987 9925 19110 31328 149220 11852 -69484 64736 -16996 -22359 218880 211360 55833 -170705 86665 -26231 -33493 -7720 -48971 -65078 -59706 5885 77626 -132486 51809 -72752 -19403 37051 -39615 78054 150088 88218 -77056 -73231 28039 -86605 -58840 -62289 -21824 -148973 50728 64190 -19690 -74245 -115740 104697 -76514 138702 -147551 55978 -187352 -129581 11537 -4332 -48501 16288 23875 55682 253918 -252426 19463 179711 104194 -31409 -165445 -78840 -87495 -130636 -103219 63651 124943 -148183 49816 -46332 200843 -22127 5063 125559 36869 144096 196843 -181000 11143 230152 44148 -135578 181562 -100991 -184869 -11375 75595 -184169 107146 -41414 -61085 -88601 15210 155325 -83814 29375 139230 -40860 -99626 103044 -221343 98975 -86629 43156 -11890 -128753 44682 -91563 -141781 -8021 -68153 -202545 -57280 -166488 920 -113269 -100163 -198887 9309 -7449 -134504 1941 15087 -9611 166390 -7715 76716 15526 106707 -69725 18734 -19883 72743 229051 31951 -34612 -80626 -84704 -33197 153133 -34555 25497 125396 17030 -119232 112246 -101081 -63374 -68320 -74534 35951 95614 39276 -99072 -5144 -103646 155625 -103490 91928 77126 -40936 207949 -53529 73932 -49952 -29091 -111260 80462 -95182 -86759 -34773 -241720 -17136 -54774 -64846 38562 -57704 -55545 
+-87913 -14192 -28202 -39959 -153576 37088 -53656 41848 65255 26219 28598 -44836 51407 -177024 113548 -38469 -6433 -121664 -8677 41425 -198784 82318 34672 -73086 -15387 53144 108050 -11838 89039 -89667 -159209 89179 -11269 -214718 82901 61534 -78044 -17181 -20321 -62148 -94239 -30787 188768 189644 -11898 26873 -170930 49246 91040 -36898 148878 -7432 -162818 -59441 -258833 -62330 93096 6360 42143 -272 30639 56058 -163286 46490 15801 -29322 44554 -170069 -70366 196545 -15886 -85579 207228 148353 58884 -158172 73855 -91567 -34043 71980 -3807 18750 38689 16139 35107 47105 102209 -54183 3944 21003 -31440 80986 158233 75118 -132954 -66942 37012 2852 -39201 -192783 -12664 -144645 56834 127864 -54801 -43078 -112787 116380 91723 41782 -169176 70830 30952 -124408 -27736 -56100 -38358 -5298 138599 -79162 255471 -224337 10477 195647 -33615 -8624 -183409 -123577 -149176 -51142 -72898 59281 141807 -174039 69725 -39241 106893 -51409 2929 118798 34573 46013 152555 -163804 2707 203293 121421 98621 -12673 -109537 -154787 26579 76526 -207371 98183 -34342 -8650 -113847 24962 170271 -95201 -24919 52303 16114 -64590 79983 -258300 96712 -144397 72815 35097 -76940 -57418 3645 -118613 -28220 -69289 -208251 -66980 -167338 12220 -112464 -4913 -52422 2289 -41566 -57864 -53708 -9053 -18701 181605 -1231 60436 54833 141295 -61024 12964 3301 63839 241085 7141 -19923 -101910 -138941 6906 113674 4884 48953 73749 69128 -38149 37120 -54525 -44477 -99424 -91502 26077 161845 63836 -86941 134299 -68716 130486 -83691 57687 106972 31636 245887 -55785 63939 -77186 -20671 -119412 94675 -55181 -125702 -33438 -273206 -10494 -46015 -93014 52816 48069 -46644 
+-76259 -66550 -5746 57611 -64667 11399 -168604 77199 128188 40432 -8544 -50800 47971 -117676 94605 -77343 58967 -75245 -10650 37458 -188951 100776 -1763 -87055 -15066 74535 77611 -23024 45299 -39216 -224118 95197 1770 -191483 72332 -48738 -64587 -19265 20263 -42597 5670 -63621 140335 184070 -92267 34773 -180952 29050 60425 -51360 94182 155250 -162867 -59212 -222319 -92720 24111 -53818 46395 29895 17665 62763 -166076 136713 25828 -17157 -15412 -224557 -94264 182857 25309 -130105 134162 24748 74276 -702 72711 -88311 20331 134888 20260 54821 139298 15172 36698 83887 73567 -42652 14965 51876 20780 84065 157811 58968 -122005 -93691 36794 127729 -21292 -259294 13175 -86866 57134 126519 -72832 9981 -107568 14558 105076 -22086 -151654 65618 114183 -99528 -4365 -13857 -41889 -43928 98992 -46939 182384 -150760 1945 231367 -110615 -13931 -187288 -131864 -114930 37762 -29388 52771 148389 -176044 58277 -62101 5721 -38735 -19014 91036 31923 -32473 84618 -118440 7161 175126 -16259 170498 -109937 -96209 -93663 36123 86131 -49975 102849 -34098 23852 -76291 16606 135921 -76394 -15025 -9762 -10852 -76416 -41311 -233363 107801 -169968 114034 51552 -11283 -150251 46501 -124624 -25409 -68306 -154205 -69815 -179250 -1568 -122468 46361 79539 -12940 -3500 -34856 -55766 -24921 -24657 42833 535 11362 53115 118135 -52700 -52567 -48480 79773 206705 -35931 22533 -99438 -194842 153740 106867 9172 -39303 66565 121845 83857 -104838 15236 -54782 -117998 -85292 42685 208000 29981 -37602 83083 -39234 51996 61966 105220 137442 53048 155227 -49654 61459 -166890 7901 -79111 68624 76736 -125242 28211 -296482 4246 -32310 -45205 -71444 111649 -72633 
+-60849 -142265 -30067 133958 35376 -4596 -78623 108110 -63879 32607 6859 -57608 45518 -5966 50578 -129407 92759 -21709 28798 14862 -155765 106760 -40275 -124671 -12041 85791 -62659 -13335 -32881 73037 -231032 51279 -32824 -187073 67416 -89351 -53818 -25663 78710 -36992 6566 -110213 68296 133246 -111140 16474 -65118 13839 30040 -48445 120021 181219 -101737 -43508 -173219 -125696 -95576 -31679 39785 32137 9557 51583 -38212 151535 44447 44119 23752 -79936 -92865 -4446 76541 -139352 -18029 -30932 81095 115889 38533 -58686 38973 164645 52255 43590 180394 -188 25563 -65297 -51563 -46886 5060 35691 48529 84989 159068 24321 -51841 -78327 27093 189842 -29031 -244506 -9054 -56599 52424 48071 -70460 43567 -100687 -111196 -52413 -33347 -198279 99088 1432 -62668 -30331 -76545 -33457 -90724 -37389 104637 127994 -128965 -14859 255933 2729 -68673 -190963 -121756 -90701 109673 44831 61641 143670 -172076 29654 -66257 -27039 -5374 -18738 64603 30881 -46133 70795 -33794 27784 127111 -201881 9658 -117859 -45499 -18842 37090 92362 67244 97588 -5676 69032 -93440 43190 57374 -43718 -62128 20867 -98885 -51593 -68834 -187316 67491 -148543 127514 71230 74959 -173400 21232 -168313 -48593 -64098 -81330 -76240 -105543 -6666 -124786 143697 75601 -5047 23292 29165 3395 -14268 -13835 -37768 7710 -33239 88396 103340 -37185 -106450 -51570 55502 176822 -49936 34387 -88112 -212174 232040 65374 62089 -176682 12522 118184 166362 -182751 64395 -28612 -121245 -71904 70817 242644 25052 37695 -74328 -33884 11261 151495 83892 146044 -30809 -219 -47231 66250 -195583 12712 -85735 88718 6710 -93342 56433 -287309 31828 -48167 -44474 -122458 93602 -74306 
+-96821 -169113 -40844 170537 8462 -5680 87630 129671 -219975 47150 22388 -59199 48062 96909 -10216 -168916 121758 13657 68189 37667 -149393 123421 -46067 -80360 -8496 88838 -117918 7490 -77510 181957 -193538 10796 -87090 -181385 67804 -79894 -43042 -34413 60194 -7187 -114841 -133987 -22477 78311 -90813 -2963 21257 -13893 -105948 -40985 47693 84843 -30699 -53742 -126009 -87164 -194139 27000 38607 -3095 22943 54086 103554 95292 65012 68974 129478 69657 -106301 -81747 114206 -121127 -132827 36817 64514 6415 40368 4959 86387 185037 69265 44126 121924 -22854 38946 -224466 -119245 -59288 -25118 21388 1129 83972 162121 -22897 36352 -59808 -16805 140065 -45939 -143236 -31889 -49315 71489 -16094 -45547 88553 -92002 -166180 -220457 31501 -193949 97093 -208756 -9485 -27212 -49819 -32978 -149543 -67559 211941 57354 -125239 12066 262401 113809 -43949 -186641 -119710 -68118 119438 114411 52555 127338 -173278 66661 -66662 -39991 25248 -4059 30227 32338 -8343 3349 10148 53492 58983 -200986 -182883 5678 -51779 34421 11449 77434 106788 85352 -44692 93943 -70913 47413 1259 9426 -47923 110808 -168114 -26496 -5058 -115339 50222 -96596 117447 50106 76009 -86939 -61377 -140128 -32133 -66406 -4376 -78681 -76430 28223 -150346 171271 -86395 -28152 36862 11132 93216 -6887 1872 -15502 -3336 -75310 120510 43271 -32373 -169832 -66891 86534 122560 -90371 12199 -74216 -188893 187235 12408 105840 -152519 -15137 71171 115557 -180820 89287 -39377 -108466 -56860 13693 248219 28063 110434 -185119 -48946 -25981 194337 124500 105159 -97607 -100788 -53436 77706 -97241 13286 -55100 84619 -75054 -51357 36784 -278372 59556 -34803 -71714 -78441 4817 -66488 
+-92248 -88249 -26808 55602 -106031 -34765 108750 133267 -273689 42998 22087 -53802 51461 172533 -57284 -213790 123708 50262 49506 58095 -103577 139573 -46364 -106591 -12161 93824 -50983 2059 -103689 252658 -148606 -81424 -46501 -144876 57247 74518 -54680 -59174 28690 -33621 -196189 -161023 -68741 24247 -6663 3015 19366 1570 -161121 -45925 -48257 -61918 60471 -48014 -35860 -5037 -210656 592 38643 -45211 36157 29257 143024 21229 74161 62921 166258 37703 -119672 53920 107773 -69170 -146356 156550 59478 -151221 76715 77801 116344 153226 70746 -36399 26377 -43825 55042 -221341 -146007 -82644 -26047 13061 -30154 83414 153940 -56034 76436 -75875 -47571 17515 -70236 -31545 -6880 -100325 79663 -10043 -8682 144968 -81583 -105751 -226577 113170 -150492 82753 -182123 54778 -15295 -78442 -30193 -181844 24637 222812 -38424 -77423 21918 312482 113517 -80288 -180518 -127362 -86430 48493 158787 51361 101756 -175991 30285 -74880 60231 59646 -3425 13466 35110 74445 -62653 12355 76532 -6614 -25512 -174320 179278 -69622 49330 6915 53934 -4504 100963 -38109 77138 -61878 99371 -14830 39627 -69770 171904 -210270 -1605 109339 -23058 50064 -11732 86757 25110 103712 41994 -126528 -123527 -14436 -66515 52120 -60739 -30505 4271 -104842 195626 -178895 -33723 15612 -44885 161192 -5945 28077 136126 -4960 -71077 95980 3702 -42092 -83188 -76797 84799 66663 -76900 -46747 -62866 -125003 63246 27646 145394 -58435 -45712 37024 21584 -166801 58150 -21227 -81624 -55687 43727 230951 31677 186124 -163035 -84078 -82137 111104 146670 67228 -56808 -83307 -50057 91956 -65881 16287 -30670 84297 -113275 -48465 -37716 -222172 37929 -30423 -105034 60424 -96034 -60766 
+-86426 -5418 -21346 -37075 -193170 -13279 -42947 152458 -150820 34610 20643 -45934 55570 169848 -140945 -234937 65510 73232 65002 62192 -51006 130290 -29552 -61711 -11020 98946 31800 21625 -124171 251029 -76145 -49616 -10049 -122687 61440 196493 -59238 -15924 7260 -32556 -158861 -124439 -82810 -7213 23171 26268 -118313 -30627 -196453 -30775 -35483 -85759 131473 -36936 3597 23355 -112513 17333 43748 -46419 55799 45083 48905 -6033 69159 -27074 62604 -139269 -124817 196537 124494 -6394 -75319 215558 65657 -203631 81698 113252 121386 124850 73395 -47477 -58908 -44229 71626 -52727 -84059 -81977 -21305 6548 -23762 79661 157008 -129875 45042 -56883 -108374 -80693 -101736 73878 -25373 -151703 69851 56640 34960 176220 -69493 18874 -67498 192008 -137269 73761 -634 112655 -17163 -61904 -28966 -196225 134303 94910 -62408 -27664 25610 277139 -17699 -36601 -166723 -127690 -140224 -59488 124411 65113 67905 -155338 36576 -56058 167300 53873 1383 60041 36901 168065 -72756 -57681 88676 -59010 112486 26543 212516 -70644 24589 41397 38132 -193857 89099 -76544 108602 -73101 98411 47784 52620 -104301 145167 -170117 -1736 82955 61254 49431 66321 49677 5843 62565 129240 -72431 -89829 -7990 -69459 98493 -57597 39201 -1838 -137111 144666 -137170 -57910 -13723 -92946 66938 -10187 48047 194893 -11792 -78668 122319 23942 -28922 -7434 -111522 78335 1228 -112356 -20463 -37035 -71223 -37911 6097 177417 59995 -52870 -27453 -78595 -60363 917 -38836 -45109 -73702 49117 191545 10470 217139 3925 -129930 -103297 -29757 119667 57772 6328 43556 -42458 102284 -16003 48061 -17824 86365 -127684 -77541 -34001 -209736 92019 -42098 -97540 58939 -104613 -60171 
+-91133 -31893 -19217 -56507 -155484 -32848 -150986 143314 62129 53971 9845 -36904 59680 112187 -202274 -236886 11153 66326 63694 13717 -45379 111779 -6974 -78206 -10456 81200 121705 5733 -154718 181590 21905 77295 407 -98383 88570 120613 -61898 -52849 -23154 -36309 -28193 -148883 -24777 19380 -17682 34981 -199738 -58777 -230721 -19786 -43153 67033 207564 -68175 57915 -4169 1310 -6585 47326 -9156 54231 -9991 -89275 54092 54508 -50889 -9666 -218231 -126398 192309 132457 38644 95899 148279 56738 -23356 113517 127685 103288 67978 56598 -78209 -68662 -46735 68532 84759 107 -104884 -14413 22300 -13918 78198 148800 -134523 -41581 -45933 -93518 -77716 -125911 122074 -8844 -141010 76002 131151 73505 208195 -56144 108620 96935 202856 -66695 80220 120970 159595 -24489 -76220 -27487 -191842 105938 -58752 -99563 31849 31956 260093 -100298 -38534 -149959 -96988 -140770 -136703 40049 54274 28697 -151286 69260 -77284 222308 -2931 -22175 70074 36439 229432 -81224 -107875 85877 -92556 49840 175561 120635 -98910 -46079 14740 6225 -190199 76214 -96981 61705 -55480 114707 132347 36257 -116266 58038 -97964 -14049 1449 120959 -26 124543 28403 -32004 8897 87766 20677 -106363 -2953 -69720 83734 -36583 22451 -7009 -149430 63267 42490 -52875 -13939 -132351 -4765 -9437 63627 92372 -11056 -42063 123277 72145 -31796 39506 -119829 106658 -20384 -61325 -50771 -1864 42717 16803 42515 200026 -7785 -102537 -83571 -179625 84551 -58292 -55650 -4135 -88879 58246 134733 -11533 229608 126621 -147900 -102277 -112342 150078 47967 52799 221452 -35010 107935 -113556 -70 8646 61259 -16567 -104251 16253 -153400 10026 -51013 -95315 -59240 -37385 -43720 
+-84173 -111560 -62930 44738 -73968 -22155 -85724 123758 98987 30629 10267 -44769 57143 -4780 -246948 -218287 -40524 52458 25902 5713 -439 104053 30660 -96538 -10634 55309 48047 15106 -119011 67657 77508 107591 -3923 -76692 96119 3611 -67446 -67473 -86712 -31489 34429 -98161 55554 66019 -69836 17208 -129366 -56689 -224174 12096 -18799 197746 216605 -55944 66114 -50011 86975 -13267 47751 23903 54276 21139 -181663 130873 35369 25493 -10537 -122908 -132300 23166 80778 48269 206206 28681 75279 97744 115106 103436 90263 4627 31522 -115886 -1928 -17459 85875 30691 73315 -104717 -1165 16980 15624 76211 151750 -187441 -121474 -60765 -81048 24869 -109401 66861 -5845 -122515 86725 125928 96552 216549 -41187 105195 98838 155110 -58813 55621 12960 197132 -14850 -75409 -23387 -155835 -17417 -49936 -69205 102688 -12024 231256 -47442 -28432 -128210 -82360 -95571 -138865 -20558 64019 -13813 -125809 70814 -63429 214898 -31263 -14475 148044 33957 226133 -61499 -184382 68009 -117600 -136678 85198 -10281 -146088 -116042 7964 -8729 -75682 88580 -47903 -23000 -97252 75297 175643 1832 -135370 -7184 -24827 -1474 -65219 149637 -17726 143842 49565 -92310 -46123 60633 58722 -108811 -6664 -64956 11194 -11933 -23654 -6673 -98046 -28444 113302 -65425 -4215 -141434 -64878 -12757 65224 -32780 -5674 -39798 123608 122622 -25673 12157 -129431 77434 -34943 -47094 -119998 28433 71702 126333 25337 222759 -106080 -100414 -37149 -182487 140351 -116922 -46678 34505 -87474 521 73054 21017 187949 99521 -162149 -84420 -72640 133931 69604 -268 256401 -39119 105206 -184910 46625 40483 91199 42202 -133502 80441 -123531 20485 -35390 -19460 -131664 76383 -56198 
+-96487 -154529 6243 169086 -7593 -17825 82187 109262 -54907 45882 4220 -55677 51598 -101243 -265529 -178661 -31727 13157 11028 42016 47280 85202 35890 -93228 -11253 29535 -43029 24430 -73060 -31773 119288 88468 -55114 -45463 87016 -106510 -87761 -74064 -117668 -32528 -32946 -59847 141709 129153 -110578 -2696 21135 -12195 -213312 29514 -33622 185203 183361 -43919 26016 -112476 72389 -7712 53263 33584 36483 13390 -153777 139660 20644 61908 122716 46692 -130827 -103919 67989 33077 230047 -25684 90354 32518 134965 15411 38966 -90205 -1510 -79716 106982 -8172 1028 -144048 99200 -87128 28593 10902 50588 71565 153394 -183758 -129898 -64413 -70247 145410 -91150 -51548 -4553 -48649 78185 50235 98655 211733 -25299 4147 -62154 65854 -22590 46224 -167896 206700 -6458 -78222 -20919 -106543 -79719 83038 -2516 75779 30710 178573 98252 8248 -104266 -106936 -48562 -89816 -104686 64597 -56119 -102956 75425 -65928 135975 -57598 -5023 152331 31386 166089 22314 -172642 43287 -111199 -229855 -117859 -107872 -142981 -174629 -2574 -30656 85103 89019 -46117 -29711 -84628 73912 150620 -48207 -158143 15628 -2059 -34615 -12635 120694 -2426 120171 86463 -132013 -102765 -88829 -26233 -81891 -23000 -65915 -47567 -5646 -50411 1832 -101264 -78757 4329 -86828 26450 -64848 -11664 -1632 55639 -73468 -1956 43923 143972 149789 -4293 -64873 -157121 74679 -33891 -9771 -104557 51711 106644 212146 81055 192072 -156607 -141164 13335 -91184 157815 -117140 -12126 66121 -72998 32894 11200 16507 127208 -52070 -154168 -72019 10672 130711 116185 -62609 179103 -34049 96639 -164678 18340 74857 51910 -50063 -110142 42798 -65393 -32182 -38760 -45241 -63468 105876 -58812 
+-81939 -97842 -12757 159061 -14908 -3248 118862 77098 -179677 49841 47037 -62524 50031 -171419 -272227 -128248 -7958 -20813 -15270 54163 64683 55319 34511 -123746 -10947 9813 -87270 23066 -35797 -98026 116725 3483 -64150 -15998 79918 -124413 -98382 -70558 -118823 -58901 -166818 -44914 179100 169320 -67244 2482 32114 -45435 -112490 47814 -26372 39300 105591 -53817 -21070 -123622 -58687 -41366 54457 6298 23019 26444 -25438 100516 15981 62402 151664 67119 -125788 36262 17280 -29731 153063 31598 54766 -128171 113967 -43245 13242 -119957 -47828 -38540 164305 13260 20889 -253695 45590 -140799 9895 -5846 3535 73486 150118 -183527 -83191 -47391 -73624 189384 -37486 -186973 -15962 -41962 65329 -18698 78708 195353 -8429 -123661 -225368 -17122 -20521 52999 -178055 205468 -34601 -100710 -14010 -57296 21315 208879 70849 103141 22823 157119 141278 9663 -75943 -84648 -59227 -4763 -90676 67881 -95715 -87917 51627 -58921 64699 -17583 -8386 179324 31017 74215 12983 -106741 18650 -85333 -96380 -186509 -38751 -150466 -186644 -18477 -34974 101050 79754 -30797 -43439 -67786 25154 47176 -84764 -172519 103446 -79465 -51334 88604 81940 -6437 63358 117904 -155486 -165246 -170077 -120651 -73206 -27101 -69456 -128069 25979 -151054 30505 -117823 -77442 -174904 -85046 41099 -25351 43342 7578 33274 83703 -19608 39814 138109 108847 -11961 -129084 -158867 90265 -6892 14983 -97009 62243 136606 209664 150887 197150 -119930 -122717 70225 23617 140747 -95838 -47449 84948 -55751 -14384 -30689 9376 43662 -200313 -117858 3834 160430 130558 134530 -93721 1912 -23735 82357 -34470 39738 127000 90050 -115372 -72867 -25957 -24320 -57015 -47373 -62457 45109 49238 -44835 
+-75273 -27768 -55768 64570 -131937 6779 -25929 50251 -255858 64072 34927 -59907 47227 -177056 -259422 -93376 50249 -76402 -1865 47825 82443 27765 32451 -75565 -11668 -27492 -33361 32361 18670 -79098 95539 -48618 -26321 4248 44583 41666 -103043 -102090 -80219 -26978 -195590 -13700 162830 193617 -7312 25655 -85279 -11971 -60759 84880 67537 -79674 13639 -53328 -101551 -89049 -160802 -41549 58109 -47220 6232 -1704 118979 19029 25553 24218 95788 -99912 -118288 222552 6974 -84675 3085 155690 60014 -185987 88562 -94837 4412 -182057 -84786 -21957 151533 20900 52932 -157598 -61468 -155683 -9685 15726 -54906 73181 140456 -146519 2111 -61471 -43632 121554 -18766 -260550 -2173 -76771 60204 -25879 43060 174169 9291 -166986 -223380 -32264 40729 33082 24475 169673 1306 -87564 -15844 -9411 138648 228389 153130 90558 -4920 79483 48266 -25119 -54244 -52599 -112254 88466 -53809 59182 -129869 -68007 61709 -57353 -20961 9265 -15009 152349 32932 -10526 78624 -34742 4104 -38483 78740 -45456 118799 -94502 -155778 -22932 -39577 -13998 78490 6633 -46207 -67327 39091 -21600 -94374 -190324 170780 -148578 -64905 115336 14580 -45762 -22767 131126 -193507 -148186 -163015 -130397 -76398 -18713 -68648 -191669 39695 -198661 10114 -87977 -6489 -186032 -93167 18867 19092 137243 -8041 15646 189880 -10362 67665 85268 74383 103 -165651 -192693 93952 35729 91723 -113903 94934 163855 83423 156455 170974 18590 -119981 108174 141339 15261 -32874 -59683 88716 -57504 45073 -53926 17352 -31158 -161353 -81399 54928 218194 137339 147577 -64872 -90973 -17120 70613 -10708 28425 116429 75846 -147143 -47543 -49216 47008 -70951 -19034 -126519 62705 -37992 -47258 
+-96163 -3985 -19049 -68559 -159597 41181 -163833 18895 -160777 38094 12976 -50053 47506 -124400 -231550 -25672 87658 -119532 25963 47078 87941 -2392 -3567 -124423 -5927 -47770 36325 38384 65179 12000 33563 -43948 18157 25857 53970 147087 -87539 -62648 -64191 1870 -89797 -49784 114291 174344 33978 35123 -177841 33745 20453 98314 91993 -68887 -71346 -33666 -149330 -22858 -212982 -14402 67746 -51348 27215 22999 135627 -9001 43581 -29288 3955 -226611 -109781 206378 -4208 -123778 -111832 208761 50444 -17704 80299 -96171 -45289 -185500 -121950 33272 71944 4818 94448 8538 -117665 -165450 -32888 17137 -56769 74265 140971 -119970 71689 -45565 -3792 -3818 -23964 -248612 -25915 -129963 65493 53079 -702 125445 27396 -91592 -57915 9948 52304 63219 115248 122208 -12454 -109016 -18638 10696 104161 118578 217072 67157 11111 50118 -95647 -33897 -30135 -87623 -149027 122825 -7764 60708 -155929 -57316 76918 -54082 -77692 60013 -8052 114729 35708 -52178 155035 16190 4123 26904 99549 164276 176511 -79916 -94035 -47301 -24348 -175692 72756 -20592 -20472 -72356 32900 -31469 -80950 -224179 153297 -208722 -32463 43728 -86646 -24662 -100820 120358 -203333 -122823 -64313 -5715 -69983 -57229 -70226 -223388 56532 -197138 -12602 -83013 79745 -43624 -111226 -1422 -14229 115014 20693 -9086 149859 -17491 68084 86133 17627 689 -124438 -171449 91106 85663 161373 -126932 78040 101219 -36153 147804 122183 47356 -124742 133923 148076 -48609 21827 -1402 76342 -72473 12196 -48363 13808 -85812 -4561 -51394 125428 139746 133431 101619 27312 -99372 -17078 62319 -95031 25883 144045 69172 -70774 -58475 -917 67817 -59832 -16751 -93100 -31807 -101718 -24709 
+-79493 -106142 -25328 -56763 -152211 46577 -102319 -3603 53302 19801 -17295 -40712 49349 -15105 -157916 3514 114085 -157741 41064 13520 96663 -39558 -52044 -102251 -10601 -65654 79786 25428 108424 114396 -52458 291 11258 46910 98366 175099 -84527 -105167 5302 -11918 9667 -107399 19342 114956 -25932 17892 -171495 36483 99219 134459 111439 97730 -145022 -68707 -209448 27025 -169276 -25676 73418 -21856 32723 41247 42220 64005 62959 6248 -54917 -154533 -102794 55155 16046 -135647 -159084 149780 71001 85138 69266 -48065 -57125 -165123 -167313 64233 -38361 -11790 45229 90388 -137898 -144981 -38393 6203 -38248 76254 129604 -66526 58048 -32262 9988 -88775 -24877 -156187 -5372 -140554 68214 128830 -39361 93474 46214 22922 101276 92787 54015 73482 13720 64925 -10907 -119282 -13677 24638 -21632 -30290 268723 77821 18000 -5092 -85335 -23356 -13075 -105670 -119275 79507 78531 53945 -171976 -30673 43320 -43223 13629 57297 -5295 95777 37089 -26770 194209 22285 18398 89818 -61701 129383 204159 -47757 -5308 -69990 -248 -178330 83669 -52767 19746 -66492 35502 38613 -36277 -212190 62449 -194258 -70110 -70566 -164546 -48328 -145955 82761 -222987 -55751 31902 64991 -76437 -39751 -63796 -206586 75731 -191325 -6638 -93579 127487 95389 -118430 6645 -51007 34769 43329 -22881 4019 -11554 54183 70671 7687 9395 -39144 -176366 47795 146880 166208 -117461 78461 53688 -29149 116983 83055 -41734 -108517 84933 87517 -171699 73525 -44869 50095 -90372 44109 -17026 183 -109144 124150 -36523 160521 12668 134095 80452 58100 15674 -5315 62298 -161454 19460 163805 87761 32484 -94412 74665 77744 -15371 -35505 -65609 -99473 -73520 -42009 
+-93403 -141860 -7216 35499 -57058 65235 67226 -19206 130031 -1544 -17827 -37271 55023 94223 -92948 53403 134723 -200343 46519 9199 87459 -83933 -54192 -129477 -8451 -80544 49640 35278 151540 215651 -124232 98114 -30404 68664 61614 60201 -68070 -97481 44163 5043 16194 -137350 -51507 49593 -102016 -2419 -46132 26696 112650 131943 120964 242728 -166119 -52380 -241898 23840 -39721 -21973 81276 15224 50433 52136 -101631 111223 72399 68606 65560 20415 -88672 -63118 50413 -118832 -90923 26164 99377 99082 49120 13780 -35569 -126465 -197524 -3009 -76854 -34082 67414 -36086 -57787 -128302 -15280 6145 -3410 78807 121825 -30041 5844 -22155 23360 -65693 -56338 -35981 13697 -144831 40177 127630 -67728 38841 64692 118658 94484 166970 54385 106296 -182736 12184 -30508 -123647 -15417 -1060 -70526 -49438 270664 35320 -11337 -35086 83802 -40984 -45 -57265 -57484 -21990 120964 47212 -177392 -25054 33117 -54285 112695 23616 -12062 58970 35991 51899 210330 -5613 43387 140020 -214433 -66190 49052 -34833 51292 -81513 19249 -69048 85104 -65298 67786 -74832 33550 131056 8584 -202077 -6999 -132471 -120317 -39109 -218236 18074 -170830 47633 -207063 7340 127071 42796 19353 -30198 -58813 -157613 98644 -156059 12476 -117801 209133 74575 -129791 19764 -72867 -24918 43527 -28450 -63965 -15948 9475 67381 20566 17117 2535 -206781 23240 191021 217388 -78271 79466 -36775 78308 110896 46517 -160648 -63988 30713 -4930 -175344 76320 -64216 13220 -89393 9842 29411 -21364 -79562 84937 -40684 154815 -82203 115252 56973 -13687 188889 -7449 68981 -168475 24075 165931 94625 30709 -119520 39511 89085 -6876 -26369 -8483 -48053 39328 9590 
+-84906 -112413 -38791 136185 -17244 36895 127259 -11918 -8027 27815 22377 -48830 56891 165608 -13811 85154 85154 -220768 55001 37607 73338 -106225 -38392 -51789 -5495 -77437 -46412 52552 178025 261146 -188203 89243 -54194 88604 54870 -80454 -52278 -80063 44910 -14532 -107564 -165652 -70007 9735 -137419 1947 55821 37723 106693 126291 135241 152079 -134019 -46221 -248935 -23630 56501 -19906 89802 30850 58301 63943 -186119 144000 70926 60387 167861 71282 -80137 37589 108336 -65024 45285 -34747 90708 -58010 55177 87535 -10680 -59488 -216429 3823 -33098 -45689 33154 -200719 7397 -152977 17239 20548 46473 82021 125591 24968 -90359 -25949 62184 47028 -113077 73376 -1496 -94349 51868 61167 -73937 -7817 83344 100291 -71421 195931 61487 71372 -195172 -46425 -22577 -114077 -20174 -33581 26962 52628 275812 -15489 11897 -52443 138910 -72913 17452 -43866 -57324 -101226 131373 55945 -171577 -12688 36447 -30822 210238 -24994 -18260 27681 33207 148052 191931 -120181 68872 188233 -173209 -204063 -69925 -50758 61635 -113972 33686 77890 99781 -102018 96548 -84629 21972 200838 40906 -236090 7418 -25940 -77179 41320 -255089 -47761 -138170 34763 -191341 86459 76690 -48296 18281 -3202 -63377 -77964 96333 -107597 30015 -92597 173923 -92799 -132701 13050 -96563 -44092 44254 -15237 2386 -19756 -17518 49921 87691 17778 38919 -154914 80997 221154 253587 -40189 49348 -135747 210476 62939 -3249 -156100 -42732 -22839 -126888 -165079 45284 -78954 -27391 -72643 16041 93743 9339 -30340 -68593 -61648 143373 -100131 145183 64085 -82893 236592 -5389 80767 -101062 10910 187044 68497 -113624 -123956 -22662 79928 19049 -55204 -69188 39504 96919 17457 
+-78508 -42042 -16879 165743 5943 62426 -1004 -5965 -223105 10786 45152 -64071 58104 174301 27692 97775 22997 -233391 81180 56218 39683 -136790 -22202 -100966 -4153 -79698 -78586 45643 157447 221677 -230134 14034 -34817 96041 52417 -134538 -50234 -68968 63580 -25800 -197794 -133141 -58810 -10752 -67263 25065 -26547 81365 81562 144227 116141 4550 -92710 -31276 -217882 -112113 83497 -29696 91510 13604 53028 31443 -131161 88492 55596 42320 121057 -58397 -69630 197798 137193 -6026 193850 38855 81675 -222752 59251 133196 9849 22072 -233999 -75475 64095 -45193 57452 -232923 71315 -135558 26727 -18047 930 80977 118780 32538 -136203 -26728 5912 160453 -110802 120102 296 -47071 51311 -13527 -60143 -57372 101774 -10216 -229353 166137 22874 87895 -18448 -93689 -13740 -120649 -24276 -85622 144223 187436 198881 -38067 -5190 -85877 66353 -80410 14851 -44111 -121610 -145315 75043 44461 -154638 -11866 38262 -28555 242035 -57724 -18975 42155 31104 218504 167354 -176613 85055 218184 25508 -89144 -108775 -105847 17349 -116419 59703 142345 82426 -51153 88347 -99849 97285 161178 59347 -236166 96410 10442 -157197 90948 -244808 -31750 -75776 49693 -152655 99046 -18165 -117861 68405 33906 -62832 25106 94064 -31417 -4996 -117574 128606 -205119 -141770 15674 -76973 7027 55735 6978 148120 -14993 -45800 25967 98030 40310 -42255 -172942 26286 237508 253727 5546 47924 -195631 239890 75802 -32892 -44802 -6727 -55440 -158969 -61291 -18673 -54103 -66808 -55312 22570 153980 -11821 47892 -198589 -104396 97976 -5688 143253 103092 -80564 205764 3641 93841 1297 108 167191 64444 -120275 -102117 -48193 59115 52236 -22433 -82236 60267 93112 13994 
+-82253 -15225 -29851 71306 -117831 65916 -152641 2701 -247715 41903 33985 -62551 54135 117961 79129 83795 -37442 -211586 70951 64302 -1084 -172792 21282 -103652 -2989 -67319 -64569 37842 136020 140448 -229588 -33918 -43945 101568 51791 -19772 -47247 -105309 31598 -26865 -157156 -128067 -3277 24992 -6825 35275 -150450 43230 -13364 127953 91794 -69812 3381 -52521 -157742 -124729 -2164 -29904 102843 -27588 34585 12520 -2564 26075 35961 2150 25398 -219255 -69070 202888 90115 37318 236679 159172 55936 -74272 74335 132883 73758 93294 -225224 -111564 153359 -41881 -11042 -89922 78519 -129706 11293 3371 -50451 84706 112736 74645 -112623 -20407 -5647 184962 -119088 67009 -18332 -57665 51880 -25717 -28248 -67191 119624 -127939 -216618 91490 20773 86486 93187 -121656 -16635 -109342 -22896 -135882 107168 224388 108480 -76555 29843 -98059 -76279 -53303 15438 -88544 -140695 -107418 32956 58351 -128266 11741 36219 -23765 206618 -53023 6500 73261 31236 233298 125354 -162789 88891 221600 123712 129545 450 -111143 -27253 -149678 73510 -2832 83229 -78743 92712 -76664 65202 100904 40800 -238132 167447 -20221 -117506 58855 -189391 -24644 2858 87225 -120196 83945 -146877 -83028 88068 8458 -64389 71571 91484 -10249 -4155 -102797 63325 -142286 -144422 -4291 -52862 113456 46189 28165 194523 -19179 -98673 43368 110784 44471 -129699 -179280 31018 221559 242453 16310 11118 -204264 133606 60343 -84163 60170 23546 -44317 -143544 15564 -65150 -37021 -98261 -54997 54348 202657 28237 126206 -164491 -137551 52767 101640 135666 65874 -30275 34395 4327 103961 -48638 -5488 172232 68497 -87976 -55954 -13491 57763 82743 -53115 -129090 -541 28954 49715 
+-91410 -78248 -30293 -42458 -170105 59890 -117522 20196 -140055 29852 559 -59377 50233 20288 109975 69401 -33911 -186247 57453 44164 -48101 -180254 39871 -135053 -6063 -44304 42606 29819 88222 24173 -182658 -52282 -4431 104627 59960 106534 -51648 -132991 16535 -36607 -32625 -102419 89535 94757 38509 18632 -213909 30609 -89309 122817 79068 -38653 91373 -78152 -89474 -85230 -105861 11579 105030 -47909 18218 55455 130197 15831 20127 2781 -27015 -179831 -68559 49534 122619 55769 206599 214058 55811 63998 63517 91201 88222 143549 -206702 -96761 174191 -16021 35824 85911 17316 -99367 -6701 -18154 -17826 83935 111419 75329 -28851 -5090 -50718 101604 -93917 -31084 8916 -89695 41905 47114 13884 -98742 136678 -162718 -49838 2090 -19495 77645 -4696 -127070 -1367 -71412 -29732 -180784 -32813 137532 16976 -139822 -2506 -80884 -56294 -65108 3890 -68903 -152195 -35668 -47843 54339 -93848 5301 21011 -41003 112281 -10982 11256 88221 33524 193087 85800 -141098 76781 197603 92 147970 126327 -119452 -120928 -129901 85048 -198833 98684 -30777 68046 -88582 55327 47632 652 -223111 157375 -136148 -147689 -70386 -123496 2882 78495 111194 -70121 67516 -175409 4769 116461 -7160 -59853 83458 96550 692 26080 -111608 -19218 27304 -133333 -17976 1104 151489 58770 50169 79195 -1659 -74489 34405 111454 53317 -144871 -155927 48517 191645 236696 3563 -18259 -198353 5076 22158 -101135 13131 66495 21585 -53852 91657 -116012 -23363 -117618 -72094 42357 238202 32107 183491 -8895 -156992 9641 202759 99848 123036 64135 -96386 3729 106946 -140772 -29207 117520 72065 19692 -53133 75863 -16274 35238 -23051 -57166 -120581 -76562 71459 
+-97228 -152265 -57465 -82702 -135999 40713 61962 30984 29213 20014 -26761 -50309 48967 -98904 110916 32885 -2565 -150810 27806 6697 -77024 -170438 58660 -75053 -5410 -21068 113310 48671 49051 -69966 -131191 -14382 13131 105824 68419 215784 -51052 -146777 -85044 -43144 20173 -48980 168056 143723 -11815 -2108 -76275 37730 -163013 109094 50734 136306 198385 -62383 -23238 1722 -206711 -14409 111252 -28226 12599 54122 138837 65990 15341 29886 51612 -19263 -66568 -71767 88087 25373 78412 146683 62691 124082 101200 12438 124542 170131 -195615 -82272 104514 2303 56988 55122 -85422 -100069 -36890 -24130 -9572 81540 92633 86559 54644 -20002 -67016 -24276 -36643 -164343 -5983 -144752 42879 120571 57031 -92582 152940 -82373 105316 -31347 -45708 54460 -159824 -112865 -18786 -129849 -32500 -198420 -67721 -7875 -30654 -147664 32508 -88788 53749 -16123 -8059 -102565 -69958 53436 -120235 56846 -54225 9931 39725 -57707 -1634 31508 3570 137875 36259 92218 29795 -58476 52787 162908 -172441 13916 186533 -148823 -178108 -176706 76911 -185637 111077 -42710 29419 -88215 97827 9040 -41919 -243677 68084 -218282 -142155 -24922 -34944 -46729 129131 131005 -30659 -25050 -149446 43971 74929 -36978 -68895 75652 73939 1412 15178 -112323 -73465 122327 -137550 4701 32530 78314 53246 62500 -74559 -16802 -68011 31143 67716 68937 -114659 -139386 21362 136953 208259 -16761 -45521 -175059 -33290 -2629 -112731 -110403 81125 71545 83066 188596 -120813 -27893 -121111 -86875 25741 251592 13416 218337 125617 -160812 -52894 180693 90150 130424 22007 -102478 2402 103060 -173651 -17185 114556 117379 -3073 -71998 66917 -55585 29662 -43339 -78541 -90832 -91202 48676 
+-99532 -145861 -8141 37746 -76486 42830 128564 84663 103540 7787 2501 -42457 46913 -179021 64842 -24011 38269 -108618 -27187 34313 -121320 -179087 22245 -97730 -6846 10090 72327 6920 1722 -98471 -48754 66744 -70931 102971 78457 109963 -69215 -121428 -86007 -1146 -50853 -28614 192285 185893 -108917 1464 9864 2689 -234344 107947 -21790 235824 219985 -45038 31020 8601 -195051 -13125 120168 7818 19970 72222 30376 135091 23865 44773 131161 85799 -74723 32995 21533 -24911 -90128 27770 80051 -54402 105438 -43034 124011 182302 -165592 -42137 -6549 18941 64000 -118774 -111740 -81719 -28335 -3621 46890 81391 92703 59299 75827 -19616 -92490 -92973 -4861 -243031 -25802 -144601 48789 123807 86281 -89108 167921 39701 89630 -2738 -72333 55563 -165655 -78187 -19682 -100571 -33741 -189992 26468 -56554 -91758 -175769 7531 -49500 160871 -7832 -22675 -93057 -38482 114119 -78918 62704 -12063 -2783 91775 -50177 -60109 50303 -24209 141078 36996 7169 -27417 11068 28115 112700 -206876 -198863 168966 -115803 -169741 -187728 77702 -77983 108576 -37979 -29289 -79006 77943 19437 -81653 -236510 -4660 -184893 -125633 37809 47915 13974 156951 119234 5072 -98869 -9734 17652 139516 -12144 -74259 30483 57571 -67517 4592 -113760 -69361 4475 -138473 22009 -33341 6656 56919 69011 -31528 -5445 -7766 13710 13171 62203 -53973 -121661 41296 90039 186274 -31996 -75791 -80777 59328 55266 -111306 -168267 106765 118374 130086 148145 -73616 -55474 -109422 -88798 38461 234931 16735 219312 103908 -128504 -80311 59762 72458 117969 -50894 24996 -1058 92711 -144725 -27901 83156 59919 -42574 -104097 -3867 -111350 31750 -22301 -30887 3029 -46884 72282 
+-105889 -50996 -31324 151331 29245 663 15162 97553 -20409 6250 15712 -42732 49211 -183571 -1070 -58875 86040 -56955 42581 41715 -155645 -156078 -12105 -109845 -7857 34837 -27647 3437 -54790 -53517 37489 103049 -62494 87679 76023 -37407 -87057 -139841 -123796 4883 -160807 -31726 138337 195052 -116274 24404 9681 -17961 -232009 54658 -40391 116833 199514 -58423 73876 18097 -90169 -8994 119267 36883 42632 55151 -117362 150399 41789 51997 157359 -27395 -82550 187929 29150 -85090 -150743 -31317 77235 -203418 81289 -92983 94769 164515 -144787 -15115 -72846 10744 44233 -258855 -114984 -94598 -6575 -13843 20546 79555 78784 28307 31982 -9080 -128282 -50787 -16028 -240646 24833 -117050 46130 64050 99589 -63513 182019 126065 -81553 71285 -122720 35296 -11141 -30609 -15309 -83497 -33318 -163134 141682 51670 -86306 -234878 60 -11606 81447 16482 -46714 -107962 -90167 96891 -40453 64677 30811 -16248 51380 -33491 -29291 54023 -15744 167386 35398 -46370 -52499 4501 7470 39290 -51189 -109357 -7240 -92721 -158792 -199382 61849 90715 105182 -32598 -49658 -75765 88336 103133 -99304 -232313 5635 -168985 -126893 65695 99697 -3734 109736 81799 46973 -134886 95947 -92480 181340 -35383 -65516 -55746 37520 -116531 19215 -104967 22017 -161238 -151457 24171 -95822 -71962 75004 55548 75513 3768 19612 33863 11466 79306 17966 -115521 59077 28469 137140 -68286 -74791 -18187 194053 64777 -105903 -105510 130781 101509 126097 110820 -17631 -50125 -83211 -72673 11684 192976 25710 179947 -68233 -93572 -124764 -91593 91947 75091 -104879 164034 -9019 79650 -16651 -29023 73489 38095 -128304 -128370 -48951 -161981 -22145 -51206 -60493 73196 54075 66823 
+-85477 -17758 -26341 154002 -8232 11373 -157831 110290 -201727 27053 26008 -46682 54810 -126371 -44860 -106609 123124 -21276 8916 53875 -174346 -156912 -28719 -95698 -488 54280 -74062 -2651 -106443 56962 97521 29041 -36240 75799 73636 -118091 -88819 -121435 -86150 13531 -197456 -77447 80367 158249 -65613 35363 -93653 -43472 -230928 47798 -62048 -14409 157787 -44578 55298 -36503 33374 -21158 121118 27651 58714 53060 -182945 94815 61575 5982 78274 -193680 -80461 179310 998 -126483 -128187 34980 74640 -152055 69102 -97963 75398 107428 -111027 21823 -58273 11445 73486 -193509 -22993 -58173 12493 15544 -1535 77497 77816 -24954 -48116 8036 -98976 69525 -17815 -176147 -29872 -69146 44925 -5945 90207 -28279 194348 90073 -232468 155320 -163790 59657 117123 24999 -4757 -85272 -32357 -116704 124894 178948 -57075 -242846 -7694 18058 -34493 -2622 -65691 -130860 -139905 31611 51404 65088 69547 -23755 47541 -61973 59618 -2577 -259 144897 32492 -32847 -78821 -25927 1257 -13889 109487 87610 -108116 -65595 -86262 -188370 26557 109149 106592 -71606 -70524 -60295 56698 155259 -81333 -219057 91549 -5561 -117751 86945 140801 19725 43003 46132 64912 -145870 126194 -139469 166800 -48773 -59652 -144664 19230 -152483 -20063 -112863 123333 -199621 -148294 25993 -133281 -51759 82822 34121 215218 -8028 68940 41772 17320 66559 41980 -86901 79529 -6847 79841 -62554 -92280 65536 245691 80617 -79881 16128 130327 77532 51816 -52723 39074 -61317 -47386 -57970 26120 136133 872 114740 -184017 -60687 -78132 -109444 51097 63720 -88807 250422 -14463 68020 -5058 5703 40284 46034 -135138 -120335 -13962 -222929 -44928 -56652 -97501 5825 103766 66072 
+-78231 -76388 -45291 63877 -107381 -3643 -127355 141832 -239618 12295 6978 -58464 56273 -20990 -116757 -163061 137750 43261 59159 44556 -198803 -118403 -73560 -89310 -2460 88932 -12022 1585 -105514 166337 127644 -29122 -29659 48361 83886 -65988 -100308 -130810 -95902 -27191 -104168 -142471 -11737 88491 16246 19307 -190496 -8848 -196281 1172 -31013 -95101 82131 -36994 22858 -98950 89388 -20026 130146 -16142 47253 24748 -136054 22202 74001 -23668 -5221 -207096 -88790 50424 -7317 -143690 3028 155180 60455 72246 72294 -42450 34836 44969 -69527 29569 34569 -12460 24291 7121 25361 -34579 29080 -446 -30778 75983 73735 -92332 -119325 18573 -53755 172748 -68266 -42483 -21945 -48500 48488 -22568 61166 11829 205237 -29605 -210877 205977 -176005 58166 -4552 86375 -11001 -75563 -44922 -75464 -15978 241977 1781 -176181 -8033 53703 -58441 3823 -94120 -116941 -155423 -71205 95803 66581 103218 -39861 89177 -42384 164054 -30599 -16956 108227 30909 25358 -60789 -93235 10967 -67494 69528 144652 -74327 -36076 -17797 -184851 6216 -43596 108828 -63948 -40886 -48724 24328 155209 -40064 -234511 165548 19726 -126404 -24468 130029 34160 -40876 30006 52995 -142847 57341 -59664 195179 -21957 -58202 -201889 -1573 -185865 -5094 -93121 141786 -50741 -134065 -3678 -106798 39406 75772 8665 154068 -9513 76588 36064 84949 88123 -36954 -84025 72689 -37729 47121 -120152 -86027 146632 156791 93579 -60106 52726 131355 42074 -67719 -154241 73635 -59662 -6143 -56564 13886 73447 34087 31775 -177350 -39907 -109695 -42747 41515 49742 8707 216115 -26980 61727 -75942 -12072 5790 14681 -22168 -81888 67471 -224475 -19036 -37505 -118834 -148789 68384 74091 
+-79548 -151395 -35662 -38430 -167807 -16829 48943 133742 -147131 15619 8755 -64663 57737 74584 -194884 -200310 84150 63517 54545 35940 -194747 -93261 -56060 -98768 -2854 99558 67909 -15216 -135746 244688 103440 -45400 -12465 40397 65405 63642 -95743 -106737 -37104 -48681 14023 -155670 -76128 44663 17249 -1773 -132640 -31450 -92529 -16547 -4283 4084 -1662 -47591 -45010 -131354 53379 -23049 127554 -44097 40839 27053 10042 10548 71714 17710 -14807 -48606 -105336 -66341 37318 -110234 143009 212435 46156 99291 96958 36713 11410 -29742 -25016 15739 130445 -35576 -3123 92854 93761 -60925 8911 -9965 -49453 73744 71121 -129071 -127549 25141 -33690 177388 -101209 66575 -18990 -67633 49310 41442 20326 49580 214309 -139161 -40216 169641 -168964 33710 -160978 140489 -11948 -76778 -48661 -28397 -74561 127414 85508 -222736 7061 100711 36015 -22152 -117999 -126294 -82717 -121955 131114 56782 128443 -67316 84045 -42910 252260 -57301 3922 90152 31705 121041 -51763 -175031 32666 -107203 -111128 29737 35979 -46325 39479 -222684 -17184 -192122 134638 -86406 -15927 -86695 34016 112490 3961 -227956 157379 -28409 -84372 -74737 96989 76990 -102861 59816 74192 -89886 -81491 47558 213185 -29845 -59656 -210329 -18544 -176882 16967 -118415 226705 83578 -128052 -19211 -120833 144486 86155 -12857 -950 11281 98818 43792 115279 82777 -56390 -17280 81558 -41151 12334 -117604 -87223 149394 18085 143840 -17025 -54447 137622 -32667 -147613 -212993 67685 -16415 32992 -71521 13247 14406 36036 -37083 -8710 -35114 -58562 113370 68440 60865 45410 57761 -26142 63097 -161048 6891 -5486 52804 8517 -65527 66520 -294482 -35094 -37970 -71476 -122472 -29683 74635 
+-95982 -151700 -36206 -66740 -142520 -12063 136185 140333 32178 10748 -22154 -56001 53335 162692 -258811 -218276 13953 75996 74806 24827 -195996 -58715 -46757 -83208 -1214 100893 86603 -19497 -130404 249997 74032 -16902 -51337 8711 61993 161912 -78217 -115418 -2693 -62139 17746 -113253 -81132 5260 -20522 980 -6538 -11424 -3762 -31050 12713 160742 -78429 -54364 -92669 -90342 -69569 -461 135577 -36432 31711 40224 134585 59301 58409 45725 114754 68351 -118123 43310 81723 -65556 223298 142701 53994 -33632 70671 98712 -47590 -89845 19545 -47809 174217 -44974 45799 -5836 42515 -47830 -17753 -9876 -30097 73921 59981 -146894 -69170 28105 -6715 80018 -114705 118811 -31383 -129065 60939 123565 -22453 104670 221765 -155921 110290 100482 -156779 61126 -147042 177638 -1357 -88103 -46314 16014 15898 14976 144359 -191147 21923 127743 132304 -40005 -146737 -130721 -59302 -127907 113420 41423 143612 -91625 73879 -42912 207293 -32347 11924 33292 34356 207233 6639 -168838 57961 -116374 -226908 -187000 193141 -80740 78125 -213391 -34780 -232155 118987 -95091 64723 -73204 28586 48195 43601 -204655 75614 -88705 -49209 10337 23174 61134 -158876 91953 29526 -25331 -172140 54631 203865 20924 -58525 -210576 -34027 -127792 1260 -108901 152584 69315 -128890 11464 -48414 164184 63003 -26229 -57433 -5419 54686 54671 132917 95138 -120888 -71543 74073 -10723 -35682 -106275 -61820 161024 -38891 133475 41955 -170554 101710 -56743 -186692 -188943 28660 -47673 64870 -88050 14150 -31375 12321 -78508 129491 -53137 34378 184372 23186 79928 15599 -66963 -30271 71875 -151825 16204 -48663 71408 -26135 -57516 11020 -312511 -32652 -70652 -25544 4314 -108036 66299 
+-93066 -91003 -12797 52731 -30520 -16529 18685 139615 86174 38136 6319 -43177 53199 177882 -314740 -225160 6110 58918 78936 40038 -188162 -42900 6438 -107040 208 86074 58011 -9553 -80521 191356 6614 46875 -71553 -15464 64630 158856 -78255 -119925 36431 -48184 -85754 -143762 -48810 -2644 -89260 23777 39556 -19762 46202 -31048 34591 206999 -149506 -51818 -184052 -43902 -179164 -26776 131555 -5484 20380 36709 130348 128696 36929 85006 152598 5637 -119762 170586 101617 -1970 233115 24228 89181 -176925 57444 148176 -51369 -152549 37745 -46396 135962 -51980 69238 -187706 -58391 -39453 -42715 15788 32174 74991 49809 -183409 13504 34236 29727 -42574 -115556 88133 -5490 -140656 73840 132465 -56489 150138 227213 -68532 83428 24704 -157080 89351 -9708 203180 -19257 -51870 -46774 17968 143584 -69221 230164 -137695 18822 211226 125694 -61101 -164377 -146136 -67158 -67416 51495 49815 148365 -101488 85866 -53658 139339 -9393 -14115 -2107 36694 232323 74940 -130659 79909 -110019 -125700 -171844 184670 -96368 22215 -238079 -37726 -75141 104326 -58462 82876 -82227 9864 -11590 57867 -209696 -695 -188650 -36509 96960 -57221 86894 -163255 127554 -7999 11756 -190750 -45473 242218 4896 -62507 -125402 -48461 -94097 27787 -97326 117719 -101180 -116181 64466 14493 47565 91024 -25537 63476 2563 33118 51566 127419 93915 -142583 -11106 63974 22236 -52408 -124994 -54251 125640 14672 125064 78888 -148085 89033 -52132 -124681 -105425 -25207 -46182 84580 -90462 58482 -52012 25124 -109934 101830 -89547 90715 181160 4195 115914 -67540 -86832 -37201 84960 -85873 15029 -83072 65276 -26984 -82844 -61835 -283142 -53294 -33331 -32451 78427 -92375 57571 
+-88000 -25346 7379 167968 8258 -10610 -141571 108894 -14138 4613 20102 -34244 48350 128892 -291740 -217823 -31991 37326 48287 42821 -149259 -6316 34385 -116767 -1876 83058 -43076 -25323 -55827 92537 -64596 92694 -1899 -37512 71452 11876 -63886 -131316 66169 -39055 -199691 -96864 49155 45565 -96301 35466 -49223 -28908 124144 -59165 53592 79607 -162191 -55566 -223320 37212 -218496 -45005 133033 31496 11288 30303 1167 156474 20378 30036 98534 -172971 -132702 231071 143239 37723 93885 -26381 84102 -134704 44355 118368 -43714 -190485 55454 -120076 36419 -27876 73483 -250887 -133039 -35946 -39858 32052 44874 77270 43940 -187377 68988 12738 31115 -93810 -99356 -24814 -26880 -146016 86884 71733 -73689 191067 230871 61553 -91061 -40202 -128719 84632 117036 199747 -13364 -59809 -45100 -1676 119991 37065 260317 -87744 13702 223506 -22331 -39238 -180448 -121063 -117250 9838 8632 57851 141427 -119276 65400 -71332 16979 37883 -27569 44534 36826 198339 110670 -65609 89360 -81109 56707 20720 110507 -123632 -16394 -179615 -35270 71432 109553 -16841 118473 -75915 50169 6924 36963 -183995 4624 -207660 -52528 87588 -146892 85327 -130974 127859 -39817 80760 -109373 -81755 234030 -14238 -65905 -41740 -67432 -53827 -86 -121091 2917 -203399 -100386 27553 22711 -28290 75678 -15825 167062 -8561 -50159 72070 69722 94049 -77976 36809 95744 66362 -84921 -96940 -22641 49030 139783 97571 148101 -38665 59499 10814 15168 38640 -82962 -16991 88680 -72895 26747 -46982 20252 -81920 -53917 -133948 140757 98463 6324 131052 -91613 -10475 -38995 96962 -33189 39676 -76970 68644 -111206 -113261 -12404 -249211 3126 -29419 -92901 14957 25 41169 
+-84014 -47498 -26361 167674 -41273 -22738 -137911 84398 -226048 -8132 31938 -38077 48837 18258 -278909 -200138 -42479 1092 46104 34655 -132559 29190 54260 -106472 6189 68730 -74195 -27138 8824 -20834 -148793 94620 -21535 -67102 83286 -114881 -53210 -111245 78386 -21814 -153083 -72221 123529 114535 -66498 20007 -186128 3374 91778 -51379 111089 -56773 -115181 -30164 -214087 17856 -150572 -48913 122113 27885 19393 36111 -133628 94511 15424 -24852 1253 -222842 -132831 22282 143859 50841 -51536 35301 64872 15255 59928 88092 -18957 -182705 78022 -98759 -52769 -9134 60176 -109315 -142062 -16696 -21810 1539 6389 78987 45086 -155057 64381 37500 14907 -33127 -65782 -147123 -1744 -105651 74010 -2725 -69465 199489 232129 122974 -236871 -26265 -86977 90105 32808 178755 -20354 -46833 -42858 -35666 -8140 170291 285971 -39084 13945 268118 -102572 -63139 -186712 -83275 -174456 126755 -80238 49468 123662 -133257 62950 -68405 -54198 65730 -27530 39826 34744 125038 185302 24819 82320 -12718 109110 173657 -8711 -152609 -123755 -196075 -31810 111398 110012 -52481 83008 -78866 65509 103975 5262 -173507 86565 -184751 -27794 -7769 -212642 64536 -58808 118361 -97471 95198 56580 -96772 243411 -30161 -58376 20996 -67138 14403 -6730 -92848 -89368 -113824 -114194 39184 -45704 -80069 67468 5030 197670 -6478 -102517 83894 17499 99833 -17288 22564 60314 121858 -89701 -82051 -13499 -6375 231978 36904 189051 25900 13086 75196 84902 133565 -115885 -74951 77411 -56983 24397 -18037 39980 -27291 -183022 -154504 144711 -1708 1633 117707 -82126 149409 -56348 105456 -23847 41928 -98035 63395 -87148 -124521 41239 -234426 34024 -27839 -99914 -94709 86456 50663 
+-81216 -131372 -39900 90745 -103881 722 27799 54071 -260071 6556 7738 -51229 49487 -91511 -195444 -152106 30929 -43427 -21231 35578 -98263 67584 59137 -57761 3030 46634 -20374 -12403 57962 -91027 -204781 -11829 -40487 -96576 91246 -94542 -41762 -122631 10569 -15334 -55675 -37182 171462 159702 15633 -1415 -166625 9052 89956 -24694 115209 -66420 -65034 -50848 -254144 -48858 -18813 -42498 126509 -3457 39573 68514 -184186 21461 22839 7059 -27724 -81574 -135158 -60040 106679 26469 -141967 156421 62479 148051 67209 -2013 8591 -146790 70689 -72856 -68794 6662 74522 68553 -73463 -51982 4903 7715 -53648 78773 37253 -150318 -25230 30348 -11549 91562 -34809 -246344 -34147 -65170 73778 -22122 -44729 220804 231905 78357 -205950 44496 -63420 90096 -180876 150465 -19305 -54394 -39589 -78929 -73695 239359 246990 -20302 -2654 279118 14977 -42615 -190473 -115278 -108291 115064 -100137 59729 96464 -144436 54490 -68731 -51193 33814 -14280 86392 31926 33419 210639 39340 63899 38095 -39919 82483 -54923 -113601 -174053 -177323 -2308 -31121 91631 2599 86557 -60769 100106 186161 -46615 -144966 159960 -73162 -2189 -74736 -250016 127886 25475 70920 -137958 91178 122603 -56367 228114 -41758 -71489 79139 -69450 -906 728 -91196 -82938 37593 -88800 13116 -69666 -1273 96031 34456 58002 -14809 -105512 77210 7880 94851 30422 32241 68710 165837 -75214 -64453 17844 -104817 197735 7085 177400 25430 -5433 117670 158970 194572 -123286 -31098 51236 -57582 44947 29773 37561 45178 -170037 -163199 134941 -106001 -20080 96559 -16003 246169 -50749 107001 -163134 22042 -86233 57773 -1070 -91800 61837 -198812 39146 -17652 -99700 -101982 101560 54368 
+-67131 -168236 -25808 -32069 -197681 16965 127380 35106 -163579 1933 -24003 -58194 51691 -163734 -180940 -112917 92288 -89441 20734 17095 -45221 92426 -7015 -61858 333 9026 70818 -41091 89300 -85810 -235111 -35246 -20772 -122088 64188 15782 -51918 -110805 -19395 -15164 36435 -41831 176672 194315 1440 495 -58188 2613 58705 -27543 124588 37947 38219 -61784 -205557 -100302 68272 -33224 121951 -37728 49778 7170 -107701 7022 40629 50339 61030 66736 -115601 -7374 57473 -22122 -147831 208893 54516 -16606 59021 -52795 32722 -96474 52631 -43380 -6498 19167 30386 85915 7834 -55444 24746 -11276 -43016 80878 32020 -101396 -103404 51594 -20824 181990 -24746 -256344 -3574 -48924 72971 47182 -5612 216049 229401 -42303 -30110 148400 -42835 69191 -199711 94444 -15204 -61275 -35284 -131056 7452 152184 189075 37297 28416 274531 141148 -2573 -186211 -94069 -60605 70772 -86701 65281 61696 -172917 11203 -84069 45050 -6909 19494 123999 30911 -40943 204149 4597 37877 101947 -204003 -138074 -19344 -91310 -196715 -142731 14615 -179395 87736 -63678 43618 -64697 83949 186040 -76385 -146963 162972 -3556 -20465 -16102 -252737 128157 91802 49339 -172299 15078 120508 39628 265349 -34733 -62736 88058 -82675 -7211 20916 -108381 -37785 103877 -73313 -17190 -158381 96265 68416 48626 -61557 -8691 -61577 130734 26386 112014 -944 40877 85949 207306 -88558 -53278 52286 -148687 45027 7452 197883 -116345 -44270 121000 151696 157904 -61544 -63209 15440 -70748 32515 87088 30676 129915 -3617 -146625 153591 -68357 -12936 58243 34473 210681 -59467 101176 -195540 42354 -98039 36301 42045 -62978 18830 -142066 54108 -37052 -57448 -6378 25659 45431 
+-77508 -86482 -17886 -78824 -114713 21121 40524 -6069 44021 -3806 -21043 -62533 54591 -186320 -103137 -46565 135532 -136468 34446 8792 -16222 107209 -15632 -100403 7040 -8840 106481 -41821 143831 -3325 -231035 -30655 -60238 -141838 74258 144659 -56678 -107405 -73982 -8993 -39951 -45101 141171 172242 -61241 23107 41006 49162 -34125 3119 119105 206323 138412 -41151 -164293 -124551 76601 -13412 117037 -46762 53370 24551 32470 71661 61291 83623 177724 41071 -116286 176929 22629 -89568 -31279 143267 59244 -161151 64091 -87739 73891 -34453 46703 -882 92336 21335 622 -90089 73435 -67137 18723 -4342 -59296 83527 20780 -67922 -131522 47840 -54497 166631 -26667 -191894 -23232 -91466 66312 118146 36761 197762 224997 -143636 112779 187891 5601 68429 -15754 41813 -6491 -37541 -32916 -167754 124530 13525 120027 51926 50770 261031 142056 -1053 -179162 -69882 -38497 -24850 3215 66211 21266 -175119 84547 -79306 135344 -47203 5304 125417 32271 -48415 243515 -113135 14849 155332 -178593 -189496 64997 -85409 -168457 -141649 33297 -190738 113354 -92817 -16137 -57457 93898 146804 -99666 -109808 83590 24885 -40737 81615 -207541 121143 140619 36133 -193074 -25027 32266 45304 239193 -25298 -61624 86832 -69641 -17075 2234 -112168 5695 58 -61499 48166 -131091 150009 78634 59367 2054 -1569 -49063 126001 86011 101083 -36761 77200 95699 232710 -61201 -17731 68811 -220245 -31577 15443 204665 -193639 -89290 81691 30589 77241 2023 -72208 -25568 -86106 31946 151595 42109 190534 118853 -110155 97807 26746 -39354 95119 -4546 69778 -60748 89986 -119624 39574 -73097 25159 -38541 -50934 -66727 -86519 71728 -26437 -59387 91907 -68008 22455 
+-90335 -18250 -29900 17310 -23131 47109 -130932 -13021 126717 7362 36003 -45310 56043 -130053 -5786 -12764 116280 -186125 4371 44587 14347 130032 -73275 -106966 3302 -57301 31678 -519 169874 98469 -171262 50511 -56155 -165714 56314 165236 -74818 -86349 -107419 -34120 -166160 -94201 46074 124875 -103116 35498 -21525 69181 -139837 8538 83329 185866 188413 -79486 -74191 -100903 -10137 -32915 114195 -12582 48771 27428 129605 130385 72303 46056 119724 -131822 -104222 223889 -36027 -129486 96391 24202 84197 -185536 135576 -93719 117828 22242 10843 60554 173903 1361 30243 -234672 89962 -65435 -12567 -14478 36386 82436 15685 -10759 -97727 70915 -64212 57744 -54809 -70589 -3832 -142793 76946 131534 74437 162212 218551 -148410 77305 186968 35897 61367 166554 -18949 -5655 -36188 -31829 -192928 126978 -92885 33908 144484 8106 237108 -5367 28120 -173967 -72809 -116042 -106346 68924 69225 -21291 -159113 75114 -69831 233159 -48283 -17349 185108 35016 8691 160059 -158790 2062 200328 -6010 -57522 176774 -40198 -86813 -102311 58153 -73051 91401 -115007 -41176 -61410 99805 41319 -80032 -81886 3940 -76033 5555 146845 -138222 110810 148770 51058 -223616 -97762 -76711 -64110 262558 -3290 -61298 3824 -62449 -68314 -8189 -72080 125350 -169411 -53245 33246 -94668 109576 62340 67034 126949 -16684 -714 125548 125772 101953 -125496 22478 97415 219564 -5199 11901 97177 -221578 -1091 34153 210711 -92819 -119718 20664 -96271 -44532 45382 -28784 -64814 -92609 37242 206612 54422 224362 99419 -75526 34488 159258 -67383 61059 -79378 -55597 -51966 76934 -28973 54087 -70689 75692 -118597 -66392 -24655 -35837 40561 -48607 -33001 27366 -93986 34266 
+-85779 -22754 -25299 148180 6722 60372 -144459 -21504 -6541 -1918 12486 -39675 57731 -33147 47754 48703 99359 -211246 56625 63569 43042 141586 -48732 -78856 7771 -64249 -54205 -39365 159129 208574 -101001 67136 -37460 -185606 65411 82518 -79657 -70767 -109765 -31808 -208765 -139676 -36425 73371 -88417 20728 -150196 45926 -173412 25446 37647 75199 217061 -74440 -18650 -6758 -130114 -18891 104609 30714 33844 774 117340 150745 73682 -28401 40874 -240791 -101163 44806 -21881 -137646 204020 -23241 75193 -27677 118853 -42970 115355 106469 -19689 44473 160408 -25446 36050 -209339 12727 -87946 -46133 580 11067 81506 3355 9261 -10129 57250 -93883 -58656 -88390 51461 18082 -135036 49190 71005 96442 139073 210517 -60621 -100978 124535 61170 68091 -4145 -71893 -29652 -58632 -28889 -191281 -9655 30727 -26447 113969 15450 227811 -86747 13110 -151071 -44136 -164676 -171947 122179 55394 -63568 -189014 60447 -80742 242128 -26463 -27804 124307 36881 97651 119426 -184584 5379 221294 115151 136394 182289 -24884 -8491 -75436 81146 90360 84742 -82831 -67551 -98675 48161 -15265 -42720 -74573 509 -164455 4383 13579 -54325 117830 106559 91502 -235472 -104117 -145333 -125807 234668 8021 -66275 -64933 -49208 -130968 -10087 -92655 177398 -177248 -55902 13377 -27518 24496 48711 54722 196285 -2909 24631 151153 128538 108469 -130255 87806 95533 202727 59340 9967 76214 -188625 117511 42290 172546 21079 -122190 -38748 -172221 -157156 77578 -13215 -96764 -75216 34475 239396 29410 218252 -44803 -43190 -15737 204376 -66506 92440 -73962 -130639 -49294 65976 7363 14416 -15859 41845 -128951 -106888 47492 1389 33834 -25633 -45028 -107369 -67604 24816 
+-91217 -126350 -31764 158872 -52606 90324 -159 -21168 -206572 -16077 21401 -38603 53581 79285 92382 76885 53775 -225963 75820 52202 78455 133081 -32267 -119220 9985 -63076 -74155 -14385 128751 257133 -17950 65960 -44650 -192028 86486 -78698 -90036 -96534 -101094 -46283 -110021 -120744 -72760 29795 -67224 -1042 -193948 16490 -243943 54730 -12296 -72593 189615 -48885 24585 22400 -199749 -18915 94290 33550 19070 3752 2797 82292 58674 40 -36485 -117577 -87257 -109824 -3786 -111744 229381 34007 83719 116456 132395 30326 115293 152550 -67032 23140 73028 -44710 86015 -23758 -90985 -88284 -32590 -32622 -21450 81179 10270 53105 73083 58610 -117966 -91155 -127208 114142 -6848 -102210 61351 -7735 97978 86037 200596 66218 -239999 36007 64119 51012 -157749 -108352 -9238 -34893 -21388 -170448 -82896 155360 -84749 137798 -8364 198356 -8061 1503 -128530 -40632 -134584 -99712 134814 61662 -102162 -154040 29147 -56108 158951 22056 -30909 130775 36456 185539 30433 -150041 22694 214362 31202 123212 86566 -55436 47870 -90550 81581 101776 81143 -56475 -68050 -73042 54152 15676 3554 -40770 81531 -207980 -17527 -57516 27145 94595 23511 118931 -200363 -156194 -180626 -100189 219501 12523 -61306 -151033 -34623 -175686 -5735 -88236 179652 -44183 -43763 -3360 -10167 -82708 23720 36841 121679 5878 99730 142834 94456 96926 -73860 91761 45991 160651 69418 7116 99240 -118813 219336 74633 171113 35041 -123384 -51497 -152801 -172061 64050 -12548 -116904 -58622 52121 247826 9616 180645 -194347 -32101 -76632 111147 -62395 118349 -29390 -16923 -47444 61227 -104229 37185 -25543 46091 -43381 -120943 72501 60909 -13244 -35282 -106270 -91362 35676 12146 
+-101517 -171976 -36663 75143 -145181 53164 121678 -1905 -230392 16995 -569 -45832 48887 153695 95025 99847 -25081 -217169 63123 20759 84325 135647 4510 -128674 8534 -84578 -62324 -24887 113976 240886 57254 21950 -37228 -213362 65397 -130003 -90162 -98814 -49106 -29985 11722 -135150 -85432 7059 20670 39 -111216 16341 -242820 72185 -61248 -40535 138510 -46373 43568 22692 -190360 -26379 89267 1995 16120 38824 -145679 24699 37514 14034 21806 38733 -76712 688 49390 -63226 148109 151958 73123 65194 94428 87942 106474 180779 -102425 -38378 -28124 -58172 75550 76929 -152027 -116131 -5270 23697 -59445 78431 10981 87366 70197 77544 -96003 -13625 -124965 94742 -32520 -76791 54766 -30584 77727 39935 188973 124048 -199719 -24147 49468 51165 -174626 -123349 -9385 -42470 -21690 -122927 11602 221695 -86752 80128 44466 144741 115554 -45621 -107842 -64420 -58038 7168 92068 56827 -134704 -153497 29028 -66362 75226 56795 -15937 94977 34036 229501 639 -82080 48016 185892 -158124 -54414 -22567 -69537 51962 -53765 88609 -38090 89846 -61583 -23193 -78137 18815 66864 41822 -39055 158488 -168459 -36202 -6912 107139 68456 -57865 131449 -179630 -146972 -42987 26569 253180 1859 -56973 -199251 -23663 -175522 21513 -126258 182698 100460 -29689 -17022 11113 -23846 48889 6962 -25893 -6785 72203 148050 51151 102928 -5159 47942 87224 111834 117608 -10890 91646 -59420 221427 108050 92953 -73920 -122932 -50812 -100113 -211878 23266 -38810 -121685 -54657 25795 235252 27207 112623 -172633 -46893 -101989 32176 -71714 130223 56845 137340 -43930 64961 -141849 12177 -1179 45690 65704 -112042 13718 81090 -21183 -57275 -67907 -22587 98909 -14118 
+-52306 -92029 -29491 -41221 -148732 66380 45196 30701 -152807 2459 3344 -56586 48320 181131 119794 85942 -22937 -208845 66199 15764 106975 109884 11045 -78210 12646 -83387 44975 -17086 66003 149626 92885 -52792 -49473 -208308 79476 -33146 -87679 -44728 -11716 -2176 8740 -124018 -12326 15652 8032 22455 11752 5464 -209389 83722 -52371 78596 47833 -45972 31415 -17737 -92107 -13246 85030 -32083 23088 49208 -179225 -8 21666 73253 112264 59132 -67616 171170 92162 -3342 -7408 209185 46753 -129031 84663 112543 46840 167373 -144624 -57242 -79841 -46898 30546 40146 -129802 -94251 19225 20419 -59730 74682 2193 84272 19974 81189 -84529 112543 -112344 -5931 3824 -48359 40122 33996 40995 -379 175766 63822 -21900 -25385 49913 56917 -2982 -123333 19314 -65531 -27652 -77405 126692 207353 -59449 42530 10646 92304 131520 -42531 -86225 -81778 -60675 75560 36363 50976 -159410 -152784 57861 -35900 -1798 52343 5438 70761 31450 222368 -39772 26995 71898 149331 -220386 -220931 -69152 -108099 38655 -39681 84234 -177467 86495 -2467 19147 -85121 17605 147556 53252 10966 166613 -114353 -34016 55770 131314 74881 -129368 111867 -138980 -70309 97557 64342 238907 13582 -60229 -209519 3999 -124619 2424 -110428 95064 60149 -17813 15875 -35094 55376 46337 -11793 -77602 -11829 78716 125771 9718 98567 37866 89065 45589 52917 180142 -31645 53537 63034 111630 142675 56415 -153317 -107599 -7792 23384 -107649 -50264 -56545 -110176 -68174 49537 192294 17861 31984 -5431 -80986 -107934 -83116 -68083 87029 61084 215004 -35930 73762 -157813 16370 58307 5521 14720 -78975 -48577 99441 -34922 -30915 -77288 61509 106706 -15390 
+-72929 -21958 6579 -78865 -113964 74210 -128104 32245 72092 11679 3031 -59939 47309 126692 42504 80418 7291 -169660 56391 25557 92523 80964 48641 -82835 5540 -68215 88921 -6050 23118 31574 124900 -25465 -64700 -210640 61210 135304 -98787 -38602 23663 -4753 -106028 -82360 68323 78683 -34308 35542 29932 -2336 -134859 113821 -72205 182963 -51306 -53833 12272 -114969 36774 -19308 85531 -39722 35934 53237 -91101 63639 15973 57949 156718 -99732 -65565 209405 97292 40958 -131438 147899 52167 -210185 50459 109700 36207 149164 -192191 -124548 -42582 -27475 38130 -170224 -57643 -124311 31972 50868 -5370 74629 -1111 62364 -84874 81013 -34234 187667 -89414 -140152 -27844 -70090 30153 120181 -2186 -42864 161411 -61400 114545 23608 65463 57174 130246 -95408 -12852 -33461 -21339 -24432 111372 32916 4243 38966 15277 65608 23183 -42131 -59625 -110346 -91949 123349 -44105 54318 -173745 -137309 49309 -35935 -88466 17075 -17961 -7130 30963 147279 -76663 11907 88406 88747 -70569 -114275 28128 -144933 -48864 -30737 53911 -194839 68141 -21781 52385 -54492 18664 190459 39997 13018 90708 19399 -50615 147061 143442 49356 -164678 70811 -105926 -4974 136639 16957 187715 -461 -52586 -177925 26248 -111135 5333 -81316 -54 -76827 -11164 61316 -72849 126442 21592 -25895 76375 -7679 49080 99181 11546 86962 25930 91476 109086 -7401 202790 -58512 34126 105543 -21641 151146 16460 -138976 -127687 66866 134559 -7353 -94026 -60549 -85049 -88387 70109 137967 2450 -47852 119780 -113486 -61720 -96464 -86444 117048 -28183 223681 -41125 88093 -63119 21913 61187 67737 -80637 -53224 -24076 93472 -47483 -60036 -60841 41540 1075 -28940 
+-99094 -22170 -12028 25275 -23827 34025 -150977 50785 115243 1488 20870 -56074 49711 31405 12079 44638 31181 -133175 26333 45093 72455 89921 43472 -105667 9683 -41140 47894 26033 -31821 -61770 92400 22277 -39593 -206070 66876 199113 -69807 -37680 71063 -13773 -191081 -46318 137845 130957 -97883 21369 -71167 -12911 -99700 126166 -34030 164635 -104444 -43820 -47357 -134097 89555 -18125 73283 -21560 55871 42288 55935 128364 21788 5714 64699 -229009 -61891 57018 145417 47782 -153936 20430 63752 -53725 47243 57181 12198 101100 -208054 -73754 66051 -10298 20376 -251463 30614 -165800 -2746 42415 36898 73147 -5581 29585 -130206 92498 -7921 152735 -27811 -232681 -18260 -124825 31790 139420 -41497 -80643 145638 -153371 72187 130992 25311 99221 -1161 -62434 -3556 -32505 -20537 3020 -3816 -75692 71754 5881 -3168 8760 -94159 -53326 -31514 -76217 -123248 84769 -79903 59176 -177123 -110593 78788 -34039 -1689 -19506 -16199 52272 32863 49730 -67628 -27192 87034 22157 101169 94699 145233 -136027 -101012 -14216 30510 -78049 84404 -39327 109478 -47727 70447 149852 2718 23801 7910 -8341 -89826 28515 103213 29936 -159002 37203 -72341 46735 53807 -111524 180222 -59377 -53583 -124544 43590 -70743 -4595 -89086 -95495 -210275 -3350 13988 -115925 151387 22869 -25287 189299 -10409 7651 118222 41983 72985 -28451 60906 73772 -36576 233962 -106471 9134 153235 -22231 141242 2279 -48412 -78753 109683 149335 88563 -125621 -70318 -48952 -89629 41584 77201 15385 -90239 92991 -141636 -110560 -29926 -104019 54408 -77828 91842 -31144 100150 8285 -5931 90459 51842 -117592 -50968 42541 104682 -60280 -26095 -48353 -66064 -86395 -44850 
+-89584 -98348 -20764 149676 15336 17039 -483 85122 -13818 32150 25420 -48532 53696 -81325 -29699 21751 75264 -80362 26341 55222 47243 36713 21548 -101801 8254 -28051 -60032 27756 -72395 -87887 49570 97486 -6703 -205569 80476 127412 -63371 -33209 76971 -30805 -171468 -44020 184815 167096 -112488 -640 -193972 -45042 -15952 141978 -8959 33821 -159972 -65194 -124722 -110628 35116 -76076 66651 15982 63254 24563 146628 145909 39436 -23145 -30974 -160661 -67822 -66489 130525 26741 -73477 -35494 80550 116594 64636 -5322 -23067 27333 -207419 -67553 151560 17057 35662 -159706 119760 -152310 -26204 35654 5889 71654 -4287 1611 -117437 89839 32307 35300 -22482 -255956 -45836 -173272 51398 81122 -68237 -96530 129048 -145032 -108864 196889 -43577 78097 -150792 -4773 -3521 -32698 -15611 21742 -70380 -8749 147269 -29215 15398 -47281 -32447 -87063 -16979 -85379 -134554 -9063 -85343 53522 -169457 -84382 33863 -28079 93881 -55649 -25876 18572 35661 -18700 -38779 -105580 72485 -34558 93258 157486 210467 -110748 -166237 -1618 9180 59884 84671 -57812 123866 -57390 89433 36624 -41234 53210 -1414 -27464 -79123 -13507 34648 51168 -115337 36111 -14676 74279 -16434 -124176 204192 -47090 -62348 -26200 76811 -13964 10199 -74043 -72098 -137020 6944 9299 -117949 95722 31061 -12919 165360 1876 -47523 65716 91310 86356 -148311 68942 25827 -42212 220555 -128628 -21250 137109 76760 74937 -67817 55770 -73654 116138 89166 158114 -103783 -58773 -8008 -74191 19392 13865 16464 -104776 -49926 -160603 -11556 69510 -80075 75846 -77025 -54853 -21044 107230 -24508 1067 90539 15668 -70706 -82100 74962 56910 2478 -70292 -96238 -106812 -79990 -68057 
+-85345 -175377 -69528 176267 -50991 23052 116261 124845 -188811 7213 5030 -40097 56026 -169109 -138861 -53801 121827 -33259 15961 49916 5903 12387 -4517 -63684 14135 -655 -105458 23475 -121467 -54421 -25237 102895 4337 -187963 81632 -51281 -50116 -7141 55719 -54263 -40930 -31812 172933 208118 -29759 -398 -174545 -39695 69808 136190 32406 -80158 -161165 -46736 -189131 -36372 -71093 -48819 59174 34896 53386 32256 118471 80186 60237 20294 26560 22172 -67400 24989 124178 -29542 55416 32631 73082 75961 52344 -73948 -63688 -57053 -226147 -35472 169240 20338 60921 35211 92240 -170565 -60247 69575 17092 75151 -255 -42517 -50181 95884 17758 -72313 -20480 -194892 -17520 -139990 56933 517 -74302 -92054 111670 -42411 -242788 200803 -23635 101146 -174076 50084 -14767 -69072 -18014 8564 11670 117256 211074 -75746 15118 -47152 104298 -14723 -519 -100220 -91613 -111783 -54119 54428 -151006 -68174 55748 -44187 206964 -38616 -26507 82293 37026 -55244 32933 -187532 48408 -79814 -88393 7928 201967 -81425 -190852 11555 3076 119127 81244 -66707 91844 -72609 73181 -9165 -78181 67234 68416 -143716 -108191 -62510 -43543 16700 -38653 49603 21723 78768 -165745 -46735 184270 -60817 -55063 32129 81513 -5052 24080 -113051 -31447 43319 15857 -14680 -101399 -16279 5213 10536 10952 -9006 -61763 58568 128691 87227 -137519 50968 55289 -29059 253676 -139295 -39508 133482 210849 90936 -72674 10774 -36947 84255 -36223 171629 -58475 -58330 31618 -60971 63071 -26941 29468 -83346 -176653 -151540 62609 199179 -78821 58594 -3471 -130918 -11534 105932 -137938 -20000 148094 74903 35160 -117842 24768 29715 30555 -24837 -140609 -18443 -29351 -75649 
+-102890 -140926 -3443 93270 -123093 19922 64057 120985 -275519 22404 -23772 -40547 56981 -183481 -193476 -97165 141724 14201 -32529 18179 -17637 -31173 -43642 -112972 13797 11972 -56681 10527 -116933 38235 -94058 24878 -44351 -172240 89456 -139583 -49126 -39207 44554 -39245 33259 -80240 96007 175833 13626 21804 -27878 -27489 123165 131843 79447 -29796 -121556 -47240 -240129 27945 -187838 -13211 55427 10736 31345 32469 -27216 26231 72090 38972 119083 74738 -87819 146230 81715 -87021 195486 153885 77092 -109704 73418 -96137 -62983 -113295 -219330 14227 105641 8567 65577 77445 -3896 -156214 -46751 2731 -49247 74814 -8264 -70000 35145 110848 33164 -84770 -33591 -69578 -7051 -101315 55752 -22840 -59031 -75635 93253 79563 -193871 142784 -82756 89059 -29745 114432 -27784 -46347 -17150 -28344 123139 238651 271505 -122814 18268 -83221 149612 -27625 12316 -129849 -44498 -138776 42890 57720 -122851 -56557 51338 -47947 253041 -10328 -13211 95459 35960 -12453 56528 -165526 22162 -107837 -219580 -177644 77557 -73333 -155281 32263 -34745 -42004 85089 -35873 55619 -37818 99121 -10968 -92628 73653 158017 -187975 -114231 41300 -132914 5768 36567 94875 56865 70335 -191954 59630 176394 -42790 -57746 79214 99396 16612 16336 -116410 46928 110321 6048 -9389 -6192 -81241 11355 33452 -63053 -4918 -78869 57333 117330 62158 -102807 26661 78647 -6428 230333 -136489 -52808 66314 217740 80930 -84763 -144760 10907 29498 -145674 98847 18238 -17159 63766 -53242 15774 -51369 41333 -20573 -173736 -125162 91324 175285 -98516 102373 60113 -47350 -9830 98032 -181531 -10079 155552 -21444 9796 -123101 -39240 -40295 26914 -31283 -88213 72260 69805 -40809 
+-80679 -32170 1057 -58758 -200882 12152 -111866 147332 -141192 -21683 -6998 -50025 55589 -134687 -228013 -138467 83904 48701 34699 23004 -66458 -69612 -61866 -79506 16725 46435 51118 25686 -142253 148369 -173114 -53405 -77987 -143185 85085 -98630 -44419 -10704 -55943 -62390 -34594 -89330 28241 123564 15539 35544 33721 -13287 135435 117011 82931 103465 -15426 -45638 -242928 16531 -214863 -53253 54591 -26755 8825 58038 -154318 5863 72134 55204 165790 -66690 -90397 204966 43469 -128930 230797 210234 50320 -188323 79792 -82947 -58553 -170509 -213306 35077 4050 4509 70061 -54540 -104236 -169618 -17177 31409 -29708 76812 -8380 -152538 77873 116732 19023 7456 -75934 40225 -37704 -60808 44723 21169 -26738 -61720 74813 126226 -11192 60923 -113541 91471 125352 157789 -19068 -8700 -19771 -68457 122918 195397 268823 -137434 -3527 -101206 38835 -8037 16746 -164419 -61609 -131929 68219 61181 -87365 -52013 57229 -31467 238553 45092 8197 146737 33306 69820 141002 -142246 6239 -108006 -145506 -154902 -72257 -50922 -73054 46565 -46413 -194388 84976 -42192 13929 -78320 109709 53882 -86771 76750 166308 -212690 -153808 139136 -205616 -12071 104120 131444 58563 15410 -103135 57701 154916 -16034 -57288 94823 94749 -8486 8833 -64037 112191 -6939 14621 33335 9275 496 18751 54813 6860 6444 -89167 20722 91141 70084 -25569 36429 29983 43960 225482 -114358 -82735 -1029 127601 9431 -120782 -171498 30979 -31129 -171222 7284 64420 -12930 84023 -68815 44381 -49562 599 56728 -23107 -83212 112181 60619 -94884 119074 33365 81772 -9023 85618 -129363 -8837 155829 30068 -60128 -100692 -36731 -91164 57588 -30968 -71730 49223 101789 -71460 
+-88719 -24538 -49954 -63052 -108169 -28614 -152825 148522 45970 2790 4135 -60693 52117 -35521 -298783 -188947 45668 67481 5903 27458 -109458 -63953 -36532 -72318 14514 84563 93949 27290 -75337 235899 -212499 -63819 -20080 -137525 79673 75268 -57276 -44502 -114260 -7848 -151557 -162586 -68381 59206 -67317 22050 -37580 -16846 109898 115962 128746 215864 92952 -59793 -228170 -13328 -134744 -34392 51815 -49810 18829 64012 -179962 67894 59565 39209 114554 -226860 -95201 77115 -19791 -140673 183380 146455 55585 -65027 135610 -36342 171 -190622 -193326 59815 -70268 -25856 31935 -214037 -134088 -127868 8963 39909 -11460 82121 -5867 -150114 41652 116128 -10613 131559 -97200 105587 -12710 -48429 45723 104576 15924 -28844 56230 53766 117250 -10605 -135971 89294 14557 190139 -28772 -41595 -23338 -127216 -7157 53111 254469 -228365 9983 -109430 -79385 30006 12569 -122041 -98298 -24018 101705 67996 -47068 -30444 70650 -20469 159990 58746 867 171946 31172 168306 155048 -51659 1518 -89631 40234 45724 -119252 -48327 -3412 24978 -45759 -219636 98614 -23570 -30337 -87676 125999 169848 -47725 95665 97560 -126628 -135615 60616 -241716 -28519 152296 129954 66569 -33102 -4964 -56736 118861 11380 -52987 63014 105427 -64322 -12069 -102687 189678 -166922 18772 36914 13634 57255 -18854 69764 166737 7424 -42880 53797 75682 69409 18246 -7740 -3131 96454 180800 -81374 -88313 -52581 -4487 25149 -114546 -101510 62348 -50252 -127183 -111293 78515 -25145 89325 -86932 19650 -20724 19987 131656 109402 -55919 130343 -89242 -95554 130815 -66751 243850 210 73185 -22351 -25062 187235 31171 -159072 -63701 32296 -119756 71306 -46995 -32209 -54084 44635 -64927 
+-70366 -77702 -34582 35481 -23386 -24098 -19410 120247 108683 -13127 25593 -65143 47512 64699 -285337 -231749 -6786 73643 85851 39467 -138526 -117183 -10752 -87999 18502 75486 41846 17326 -64877 255401 -233205 8674 7537 -117983 86690 203844 -61883 -17916 -122288 -8906 -192184 -139970 -78165 4199 -108447 -219 -164729 940 31529 103514 119352 137574 177289 -78667 -184980 -85860 -9423 -23375 40809 -29999 18117 26851 -85673 135096 39513 -12822 1292 -189073 -109060 -74491 -5669 -116968 26168 30291 67280 74913 137963 47332 78712 -169569 -151416 4733 -63241 -42897 68460 -235060 -126828 -130340 38825 20932 35370 83898 -2117 -186912 -42577 113862 -61170 190074 -98230 100708 26837 -84282 65388 138390 58282 12549 37626 -70788 64761 -37112 -138446 52546 -174599 206754 1079 -25574 -18002 -165848 -78226 -49592 201149 -188795 12518 -90243 -58908 1224 4944 -142983 -148985 65405 113474 68515 -4156 -25404 41310 -54899 8609 41180 16351 149963 31288 226438 172730 9050 14288 -59049 112110 194592 -5370 -79631 66374 2092 -31370 -94685 88973 -46543 -78795 -64395 90502 196942 1175 132917 9027 -32780 -123877 -50704 -247583 -1133 140065 107596 69402 -127476 92210 -119416 105062 -11364 -53222 -3415 84760 -123721 -2704 -79844 218849 -191515 16003 30703 -41769 132771 -2877 62111 189437 2881 13505 23953 16154 43424 9468 3163 62096 152637 122237 -62644 -111857 -146811 -38585 26365 -116919 48376 86264 -36043 13969 -178768 62622 -40058 78281 -89498 35448 28063 3534 192137 90739 -36817 132974 -82371 -75443 134715 -123805 239548 6438 64126 -7039 -5609 170645 33143 -125465 -48937 70462 -181859 44230 -66088 -59659 -114619 -42989 -81287 
+-87409 -148003 -46728 147300 7669 -15985 122753 99023 -7399 -12292 27296 -58979 46059 150645 -284679 -217190 -37385 53274 32362 56103 -168822 -145318 10616 -115777 23839 104594 -83589 23341 -8676 197994 -199833 89233 10200 -72580 54847 154854 -79603 7080 -116404 -6633 -114054 -134174 -50842 3063 -117840 -808 -207986 -2600 -50304 91296 96068 2436 198339 -58290 -117274 -127526 82722 -34521 48112 10296 42474 15690 68018 146603 21892 -10939 -23481 -8338 -120601 -10247 15363 -63483 -85660 -35940 89479 100518 123841 103311 75001 -145384 -111514 -38094 16678 -48721 7692 -61110 -45028 -109100 -5884 27458 25130 84760 -14416 -182203 -112024 122191 -74922 136302 -108928 -990 -10471 -126765 63787 89505 89175 60698 19057 -162414 -119133 13402 -148720 70650 -179319 197348 -20035 -67096 -27756 -188758 -4760 -27054 126324 -199836 18710 -77392 74737 -14096 -134 -139597 -109029 105992 76828 59085 37647 -9625 68818 -44634 -62105 617 -27584 134120 33561 224269 199326 30828 37953 -10825 -18717 73110 167758 -109956 86967 33284 -13684 57722 92432 4845 -51853 -81917 62083 124080 35884 136112 -7734 40512 -98170 -56963 -216935 -34372 94901 69268 22997 -133182 158721 -114796 94994 29479 -56399 -89139 96626 -145432 17371 -94764 123592 -58191 12466 -17026 -89279 112089 -15148 49562 108466 15182 46250 16557 21837 51703 -46796 -53592 35734 193579 96826 -38031 -96928 -180545 37344 44788 -70031 55384 94032 -8832 66535 -194884 2353 -48007 53100 -76675 26874 84007 13890 222254 -42524 -39229 146887 -39144 -86669 115659 -89061 101058 1771 61548 -88267 -10283 160840 33261 8304 -61622 49817 -227257 31227 -59736 -81577 -21091 -107104 -71713 
+-101711 -139406 11837 179625 -14019 -27526 56623 69839 -232978 5874 -1349 -42336 48692 178135 -243351 -232055 -30707 33384 71827 55131 -198220 -142065 53418 -88638 20306 93706 -98680 28352 33469 110229 -135341 103813 -39645 -66054 53699 7016 -95802 27668 -77710 -23737 3438 -94118 24406 42716 -23997 21089 -71912 61753 -121438 44533 72129 -103479 220754 -34405 -51923 -93829 80127 -4255 35348 39349 54771 20604 148506 93466 14937 15407 61816 79189 -119145 170184 9731 -3033 -151976 30761 90020 -79716 116340 129474 110275 -69596 -69678 -75571 124073 -33199 42816 72442 62493 -116769 -14641 7909 -21440 84396 -14086 -159171 -140415 125649 -82211 12958 -95217 -120858 -33622 -155208 68580 145 99987 113905 1014 -133206 -245899 98619 -194139 28632 -1972 166266 -25462 -39398 -28083 -193217 112760 103268 42795 -218104 37924 -19882 146597 -43305 -18649 -127189 -68015 109873 6810 52430 75969 -18617 37806 -43297 -46808 -39571 9542 68255 36182 168319 203002 -13454 63746 51461 -189144 -152949 191890 -127405 43108 13522 21402 117702 113851 -12839 -36095 -89363 41274 66755 54758 131166 67914 -5119 -128615 21518 -159367 -54310 17624 35231 -25134 -151854 68068 -14540 22070 -6713 -55572 -154781 69241 -164415 -2484 -96641 40237 92101 6128 -22669 -105505 45481 -49165 32575 -58053 2184 97174 21307 48044 40214 -116955 -53419 67150 226001 62670 -2287 -74469 -209376 183748 73534 -39190 -61485 142676 79611 146435 -127164 -63024 -56992 17098 -59034 21591 153572 -6614 220979 -181368 -66054 84428 58235 -77258 83787 17953 -77986 5076 65969 -153977 1599 137624 38563 45022 -108242 -37962 -275442 4144 -35350 -105660 63243 -76025 -84928 
+-107414 -48652 -40075 65404 -128720 -10237 -95521 44233 -255451 -17830 -5757 -39461 49862 138189 -159711 -206419 -2839 -20408 45166 13039 -198424 -187312 50545 -93622 19720 77951 -13361 33068 111257 -7213 -66958 42387 -18312 -38903 87637 -132754 -87851 2840 -23298 -52408 34352 -68361 99491 76860 17106 35525 37724 70578 -194293 8412 48898 4689 177676 -22284 -3967 -29165 -19716 -38839 34361 16056 52319 32717 86077 23815 22046 95265 149992 -16423 -121020 245261 78701 37217 -106525 154209 70224 -137113 102494 110726 143749 -3960 -39399 -96454 174404 -27262 36788 55197 53771 -136151 -44448 39527 -62837 83518 -9853 -107559 -70003 144044 -99690 -82672 -31777 -231623 -28103 -106386 77981 -28026 89127 155011 -16302 -25481 -184281 182566 -162776 56417 163136 127815 -9570 -80601 -32388 -171800 110140 218564 -30536 -239535 -9367 15251 75031 -50931 -40282 -111664 -58974 18457 -48513 50965 108265 8722 49632 -58758 26449 -50052 -22722 72264 37036 76100 152461 -85460 82834 117754 -200391 -179186 154241 -140354 -45107 -11481 37605 24698 113762 -55382 -24248 -81948 7785 -3622 45715 150497 152378 -112604 -103484 93943 -69076 -29357 -65935 32294 -69318 -109057 -89689 62635 30358 -32187 -61660 -225775 60226 -176541 -17639 -84743 -28970 64300 2341 -12348 -112501 -67604 -14511 2538 -43542 -3966 70565 19492 110141 23573 -146746 -110193 74816 234226 2679 2058 -43892 -179618 233255 71149 17376 -157310 159984 120569 138468 -12051 -116040 -46359 -23691 -56890 18144 203137 6648 172603 -179118 -94115 38226 184033 -77987 53301 59185 -109859 -650 78260 -170528 1563 121559 -28788 -8209 -128718 -45071 -284920 -13746 -19431 -123279 14769 24977 -34694 
+-83509 -9638 3999 -26741 -168257 3011 -168769 37168 -148968 -22097 -153 -43502 54914 40061 -91876 -183046 58511 -57176 57030 10695 -213711 -171040 52496 -97337 24505 80115 74972 43693 146823 -82414 8481 8862 -55617 -20864 89450 -103648 -91027 59336 25286 -27988 -100477 -39165 163042 143381 7415 22744 -7569 68653 -229477 12182 16774 165328 103650 -46245 40781 17865 -145468 -46537 38165 -16328 46595 52061 -45855 8720 39120 33149 127623 -194074 -127835 77691 95329 48221 6979 206142 47915 -116010 136882 64750 91187 56856 6086 -96487 137390 818 40589 -123888 39667 -99982 -29359 8562 -44496 81595 -10211 -86453 7593 139061 -93664 -75299 -22368 -265150 -41937 -66168 76762 25703 59867 178986 -32808 88382 -3824 199535 -145347 63128 20281 68041 -15785 -48096 -31148 -127748 -2250 224204 -88148 -215204 40594 58658 -80177 -63187 -69220 -111722 -98411 -47064 -86581 45444 131770 13982 88563 -47941 143824 -32003 -1583 19818 35434 -10968 131056 -175743 89732 173540 -31260 6706 10008 -127967 -123690 19249 55920 -190705 107812 -85635 36487 -67157 14792 -16926 10533 163247 175914 -171617 -118948 104062 6657 -28409 -129631 61826 -101055 -60518 -126946 15632 -17474 -38842 -54979 -203156 34104 -87144 5789 -124145 -105424 -81478 -5305 30153 -85351 -93324 -10294 -13403 109098 -13491 82489 5435 134107 24158 -115602 -128731 63991 222163 -56633 16125 -35553 -161456 146854 75557 52940 -156344 140534 132968 8554 77375 -126981 -36321 -63261 -66512 49735 237550 10739 112802 -21988 -141551 -13753 180621 -61179 66870 -822 -39158 -9841 91220 -48980 13905 93611 21415 -126699 -117309 12516 -282680 -34799 -17624 -67304 -91193 104391 -68643 
+-72397 -58616 -7117 -46074 -69259 5770 -26236 5542 33129 -19079 32240 -54929 59153 -72636 -30709 -127700 102650 -111597 13740 39464 -187270 -159728 -2518 -86651 27322 46467 102721 46552 170219 -90887 71953 -50999 -21777 21602 69317 4578 -88591 23625 56206 -54232 -210601 -30395 177759 172489 -78941 211 -128694 45145 -250891 -27617 -29534 222405 28243 -55085 58297 16413 -210462 -56671 34226 -53080 28942 34364 -162657 74980 58433 8983 32377 -202153 -130731 -61335 125890 26390 156784 145465 51854 54536 72572 -9771 104844 106996 33284 -58495 44541 13203 75149 -243032 -14744 -81736 -1042 25047 15162 78752 -10 -27671 65840 152236 -48216 29516 126 -224106 -5171 -48088 57898 95240 18015 199473 -48351 116539 119150 157732 -109880 68208 -183101 11825 -16049 -54909 -41728 -79414 -81257 53885 -77990 -139789 25663 105622 -87556 -61993 -92634 -73410 -143054 -125948 -102336 54748 145441 2059 51635 -68015 230198 6502 9722 5776 32606 -44705 58008 -184798 79941 205240 114735 171366 -88097 -86512 -192528 -38532 72518 -194392 105022 -98211 88263 -82780 30735 43363 -35669 159724 100910 -206740 -98625 1889 78447 -21343 -170488 93654 -142916 9354 -142672 -51750 -31139 -30400 -52837 -171722 20874 -84430 3523 -87768 -86728 -198465 -15473 1838 -35830 -12290 -6924 -24783 201565 3871 45379 58685 111961 11883 -33344 -107071 75902 178857 -75909 8963 9677 -109641 24301 181157 106054 -15659 124016 87974 -90169 154523 -95737 -33772 -95962 -86770 33250 250624 16049 27377 122758 -153113 -86363 102020 -32527 91550 -83705 103216 -4679 102380 -24702 20882 79160 -11921 -121900 -84452 72433 -310679 -26594 -31831 -65339 -125868 111174 -36982 
+-86405 -147277 -45610 -10508 -12964 8509 114129 8515 98457 26302 36058 -61000 56952 -157311 55700 -80523 146970 -171611 53 61298 -179509 -166356 -40865 -50526 23985 20919 5570 61094 172642 -13468 116591 -33973 -3915 49850 84419 113468 -80961 29144 62740 -37078 -169011 -37757 145089 185435 -115046 -1180 -182482 19006 -223311 -47389 -80913 124986 -72727 -57015 51009 -18954 -167463 -48786 46411 -40752 16578 37877 -176248 140500 72010 -11113 -16115 -45042 -122486 -12582 124412 -25055 238942 29340 76792 122426 49214 -74276 44858 170328 53260 -63513 -53420 21361 70233 -181451 -116672 -81994 18866 22840 42226 76299 11851 -14396 58858 161709 -24624 148600 -48373 -83706 -27163 -64208 58974 140811 -24176 215351 -62338 43569 56742 77852 -103095 63363 -206500 -46757 -17608 -57672 -40070 -25015 -12740 -55840 -60405 -105585 20842 146969 38644 -64278 -115714 -89950 -138439 -129525 -9241 52495 147833 -13084 59762 -70726 247752 39303 -11618 49018 30916 -31183 25601 -146057 58958 219693 55172 110430 -79845 -76194 -197961 -53107 81528 -72672 122066 -83576 105814 -91506 21778 123517 -74978 146422 11982 -176301 -73614 -64209 121564 -6090 -157526 127925 -191908 58059 -127692 -117678 22666 -15904 -53887 -107657 6019 -36100 23739 -103583 10995 -126260 -34176 37770 -17337 105500 -16064 -22792 118255 -20110 -22355 53340 82511 16916 13604 -148941 102983 129572 -76166 9638 31127 12934 -53988 158327 128853 47003 111860 20621 -169192 176230 -33968 -16585 -116268 -91515 62873 237123 -17050 -45456 106604 -163749 -125456 -34272 -55767 105362 -110531 230561 -9157 107057 -56253 9101 57290 5357 -66694 -50616 22302 -269435 -55596 -16706 -39806 -36112 27233 -44802 
+-69651 -129936 -28238 147144 36458 45120 67989 -20630 14048 -12746 22977 -57342 54330 -182777 65569 -37414 81181 -203475 -15310 69301 -149277 -145732 -31795 -104907 25794 -5173 -84594 25412 132618 82218 114885 70381 -51097 67377 49381 182785 -67736 -13163 28023 -8546 -49383 -59938 74628 155166 -105294 20422 -134295 50453 -165122 -40198 -65004 -141 -150555 -55331 3181 -96040 -67882 -19248 46583 11512 9677 42771 -61004 141215 72617 7323 51342 79667 -118672 132717 136990 -86121 203199 -29483 75284 -32333 94208 -98819 24952 175481 67650 2448 -80840 16854 42536 15100 -145478 -37511 27899 33552 40282 74896 5315 53506 -13629 150367 -25113 188451 -86517 15120 -2626 -117244 79794 91445 -58527 213022 -75216 -86837 -128012 -277 -54564 73001 117 -94436 -31940 -84819 -45819 7415 122362 -26449 -10334 -95881 27728 192490 123988 -34957 -138787 -83799 -51624 -81887 54685 59224 138883 -30849 38700 -46772 183660 60660 -11949 89630 31678 44759 -48323 -53459 32435 213808 -133811 -108550 38701 -25498 -174723 -81959 85530 79240 115090 -53803 109561 -79435 59968 184819 -93094 166310 -11328 -57151 -29540 -23143 140907 -22278 -106740 128115 -205920 76993 19470 -65618 -42032 -32170 -51110 -20051 -30820 22045 20666 -67792 100185 48627 -22660 -17783 -5221 127157 5299 -8159 -29103 -12619 -62070 87329 37757 -9336 47089 -123868 78773 74708 -99486 -88507 47736 70726 25532 101122 179434 7132 87733 -27944 -165307 91568 25187 -14126 -121344 -77582 12139 196665 16608 -91913 -42027 -133369 -100425 -107758 -44802 126709 -29833 220490 -14445 105376 -159362 45331 -8610 -16507 43085 -53371 -52184 -254943 -28289 -53830 -84323 39803 -84304 -31419 
+-90127 -65550 -23396 170867 -75910 49008 -86533 -49405 -203978 21056 -310 -54099 46223 -146376 86938 25828 54975 -229770 48132 45491 -133246 -111717 -53204 -59721 21921 -41656 -91364 22463 88917 200510 77242 62199 -79068 93374 70907 83160 -55788 -1891 18982 -8830 21493 -131916 -11917 100026 -24442 35476 -7051 44634 -46011 -50219 -7817 -67376 -161436 -45457 -55220 -112043 41354 -52512 51092 31545 30815 33484 86636 84890 60105 48012 135607 9461 -110375 239251 75940 -126724 84298 34276 82129 -203145 9202 -73506 -32579 166326 69994 29371 -10302 -1169 17673 89377 -113926 -36011 6813 -13740 -39055 72492 8438 79392 -89427 164008 22720 117595 -124169 106834 -42806 -153509 81808 7489 -73836 195503 -86505 -163949 -246011 -37777 -29129 83417 95007 -121299 -18979 -79458 -40993 23130 126326 81545 72474 -53414 14924 203348 118367 5390 -158985 -84278 -68800 36278 93666 62153 119504 -47810 48557 -62949 70825 28815 -10337 118032 34222 144432 -86489 -10956 12005 181831 -223616 -199243 202131 -24268 -102655 -74522 88114 134106 108516 -4894 92218 -87571 95363 146365 -81244 140031 57136 11307 -28371 80276 114199 -8601 -32912 110556 -235070 77971 126661 29657 -62582 1075 -47950 29544 -50854 -7232 714 -77947 167456 111367 -41075 -18211 -46798 85991 16400 10021 -54888 -12138 -126583 51075 19012 -10410 -19048 -165519 76881 19037 -86814 -72420 85077 103764 148504 94436 213231 -126300 62507 -61942 -106631 20887 77258 -74842 -111075 -59591 53209 139039 9787 -103848 -184184 -103785 -101150 -95916 -30186 158483 23583 129179 -16639 96584 -201596 29293 -24877 -16200 6633 -76862 -53900 -189758 -9252 -11905 -95017 69968 -103190 -1652 
+-100125 -10669 -21314 77128 -183837 57009 -171419 -14011 -307120 -21822 -1690 -40530 47024 -53700 73591 54446 -7466 -230176 24601 10878 -84575 -91397 -36404 -105816 26594 -61157 -10496 -1267 58319 255104 52024 73505 -45674 92287 94190 -81366 -43418 42547 -18057 258 -34159 -129256 -73128 34963 21958 23403 31066 -15343 -8057 -53646 8975 -9629 -137573 -39557 -123444 -107817 92066 -38402 58056 34207 35473 12176 145588 22492 41264 42322 135312 -170119 -103534 71806 32753 -136191 -60870 152961 82693 -135076 77887 -17928 -52719 139651 66358 15196 84937 -30271 27868 -13512 8379 -32898 -15972 8021 -32297 74399 15517 78054 -122307 153390 45873 -8449 -106914 102242 6473 -148512 73841 -22759 -69464 158268 -96265 -126162 -179261 -3787 -14912 80929 27929 -130369 -8021 -115319 -43301 6132 13592 219688 146885 20515 24723 240495 -39698 -9233 -174473 -76589 -95187 104938 118946 62264 90885 -44625 25045 -43496 -26212 -13054 -37119 104381 36619 219573 -86250 20788 2015 128370 -99023 -51905 205134 -44529 -21590 -97264 65034 -22345 107480 -11403 37408 -77949 115656 73547 -43063 116587 146646 -30514 -28155 80226 53744 -3697 54687 66715 -223839 46258 122321 48448 -73433 2856 -50837 99281 -52855 34084 4927 -87112 214429 -15901 -52139 29471 -100208 33682 521 34043 24430 -7062 -76099 81468 -64 -15677 -108082 -139448 93197 -20548 -84730 -88751 98591 131638 231804 69972 209151 -177840 15407 -57621 28778 -114121 76154 -81539 -86010 -56269 9008 78982 50004 -73677 -184295 -64288 -69079 69118 17943 97573 28243 -35843 -30097 82078 -112310 37690 -55634 -28903 -51176 -110891 9296 -126928 4714 -22982 -90802 -69265 -43700 -14888 
+-94714 -40477 18630 -60151 -173117 53807 -46974 29108 -180135 -25563 8831 -36309 46893 53802 84776 94397 -23167 -213320 55009 36266 -31472 -63598 20681 -100350 30872 -65701 59565 10404 15240 237094 -36791 11627 -42864 97613 72457 -125073 -58883 60909 -93715 380 -152070 -146176 -78142 10064 1879 681 -77463 -16325 78613 -52046 27186 153921 -79785 -48429 -194424 -30862 17162 -32483 59189 -2635 55536 40918 87187 6135 22555 28143 60978 -223259 -86704 -46787 21414 -116041 -139300 209949 69042 25062 57989 68928 -41833 63351 55707 11928 168944 -41159 57601 -203862 107630 -50636 -44113 -14888 -38745 71956 19518 75384 -104999 177170 30392 -89765 -96648 15035 4466 -86793 76674 20805 -42307 131684 -104340 -13878 7236 75340 13197 87071 -164671 -110604 541 -79797 -42297 -19075 -74708 228371 219091 43793 55234 281261 -96576 14418 -190415 -54960 -136227 126018 95326 71492 54629 -66854 26280 -65425 -49385 -50408 2629 171573 36874 233299 -75615 5600 8062 62260 87293 140540 115549 -89775 54857 -144960 39529 -164314 104126 -44101 496 -63728 78795 5621 -3841 130257 172431 -65841 -20922 19008 -23111 13663 113407 28785 -206273 -38634 30586 -38177 -101561 15815 -46633 86365 -76811 -32382 -3059 -86268 219836 -179788 -47645 25194 -112372 -64682 14204 58974 180151 -17100 -92088 77232 64968 -18865 -154030 -158889 88010 -35509 -29945 -128188 105084 129582 197348 26887 185606 -91090 -14078 15557 129838 -141855 45617 -41792 -50954 -67748 48159 19146 21748 -17897 -13483 -36220 -30656 153481 -12453 86704 -24837 -117226 -25981 70280 -29119 42439 -82231 -44203 -147585 -126132 73899 -93961 29206 -33190 -51062 -148836 47552 5681 
+-88511 -125047 16604 -74767 -94767 53023 120725 36588 22042 -4313 33784 -46312 49025 149520 27646 86175 -19511 -194875 46536 31622 -2061 -18156 48374 -98310 29523 -80931 96656 -718 -46625 160248 -105648 -61049 -32554 98369 64087 -57166 -57612 34497 -92273 -48695 -199142 -132755 -38272 5453 -61426 -1561 -186972 -16428 123936 -17897 51972 181793 -12271 -45493 -221320 34961 -101143 -21082 69782 -35074 53703 42285 -61025 49938 15279 3555 -15044 -90093 -82121 -31934 3791 -60088 -139524 147909 44788 115013 91750 119709 -52639 -10668 28255 -10717 158646 -56125 42498 -233927 95131 -23855 -14135 6649 -4917 74390 27989 53371 -19557 180174 22920 -62477 -56680 -101709 16313 -61158 54224 93437 -4039 75186 -110159 101576 120589 156074 24901 79546 -181586 -83402 -27425 -124068 -45488 -58381 -13329 100110 270000 59977 5966 301589 -1328 8254 -193580 -35189 -135088 62514 45893 70846 14036 -84805 43810 -70510 6683 -51648 1317 146904 34778 182084 -38154 -75905 27637 7871 110335 137725 -42442 -115864 48238 -153699 14629 -199664 111257 -52436 -45789 -71123 93662 -28090 37132 128674 104835 -164755 -10229 -62697 -109350 68945 143947 31267 -166217 -71871 -134784 -95935 -134214 -15743 -49582 26629 -75167 -120699 9171 -105833 82356 -183050 -76105 45683 -99736 -55500 43456 67227 193070 -17557 -24398 128721 90998 -46666 -139381 -205343 89266 -33855 10676 -124336 97280 122767 60981 44871 193122 46615 -59787 75671 141085 -172506 -25115 -52485 -10200 -86122 29831 -23695 33376 62898 124334 -36033 55873 189206 43044 43544 -93751 -59675 -33799 62211 -4336 43009 -75789 -41966 -94040 -99637 50138 -37923 49834 -37328 -59543 -71187 96567 3666 
+-75224 -138862 -1226 7417 -14887 70391 94424 51511 98381 -15049 31334 -58424 53637 171595 -50600 85323 6898 -149211 51280 50959 26653 -28627 57566 -68881 34301 -83366 38999 49057 -74567 53702 -181495 -45003 6372 104505 84894 130122 -68914 41890 -127989 -50145 -96622 -98903 45961 47049 -111679 19751 -163781 -47705 135964 -8708 90810 63035 81684 -63824 -247758 23105 -200701 -54006 73788 -40993 54417 34418 -181686 138214 20387 7785 15224 68779 -72005 148217 9038 -826 -13435 23965 57576 5949 107504 133836 -12235 -69556 -12164 -61013 85895 -39113 46506 -96196 13506 -51168 -6186 4431 38392 77038 39293 28600 60283 166770 -19372 51962 -52680 -213596 25280 -35508 39920 139162 39337 39992 -114551 118195 50369 196394 93440 85569 5009 -34271 -10299 -82791 -43764 -122436 117575 -28274 284327 93933 7719 258082 130765 -7556 -192438 -43619 -83983 -48097 -8484 54887 -28462 -102374 42610 -55669 108116 -7133 5635 118420 32078 107280 32945 -154052 52959 -52267 -60407 -43122 -111503 -150404 31741 -158017 -11795 -73321 109794 -87386 -59096 -63273 72975 29083 56420 98046 15916 -204582 -11616 -50810 -187071 26059 137718 62848 -131374 -147063 -168141 -113845 -112106 -17184 -42569 -29294 -77309 -153246 22454 -81630 5319 -40333 -84433 -4418 -59622 81562 47231 64342 54543 4425 8065 123541 159688 -35223 -72279 -177237 93625 -19690 43544 -135215 91464 86206 -38006 23680 178020 30866 -87549 119619 66330 -123369 -77011 -23025 29648 -91170 7621 -48231 3548 138094 93933 -53935 94410 139813 25756 35595 -66677 73178 -39659 62178 -106602 27545 -121023 -24223 -22269 -64979 -25869 40290 69052 -51041 -43669 25680 80224 47842 
+-78991 -84935 -52066 130363 -3701 25474 -75574 88083 14646 -1999 12840 -60376 56722 139405 -109623 71212 83522 -119849 -780 78275 62782 53013 22032 -86497 32700 -68110 -98778 -7597 -125777 -54816 -215372 4070 -33883 102845 79741 199189 -77694 19832 -109918 -44549 10720 -67176 124138 116900 -94786 35427 -48916 -16229 58095 11391 112689 -65612 180031 -65446 -230995 -4400 -194559 -32184 78393 -4822 31042 32682 -159779 136560 36940 45013 123992 39621 -65932 252859 62806 39231 120229 -34305 89552 -150315 118723 130732 20051 -120403 -56692 -74475 -25310 -29861 69220 73823 -68218 -36894 33224 2239 19137 79819 47139 -18407 77824 189281 -27564 163467 -36117 -270431 -27502 -85288 44554 96034 76117 -24611 -116258 18632 -135313 171887 69072 57246 100224 23816 -18591 -103398 -33661 -162670 125310 -44652 233897 100347 -14192 256903 102355 -31319 -182233 -93050 -56194 -119921 -86876 57704 -70547 -128680 65497 -72031 203161 28791 -20155 113367 30914 11528 70457 -184559 75585 -94342 -212698 -190592 -14806 -115380 -34386 -191799 -15481 87734 105232 -83790 -44563 -85549 24994 117774 42922 102361 -7154 -194355 -34893 35536 -236525 66371 81740 99230 -84950 -154716 -126771 -46417 -129188 -37810 -50571 -111359 -87982 -162941 -1486 -49272 -79608 105647 -101374 -1413 -10283 128354 34614 51036 -74685 -13897 58358 120444 140627 -44875 24560 -202983 103882 19784 76342 -123141 61403 -25424 -12969 45554 110733 -69699 -94774 113797 -11349 -63244 -110612 -40077 62414 -79205 17128 -44866 33514 195440 -44715 -85067 133191 29342 38179 68022 -13987 219796 -49483 69072 -190966 32499 -123884 -6971 10861 -52907 -53285 87214 40053 -21016 -103412 66290 -22034 44848 
+-84344 -28156 -21461 188537 -64835 45189 -166450 129370 -181451 2093 -11690 -62165 56564 46962 -172703 33692 101580 -55570 22559 22289 89602 72030 -5323 -69190 36025 -57687 -78818 -23668 -108682 -96434 -236827 101818 -60113 91198 83583 147014 -95804 25282 -60421 -45689 4620 -23619 174022 170543 -34895 24013 46236 -18695 12800 50184 139592 -73315 216508 -31636 -204252 -95734 -128418 -19006 86887 27308 20341 46117 -51365 85501 58023 81234 141517 -139054 -58418 81670 74185 47524 214964 33382 80789 -143958 101644 57116 56689 -166863 -84860 -81661 -75415 665 89775 67633 -125044 -61223 13541 -7181 -35906 84515 47439 -61087 33761 175740 -59234 183786 -7391 -214205 -6870 -135988 24265 11172 96808 -50365 -116361 -96024 -245804 109927 69547 71111 37436 88562 -9197 -82270 -29961 -193319 3016 77248 187704 83195 33176 234651 -27103 -57526 -176114 -93800 -71171 -125421 -89429 58958 -108682 -147809 66441 -24092 237316 40862 -18438 34138 32178 -51333 150672 -142511 89243 -117485 -166176 -145391 96236 -127783 -119828 -174177 -38937 118188 96175 -61080 -20229 -74320 23945 176590 9468 80596 56212 -86146 -2117 128761 -250637 71266 -5337 132335 -36425 -113439 -25484 48825 -110128 -49915 -50667 -185765 -72633 -179332 -31181 -66787 -97854 77388 -108038 -35768 9137 138827 29595 27000 -1599 -4021 71997 117119 92138 -44979 42129 -163668 125986 80322 118270 -104296 28340 -94522 126179 48199 102521 -161211 -92983 81938 -109614 60003 -116644 -21986 83317 -60372 43096 -24960 21035 218388 -193830 -125217 139095 -88586 68890 118304 52983 219974 -49649 81293 -172714 19612 -97992 -37280 -3718 -59660 -1055 103121 30944 -31135 -82306 -90710 -94101 62629 
+-88637 -14199 -36901 73193 -126715 31109 -51072 132904 -269060 6575 -12565 -54948 54175 -58437 -206990 -19651 134386 -5648 26586 20488 96321 96499 -25902 -122477 37366 -35354 -14449 -19127 -118014 -64100 -194542 77132 -32577 82006 74437 -40856 -103407 9667 -40144 -7415 -91038 -50641 176280 179777 21212 1156 -21671 -50659 -51724 74027 141801 85014 208644 -59796 -101945 -124279 -6690 -58826 91339 29185 19179 45186 105419 29110 72179 31954 93137 -225346 -68348 -63320 72996 26964 227803 156821 64261 -29560 154321 -22598 96185 -195355 -135683 -49629 -48907 18072 19784 -108350 -130559 -64716 190 8102 -37967 83101 58696 -112873 -60561 173263 -93144 97000 -27491 -125897 -39608 -161804 53796 -22705 96960 -80104 -114923 -166900 -171264 14139 31810 58259 -139174 137366 -17023 -129266 -25083 -196299 -83605 211928 132914 89753 -4899 227348 -81173 -76251 -159816 -116893 -118254 -82801 -68307 35841 -139770 -156327 41288 -30363 211857 55117 -19693 12302 35040 -37650 164304 -59823 85511 -113379 20035 80918 183585 -100739 -175659 -170071 -30114 2687 92443 -50463 3093 -77033 24161 193842 -35579 59627 144765 26137 -39302 65349 -223549 67049 -80008 138768 -213 -92419 69691 38998 -137188 -21786 -45300 -222309 -66697 -162693 -6998 -95727 -51119 -120354 -111606 13300 168 69800 33786 2787 130813 -4135 76545 120077 30960 -54638 -12256 -144410 89257 130286 169876 -71788 12713 -193472 227753 104888 45540 -134506 -110191 18703 -190823 166609 -86823 -24055 89062 -52462 31300 24669 30148 215346 -180225 -152746 145364 -84400 62243 113346 10340 139778 -57303 94734 -62277 20151 -94927 -31205 -113093 -100472 56974 103026 9848 -44928 -67188 -120423 -101768 70767 
+-102084 -91176 -45808 -63253 -139108 11162 90130 140882 -180295 973 16357 -37874 52190 -153559 -265906 -75495 108730 24997 8351 21536 104995 104519 -42094 -83237 35835 -19201 92132 -31339 -94824 25396 -121110 8069 2500 63915 75185 -155478 -97515 21600 49117 -25577 -192596 -42958 112735 192074 -3165 -1903 -154101 440 -135460 88383 95361 212518 154892 -50654 -33830 -121142 92243 2170 94435 1416 23220 6847 140803 19436 73479 -11663 -26018 -122347 -68229 -50692 112475 -27869 126150 205453 52089 138788 59052 -93658 105032 -168535 -162957 -27922 49304 18558 39953 -237253 -73070 -46358 -27292 -662 -22873 83991 64130 -133689 -113488 191061 -86020 -28328 -65993 10917 2516 -123707 26807 15434 76334 -100940 -110795 -115896 13480 -37873 13364 33722 -182104 181961 -4257 -79173 -35762 -172935 -16230 246939 30875 17794 8501 171089 -3125 -63082 -130419 -96846 -149224 12585 2933 52765 -162791 -167076 63794 -47577 105006 12691 -8578 47869 36928 23416 211719 2666 68711 -88420 123440 153659 133919 -55951 -197913 -218604 -25187 -163665 100657 -19268 64002 -71250 30219 88373 -78318 40541 173577 42 -32493 -44472 -175274 92239 -145753 101418 21539 -32763 151612 -37428 -166674 -30192 -41032 -205846 -40404 -96419 14221 -92686 5283 -202246 -110838 31284 -51231 -41774 44723 -15201 197852 -2412 54548 118841 12702 -46739 -95033 -172751 78567 184048 193020 -24756 -14551 -215422 211097 126933 5320 -25211 -145623 -41805 -165441 219342 -14636 -46585 79126 -66436 37347 85592 41062 169445 -37492 -158606 112058 -728 111961 149886 -42062 -29813 -55701 103654 1794 -7473 -78869 -33414 -130726 -123452 43572 80359 -18728 -36105 -40162 -65601 -577 73777 
+-83939 -175380 -14973 -88006 -81919 -6088 102626 145545 22645 3488 28719 -38666 48268 -187857 -268653 -129346 45482 57782 23495 58786 80102 115689 -37798 -79857 40887 18228 135564 -20811 -53044 137484 -48073 -44021 -42585 51774 102011 -80248 -95573 9026 69420 -5922 -171398 -102604 35085 140120 -48934 19040 -208703 380 -228823 110615 93791 184212 93782 -42494 -1594 -30295 68559 -71514 108354 -38534 36967 50392 62728 59208 61703 -14531 5436 55728 -83662 129442 149200 -85539 -16859 151255 48899 17317 91980 -90671 124697 -130328 -199716 28856 145466 11727 15301 -196124 16857 -97179 -26558 -14731 11166 84013 70541 -170274 -117833 180655 -77884 -93537 -100461 107411 14132 -76183 27189 97706 39043 -102551 -104946 8705 123652 -17291 25497 63584 -9838 206010 -15635 -114225 -29483 -134124 120675 129178 -25710 1087 15444 142757 126432 -38926 -112635 -125802 -113025 91943 82409 62101 -175120 -173920 55533 -6652 -28686 -41954 -2805 42928 36573 121279 209896 17020 43247 -40741 11809 -16882 70150 -58490 -151334 -208393 -14706 -220679 97807 -16285 81080 -80840 23108 59325 -89081 15187 115120 -32448 -20634 -49875 -93739 81923 -169436 65521 56471 29687 96422 -104603 -139433 3864 -44745 -176112 -24645 -57197 9866 -55389 98753 -119940 -117445 18540 -103836 -64311 48934 -25291 104946 9460 23681 104222 33578 -52473 -143463 -140215 65631 212689 252500 -14162 -43800 -199246 91186 159338 -37858 57964 -126067 -49238 -46365 155317 53415 -65749 54429 -84264 52210 148833 31548 99215 106494 -150859 108192 122700 80634 88876 -89624 -107782 -48557 108312 -66538 -19475 -36992 -9061 -67394 -121495 -15344 99658 -35890 -58320 -34718 35351 86815 53239 
+-97298 -130197 18645 55081 17469 -13160 -61549 108860 119931 287 24389 -46714 47303 -148263 -307581 -176421 6707 68352 56700 51445 58433 138747 -62347 -86145 44077 41242 1565 -21345 -13089 237089 30859 -52732 -26601 29541 86165 51884 -92663 -10589 82613 -39372 -40816 -121268 -41411 70553 -127272 35315 -90140 50221 -239842 122603 79904 10497 -24895 -44533 58645 24787 -41374 -36834 108601 -48818 50077 18647 -80945 130601 42165 34052 73083 56497 -96866 221370 105179 -126651 -123780 25954 63905 -129047 40818 -73274 96889 -53613 -217322 54899 169061 -3373 43577 -35922 77977 -105541 -34622 5270 42718 80085 80286 -183087 -61436 203863 -63096 -47062 -125270 105548 8029 -56775 26925 140470 -4229 -84835 -97128 104839 42238 53836 -48004 85066 112884 204252 227 -97404 -21351 -77750 130109 -8712 -95148 -28502 -11589 63089 134002 -26890 -82492 -123936 -44066 115832 126689 63798 -176546 -173315 60756 -60348 -46871 -42554 22969 105395 34068 203694 164807 -1342 18831 8284 -174124 -167966 -58157 -46354 -91305 -195571 11433 -58685 82324 -31655 107908 -85500 48637 -2678 -81467 25432 21091 -148440 -53458 23769 -9705 43886 -151835 35264 58576 101206 -46812 -90898 -113209 12633 -49304 -81319 -8296 -4521 38961 -39191 186828 52562 -141321 -7142 -113456 12515 63699 -22009 -17047 -7795 -24812 111573 68482 -55993 -142156 -121298 58413 229603 264727 19672 -52629 -182567 -27588 154045 -75414 17298 -114234 -48162 80225 -1782 74759 -82202 19173 -92610 18128 199561 41569 23497 106478 -115872 -2077 180265 97041 68126 -64490 -65958 -50388 102922 -190149 -11348 -16144 -42087 17613 -94093 -60276 63289 -30422 -28200 -86986 74997 105698 85431 
+-92841 -16132 29543 140433 -7209 -7591 -163529 100659 3661 -28798 -27181 -60535 47917 -61128 -207399 -209723 -11458 73803 92626 49437 29420 143540 11087 -104051 43626 64488 -71446 -42475 48056 261530 77019 -5200 -31343 7997 68061 175883 -74897 -47217 31118 -62936 28641 -142704 -86821 29263 -78877 24671 36971 65211 -239305 117659 3811 -71969 -117322 -54973 35367 32275 -156805 -24821 117808 -18463 56878 49063 -177618 140919 23119 75009 165512 -91841 -98226 92805 96343 -141467 -141911 -30342 64667 -183702 51003 6866 75939 9336 -230126 15955 124218 -31018 77493 88449 74578 -83262 -17142 -9162 9952 78878 78714 -189212 37751 191745 -35547 74321 -119509 28487 -22329 -57667 34254 100872 -43694 -72182 -87812 111057 -146008 143035 -83930 82784 3625 183522 -7022 -124972 -18395 -34354 13178 -47264 -96554 -99281 23037 40583 3436 -11929 -61974 -113923 -55299 86867 117724 67694 -167088 -174663 57757 -35295 -35561 -46618 5560 117921 31517 242070 155276 -92675 3096 82148 -210735 -158444 -96372 -82362 -15410 -193578 35002 75263 89357 -28920 96119 -76527 69583 31094 -47043 -11746 -10227 -205947 -79154 100035 76788 103721 -89130 38485 69149 87501 -131940 5814 -128224 17545 -42639 -13186 15214 -11009 8656 -17254 177110 99119 -142438 -15437 -93794 98863 73788 -11120 -59392 -11547 -80235 67056 117896 -47799 -71655 -110445 51031 226504 260816 25528 -90944 -89457 -19438 159478 -96491 -127094 -77440 19602 146006 -87067 70817 -42390 -22078 -80097 55333 239827 41346 -47759 -37707 -78481 3450 196743 137228 23895 27506 53224 -47233 92594 -164497 -5066 13107 -52295 12887 -53511 -7811 -12452 -40094 -36965 -120050 -36338 53666 53138 
+-89160 -21709 -4992 156032 -44502 1173 -65410 91186 -140678 -3231 -1062 -63242 49533 56733 -178275 -231442 -39603 39800 66164 37226 15396 137757 15108 -114058 37749 86675 -101393 -31982 83328 209014 120869 78006 -59574 -18577 47719 163107 -57571 -37934 17021 -19447 -24608 -144813 -66590 3173 -42194 1647 14785 77258 -180941 122946 -18616 -57455 -156980 -66162 15401 -9625 -214092 -13343 120722 17701 45804 50253 -162963 95181 15271 65412 102299 -227864 -113676 -53540 37368 -117342 -50588 38208 79924 -59092 34679 57073 29885 78754 -215236 206 14332 -43019 61922 14695 -17465 -98489 19986 592 -33706 75730 86310 -149514 87657 210711 10554 174960 -69020 -90502 -39522 -99988 46768 17268 -69291 -21979 -76794 11906 -248702 199075 -129518 100347 -156718 150470 -8943 -99373 -18092 9125 -85769 52917 -53677 -120615 144 -7150 -70414 -3619 -37678 -138275 -107404 -7271 97278 57937 -146573 -185144 67793 -31075 45372 -3982 -44478 152597 31030 206377 92575 -168260 3011 132991 -59010 26653 -841 -119152 69899 -187735 51056 124217 85090 -82223 42645 -46524 113201 63135 4213 -36790 44466 -170696 -116111 56266 120929 76169 -8501 70536 47731 95542 -181061 44795 -79665 -2205 -42000 55214 36106 624 8348 -36425 145422 -18545 -147033 5708 -48083 148735 69746 9466 48396 5567 -67602 79974 137360 -68038 9064 -96114 47117 189426 235694 16642 -77841 -25291 77439 133154 -114001 -178059 -66937 77970 109217 -179947 42732 -47908 -61565 -58587 54233 248397 22788 -96975 -184109 -51712 -82281 61476 122529 63499 51098 219643 -44360 79660 -80541 -2394 15443 -33027 -72835 -52590 59390 -51576 -15777 -65513 -96101 -132099 -55325 85061 
+-78800 -91226 -1061 81957 -157684 -23651 93381 69811 -265242 -32184 12754 -59595 55382 143498 -114362 -233468 5618 8768 44411 10677 -37303 109980 44866 -90509 46460 103845 -18663 -34915 151170 119276 106246 56039 -42121 -55092 48367 34568 -55708 -28876 -34121 -57609 -153050 -131430 -23266 18134 38691 -2225 -118927 32697 -130890 145868 -58728 102807 -146841 -45136 -19574 -82741 -164565 -19877 121742 37571 26049 62127 -33123 26558 19515 12305 36678 -160705 -120631 -31002 20553 -61126 71701 158125 75201 107027 86401 121274 5923 152151 -222024 -38080 -67707 -49677 53836 -167719 -76236 -106998 2036 8497 -57128 72948 89015 -132688 58749 183061 24277 175391 -68806 -204079 -24651 -142354 58807 -33160 -74213 18786 -63727 -110100 -163166 181043 -151680 65135 -200950 99813 -2634 -118264 -19930 19026 -10281 190610 -11567 -155035 10842 -33921 -39883 18318 -18931 -144436 -153841 -88703 -3702 68511 -117074 -150178 49809 -47801 185643 49944 -31019 132962 32747 129637 13242 -169629 18621 187739 106104 192393 108064 -137014 73499 -175863 74017 -28149 78048 -65458 19422 -60953 132983 176519 34004 -44666 135858 -102782 -115538 -11915 139927 112184 72977 103452 19107 27580 -99048 19289 -138254 -38335 -37571 95817 49126 -7505 35951 -44459 95903 -186400 -143157 12846 -26015 79674 79872 41837 198699 -20000 -62743 77981 115504 -62255 38545 -94826 33649 152310 223815 -18723 -93274 39612 210018 48276 -111258 -96560 -14143 135937 55906 -207334 -34830 -7180 -94436 -55628 36543 236102 23054 -108192 -183372 -39702 -154021 -95576 143394 85222 -24488 232721 -38057 67556 -20983 -16890 58502 -34646 -148802 -81582 59208 -103773 -23950 -36843 -90818 -77240 -98021 76081 
+-89536 -157580 -40745 -56966 -158782 -13882 103730 36720 -172986 7174 43068 -44424 59850 178051 -8516 -217781 68922 -41887 68915 33330 -73368 93449 52641 -98852 44045 97577 92402 -30508 144664 -6524 75846 50095 31672 -83664 53188 -115668 -52383 -38326 -88954 1744 -207649 -85531 62518 62149 -8448 18331 -196571 51971 -34584 130261 -99313 220758 -125607 -47775 -96647 -133183 -53490 -35492 122061 17137 10159 39145 100413 12278 37325 -12394 -21936 26134 -122874 135710 -24119 -2436 197945 213430 63743 91964 93785 127076 -29588 189801 -193849 -73489 -62738 -44939 25510 -249273 -174660 -162736 -6418 7930 -52413 73644 97624 -84169 -37493 201421 20640 75291 -32433 -263722 -13239 -134003 80502 6699 -58420 60120 -49820 -165936 22232 127441 -138535 89875 -15977 42627 -7958 -79959 -12613 8452 120233 252235 48124 -179701 30577 -73179 104260 3351 -2626 -132809 -137842 -128614 -48903 66907 -80755 -156663 73987 -44724 229793 64294 -6358 139115 35570 33157 22195 -149457 42971 216769 73786 88617 226862 -137682 22424 -183816 83675 -156914 85918 -74149 -43377 -82304 99730 170959 55115 -50010 173575 -19766 -133332 -65046 127957 87646 124799 134434 -23041 -42439 5644 -77947 -98638 -43072 -40146 71802 74593 -68202 22571 -77338 -2636 -176656 -139035 30872 3914 4378 76295 52341 158136 -547 -85882 35325 47570 -51294 8532 -23826 74062 90174 178981 -53589 -90236 108325 236937 31469 -90320 34475 18003 121581 -48647 -170929 -87344 -24713 -115545 -63847 50683 199806 39104 -75899 -41152 -43715 -84617 -101915 111354 130112 -87475 149735 -35256 62428 -26120 -17180 72705 -29074 -75797 -109249 -2888 -168122 38598 -32634 -36531 43311 -75611 89421 
+-107565 -118461 -27471 -93527 -71686 -27323 -48376 9434 5505 -5312 44126 -39775 56388 144776 35651 -198315 97412 -92036 29239 49553 -110306 70764 26404 -50493 48151 104978 98743 -57134 177958 -74769 1891 -21220 -28431 -110451 61992 -127604 -43890 -25273 -89347 3195 -120828 -53757 141017 128859 -60660 35210 -126280 56516 49514 126137 -79604 136837 -49932 -76628 -164339 -129176 63283 15650 131383 -28448 17539 45993 145060 61921 57164 -12475 33043 77206 -126292 218054 20396 40468 231017 143794 50155 -99205 85013 102501 -57656 186111 -159062 -127759 4597 -10261 30587 -133936 -130464 -180648 -28207 -1081 12703 72284 110177 -29984 -106240 190083 15753 -46339 -11576 -220161 -21059 -112575 61488 84361 -24577 104694 -34626 -102766 124524 33256 -183044 94963 115380 -25274 -19676 -69768 -13898 -19043 131983 142658 134359 -198514 10033 -101169 123412 -6628 10864 -103340 -55670 -132254 -85268 55334 -39271 -135763 35722 -17230 225964 38666 14536 149980 37078 -38162 -49621 -70811 67188 215544 -106338 -113990 125539 -149848 -39127 -152727 91933 -205074 85886 -56922 -61939 -55145 71156 135498 48911 -83552 120204 7714 -120822 -15890 67493 87991 150909 127102 -70029 -116400 108485 -111589 -99089 -25375 -39715 44788 80157 -140103 5264 -68004 -40488 -32234 -145616 27334 -23146 -93639 78914 66616 10480 -10648 2326 10509 30233 -56559 -81429 -61319 46719 45506 162463 -79113 -81074 156640 129692 50179 -67116 35899 43911 71011 -144049 -68328 -125136 -46921 -121891 -85834 11338 144760 7523 -13777 110795 -74240 -63517 -51853 125022 148722 -104809 -15373 -31624 63364 -118334 -14379 140558 -67031 2327 -125381 -53595 -192866 25370 -30147 -89522 93296 31736 54613 
+-93281 -42684 -46430 54035 -10286 1115 -167986 -6182 134025 -5552 12613 -39161 53444 58659 83576 -155543 132119 -136066 26962 36823 -149266 15672 -1519 -100044 52050 82796 28761 -9746 145871 -95118 -60602 -5803 -26067 -128978 87258 22600 -62322 -78307 -104180 2541 775 -20426 185740 184888 -101506 25310 3556 35659 79009 94022 -13201 20660 47497 -37876 -204648 -27667 86332 -21547 136967 -50271 25086 45815 57136 124574 70366 49028 154820 -75656 -129066 101434 33800 49896 150520 31426 50799 -164530 123606 38049 -42069 161300 -138549 -101090 116577 6589 35458 46531 -12666 -138108 -47579 2500 24182 72723 112613 30628 -143973 186948 20128 -93735 -27023 -119077 -1212 -50998 55504 144786 19467 152724 -18107 20752 35292 -24952 -168944 78790 22551 -77780 -6382 -75563 -18697 -63141 12292 -4520 201664 -252516 12190 -91038 37519 -36235 13025 -81773 -51858 -36779 -93830 57895 3331 -105868 66578 -41641 175008 -4016 9345 81667 36025 -45789 -102531 -8050 85928 208626 -220671 -176694 16348 -82051 -111599 -171776 80605 -107174 83203 -21151 -45904 -90345 67512 27880 5740 -112574 32102 -40808 -125486 120270 -11863 79926 122631 94483 -125941 -136708 131216 -59878 -95049 -67292 -46777 -53050 97506 -170052 12991 -60331 -78224 90655 -140975 -15108 -60857 -64745 95484 64894 -73517 -13244 28300 62563 17889 -57629 -105797 -15256 63516 -3710 89510 -119766 -41986 155953 7171 -20561 -46227 -58375 66671 7807 -133136 63994 -117407 -59663 -111873 -92116 8296 81779 47021 66345 110974 -106398 -56645 72711 166187 153068 -35278 -110157 -23814 71752 -197129 -14759 141442 -90229 30901 -113666 7222 -252919 62748 -45007 -124419 -25014 114825 57634 
+-76081 -6357 -69248 139493 -8426 23811 -91365 -6618 39694 4577 -24486 -50696 47670 -54385 122348 -93312 93265 -177891 14180 45883 -169315 -11055 -54674 -79045 41626 61248 -75522 -30830 123660 -38191 -140337 -36641 -59099 -150362 79184 182718 -67850 -70499 -96043 6562 12067 -48195 170572 185976 -95991 2202 30231 6709 99404 83319 25710 -72057 153159 -74013 -233855 17997 16968 -53191 128135 -34320 39598 55864 -99231 131826 72578 80598 147749 -208774 -121605 -48644 61493 21231 20735 -28517 84269 -52490 112603 -34781 -44814 127912 -84857 -62915 179589 17646 79470 77440 45761 -164996 -24712 -2989 20595 74203 111762 41039 -85072 204709 -28118 -29162 -52876 5658 1128 -53032 87579 104111 59059 194027 -1017 116666 -152254 -33885 -165702 52908 -178578 -115805 -809 -69922 -15307 -112279 -79544 -53581 271974 -213293 38463 -118869 -92460 -61890 16478 -97547 -106208 56544 -25067 53960 44888 -92179 56452 -44458 54541 -43548 -3881 24794 33308 3254 -129618 14788 88682 164157 -132324 -20722 -104524 -70416 -171414 -140000 65456 57932 84067 -9887 -45547 -88222 33772 -42908 -39155 -136318 -10677 -125356 -121200 116329 -92031 77794 64593 52415 -163597 -158750 53316 50240 -76018 -26077 -43509 -109811 97507 -188248 -17182 -56675 -90835 69112 -142661 3781 -106312 10409 45584 49569 -4372 -11096 67942 28698 40282 -48235 -152355 -4982 64733 -28472 50829 -106349 -42115 131598 -38108 15004 10575 -170333 75882 -28932 -129772 144477 -69318 -44723 -87618 -80425 36572 17792 26959 141164 -20838 -149760 13554 184639 154424 111187 42555 -91948 -13623 84877 -146643 9185 144180 -102464 -7211 -70716 65176 -266005 57085 -41022 -105474 -126926 103454 53515 
+-74869 -82499 -12266 169807 -73391 22755 76427 -24867 -158458 -1130 779 -55396 48321 -157358 83780 -42423 70163 -209781 24752 33610 -190820 -36919 -57291 -50754 55807 41552 -79190 -24379 47417 77619 -203933 62948 -33976 -174773 45721 185674 -83110 -72774 -53348 -24835 -99983 -60719 98850 170067 5219 -2508 -45326 1606 93137 47139 118438 -28451 187314 -27627 -253903 49744 -121408 -8130 132161 11174 53343 51810 -178968 76721 62055 37894 55254 -188865 -117522 -39841 102485 -27775 -99840 32513 84305 130353 95857 -97427 -15753 43540 -58535 -36474 145173 23093 55871 -65261 77878 -158580 -15090 -2018 -44241 76483 125055 84345 -3065 199061 -59625 96293 -99474 86304 -1175 -73141 78292 26606 89379 200182 17115 98910 -249147 35662 -124377 51544 -176152 -131118 554 -79329 -16412 -164946 -5157 18038 291542 -182871 11595 -76705 -51533 -94239 9339 -65842 -134145 100249 44520 47115 82354 -84048 51367 -40680 -36844 -54710 2075 17556 31160 94411 -7590 -9890 76103 109802 62483 143366 -44379 -55397 -181577 -113302 38241 117905 78827 -14662 4813 -62871 25999 -4872 -79071 -146512 40414 -196543 -129107 17144 -181973 49510 -16708 29069 -201441 -136641 -82865 28255 -65664 -8142 -39596 -183640 103876 -186919 -501 -67404 41894 -99270 -136051 -3416 -113990 109007 81164 26934 148611 -2822 79853 4880 86928 -48660 -92152 37044 27806 -40428 22178 -147423 2604 67374 44662 20371 33900 -135914 137124 -56776 22160 150702 3272 -62566 -52537 -61746 64734 -28458 33397 194325 -178773 -163981 42517 164431 166058 78888 39361 53965 -9958 97520 -5475 -14117 158546 -62706 -104005 -46128 62995 -306690 46702 -7955 -100646 -77269 6323 40916 
+-95962 -155238 -12348 72891 -191794 48171 112960 7271 -276948 9773 25354 -62935 47334 -185986 74309 -11 1753 -222623 37498 10769 -202998 -69452 -52387 -74626 45639 -7531 -2830 6894 20836 176077 -220296 91651 -24597 -183640 66084 50828 -91702 -83736 24425 -29650 -204435 -130481 14269 112747 40852 17641 -172058 -34682 47303 32086 93000 132869 227596 -48773 -220253 -5164 -193729 -16474 127920 37827 55619 63637 -143112 4916 43766 -8904 -6897 -14744 -110114 126979 104761 -88617 -166590 153169 71987 91389 67075 -97597 13754 -29276 -9035 11046 48789 9583 94025 -234615 26061 -141551 15542 37161 -53967 77717 142938 82569 70903 173793 -77972 183401 -115433 116933 -13259 -127324 85750 -25327 98943 209509 35481 -8613 -156381 126314 -112281 66429 8905 -123554 -7601 -41448 -22373 -186601 109949 171509 253615 -200403 -18746 -40491 67125 -73309 1625 -60181 -143677 104750 113330 59137 112798 -40293 74636 -62895 -61170 -18381 -925 51374 31177 184503 44711 -50185 53903 60372 114432 132574 35482 -65778 -160619 -107850 14572 -8922 97477 -53923 43723 -67238 20083 73642 -98655 -156549 132108 -179284 -124242 -92418 -226878 50541 -102432 42819 -232824 -56389 -168127 -17022 -68549 -258 -39852 -213155 76115 -154848 19432 -56717 121112 -210503 -122416 33036 -114204 116703 80638 2290 211307 -7848 57442 -30791 127023 -45625 -14342 34253 51971 -23728 -34317 -133479 18471 3375 161195 71272 93552 -39500 124402 -27356 105173 111328 63792 -37023 -11994 -52974 31662 -49099 -117 233762 -181072 -159375 138560 90257 152114 52684 -56899 198282 -1754 106547 -49720 8761 191762 -50510 -135220 -62995 6631 -277379 22132 -35161 -91875 -36242 -87038 23298 
+-82078 -132411 -8443 -57055 -133114 40782 -22473 22582 -177812 -9570 37912 -55042 48191 -158891 19844 41990 -36772 -227210 22859 22966 -198563 -114661 -35104 -35792 50905 -20517 67825 32161 -54091 265865 -201018 74252 -923 -199419 69316 -76101 -94727 -64309 55333 -37783 -158516 -129550 -57675 51711 -25960 35066 -188657 -39214 7329 20315 134262 195325 181463 -41874 -147825 -73557 -204790 -45259 120536 25797 45068 58334 -11683 -1323 25433 -2965 -4831 76468 -97748 226942 123664 -132584 -94111 210639 51912 -86286 105601 -63918 58201 -108487 21049 26785 -49491 -12406 45642 -221969 -47526 -105643 17484 12833 -33615 80314 132244 67170 61423 196692 -93602 163834 -134115 49910 -16760 -146032 62127 11354 88286 196129 54103 -123112 31013 187583 -70755 51388 126333 -103246 -26657 -90088 -25954 -196133 141835 247830 199221 -169755 -28412 -34217 163267 -53507 -17143 -50175 -73951 29032 119890 49579 134915 -42388 49061 -59003 8107 15426 -16215 42641 33377 237134 82250 -128289 27821 -12944 -29515 -109797 152555 -47150 -79219 -58687 -12390 -187763 97524 -49419 70001 -54312 29102 166321 -82029 -192726 174941 -122484 -106005 6479 -261092 20312 -147908 69627 -218422 -24884 -180352 -115182 -16094 4525 -40408 -196764 88693 -77865 10883 -33249 212399 -116018 -119425 29485 -33800 40128 59059 -15266 115789 -16907 48837 12155 124641 -34999 28534 64739 43926 3978 -68401 -127382 58639 -58758 235375 101257 109250 59475 145408 20405 145651 63877 92058 -46730 28002 -62691 54963 -49674 18360 214006 -29451 -124764 172122 -57257 139703 96879 -99462 250425 -9008 107385 -127645 14607 178585 -84782 -25834 -91415 -64470 -271308 37069 -28575 -37820 75849 -104939 34186 
+-84613 -55711 1338 -62414 -67694 40500 -169358 25090 2829 16400 8765 -39647 55495 -61488 -73290 58007 -25712 -213382 68753 58901 -193636 -133001 -5375 -63202 52672 -54114 74741 -6981 -92140 247251 -163547 6716 -25639 -201811 80688 -125832 -99094 -80083 55509 -79812 -49792 -168545 -86244 12083 -59344 25918 -74231 -55084 -111782 -12466 122340 91241 121918 -62859 -100343 -107863 -102593 13272 125544 -12777 23921 34224 118889 73849 15795 57335 116275 -24031 -92303 116922 124746 -138930 35997 147231 55435 -219498 94920 -5923 93205 -131844 49170 18804 -75438 -31575 22835 -52421 -127871 -132460 11628 -3242 19264 83081 140475 44736 4917 199397 -102156 52911 -87501 -72992 -10074 -133647 65402 76378 57052 191901 72801 -164511 122440 196860 -26333 78433 16480 -61595 -2027 -62032 -30042 -182282 7651 158029 127428 -135237 21430 12261 53304 -28560 -33889 -86600 -44451 -70928 99642 51354 146609 -32487 54971 -59884 101605 56465 -2445 66706 36143 222101 128787 -167822 8437 -67240 -192454 -187634 211897 -93566 -1857 -33588 -30658 -213055 102044 -105023 109260 -60132 78234 167485 -48399 -199435 129114 -42199 -92629 63789 -236041 65522 -168888 110322 -232150 71410 -83360 -109976 -12857 -4483 -42109 -153535 72634 -10597 11727 -51449 224432 65942 -111914 8056 -8263 -31030 60597 -25390 -36079 -18322 32447 12473 91143 -22900 30056 22840 37579 56557 -74402 -84393 60959 -156447 158715 129775 150809 -15646 124416 77575 106164 -49269 57032 -25525 61196 -85278 33712 -21746 7668 163823 119712 -87627 158178 -119135 133894 111209 -78930 162641 -7584 100553 -154166 23549 157264 -101386 40714 -128415 -27759 -246097 -9381 -46917 -36818 7278 -31179 9679 
+-106862 9687 2277 55593 15289 68322 -105674 55424 110482 13264 811 -37179 57327 46712 -106189 90763 12599 -171932 107422 47535 -155577 -147192 28896 -131018 53574 -80472 -19869 10538 -119843 176080 -88047 -61802 -54778 -212347 89927 -27152 -96016 -126271 67096 -29826 17429 -135044 -39577 -969 -127438 2684 42017 -23643 -170057 -28095 129350 -30613 52451 -22969 -41836 -100069 13287 -11316 112771 -44581 19797 31257 153358 139811 20087 75488 147309 -197924 -91979 -30798 53579 -111211 170390 20761 62215 -132868 65414 87262 103779 -182908 63019 10341 -18495 -53726 65249 94972 -122018 -134294 -24901 -7103 37470 84743 144584 15897 -92221 196454 -105311 -61831 -85348 -198426 -37267 -79913 59142 142799 16717 163425 91346 -92570 26914 137555 20457 83469 -188639 -10579 -15615 -56772 -33436 -146269 -77612 5787 52708 -86573 -1368 53682 -75307 719 -56914 -75436 -68192 -132952 56174 60502 147026 -2731 48339 -85192 211391 71511 3040 99756 37117 156552 186483 -187574 2174 -101401 -192778 -107725 80736 -121293 9690 -19366 -39602 -109311 106535 -88608 112136 -78580 88312 127490 -6324 -220629 33793 11700 -78559 119641 -192184 -6058 -144001 132757 -175369 77486 37719 -22689 -2859 -31713 -38454 -51965 51989 -3927 -9796 -54263 126169 109817 -102755 8512 21560 -123043 49793 -21225 -34291 -9253 -43072 30670 61071 -24389 -51177 61221 41085 111152 -88316 -48543 88883 -204633 23003 163257 201405 -148129 140356 122999 -11215 -149013 10507 -39589 82879 -91346 36422 22242 15808 88284 118871 -56488 110086 -87476 118600 97530 -1150 12031 1681 89183 -195103 24570 146311 -64657 2888 -122433 62574 -193700 -58116 -52216 -126236 -158297 65371 -30851 
+-79692 -42928 -35349 142572 -42791 65169 67617 80589 31705 7029 -6715 -51385 57776 143501 -203512 96524 51438 -137205 81256 29747 -129521 -156319 41240 -137236 56726 -78671 -79698 36828 -133643 60846 -15696 -43039 -35327 -214854 79601 119057 -87536 -78744 69346 -18748 -28860 -117372 19576 15834 -88806 -2835 4641 -16123 -220569 -36440 153568 -62508 -39456 -66584 30494 -37099 91868 -11271 109195 -36844 14977 52999 37873 154190 35390 43964 94215 -208265 -71302 -52369 24675 -58290 229612 -29877 72652 87589 72006 119680 115211 -186265 72785 -42781 84328 -47859 30837 49683 -102151 -72009 -50863 27178 6817 82883 142074 -29314 -130027 203124 -65829 -90145 -33547 -256527 -30294 -32195 64460 107469 -26990 124784 109463 33055 -160660 46315 47724 72009 -174673 50670 8575 -38352 -31903 -90159 -10911 -53883 -43529 -6121 1998 123043 -52208 32519 -86533 -78073 -142806 -135546 -34748 75806 136312 -4741 68732 -51977 258270 15743 10866 134587 35499 58882 171574 -92203 11028 -114488 -7342 135698 -43634 -155395 55586 -17221 -36573 64256 102350 -58693 68164 -63016 111752 37979 35747 -217109 -11664 5663 -90609 29882 -111914 1576 -71884 123265 -165958 86938 118826 44423 23980 -34231 -29878 8154 33494 19614 -4215 -85980 47520 -22735 -75630 20039 -36589 -24433 44085 -8290 92405 559 -73454 12758 4698 -20054 -113072 74950 20219 164050 -86913 -24843 91834 -215530 -32832 124135 200893 -181340 97975 126647 -134968 -194464 -46290 -41567 89229 -79946 19471 81941 -1327 15440 -40358 -40407 81596 43211 130382 128366 51847 -80121 -2442 76186 -116117 51792 124806 -113600 -90817 -85753 60759 -192766 -21071 -14296 -125352 -71518 111516 -24259 
+-88127 -150886 -12517 158913 -105764 61155 135974 120584 -143319 22885 4088 -52419 55926 173725 -253858 78978 116286 -83817 33783 15662 -104171 -187818 19117 -71157 56628 -81236 -94077 9792 -130543 -40803 55828 30276 -14368 -199764 87941 172528 -68243 -101363 -25461 -19540 -152328 -98965 92489 95814 -15812 16942 -130050 -42647 -219325 -51059 85039 8874 -125511 -51409 72287 18610 51451 -12484 105754 -558 24128 53543 -103130 81065 55058 12121 -14610 -46190 -72416 123907 10560 -362 190568 34447 100329 118630 68519 125086 106760 -157313 63212 -72329 167598 -28702 62912 -125307 12744 -64854 -10779 30794 -41691 83125 145070 -78859 -109197 197331 -39265 -9015 -12081 -246843 -28161 -61242 83894 21536 -59330 71538 126962 119138 -247626 -18550 25447 76309 -37303 114070 -18770 -61397 -35699 -44588 114113 20047 -92657 -1983 4291 161381 85335 20867 -113342 -83499 -134590 -61473 -63374 70276 115374 21130 63538 -77347 168388 -29072 14117 149335 32701 -23716 210150 -9547 32572 -111164 116493 149027 -105515 -140881 43649 -13312 -25992 125089 104278 -79734 22137 -89544 105362 -15475 54289 -231499 37811 -98211 -34616 -69444 -25676 -50453 6429 94744 -110935 72346 91913 29461 89234 -51563 -31658 67014 6963 -36871 27046 -54385 -30542 -161476 -83272 23846 -76645 98331 24659 15398 209900 -13380 -88344 70609 17151 -23678 -133925 88770 70567 207413 -58311 8247 77952 -183627 9609 129283 192819 -99533 74028 90522 -169903 -189620 -96851 -41275 79609 -62010 35211 145690 -62 -54830 -185049 -39544 50371 172132 121394 129235 25298 -95852 -9789 65919 -21208 35933 94053 -69176 -129549 -59219 9468 -131363 -55091 -16759 -104822 30653 65882 -32191 
+-75705 -137104 -39887 98607 -173149 36760 -21384 127161 -268773 4239 21635 -61813 51038 152249 -271749 39865 138723 -39813 62080 -2076 -72544 -182950 5429 -101115 56972 -83907 -4020 36191 -109808 -95376 106635 75012 -35702 -200479 39501 168058 -51860 -118730 -69381 -11644 -201424 -36385 157144 138426 36459 34888 -197283 5827 -241649 -32358 12112 163637 -157924 -41934 45693 55473 -61525 -17899 97923 30778 47664 50072 -188506 35578 70300 13257 -21268 75752 -66947 221265 -14184 42098 80273 158747 74229 -998 65563 96833 81278 -102409 57778 -76520 166381 -6781 56928 -259817 88145 -43483 6138 30034 -51127 80793 151026 -121338 -30880 182040 13341 116631 -8425 -143394 8429 -105891 49559 -13556 -74560 35154 143760 95051 -148201 -32957 49558 91494 148976 163321 -17260 -36452 -40752 -2729 132573 157251 -98338 37209 13412 203007 155032 -12472 -135090 -79962 -121434 5449 -97471 68597 85134 1975 56970 -77072 85468 -54121 -11248 173053 30926 -52057 172256 30980 57924 -81298 35898 -34702 -22673 -119229 -50588 23626 -8723 -18237 106381 -17641 6205 -99763 85769 -19814 46773 -239672 123465 -174751 -49046 -52291 54263 4723 85130 53186 -78561 -1781 26422 -52621 53856 -41880 -42783 102445 -5409 -42168 -4630 -32471 -38423 -189829 -70049 21865 -115410 130358 27358 42739 142877 7769 -80811 65765 38850 15754 -115747 73747 84431 229184 -38677 27537 75365 -161640 150030 137568 216816 26193 42083 22210 -192404 -66362 -117128 -54792 55496 -54316 19311 198783 30841 -88733 -182795 -63935 -393 135768 125232 98832 -96425 38657 1426 61369 -25508 55961 77054 -68228 -80200 -53151 -36004 -51370 -31159 -48675 -55510 82534 -34849 -44744 
+-82355 -64706 -41017 -45926 -162724 37158 -153004 142794 -170422 10682 17981 -59882 46836 53887 -286025 -3351 123420 14441 -5924 31858 -17793 -174452 -37024 -115936 59776 -60488 87286 26493 -53894 -63702 123833 74968 -3662 -182038 45424 -19680 -45534 -117761 -91324 -33796 -129857 -36279 189608 180731 751 26510 -114143 10377 -171117 -38396 -21373 204012 -150126 -44287 43431 -10891 -163230 -1188 97067 32591 52698 43786 -132306 10415 72059 27950 77405 2524 -68660 99734 26990 49395 -80025 209463 57000 -204890 76426 21504 63641 -45525 25255 -97955 85504 7388 56070 -163734 69162 -41647 12797 26583 -8202 78356 148314 -158782 56753 184361 -23405 188599 -55843 -10237 -11099 -138076 49618 -6118 -68454 -21876 159571 -20721 40789 22865 62666 82799 33631 191618 -17782 -18675 -45028 16700 25450 245092 -82304 67467 42831 218925 105087 -23942 -159225 -109432 -51881 117746 -58347 64318 48151 -10006 33271 -61464 -6399 -51260 -46703 147415 31634 -10862 134057 12973 79571 -29208 -157033 -196909 120012 -87213 -124880 23663 8595 -182432 118086 -4109 -38680 -51587 85306 62956 10823 -253807 170160 -217762 -5407 32165 107166 -37464 134937 32735 -20484 -57824 -85066 -118757 93357 -25894 -34714 68292 -31428 -92591 2926 -70964 -33663 -29713 -56718 23630 -146070 99707 3562 58302 27968 -3885 -52532 60135 97450 -1914 -9893 89461 89976 232576 -3338 7779 51988 -85627 223780 66304 215397 55484 8368 -27357 -51464 40848 -109599 -47701 20891 -62569 36016 240930 6305 -102363 -44755 -98377 -48142 145830 99370 79661 -112264 192853 -11140 65131 -141814 797 61828 -81210 14256 -67309 -55265 -1309 -11221 -50510 -20662 -10252 -112688 -55882 
+-88113 -8551 21330 -61391 -68694 6766 -109314 139895 -13209 25378 -6785 -58296 47128 -54866 -299423 -53580 70511 41125 -15693 49817 4596 -139344 -52963 -97989 61595 -48396 60964 36449 7459 12161 97001 15829 -57910 -177759 57523 -96145 -41791 -99627 -112099 -49770 -8015 -42571 145325 188802 -73694 3246 10762 8057 -104791 -23216 -9832 81936 -99360 -88767 -21096 -84484 -209021 -11653 86181 -8743 63310 3938 15626 65031 62681 66912 164550 -167655 -66235 -25011 54193 20904 -164448 144176 44818 -149205 112936 -42207 5951 47436 -2842 -62334 -16839 16605 88766 29694 24197 -25611 20762 16538 8409 75217 156262 -176853 75042 181526 35960 149300 -90575 93401 -547 -167797 42541 82956 -41378 -54845 174025 -135437 122618 103776 31457 83109 -167010 207165 -4258 -42903 -49299 14822 -77163 187762 -21155 49604 3230 254540 -73890 -25971 -173735 -105677 -66616 126828 -6476 51695 6521 -4636 48551 -73639 -73520 -15653 9273 93525 34159 74887 106288 -36055 89009 30378 -208925 -127298 208359 -44023 -188668 46347 25082 -198677 96201 -36318 -54608 -68378 59854 141625 -37412 -243908 132590 -171879 -7200 115017 142028 -16171 146688 40447 24886 -114942 -137711 -73366 137400 -33786 -34124 16417 -32297 -152074 31979 -32201 4150 90830 -42396 14048 -129846 34157 35554 62889 -74665 -4746 13188 94543 131229 3133 -7662 107448 63881 213674 24805 10672 32045 5149 188857 33313 139092 -72981 6371 -51325 76464 122165 -47470 -40410 -19697 -81535 3541 247149 -15166 -74776 105772 -134550 -67852 -10970 113087 42003 -79731 251522 -10328 75459 -196473 42890 11301 -103262 74227 -112519 26551 42375 2772 -30514 -45886 -105328 -83818 -28299 
+-95685 -34989 -44582 27239 22984 11617 52870 138519 114106 10751 -31905 -40308 47303 -150100 -225890 -114439 17410 59390 2925 45546 40862 -123721 -68411 -57500 62820 -24019 15772 47750 48547 129837 63491 -49488 -50155 -141891 66997 -95371 -42321 -142100 -113480 -33109 33581 -78041 67657 154438 -113070 -3057 40949 65086 -35145 -14615 -68530 -40888 -38700 -37860 -114676 -126515 -166495 -21540 74680 -44812 43974 64154 126478 145673 43731 63773 124448 -223351 -83784 -41312 70469 -31640 -137892 26349 57578 50461 141661 -87492 -39347 101040 -32518 -38616 -82031 15097 42233 83627 -68385 -40101 4202 39937 55521 72110 161343 -164824 39887 172066 -13858 30325 -101856 113165 -6528 -120843 33152 140399 -2652 -75843 187625 -160008 18377 182546 64198 54954 -199688 204680 -20639 -38916 -43032 -15029 -21783 28733 40947 83059 -28617 287681 -59598 -72501 -182914 -79806 -107951 81673 82345 48249 -36085 -5874 41146 -53251 -12956 32854 -6958 62230 36521 165297 85002 -129450 83353 89270 -83425 69856 201343 -37794 -200373 14477 55688 -105782 121074 -32179 -53651 -45490 51936 186783 -72315 -251263 43509 -57676 -4499 57114 141737 -17755 118119 68354 32714 -141913 -135386 1390 122569 1715 -32896 -63814 -53547 -190323 4081 -33293 115467 63189 -36791 -11172 -51443 -74032 19649 62487 32524 -4118 11551 121528 140169 26105 21103 114507 115951 172225 78302 -3765 8492 83636 46132 30804 113335 -169417 -74870 -49251 158558 173293 17462 -39151 -59482 -90641 31888 235428 -2295 -12658 112487 -153950 -102840 -108369 97669 63833 14366 183299 -16109 87994 -94460 13984 3185 -97349 -41724 -121116 84541 62407 61764 -46110 -106729 -108853 22569 -63199 
+-88353 -119067 -12647 167104 -27805 10948 129468 119141 22812 22685 -8530 -39901 52119 -183601 -182663 -152530 -24864 72617 32352 14751 68342 -112554 -38621 -68814 61363 -3945 -84002 28516 101866 219437 -13162 -43717 -20854 -123537 65842 66325 -71351 -156038 -61555 -40529 -96459 -107483 -9199 94930 -63876 16213 -96015 53165 70567 12965 -62069 -84057 70478 -47538 -141078 -101148 -36870 3509 71068 -47444 20994 34205 138618 149918 26759 23118 35549 -84122 -83194 79127 104681 -86390 11605 -33895 90995 77995 151213 -97029 -58624 162369 -69301 885 -46498 -1746 32286 -26287 -145007 -33463 -31722 32329 25582 69767 154959 -161476 -45762 176029 18274 -74820 -121371 55146 -1647 -66165 48247 113257 40757 -88112 199152 -78028 -169334 204606 2116 86660 -22761 172077 -25835 -26934 -46164 -56558 108019 -62440 133477 69719 -4564 268854 49771 -76446 -193538 -146019 -154546 -38240 125201 43175 -77458 -30416 42913 -27228 65718 56521 731 15420 36849 224906 -22214 -187513 64672 152766 82854 175256 35230 -61182 -178977 13560 74236 57415 104432 -79286 -15976 -61077 38623 145885 -88173 -258753 -13589 25190 -37442 -23882 90756 -19691 44857 114192 62456 -140601 -43518 51742 115628 -626 -30820 -136666 -63350 -168398 18940 -57562 143394 -98666 -42359 -15024 376 -88478 3589 45354 170351 11622 47456 112421 99693 24573 -18092 107674 90236 112365 128145 -46675 -4905 128290 -19835 -6176 52532 -136734 -71035 14507 125111 137851 67016 -19843 -93395 -81792 49676 202047 41605 72894 -13462 -156818 -106599 -115094 64197 97374 49168 11034 -14142 99718 -38787 21318 -39150 -80304 -135808 -107825 21544 88197 67137 -18324 -113253 -6656 95255 -63291 
+-105681 -138441 17447 183331 -87853 -13892 -5558 108494 -174546 -2842 5706 -47027 55124 -157898 -83378 -185971 -32576 53603 38876 28502 90736 -85184 -6724 -106842 58983 35886 -38623 19084 134480 265793 -93075 17672 -13524 -99520 66017 196432 -81280 -129243 -32355 -15805 -198582 -145882 -76388 32625 -20412 34687 -184752 39408 94498 38988 -27956 63510 144839 -60368 -214297 -57857 52658 -44696 66885 -13802 15537 51686 29143 94279 15376 -40529 -26465 68495 -96747 216387 131841 -131189 126901 34757 92705 -33631 110332 -48408 -39161 183065 -116693 -16085 40549 -26300 42367 -216074 -121195 -7431 -21424 23007 -41352 72591 147463 -127573 -113385 161016 -16547 -83073 -108746 -50497 -38662 -35090 37130 39557 78723 -88987 209174 48065 -247230 148308 15129 43315 128616 126267 -17625 -23111 -42123 -107639 137722 -10473 204838 72483 7519 278639 132714 -45913 -193162 -113866 -137679 -114631 119590 49994 -114583 -39663 80041 -47466 205016 59621 -16507 32684 34763 231043 -90437 -157109 37178 196729 92382 43151 -43465 -67416 -93742 24428 79970 117368 110959 -76984 34283 -64121 30693 68113 -86365 -233311 28628 -27255 7928 -69881 8518 -19884 -35133 126264 76008 -107411 60569 23118 155328 398 -32586 -197077 -67327 -150184 11474 -69729 184163 -189312 -20044 -16806 -7556 14612 -8434 26554 190328 -3902 89429 136148 50360 30125 -113568 57923 83317 66739 167506 -72772 -48861 149700 -6493 44339 34353 -37131 -101850 78039 84718 38513 82900 -50356 -115005 -62927 41724 149959 5836 150709 -172862 -136946 -105667 44348 15594 141198 -22506 -92068 -21525 107515 -7505 20259 -59020 -112781 -133321 -72399 -44939 116395 63420 -41416 -93088 77981 96688 -63133 
+-56533 -98412 -16568 61911 -191971 -9013 -147069 48488 -284385 -9017 41478 -57602 57538 -79530 -18261 -224723 -3443 21412 82000 41172 96860 -52230 41161 -69655 63710 49186 21404 14471 149082 222892 -179340 80949 -51172 -71267 78384 168482 -84093 -93454 29613 8225 -159300 -162504 -83057 -8878 20993 27131 -158940 61664 102563 60904 5111 159312 209585 -42480 -264474 -5080 85481 -22942 58647 27297 17486 38626 -121903 25888 18713 -2169 48150 41469 -98003 130348 103321 -139293 225615 148765 87083 -198566 96745 6348 -28568 195950 -155218 7322 141210 -46085 38371 -248049 -72018 -35792 -7913 26199 -54277 74588 158819 -93704 -133076 160563 -39207 12228 -70589 -186153 10438 -82911 29421 -24341 97574 -80736 217775 121507 -139242 69805 -46655 60170 30342 74429 -12152 -9356 -43697 -153577 14649 138886 242071 58622 -3429 245716 85715 -45102 -188892 -153286 -22804 -143484 97475 56004 -144614 -63196 49892 -25821 238121 5708 -8569 32035 32061 171868 -37016 -91062 15257 219609 -88095 -170981 -86632 -104165 8617 42359 89740 -13679 107358 -80443 83711 -62726 91333 -15340 -49467 -228550 117547 -55429 11806 -2638 -95241 -18100 -107688 120355 46270 -27750 107814 -80085 175981 -11378 -35741 -208324 -72014 -94610 7328 -49103 208391 -131173 -23257 33949 -35076 97217 11818 -3383 54278 -1188 78981 120788 29201 40039 -159457 40309 83720 10120 189883 -94393 -70524 130760 117630 27339 -22615 53470 -125514 116200 -2650 -77911 64278 -44640 -121652 -56230 34525 82901 11049 205634 -171020 -102097 -25725 136348 43873 107400 -89518 -88573 -33440 106672 -63033 3738 -76013 -92497 1604 -51143 -32395 79496 65310 -48448 -58291 39048 28926 -84024 
+-63318 -8261 -33365 -37709 -175559 -24769 -124748 44538 -184172 10915 17880 -60080 55416 36812 37785 -227529 46663 -11552 56718 55811 86241 -25463 52379 -106002 63240 77989 78403 41287 171773 124726 -213170 106825 -34240 -37347 54604 18546 -91590 -117503 32984 -15483 -56214 -120720 -28685 3570 -13016 3840 -15499 35877 83603 65280 44230 177714 213168 -53407 -241017 42869 -11226 -10035 53313 36374 29358 21059 -186396 28560 34626 45402 160658 -139291 -114017 -56206 93744 -108160 231780 209044 62583 -165982 85808 69416 5418 144433 -191251 -12157 185643 -49303 53346 -89669 23575 -34206 7648 28224 2554 76502 156320 -63098 -71346 141128 -72517 135571 -38463 -254961 -26993 -132936 49422 -4701 95874 -56664 224431 83852 48729 -15150 -65350 44773 -137665 12179 -13232 -48855 -46892 -189691 -76639 216180 289964 37835 29786 259719 -27640 1317 -180103 -130450 -68275 -97061 42828 67643 -165827 -62228 57124 -16435 213801 -34757 -14594 55683 30841 78199 -71689 -9867 3219 212647 -219968 -166411 -1373 -142095 66256 -9994 78268 -163078 97340 -40563 122014 -67263 53009 -26226 -5845 -227511 175043 -142965 -50612 129876 -164123 -14870 -163981 79214 22049 30605 62692 -119003 176212 -11847 -33208 -208849 -84077 1599 3933 -1215 139416 58398 -14173 26410 -88500 174849 9086 -14556 -54060 -7638 70042 96803 26451 30654 -119629 34337 118214 -21380 237573 -143814 -88051 119335 220774 89900 -66336 -17877 -115599 142273 -150684 -138504 2046 -41907 -112686 -62262 20938 22477 18771 231445 -52627 -63730 22627 188312 60144 153744 -83787 37424 -34525 98792 -166652 -4759 -117026 -105884 52094 -64603 28487 39979 54072 -35510 -49588 -89155 -67594 -51340 
+-77923 -25657 -30782 -61418 -53482 -19202 48817 3503 -22834 -23042 -2399 -54192 53081 128718 66002 -223451 96584 -45657 36561 56628 82200 7984 47915 -114249 64567 82747 99438 -4956 157421 11252 -234437 -7153 -25317 -16279 53989 -122578 -101790 -87595 90632 -5104 23086 -95868 50826 35170 -84528 -3281 61449 3661 51361 111560 87559 49616 182886 -47789 -193416 7658 -134882 26870 51413 547 44092 15178 -131508 68095 54141 69797 162826 -227500 -123305 -74838 79567 -60015 88881 150055 40080 32575 76255 115996 30361 91030 -202791 -36906 121402 -35440 61370 69999 99973 -39714 30312 34815 39790 78581 157452 -3799 25660 162863 -71718 189722 -20888 -251358 -2629 -144723 65266 81745 74266 -18871 228853 -37121 122526 -41011 -111085 41673 -225872 -47936 -25703 -24926 -33254 -200268 -22736 202741 247817 -27704 47936 152984 -77970 23115 -161746 -126744 -95390 -7780 -53865 57404 -176450 -83322 78230 -45943 129656 -48012 712 94977 32115 -13221 -56546 25387 4845 196249 -153865 46049 114405 -161886 42431 -2230 62009 -243593 97432 -432 101192 -82479 86186 35310 32078 -220089 136183 -229208 -66115 85720 -211963 47651 -160594 49197 11290 62938 -13695 -63725 198866 -45629 -31794 -128103 -70687 -498 -1682 -93597 20027 92522 -6901 -41753 -131454 64257 -6299 -26819 -50369 -13373 -23275 142239 52306 48607 -45812 8424 70328 -33097 236438 -115415 -97239 10998 224565 103449 -103323 -137899 -145595 80501 -157773 -189779 -70790 -69915 -89187 -80617 23554 -24264 22723 209468 108351 -38053 64908 148653 13183 83859 -42297 188848 -38376 86300 -176193 -6246 -78086 -73379 -18494 -96368 79961 28117 969 -23177 -36000 -96352 -107690 -53269 
+-90616 -115892 -45376 28713 19861 10700 116298 13859 85781 18804 572 -46516 51275 174237 116008 -218152 142405 -100065 43960 44024 55426 25958 28366 -100424 63437 108328 -11897 20337 113821 -72848 -203997 -41872 -65850 31 76682 -73294 -86424 -105803 59116 -16659 -26507 -67840 130596 105611 -128972 15484 -26878 -5040 -45132 126288 139357 -84808 114049 -73220 -135714 -81016 -205138 -5947 43511 -38566 70228 3533 14372 141856 69095 39292 51695 -126221 -127250 108044 46503 -3864 -34748 32845 79585 114242 72519 129850 72187 23288 -229700 -71400 16756 -33716 77238 54507 67441 -72925 16874 45766 31702 81297 160838 28857 77655 148227 -104565 132333 -31449 -155850 -4143 -142637 42312 133180 36490 25885 231776 -142939 11118 -5875 -130770 75532 -25066 -92680 -2188 843 -28102 -184566 105590 41988 226443 -67258 13819 145950 -18990 -6968 -141609 -119383 -133857 74815 -81345 62171 -175732 -111831 57571 -42448 10736 -41985 5866 122904 34896 -48930 -27925 22472 21828 151322 42572 146104 213905 -117723 23408 -4219 43317 -59651 91991 -1059 85392 -91846 68906 104178 48824 -226476 47241 -160368 -46318 -25869 -249854 25776 -132099 33029 -38993 105537 -139425 28107 214750 -48728 -30080 -65577 -59319 28077 15908 -85332 -53104 -6038 12841 -28653 -126688 -5556 -12780 -20600 114749 -14454 -62655 110238 98713 49189 40339 33160 47780 -37062 258494 -119074 -100947 -20524 95197 141398 -96438 -162410 -112998 12194 -119385 -202727 -115292 -79890 -53950 -92183 52361 -48820 38239 164210 119293 -33732 121367 47733 23528 88554 29065 245747 -41282 73929 -64066 -11027 -118195 -96733 -80386 -122017 28340 4353 -37746 -26640 -98610 16681 -40309 -94742 
+-78762 -134509 -30568 159180 -25245 -9091 11678 5484 -2270 -14529 1490 -36250 46578 158405 77972 -172753 121674 -161197 32965 25086 33454 85083 -8755 -51754 64906 93296 -84099 12002 49031 -91612 -149574 -31810 -24317 23302 74189 28319 -83339 -132919 5531 -21465 -167081 -52013 186022 156532 -68402 34465 -166939 -11513 -120320 119738 174066 -54738 14645 -47613 -104022 -137417 -190819 8427 47540 -48795 50959 50370 129011 127304 73916 -5694 -9965 45241 -132076 231302 31735 41656 -123339 -25123 69532 -1206 33844 96330 117404 -51705 -224729 -98746 -66535 -10028 76207 -114355 -9329 -75077 -21350 -1570 17244 80664 149472 76908 55256 154907 -75139 8192 -49031 -45502 -9822 -101290 60463 120779 -6339 61824 232424 -157632 -175814 74484 -161576 70713 112474 -114113 279 -48835 -30974 -145498 147434 -64488 120622 -125705 -237 82124 140193 2881 -115172 -111337 -140878 131248 -90552 63904 -164156 -133809 52663 -29361 -40573 12598 -14324 129799 36870 -31671 74164 -54420 48022 91465 120421 84628 167536 -75712 -25075 -26004 17340 72918 85515 -33671 39131 -68297 91981 170974 43406 -197959 -10306 -97323 -60395 -69729 -246779 62927 -58647 49186 -89881 82851 -171664 54690 212355 -58856 -36715 22433 -41434 -21784 24360 -69313 -71536 -184863 15787 3853 -77872 -42649 -20195 -8435 196157 -14288 -67748 110906 136280 67109 12647 -20187 73607 -3318 244129 -105501 -96290 -119894 -22219 132473 -125723 -74940 -111002 -45327 12746 -81514 -124334 -11072 -13835 -83601 19994 -52700 54082 83675 -23812 -49015 186507 -116455 14709 69396 20822 202815 -46745 63499 -24315 -22505 -103106 -110882 -132852 -113292 -12471 -77418 -38592 -62766 -101971 43124 41247 -80045 
+-75432 -99371 10752 168465 -138012 5976 -160931 -35526 -155086 17691 18594 -40886 46875 63966 58909 -119207 81620 -187495 -24616 19241 -13204 108063 -31056 -114584 66450 80160 -93560 8734 14622 -46370 -76862 22410 -34566 37114 90361 146898 -75515 -116911 -7676 -76527 -214388 -29617 173605 191515 55 27701 -186619 -21504 -185713 143525 150933 80973 -74840 -44708 -7511 -92435 -80868 -38225 41834 -16760 47449 55836 131525 87197 62116 -8201 -11832 67366 -129602 147096 10653 52351 -127893 30283 89889 -120955 71154 20049 129615 -117055 -230434 -97071 -72944 6254 13030 -245753 -98251 -71381 -37694 22072 -26475 82560 154757 77267 -6520 137432 -61828 -84550 -74780 82499 -16891 -28156 47867 39072 -45479 115398 231062 -62795 -246793 166946 -160281 93867 31390 -127260 738 -58679 -25730 -96295 29973 -22627 58969 -146447 -9772 77553 105518 -14580 -92835 -107591 -113783 97588 -46420 61918 -142059 -137661 52324 -43535 -67636 41957 -11993 167789 36621 48810 120141 -128310 71439 28660 -3812 -115871 59626 -51568 -92924 -57905 -5724 125867 89365 -60979 11675 -85270 84787 133714 14724 -183791 21931 1331 -68580 2210 -199711 46139 23782 75272 -148317 64469 -104864 -32925 206315 -22527 -26280 61815 -19596 -49850 14326 -50912 -35129 -185690 26770 31969 -29247 -58910 -6534 15205 138402 -15046 -76932 90520 143143 68721 24326 -53732 50503 38616 214236 -70944 -62240 -157277 -38435 141591 -123700 28279 -87358 -61971 72185 9630 -83074 -381 26297 -63970 18981 -26009 19880 7351 -171618 -79800 149780 -123097 -11925 71214 -77133 54952 -51412 61187 -28884 -1396 -66813 -98716 -55457 -88868 -55542 -133455 -55488 -45946 -101552 -13525 107314 -46526 
+-80747 -27357 -12930 70054 -194214 29229 -136334 -19126 -249295 24136 30934 -49186 49041 -42117 33764 -74586 3966 -220572 -6031 47227 -48671 133747 -57303 -81560 69494 58199 15060 -10403 -24523 62124 5454 34383 -28502 63056 70569 185414 -63235 -118561 -73300 -32191 -121417 -52862 123375 183220 19544 4416 -91576 -15523 -251331 137798 115930 227007 -142379 -54179 24402 -73054 23486 -45114 36282 10891 22752 52100 2516 35113 44788 21601 116261 -104269 -119655 -30332 18380 26997 -45284 151285 78550 -181680 49443 -37183 107217 -163989 -209687 -65878 7859 28747 12144 -192328 -129640 -69622 -36011 29219 -52165 83603 144712 76902 -102619 132767 -30648 -72713 -96473 118430 -25189 -58207 64170 -23824 -69723 153635 227571 59852 -129932 200777 -147123 88566 -159838 -119791 1448 -31165 -27179 -48286 -65918 107787 -1949 -167128 16808 3576 10095 -26539 -71500 -78761 -50552 19725 16403 54159 -111177 -159472 78141 -55059 14937 54950 -36585 161635 34247 136302 150259 -200096 87774 -22034 -188198 -207768 -99454 -26223 -135492 -82304 -23209 13976 88817 -53318 -38008 -86271 72442 76835 -29212 -174896 111641 -9159 -94262 94341 -131071 79702 97574 115040 -188129 -25801 8395 -109666 238922 -1576 -33325 94860 -5397 -94781 -7955 -43041 15854 -31500 14973 14784 -2200 45849 -18704 40780 -28379 -7656 -44996 99052 93830 66660 -88720 -17818 31916 84023 197861 -54721 -66877 -187565 73504 117643 -83982 40963 -41512 -38110 130361 110533 -28058 -19914 60149 -56552 49374 19809 31015 -58255 -187827 -123168 146235 498 -53355 83084 -95851 -105973 -55720 66585 -160332 -37539 -66939 -103580 -1107 -55736 18648 -170776 -58327 -25246 -24116 -92459 86680 -50755 
+-92994 -28541 -20242 -25447 -134269 44865 31910 2939 -189864 -291 19270 -61820 54567 -141775 -60029 -19017 -22416 -223924 5564 40560 -81434 123385 -54636 -98022 69060 42027 108878 -9978 -68204 173703 69962 100471 -45936 81603 62738 69822 -58021 -114413 -119603 -34149 8011 -74131 45821 129879 -15126 -3507 50163 -37042 -257976 143109 52013 156845 -174728 -51134 60733 -10249 97341 -44163 40434 36820 14760 17952 -137106 10966 27365 94744 157365 -221848 -115121 -80154 38036 -27842 101304 208850 70228 -6008 63653 -82837 106124 -163953 -181039 -18689 105393 22207 16451 -18717 -103980 -127813 -24551 8490 -36288 83928 136006 72070 -134315 145662 -723 34234 -124869 54338 -6428 -102951 61951 -10456 -73433 196399 222550 122845 54211 177488 -184104 83835 -182819 -80211 -14377 -49548 -21209 -2980 -17195 237336 -73414 -250687 -5398 -60234 -97020 -44340 -38961 -80786 -96632 -97176 99789 53114 -74116 -154920 93936 -58036 133410 32450 -14992 118173 31578 219944 151198 -155137 87400 -79082 -198558 -42514 -37051 -47059 -202298 -92934 -41408 -149479 83322 -81399 -36777 -70660 56563 17904 -70399 -154655 175482 -33129 -111461 120369 -57487 41673 140305 131875 -204946 -81455 108273 -112860 233035 -4952 -24428 56969 22576 -149444 -4362 -35270 113403 93820 5018 20726 13942 154756 -11797 57905 -40242 -3392 -43533 97744 49810 77787 -168455 -69376 41105 133298 133880 -61377 -17567 -211887 188629 73278 -67639 -73438 -22404 24932 99976 162898 44345 -54184 82009 -62495 31164 79761 41513 -95898 -43305 -155006 93012 107513 -36941 102861 -98135 -94414 -50822 77348 -154234 20182 -26514 -103699 88284 -51556 68563 -216390 -11554 -39183 -48950 -115723 -30509 -19817 
+-85476 -105194 6378 -68496 -46766 56553 126980 29621 -39675 18434 -22092 -65286 58107 -193524 -136580 28799 -22374 -221936 38066 48474 -119410 133245 -28128 -100174 71417 14841 59532 -15771 -118971 253335 108021 72486 -43028 89560 59605 -75137 -50310 -115063 -106189 -5724 23393 -138789 -33737 80575 -81826 14802 9275 -7783 -225810 130622 67696 14134 -131012 -48385 54075 35961 47617 -48050 41348 14279 16035 28763 -187279 62621 15326 66399 102689 -162366 -110508 109006 64753 -90033 214511 143210 55882 108542 128612 -89047 71227 -174404 -141999 12020 176043 -5034 59931 92549 -33049 -129186 -5595 9469 36090 81178 135478 43664 -97770 144511 19560 152068 -121883 -42780 -26803 -154596 75189 71619 -57253 206040 215287 68950 121176 92794 -158941 89108 16810 -34092 -8498 -92413 -20401 17615 103412 206944 -86307 -244947 12134 -45653 -10302 -53924 -19740 -76605 -125723 -115094 145195 49414 -32131 -170169 62817 -38249 234598 -4891 -26881 71379 30970 241959 185736 -100566 72625 -108172 -30053 140130 40916 -65571 -173954 -107513 -38739 -233058 100351 -67518 -50666 -62048 65565 -12086 -96298 -142827 143472 -120992 -106733 -5213 47542 84268 146237 121981 -230493 -132211 105119 -23104 237622 -2416 -30387 4392 44860 -192440 25808 -31964 158348 72152 18123 -4054 -61664 146569 -5494 66281 64197 -23007 24862 28265 10501 67924 -155791 -127136 56315 194043 95789 21309 -2514 -190839 229820 28500 2167 -171759 -323 62808 1477 169921 71032 -72021 89354 -81410 44337 141646 52311 -107833 123161 -159348 45710 155037 -42769 133196 -7657 7995 -45989 91520 -105303 -10664 -14620 -88427 -43959 -70714 27812 -254708 17584 -27658 -75709 35038 -91794 -41258 
+-76095 -166862 -15542 24100 -7563 67974 23842 57404 107890 27415 -5032 -57612 56686 -164714 -156860 59499 3043 -202044 65948 50852 -154057 130558 18059 -96314 69786 -6994 -31825 -31390 -115166 254773 123414 1430 -31410 99035 65985 -127550 -54236 -92280 -115027 4690 -85800 -136265 -86243 20184 -116461 34237 -103433 -24347 -154412 113509 -24116 -97209 -67389 -37316 17953 5592 -77981 -4433 40575 -29671 21216 38375 -107191 135070 18448 -18725 2049 11368 -100698 201251 109283 -133734 224308 26411 43250 52360 124786 -42780 20464 -147073 -112851 41013 152371 -28040 53827 -3096 73445 -158107 19481 -25863 60958 76641 126000 1763 -16215 136365 41666 188018 -68123 -174554 15099 -159344 84503 130384 -20837 220327 206439 -51848 -105 8873 -148213 71870 91048 21508 -16045 -67649 -20834 14962 134075 66081 -99533 -273794 8815 -108978 95766 -54921 -7747 -74869 -143593 -126026 138672 46663 10712 -172500 50020 -46340 245567 -37896 -2718 29671 32694 193504 191989 -41279 48694 -109747 113787 148759 181185 -107865 -101263 -125332 -37736 -109573 68805 -25242 -32018 -72230 7213 41114 -87387 -116673 51388 -208727 -122621 -51908 110458 101965 101272 93258 -219036 -151564 46712 47386 264425 -11898 -29509 -65894 59220 -193767 20824 -31981 216890 -117330 -810 -2476 -90415 59411 14668 61592 182000 -10643 44531 65857 15162 98938 -77891 -86175 28437 230115 65288 9958 25338 -85510 136803 1506 20227 -126139 26605 123387 -74924 78379 83470 -73938 80595 -91600 43533 194883 47430 -59396 120520 -143757 -16933 187329 -61356 136058 29436 158505 -50321 103441 -27704 16255 9638 -117588 -103334 -114201 -50907 -295829 21268 -19931 -112473 54392 -105395 -28110 
+-79794 -107373 -40473 159194 -35456 71194 -145759 97438 18890 9030 17928 -44330 54481 -89347 -235696 81472 42928 -165248 62499 9799 -163606 129390 43730 -96161 75810 -34179 -82686 -6097 -106676 190695 96690 -27807 -15710 94790 82399 -52774 -65560 -82023 -72796 12919 -200582 -145103 -64820 -8221 -87097 28256 -200647 -2633 -79484 98856 -39484 -31238 -1099 -53102 -37765 -57189 -184491 -13983 41101 -46851 42096 37927 46795 121898 32412 7463 -1269 68480 -88903 149389 94417 -139372 154652 -28117 51859 -132631 119583 13718 -2185 -77197 -60536 21285 59645 -48812 69647 -167223 90258 -145411 25599 21057 -12460 75868 128686 -64584 63009 135300 32832 113144 -60098 -245474 -666 -129337 91863 127651 20896 210698 195594 -154758 -185066 -32192 -84081 82248 5806 77824 -1614 -50442 -17245 -6371 36595 -53300 -50643 -229303 18732 -97736 144036 -89416 9378 -64123 -75701 -75640 61233 46802 51559 -172252 60399 -65423 207364 -49742 -13610 -14280 35573 104362 159132 21091 23422 -98504 56625 -94364 183618 -123373 -5440 -172186 -14877 81585 75340 -10140 34528 -56799 61543 150666 -54660 -89617 -7242 -174965 -144828 -16002 131711 116132 40260 48862 -202847 -125713 -75376 30767 251244 -27122 -23300 -159193 71006 -167583 6051 -17673 215362 -201304 3556 8031 -127944 -39939 26307 40518 151969 -6435 49144 20129 60037 77533 -10735 -115987 30487 235212 -4137 13732 49232 -31025 6310 27883 53568 -12880 85870 111402 -180605 -32101 39209 -79379 57233 -84254 -2338 238340 39681 -1122 -17361 -119037 -75756 75795 -65602 146119 15049 215129 -49763 107749 -5030 -7705 50119 -102138 -79818 -118534 -62264 -287655 28094 -30406 -105530 34988 -10988 -16588 
+-92079 -23521 -8072 183414 -132774 61771 -140987 124653 -155760 19009 28888 -41631 49434 27036 -254272 93136 84906 -122693 70934 10187 -193312 116278 48121 -108464 74880 -59280 -58350 -63585 -94262 79172 30947 -55588 -6274 100498 84481 135135 -76744 -74257 -12362 -56353 -179450 -111871 -8129 17868 -1432 4966 -110416 20941 5242 68844 -60917 110153 94416 -29285 -116693 -116133 -206179 -11278 46076 -29089 57643 35538 139046 66652 52974 -2131 89208 -62008 -71345 -33040 112773 -113657 25285 28965 84098 -168815 113312 88930 -57305 -11107 -29122 6164 -42901 -44661 53232 -259337 43344 -168821 -17348 -12916 -64997 75716 122167 -108841 82029 114659 24499 -12837 -35089 -252449 -24493 -80125 79868 40950 62337 203004 183569 -151946 -243123 -21474 -62678 56819 -141032 136374 -11275 -48832 -20078 -52813 -67430 -35896 27496 -215006 -12879 -78424 12983 -45731 17120 -57305 -45665 45481 -27115 60887 88313 -153093 42746 -74349 76010 -21167 7831 14829 37042 9856 128620 9776 5346 -64991 -132808 -181867 94773 -152465 15395 -164601 -3898 132868 82435 -11895 68217 -86363 20325 173998 -11722 -86892 18004 -115503 -151764 77532 138596 100993 -52490 30650 -183577 -81713 -160700 -67936 251676 -42074 -30260 -208195 90402 -102110 -661 -82039 82427 -117857 -6262 45963 -116007 -49894 7380 20163 49995 -11048 108481 32627 105435 96306 27264 -147085 18476 224135 -23112 12174 84290 26138 -32417 57496 91517 39841 75022 81563 -143092 -97128 -16064 -52325 22516 -67829 80696 251633 25069 75027 -178597 -87963 -113764 -33290 -67879 105595 -56850 201652 -41287 104705 -95649 26324 73736 -135960 9542 -110910 19318 -302044 64505 -13475 -63658 -64851 80641 -6546 
+-83591 -14805 -13442 80451 -183510 58479 18967 142986 -290577 22314 29144 -41318 47077 119452 -331705 94968 144413 -72348 62988 45599 -187002 88914 24468 -79954 71465 -80578 19118 -27534 -39151 -25711 -48663 34093 -24080 95160 88670 203342 -78661 -94184 66248 -33938 -63253 -108038 79511 60182 5524 -3684 9257 61029 46467 34032 -58827 193446 178019 -58873 -166348 -118814 -142362 -22732 54718 10514 54386 33124 114614 12104 68684 37638 164439 -213233 -86699 -58045 112192 -59176 -121965 157182 78815 -40707 107760 136376 -39874 67674 13088 -37240 -89464 -45887 35862 -129826 -41093 -164070 -24442 4025 -46736 72638 114617 -144686 18776 98993 11404 -91002 -24284 -174869 2831 -44511 67599 -22355 90131 160810 169754 -46281 -121362 55529 -48641 56916 -210541 177378 10845 -83839 -16806 -99912 -33546 87632 114550 -181395 13293 -53072 -92276 2021 12289 -88327 -57453 118389 -40501 60422 117660 -137140 76581 -64203 -28204 27501 5565 62896 36111 -46187 86686 -51090 3131 -11554 -218091 -90527 -17265 -138555 64537 -172448 32007 51995 93100 7007 110511 -66507 30928 150577 28730 -66112 111742 -15266 -132155 112051 100142 148502 -120859 42849 -143430 -34745 -204625 -127267 256428 -36469 -27829 -213794 92312 -60976 -5471 -33556 507 62660 -8375 42384 -61470 -10574 6600 -816 -84562 -2759 53744 26716 150478 104653 3319 -182351 63542 185515 -50985 -24379 81034 126670 37764 45267 119588 -18665 133077 25544 -62771 -156626 -77189 -30852 -17948 -54709 20394 237227 47012 158001 -186220 -47984 -116569 -115902 -114463 60043 -100206 61808 -43407 95682 -174642 7507 132752 -101878 26806 -80521 71398 -245160 65766 -15135 -55096 -108014 104421 36662 
+-81270 -63463 -45553 -51286 -162125 55029 119230 145347 -198463 42264 13640 -52021 46333 183605 -294258 51341 110145 -9899 34916 67741 -196095 31804 33775 -98139 76907 -92167 99773 -20060 -23539 -92317 -115519 107528 -49723 88723 81417 118695 -103583 -52768 63520 -51966 30387 -39462 148780 123809 -15208 14091 33879 51772 98280 5626 -62857 121276 209748 -69620 -217555 -47352 -22541 13536 49214 35576 42596 22276 -4901 4539 74591 56796 117736 -189434 -68176 89601 98662 813 -161713 209327 84261 85176 97758 127722 -56189 116177 56508 -79379 -23374 -23236 20310 59060 -122427 -128993 -40393 -7940 1716 73782 121274 -167764 -71365 114795 -66421 -59350 -28646 -34691 -12427 -69198 69065 -6723 100142 115147 154660 78423 61169 146004 -6272 55682 -22400 201031 -14008 -109015 -17850 -152800 101804 234892 197621 -136870 9263 -43474 -32140 -5859 13575 -76417 -101963 109067 -78508 54483 137670 -138244 47041 -53993 -60014 61498 -11222 72849 33409 -37851 5083 -142848 14898 50233 -107522 104800 -99605 -98784 43529 -188039 48949 -170431 74699 -51418 107262 -77556 43230 102254 51831 -38747 172690 29842 -101073 35251 15820 116202 -160726 81283 -122018 35448 -100351 -88378 213897 -62248 -28274 -185325 88151 -3677 -7208 -64487 -78224 91203 -13297 -460 -10208 87884 18803 -18838 -12524 -3252 9845 12873 131987 92219 -76836 -157880 65594 137848 -84529 -38305 88625 165753 184499 98145 170580 -126566 136118 -28772 24815 -193164 -112722 -33503 -58167 -62498 41661 201303 43920 205204 -50642 -34640 -107850 -44766 -64345 71444 -61629 -81123 -30200 83335 -179745 19231 120259 -153010 29677 -44438 57814 -213833 46900 -39466 -44527 -23257 43990 31097 
+-84857 -143688 -2631 -53941 -64622 32453 35762 136894 -14472 39240 -19122 -62886 50212 153787 -239765 19675 58933 15868 12866 46186 -189109 29787 -31449 -70988 75131 -75232 69045 -37367 46391 -77717 -182078 50730 -22412 74112 65309 -21383 -106072 -29964 76336 -57797 -8314 -20304 182669 171625 -86619 33968 -50258 50770 140791 -16724 -17437 -23834 187471 -47593 -237704 8652 71193 -17662 65549 23343 31610 65503 -153075 85401 65649 47892 10307 -15112 -61866 218110 36593 40035 -75457 146368 54255 74497 67442 68970 -26786 159570 48931 -92376 73664 -3310 41183 64117 -114483 -159664 -16988 11175 38643 73750 107377 -183777 -124319 107453 -46036 56963 -72641 63431 -17483 -117654 61626 60714 85942 74684 138750 123469 118885 201302 13711 56328 80854 208007 -15108 -115218 -21446 -192492 145990 232836 279297 -86742 34784 -18739 90074 8528 -4 -64720 -177193 37618 -94061 66152 147572 -122297 46635 -71879 -19924 61454 3010 97704 31226 23840 -45446 -180019 37136 114620 75413 160800 -86043 -87858 -38483 -187916 65084 -201229 90699 -101903 95490 -73799 92816 6243 43319 -18002 147300 11096 -112433 -77516 -57171 157525 -163228 113954 -59524 80693 38142 3067 236776 -26772 -21319 -137509 89083 14264 31151 -36452 -78988 -25875 -25826 3906 3484 116080 24410 -27482 140956 -13022 13361 4487 70605 98347 -137097 -191197 50897 85767 -99963 -65088 101152 170086 238121 99077 206273 -165492 129006 -52072 118235 -103372 -113646 -7892 -92012 -79786 48030 149225 35762 224988 110301 -44788 -91141 56597 -111822 36117 19219 -116540 -28196 70102 -35072 25668 140141 -119445 -90018 -55320 -9848 -201031 41312 -18367 -68619 39393 -48961 13067 
+-69005 -159795 -11249 24497 40301 40717 -131709 141211 82180 35138 -1922 -61147 49518 77957 -164545 -39668 9089 61757 -7290 26320 -164901 -19228 -70682 -126440 72981 -86526 4482 -16293 109866 632 -221269 7987 -15963 59174 67431 -115427 -91987 -36846 40127 -20233 -140087 -38304 172517 183219 -124384 28791 -180845 55001 67095 -25666 4314 -64059 152167 -52519 -241190 39396 78441 -12033 71390 -17672 18081 31307 -181677 116813 46503 -13212 -12300 79332 -75424 153089 -28701 51063 48774 23463 71373 -97083 27220 -5636 -7841 185077 84408 -105414 160503 14369 42368 -80681 -78619 -146745 6966 -18629 28656 74160 88460 -198993 -110722 97695 -82932 166086 -117544 110526 -33732 -151278 67832 126669 55640 16365 121882 63621 -8205 183386 6256 72555 46889 192494 -13596 -103054 -26839 -193733 33357 94831 286291 -26164 -1598 13580 139521 407 -13037 -103271 -106218 -45793 -18511 63293 145708 -110532 60371 -60444 80173 29921 -7470 164757 31129 119122 -63580 -176732 62994 167671 104450 -3614 108389 -55671 -137196 -215088 87199 -69535 92242 -83898 66182 -68140 71947 -13189 13403 -15675 59627 -87614 -58004 -54918 -145745 94026 -118325 135640 -13402 82651 115071 66216 215155 -37962 -24588 -40068 95481 13363 29421 -12710 -46831 -175720 -29189 -7723 17977 98966 26938 -22607 180670 -8511 -51133 25393 37043 110951 -140004 -155159 59591 35200 -77182 -133317 75161 146649 172042 96106 218127 -65758 140634 -21202 161440 -567 -64494 -60376 -114366 -89060 46327 87626 15131 208454 121297 -59830 -16496 184590 -96095 108607 48486 -7008 -16358 63470 -47358 19092 157667 -137648 -122523 -91797 -48955 -131892 958 -35539 -109000 60756 -102928 33737 
+-95270 -55350 -4647 158408 -10696 12234 -153721 118685 30672 35426 17354 -51516 58038 -31574 -92876 -84521 -22646 57934 44211 15473 -135432 -21884 -65586 -96427 69976 -58024 -75782 -10848 135991 113844 -235838 -48118 4784 23762 75556 -57986 -85917 -34723 -23234 -17380 -207079 -48882 85248 183963 -55872 5616 -169059 67775 27372 -42366 86981 5728 74793 -38070 -202915 -3704 -24882 -6685 70800 -47846 15418 76476 -85999 140615 27644 -31427 61522 -25059 -73402 -7628 4310 24802 158326 -27362 64335 -191629 73693 -61485 56436 176941 67568 -15963 170476 23913 34462 -241662 12971 -150106 17521 -18847 -12182 78946 92069 -169903 -48266 81767 -118943 182094 -133663 81818 -34421 -138691 53954 120678 14080 -22382 103698 -66445 -190004 114234 87520 68050 -190373 151563 -32149 -92561 -28966 -194418 -69044 -54943 272777 16738 28789 53342 35141 12681 -37163 -117787 -40824 -142603 56544 60285 133347 -78457 59222 -66000 199091 -31904 11439 186254 33357 196954 -62523 -98745 83531 206754 -51123 -203960 189328 -52358 -172376 -221007 88132 48539 91497 -74451 15258 -61949 48518 41028 -26651 21264 -5921 -203509 -51601 67221 -206348 93476 -41441 122673 20958 49657 103047 -33157 179502 7531 -22584 42582 81684 -27520 -15386 -52019 43532 -172785 -51065 34140 -40471 -18264 46251 -3610 83509 2773 -57135 18804 12783 97148 -87231 -197592 98557 -11935 -73452 -136436 66517 81903 43329 194486 242217 22966 113842 13549 103594 109956 -7589 -66497 -121893 -84630 27572 28570 34803 149765 -9367 -102970 26753 191180 -86838 135009 3388 155624 -15399 62714 -37937 39124 169219 -152396 -72791 -121794 -2761 -77490 -50150 -34404 -103139 -84662 -75167 46479 
+-89174 -17312 -22793 180797 -111067 -5883 11858 97798 -185060 16739 34575 -42533 57302 -127873 -42322 -130373 -2462 65501 -8077 15172 -91887 -82065 -47261 -87516 79565 -35864 -66412 -3219 155092 210120 -177606 -47560 -31504 12115 86579 46987 -80355 -29977 -55876 10622 -132113 -134287 5904 113382 7319 -3874 -28799 35659 -53020 -56959 92966 148043 -21651 -68432 -143555 -64427 -148298 13909 83940 -41529 31945 33861 57198 80324 16874 43678 148461 -189337 -85363 -73041 14874 -31289 229906 34021 95787 -62291 90216 -103935 78414 133798 61802 -34168 103208 9643 61245 -224118 79819 -143736 24433 -2871 -43237 80298 81172 -114369 36663 79085 -109347 92251 -106464 -35558 -10254 -83021 44399 44880 -27121 -53540 85664 -160526 -243281 26551 58053 88236 -208401 97633 -11074 -108577 -23608 -157342 -31568 -42361 229349 41425 4987 102330 -69088 -22103 -62541 -106960 -52408 -140250 105837 62896 110541 -64272 68219 -42602 236164 -50925 7262 139920 36123 236083 -70834 -7352 89279 213680 -212875 -164513 186246 -35936 -215772 -197168 73218 132426 102436 -61779 -23399 -88435 91777 114037 -72279 44614 11515 -202979 -23351 94990 -246236 81388 38607 83569 51616 20214 1400 -124497 191865 28990 -29368 88422 72087 -60222 23888 -37437 152700 -17137 -54233 31393 -130061 -47863 32675 19936 -45136 -564 -81579 39754 26443 96174 -22432 -171915 59007 -35949 -41857 -165002 45361 -24223 -39063 151531 194331 43811 94039 86191 -40024 164949 50725 -85200 -113397 -64658 71013 -25186 28025 86030 -164933 -138495 86402 106631 -121321 157685 -88510 240277 -2114 69498 -141222 9050 174093 -87092 64851 -122251 70018 -32948 -68811 -22742 -44554 -104650 39346 52474 
+-80881 -60821 -9291 87469 -166355 -8855 131577 65728 -286388 65513 30368 -38427 55798 -183469 37951 -174005 -22876 49022 26946 37181 -44198 -76165 26817 -131177 79811 -9898 -541 6537 178156 263250 -129400 4874 -58855 -7016 72589 185591 -60199 -18167 -80387 -12359 4001 -119928 -64384 56458 11398 13346 34839 -22960 -134461 -62023 151339 215660 -112619 -61894 -53509 -96551 -212490 -10438 85758 -1361 42813 31274 139367 7689 18016 77576 188662 -204773 -93208 64771 43216 -89808 184244 155357 82816 104008 23615 -83337 118928 79626 36700 9401 -6453 -6814 58102 -50944 102361 -124620 424 12183 -39858 83564 84323 -90452 85056 93464 -71826 -32305 -95262 -156140 6134 -56264 39174 -23610 -60379 -81717 67101 -137642 -112977 -31157 44077 74304 -27318 38855 -17082 -125682 -33086 -108336 98641 79031 150879 72138 2948 149682 -78173 -56941 -76264 -87747 -109503 -49735 131153 56755 78984 -54398 63794 -71012 216743 -37034 -4419 106597 37050 209041 -10828 38668 80610 213295 -169416 48732 70436 -83600 -140229 -203719 64196 2392 105170 -41644 -57746 -76223 89209 157607 -97354 49637 100680 -154731 -53753 39231 -245718 73029 108224 43455 60532 -30753 -107288 -117467 177019 7056 -26784 99540 42069 -136737 20196 -30538 172239 95238 -67248 21313 -134206 -55641 43961 43710 -59879 5656 -81471 43177 65715 108556 52907 -190066 19535 -40047 1876 -114722 15181 -52446 -4881 137003 147673 -84321 91426 132508 -117936 168496 81392 -64197 -90430 -54074 64214 -49503 20151 5868 -194606 -159452 172175 14123 -97542 113437 -107431 194635 -6550 81135 -176413 30738 174393 -137911 60008 -93991 48848 18318 -63001 -24206 -29670 -49672 110434 86030 
+-95812 -139491 -19767 -68492 -138135 -22565 55984 32399 -201117 13078 3231 -49555 53493 -162543 74885 -210677 34698 23822 2093 41238 -13531 -143097 25817 -82888 81854 18322 85670 -14770 121515 239514 -38869 111283 -50911 -40851 95007 153378 -49367 -2986 -122481 -15030 15877 -149217 -80505 4020 -24191 33682 -18579 6826 -187509 -37456 156451 99121 -156338 -51882 -1459 -126662 -182787 3619 89766 32184 61047 30849 104136 4290 32440 53535 54800 -47305 -108076 205398 59585 -131103 50414 218543 86098 122152 84077 -30213 138917 -6596 24082 94436 -83199 -29339 21488 89219 29828 -94036 -19589 -1225 20886 81801 73848 -41801 50111 70072 -64391 -93881 -46595 -248555 2464 -57948 49893 -8691 -75121 -91234 48251 -34911 69626 -31976 47488 77434 127263 -15353 -15677 -137775 -36351 -57231 144381 215232 69313 105222 -3409 205851 100482 -29298 -108342 -122146 -150807 23971 99592 52524 41000 -35891 52027 -65869 109919 -2391 -13682 60205 35519 124048 33726 1712 58957 187493 18797 192557 -37765 -99333 -85298 -185236 57099 -147039 96392 -21128 -52165 -77387 73923 178956 -86977 77109 168499 -86102 -21713 -57506 -213636 52916 144585 30053 59695 -114464 -182207 -36105 113703 6050 -30768 66905 26016 -154195 26549 -70477 219079 30781 -74960 -3460 -128293 47943 65759 59134 119908 7228 -49497 38237 111237 89513 40162 -150831 91813 -18274 34184 -107957 -23103 -153248 148993 111528 139612 -159785 34254 133972 -146368 79613 71832 -14402 -55870 -59626 45301 -51967 32660 -57730 -59900 -155243 171284 -87685 -97106 94547 -22134 89871 864 93889 -117936 48927 153424 -128203 -51162 -51852 -4673 30449 -59831 -38259 -52191 66568 101787 53217 
+-80520 -130134 -20149 -93642 -44746 -23727 -115444 12362 -39472 38640 -10216 -61032 48932 -97731 106008 -234445 75562 -18770 64120 60582 9927 -139921 44801 -101807 81613 55386 92199 25441 115262 135943 21913 76832 -11027 -60271 86192 53009 -47802 -12052 -107786 -61748 -85418 -113127 -60466 -1290 -80494 29302 -144107 3831 -255762 -32019 93754 -53071 -165614 -51893 40394 -73400 -67080 -19860 104003 32532 52422 24791 -31191 76501 52579 9698 -22792 75267 -115475 140393 95150 -140645 -73165 146261 47725 -89834 130867 23483 119564 -61943 -21743 9491 -52460 -45065 11053 21245 -84548 -79254 -25651 -7810 44605 82405 74348 4376 -48138 56815 13645 -43139 -24060 -249643 -36951 -93904 53855 64494 -67243 -88657 29313 84174 116137 46841 9456 65489 -593 -66731 5584 -86118 -32365 -8271 41351 231156 -17544 73462 21066 219832 143691 -45223 -132870 -118025 -129527 90451 47198 49509 -797 -10632 54166 -63372 41867 40966 -14331 43212 32771 36231 104539 -51188 33014 138984 121474 40492 -93985 -131165 -4041 -160773 13591 -223161 111233 4501 -57505 -85634 44544 108919 -51771 97886 153736 8417 -39930 -82075 -141523 72705 141296 51072 60078 -146965 -128989 42811 119966 -20499 -26312 -22009 -7244 -184185 1271 -45099 118228 -117926 -87325 -27620 -59908 127512 53114 69762 172266 -43 27246 66234 134152 80920 -56415 -150158 82779 17774 92234 -106951 -39875 -217813 243125 56099 85268 -124529 2204 84984 -102525 -4419 33509 -40371 -15491 -79078 78565 -26046 12688 -97905 111151 -129543 138910 -98470 -82774 70702 17166 -81448 180 104690 -24005 25871 119814 -118109 -129383 -47390 -57663 89588 -10007 -55810 -96282 79621 2305 81764 
+-80074 -71764 -20309 -1518 -31918 -16786 -155846 8673 106067 39590 21727 -62146 45830 11998 100304 -216065 115598 -79286 53381 5440 55887 -157638 43755 -89165 81628 69255 -27910 7101 80319 22763 82403 32184 -17843 -85646 70061 -118107 -58773 -12815 -108023 -90520 -194907 -70926 8817 22343 -121080 6210 -197810 -18932 -229151 -31265 129981 -82801 -103289 -32656 61821 -13894 46663 -38101 103241 -7287 43463 67070 -149781 132594 68078 -2367 16816 1619 -120220 -20201 142950 -112454 -138396 28090 68346 -225475 112389 87141 62240 -141871 -61238 720 26116 -42725 23601 -145923 -164679 -75111 -34083 2488 18052 82114 64163 24583 -124240 59490 47398 79058 -13432 -187894 15752 -151796 34192 131750 -40637 -76895 11336 124560 -18772 130910 -4196 66607 -152823 -104753 -7365 -110421 -37900 18189 -72820 101348 -68028 102222 23419 239668 87587 -54354 -151873 -139167 -78802 139763 -29233 47313 -43472 -3625 49415 -62496 -42461 62562 1807 32020 30957 -37630 103565 -115316 11517 80262 20859 -150670 -2335 -138112 35383 -176274 -1688 -107991 105667 -49270 3525 -65507 6351 27997 -6674 113662 67193 -6455 12403 28537 -65168 20132 87117 84766 44866 -139915 -59571 38338 116685 -17853 -18431 -103844 -9091 -167911 -23118 -18462 16193 -204964 -101132 7748 -28804 127739 89357 61230 176366 -13200 41524 66008 127875 89592 -147067 -187164 111111 65959 134795 -52630 -88732 -197518 198342 11132 41705 4711 -28533 25274 -52738 -138771 -33613 -26198 24763 -91798 33838 17611 38347 -92471 111841 -91104 143496 -9757 -101340 37154 23453 -121058 1628 106842 -26056 15654 108604 -115931 -98827 -61984 4426 92226 -1945 -27402 -112119 -85966 -80725 69065 
+-94899 -18165 -7480 137034 -34892 -24595 -9874 -23251 38576 33574 35210 -59406 46893 121833 95851 -209617 129180 -119443 58992 31485 69957 -191119 6802 -89355 80187 76121 -94408 442 6985 -63797 125636 -65199 -38742 -112752 72005 -104412 -52761 -10637 -48305 -36127 -173805 -84202 100245 81136 -70174 -3990 -104102 -52683 -207497 4331 49565 52777 -64250 -73655 43938 37135 85341 -23983 113134 -42426 23180 60477 -170760 138280 74382 35960 138684 -167557 -122889 -72312 133521 -56603 -106660 -30369 81006 -111410 98206 124305 44440 -175203 -102749 -33958 131626 -33100 21570 -255636 -132536 -28875 -2558 -13592 -29620 81069 55214 64911 -118729 50751 38484 177155 -22802 -65627 -5446 -153691 50246 124833 -126 -60157 -6395 46521 -197788 194296 5165 53292 -212736 -125139 -23759 -100271 -47606 14035 -29606 -10680 -95052 76163 23707 292874 -70786 -27903 -164995 -115564 -58003 55584 -87319 61394 -84636 5836 14899 -33910 -44497 37081 18171 55039 31522 -49620 173606 -163928 1750 16972 -178077 -179626 121771 -126214 65433 -151078 -21735 81232 108603 -55702 38280 -84484 28861 -19380 31541 96683 -5705 -60596 -16858 110756 19433 6394 6573 127180 -24949 -130260 75434 -41137 92947 -43593 -17300 -169437 -40670 -142334 9480 -41313 -12339 -101426 -105696 57398 -13590 64782 60245 45329 15240 -8472 78487 120692 74429 75673 -184701 -140214 115939 121681 182897 4757 -85309 -172085 66524 17969 10173 50579 -49626 -31647 71112 -224393 -90752 -9190 58531 -84742 34353 76118 -632 -60809 -11312 -57574 68914 137412 -72684 72740 -36669 -29553 2621 103729 -102296 -6861 87466 -125926 -15951 -109163 54260 91530 33903 -25360 -103680 -141995 -100518 75204 
+-90866 -50776 -48990 164133 -154883 -12663 140297 -36093 -130746 37435 17911 -48897 50680 173480 27776 -182141 84373 -159040 35169 44696 86644 -204702 -20378 -94909 75596 103838 -63927 42248 -57504 -94452 130110 -49645 -51333 -132286 61459 38112 -70950 -20326 12254 -7459 -66189 -50274 160107 144840 -5426 12658 7686 -19933 -128396 9566 78330 163931 55140 -60894 1983 -9156 26523 -6118 121271 -47207 9139 41081 -80928 83786 65395 57186 183273 -224634 -127136 84252 103894 1491 3062 35728 57363 45766 127344 112700 10610 -177530 -151842 -76961 172967 -16555 84788 -158695 -47055 -56045 33651 10276 -39739 79634 48691 93617 -73302 55669 21869 172946 -82622 57723 10168 -121578 31946 51870 44001 -25457 -23428 -81589 -241434 194159 -89625 58348 -20774 -125754 -15514 -133989 -50434 -1666 88595 -56777 -80707 55959 20764 276541 -84698 -48584 -183531 -138483 -75053 -46507 -116468 56644 -120072 3210 49154 -48325 14996 -1626 2951 74838 34105 1556 177103 -151976 7434 -43757 -202523 8320 204863 -96195 24802 -132241 -34231 129761 113557 -50587 80678 -64839 44054 -2692 46313 130194 11945 -161936 -8285 72520 99437 12021 -64582 140094 -65768 -49077 95619 -115853 81868 -14120 -22043 -224035 -49006 -56936 8400 -49547 -88709 62052 -112563 17223 -1773 -31802 104190 18615 -66047 -22444 80342 94316 37434 93489 -89859 -130646 40548 163106 191488 6761 -100778 -122427 -41872 11243 -54974 -15627 -64518 -66885 140106 -187080 -112012 -29242 80932 -65631 59295 139805 19323 7133 -169622 -30422 11544 196293 -76828 108199 -110314 142832 2825 93146 -133197 8906 60940 -106057 60111 -127917 52658 83494 59467 -25864 -57432 -22926 -31468 66582 
+-86879 -146046 -14659 64346 -211345 3223 73120 -17295 -264992 34709 -6317 -39328 53785 156200 -23013 -143690 15881 -206232 10624 51099 94847 -176664 -32683 -102698 80047 91964 45821 25997 -79390 -52462 71591 3843 -53038 -158156 92270 142064 -85806 12812 49766 -5929 23799 -27177 177852 206473 -5445 33372 33274 -19812 -92093 37841 -10710 185533 136255 -53134 -66369 -53292 -81994 -48174 117080 -16059 12851 30153 73292 19582 47676 47345 96967 -85953 -128069 189757 65474 42160 142332 154307 83897 106114 116681 67735 6103 -158151 -177519 -81986 128130 -3348 40374 33715 43527 -13143 -4878 -26122 -62044 77250 37555 57473 14881 34748 19113 70454 -102622 125193 -5428 -64527 36003 -12615 79644 23555 -39417 -161533 -103069 136230 -109617 24287 97092 -104251 -17211 -101215 -47233 -36276 145695 48250 -20624 7420 25056 257639 42948 -2292 -188399 -112528 -107168 -115087 -77918 61365 -149157 11903 36636 -37698 146673 -43510 -9438 98021 36476 91569 212855 -93834 26768 -93117 -59054 182620 194435 -98479 -20804 -111909 -34888 9954 113885 -106645 102617 -47314 23316 108440 46858 131792 94649 -217652 -29011 -55269 139358 -17700 -137124 109862 -104520 2138 84711 -103286 9995 -44146 -20364 -204109 -60433 -19823 14384 -36845 -76458 96003 -117078 18128 -58236 -54238 100658 -4013 22446 -4009 71178 106832 12138 66519 -42547 -119078 82051 210747 221837 -8275 -100760 -58767 -6751 42890 -66795 -134025 -95380 -37660 137174 -113824 -111591 -51512 89522 -55821 49743 193522 25690 82288 -190112 -31103 -31003 187632 -65530 130011 -90769 240272 -6867 79089 -151634 -9818 25050 -83855 -1708 -114965 81 65236 55494 -50811 -43018 91986 65402 71720 
+-97447 -154751 8221 -44842 -112108 23401 -109191 6784 -235862 19144 -17260 -37813 59906 78740 -127537 -85551 4594 -228416 12516 42791 95510 -148154 -60549 -75229 84139 94217 72997 13367 -131134 48074 14956 88863 -32867 -176739 69152 182371 -109596 51445 93025 -15841 -18481 -78112 149379 190162 -34877 29851 -121505 -5940 39594 79766 -58623 93323 189822 -38825 -123321 -123263 -194221 -17834 125004 24570 26926 27121 153244 18029 29712 18840 4574 66493 -132250 174699 27353 49416 234511 203960 70812 -37062 81307 -7731 -66247 -111755 -206659 -83284 28598 23139 65692 94869 93870 -25103 -3233 13746 -12423 74047 30085 53913 67743 25949 -1203 -49935 -110381 74910 -21693 -37021 40120 -13624 96917 75280 -54574 -134333 76250 40172 -140647 57242 14639 -60017 -1225 -76632 -49126 -93719 54935 183010 42168 -25247 -1890 270914 156790 1318 -191016 -93893 -137089 -135133 4328 62931 -168466 2182 52065 -17241 220394 -45143 -11580 127698 36892 178425 159971 -21332 53370 -109601 99183 131489 44266 -35258 -110290 -77380 -34799 -136750 107412 -53576 102635 -70548 52932 156796 18413 139844 168491 -175220 -7795 -68278 150866 -7344 -166309 80500 -149170 63722 -56365 -10626 42351 -16474 -21054 -178530 -67876 32550 15354 -40811 -29247 -28017 -128727 892 -91629 -52771 88592 -19558 173512 -6704 4366 124614 20525 63158 46795 -77906 68895 223960 246383 33899 -89692 22952 123962 43995 -112748 -175047 -109837 24754 60199 -3492 -62364 -71640 81490 -60844 12604 232655 4728 151543 -50141 -53659 -93575 -350 -65914 135866 -17718 221576 -14405 68163 -77445 14999 5398 -95221 -124993 -76634 -52527 30401 43908 -40958 -84695 44899 107340 50858 
+-76302 -84155 -28516 -61858 -20938 16309 -157869 36555 -34847 15199 4633 -50824 56493 -27488 -214817 -44107 -44869 -225052 30832 49090 69117 -125518 -45379 -112096 86570 74342 74358 34578 -121711 165118 -54259 77542 16484 -196517 75338 75573 -104331 35235 39415 10172 -127218 -70679 70151 150637 -106692 6875 -174140 28118 77221 105195 -78658 -64468 224591 -67814 -189852 -113279 -209957 -26034 134773 35369 44024 52924 100948 70269 16517 -22394 3455 37400 -118395 -6976 -2605 17646 201891 150646 62213 -209055 79336 -58906 -43652 -63317 -226241 -74050 -60426 22519 58797 -51422 67867 -41953 -12999 21237 53104 74208 26935 26829 60338 50920 -55504 -93275 -115052 -19848 -23785 -60740 55169 50407 95410 120200 -68216 -18150 114237 -22745 -165971 59849 -162627 -2902 -14537 -56513 -48111 -142312 -60414 224352 120124 -67423 7688 229763 93107 1296 -184157 -71658 -93086 -100394 86201 59340 -177209 10402 73115 -30538 242634 -30294 -14734 153615 34945 229235 120256 47043 76352 -109162 80799 -91369 -82313 -12104 -140327 -87651 -15401 -185199 114565 -49566 85292 -68303 84488 154959 -30913 144182 158293 -78527 -29372 -29243 105526 15731 -151218 37348 -194066 103567 -130160 43267 63759 7934 -25668 -100664 -80705 -9615 -4346 -38349 106995 -168596 -134073 -1732 -144805 80080 70589 -25253 206150 -3341 -33328 141429 61856 72760 10187 -41940 80407 231948 252911 -10362 -85136 69523 211181 101158 -116785 -64325 -134093 80411 -63884 93579 5946 -63929 58555 -77732 34327 254121 6989 210804 100969 -88871 -110437 -67782 -47680 127939 35761 90393 -11663 61542 -30437 -16806 -33346 -97189 -150281 -50194 -23752 -26989 52315 -53246 -65245 -104490 47909 54712 
+-74236 -8481 11342 13028 28059 53927 -29467 49513 113167 51417 32488 -52425 54552 -123925 -211986 11261 -21525 -212158 18622 19794 55302 -108693 -4347 -112088 78314 54762 -39438 36573 -120370 243216 -143059 36976 -6922 -204732 57608 -84540 -95105 -3730 32419 -18562 -200870 -137683 -18851 93093 -118315 -4071 -148160 20130 102821 113091 -70465 -73949 216178 -30062 -238008 -69527 -112554 32273 134427 4394 55224 47545 -42385 136319 17563 -29046 100858 -131892 -114270 -60185 5150 -34533 99363 27852 57419 -158655 46788 -91269 -45845 -2203 -245452 -37313 -79368 9022 24771 -202821 -15946 -35771 -38899 11120 19199 71698 23802 -11815 -13478 28969 -67978 -25020 -82964 -159060 -12358 -116823 67727 125113 73119 163147 -80327 98503 -27954 -31582 -135748 69838 -191794 54242 -8822 -93064 -37473 -178431 -48409 123934 182466 -100881 -34879 195367 -32864 -14305 -179935 -61382 -50572 -28939 134922 64988 -174725 -24071 25473 -31276 177432 11624 19713 151499 32148 223487 142222 15058 88695 -89910 -102049 -210858 -75954 -50524 -196773 -41307 4639 -103891 116601 -8810 48332 -71891 56621 157626 -71199 159539 81211 10541 -14321 90615 50777 -20376 -97852 33956 -211077 107709 -150886 39469 -10226 -18095 -14173 -13382 -91086 -19918 -8880 -25771 171206 -181631 -142119 45890 -118981 134325 69106 -17704 67673 -6078 -80129 131563 114169 62163 -38521 -34868 81499 205797 240829 16809 -45474 162140 215792 131359 -119022 34696 -110602 120910 -171019 152714 53935 -18137 24020 -90074 15176 238695 -3246 227007 116942 -130636 -59951 -120739 -33890 71117 2440 -50358 -19697 62681 -49257 -22267 -68148 -107419 -59424 -55038 59936 -81472 31960 -24733 -99056 -111636 -21545 34900 
+-82540 -31154 6998 135965 -58391 67447 122608 72925 53594 44262 22122 -62703 50708 -181409 -288761 53611 37680 -185404 2311 17820 11103 -80162 35212 -89096 81119 18709 -80655 12339 -98724 253025 -195436 -13944 -59592 -215577 81100 -168805 -85242 28799 813 -32255 -140458 -157329 -76699 28026 -34931 11970 -25115 21524 110195 111547 -1522 75654 127675 -38796 -255165 4330 18055 -7151 132156 -31944 54660 45910 -157737 127404 29609 57009 175515 -230109 -99104 71936 3091 -92308 -40648 -30246 51275 23550 38639 -78108 7751 88937 -225592 7999 -987 -7393 16845 -236015 -82663 -39479 -39715 -9078 10111 74732 21710 -59737 -83732 13363 -86816 100854 -57077 -227334 -26562 -145508 62673 128456 35540 182803 -91053 116664 -202905 22333 -206223 70215 -70972 110080 -18365 -74895 -39307 -207862 88834 -8476 254556 -172704 8812 134542 -83211 -31237 -162969 -57879 -77937 102127 149891 55349 -160938 -32508 41185 -26704 54668 46908 6810 122656 30912 155467 36815 -37124 85731 -53007 -221959 -70767 9040 -63086 -166114 -30639 22126 69925 102043 -24897 -4789 -74213 107143 50365 -92205 156673 -1429 38274 -39871 105081 -42550 -29351 -28037 55840 -213314 69083 -133667 -74780 21241 -16349 -17429 49057 -70937 -63455 -4914 -27374 189756 -17335 -137790 56280 -76960 95288 80911 -5141 -69581 -9215 -65325 141839 128978 61037 -96909 -35252 70998 163901 215570 -37648 -22142 165752 95417 103209 -122014 53138 -129438 117608 -176070 184128 81455 -44576 -15756 -87537 26088 204186 5704 206040 -4551 -154629 -92112 -44240 -21501 75928 -38111 -129272 -22563 71482 -160367 -29978 -64346 -91464 37345 -82209 62198 -129817 1661 -44382 -93391 -80203 -95402 6370 
+-93525 -111289 -2380 186897 -142158 79423 69136 101513 -102819 22214 34268 -56985 46791 -176778 -283055 79894 72226 -150191 51507 40213 -38031 -44730 58377 -94998 76818 1896 -41727 38283 -59726 186822 -218741 -85651 -73270 -218352 65804 -42126 -76889 24131 -60089 -26166 -20906 -169770 -78427 -10709 31736 33046 37767 76228 83989 132118 12217 198993 33004 -51074 -225951 19013 89308 -16107 136138 -44299 39477 -5713 -175323 82916 50742 93368 104005 -125524 -99366 226204 43128 -134842 -156405 28383 83888 101111 62417 -23861 25065 138688 -203100 44652 100727 -24429 42577 -77406 -153496 -15590 2301 8329 -58841 74162 8699 -104180 -136076 -1078 -94686 184863 -34568 -247462 -49661 -144838 88145 58003 -9296 206728 -99747 33020 -236569 107528 -157539 67726 155479 160996 -14473 -80346 -38367 -191455 133419 -53593 270506 -194144 9435 72201 19573 -44721 -146895 -74830 -128006 151586 79800 54778 -137514 -56384 77880 -43479 -22996 75154 -1159 112876 32089 62630 -8065 -105830 69007 5017 -129861 144478 150447 -115077 -103019 -52627 49174 122798 100570 7776 -49150 -95948 94308 -4716 -87856 143509 3331 -75984 -70458 39397 -129226 -27649 57271 93674 -212155 -1933 10924 -119991 -69849 -38166 -18945 86353 -63971 -118654 22034 -14863 170420 118413 -148693 21655 -29064 -4677 76377 22854 -8658 -11946 -68773 126783 108193 52524 -139770 19071 93901 105472 179729 -84108 2163 141749 -15022 158588 -95449 -77035 -102510 75101 -105848 88971 69390 -29050 -55986 -69521 33280 151626 10902 152048 -162984 -158504 -43553 137918 -5108 47589 -119490 -49653 -34429 84784 -168529 9789 -84785 -134048 -1792 -120384 -3811 -199008 -3555 -28269 -71008 43283 -75489 25463 
+-86004 -148756 -40956 99659 -186607 68625 -85370 129685 -281516 41974 634 -49526 47543 -96009 -266854 96150 111339 -87966 71380 65844 -55777 -3129 60832 -56952 81186 -30951 30131 47911 12851 96566 -213722 -39030 -53778 -209989 87505 112542 -65710 26725 -124597 1485 30664 -140885 -31673 8203 20912 30293 -50753 72590 18602 145830 29323 192870 -48166 -47925 -162782 4126 59988 -22307 130170 -23416 18400 37398 -57752 15504 68752 49848 -11748 38393 -89881 190773 106820 -134881 -142667 156543 76205 5739 94224 57241 78071 164244 -181937 21630 171533 -46049 67237 81519 -101121 -60934 25109 52027 -35984 78993 1075 -139560 -100231 18737 -90067 160785 -9690 -196513 -12071 -102977 81001 -14564 -46595 212661 -107000 -89818 -94706 193493 -149029 103162 33545 193870 -9660 -60399 -35226 -161790 49524 38548 257713 -211015 34670 47478 140467 -70985 -120342 -36731 -119673 79121 36286 44770 -105298 -67506 41035 -46450 -73928 33280 6054 82322 34792 -28581 -46050 -173542 42761 68854 54390 135591 161752 -150884 -17008 -42509 63005 4633 93946 -59944 -78200 -94690 85903 -7768 -54572 157408 92556 -144291 -117729 -74645 -201257 -46456 119172 126778 -209951 -79305 83305 -56178 -71394 -43134 -19711 82153 -50480 -188401 20419 -35733 128921 40595 -138563 -21056 5296 -66001 61561 44173 110955 -183 -71205 114696 78080 47236 -67746 31325 11689 48686 146925 -93997 35480 80346 -35046 136626 -52554 -167444 -102479 32203 23119 17750 14590 -28517 -90371 -52523 22784 90485 38928 75493 -187573 -144157 -7803 209436 -23786 65444 -55901 106240 -36559 97273 -103183 -16175 -100856 -66397 -68818 -121793 -42417 -230253 -30708 -20140 -32339 62307 5491 -2306 
+-105020 -122290 -36909 -48323 -101075 72581 -158353 143827 -200766 49767 -12465 -36331 48206 9983 -244788 79640 97438 -39210 47698 50762 -109879 23165 38622 -102085 84455 -52363 85619 23251 48934 -23143 -181517 25271 -37881 -205476 75457 190913 -52653 38377 -125748 -16566 -80970 -106558 59428 50319 -37835 7478 -187301 51561 -68420 137089 81350 6864 -131969 -43516 -121643 -59731 -40850 -25985 121016 16189 20975 48869 84748 10109 73205 -4399 -15920 59775 -76768 6934 123322 -111103 -32401 213087 98820 -136455 66818 105225 107655 189594 -151271 -2431 155946 -37070 77071 45605 -12701 -70526 15706 35982 35100 79356 12046 -165734 -22629 19134 -80393 47989 -35073 -73656 -3388 -60576 77931 -15711 -70035 207878 -112196 -169749 81087 197856 -149679 78729 -186514 210675 129 -108545 -29408 -119331 -54360 209180 212330 -261842 -2486 26061 129164 -74247 -97542 -62380 -94918 2078 -36548 45039 -66930 -82674 37247 -52592 -6734 -9484 -4097 43702 36840 -54420 -62853 -173802 19296 126883 118874 -53679 123991 -141345 29684 -5897 83356 -194228 88012 -56916 -51471 -63952 34391 91723 -9916 154035 164294 -195051 -116986 -38321 -246970 -45563 145587 139218 -182841 -101313 109326 12426 -84284 -65782 -15479 47763 -41214 -200875 -9898 -19672 30988 -110307 -135662 -114 -16610 -49603 62626 61108 197331 -14780 -29693 66201 10839 10568 -49589 34999 63408 7298 108966 -124015 54324 36664 74026 72994 -25216 -134969 -45880 -52313 88706 -87588 -49873 -46411 -113432 -57361 6357 24065 13185 5563 -56675 -104161 54262 199432 29032 89956 2095 239466 -40148 106430 -2056 7154 -105388 -92753 -162500 -98698 -12969 -275357 -62048 -34695 -82255 -27487 93243 -18359 
+-89162 -25091 -24055 -93034 1544 55727 -35116 141952 -36721 24138 8386 -45014 51428 108674 -169072 69251 98440 -3451 37648 35832 -130954 53648 -15372 -82227 82483 -72898 66614 23933 91597 -86494 -112324 89875 -38431 -190362 84729 142133 -56691 27556 -111301 5108 -189573 -71613 118197 117342 -101191 -4198 -189960 70362 -136892 145977 122764 -81656 -158114 -54739 -71071 -119262 -147522 -12459 126018 32488 8374 63195 143423 72398 66896 -18958 57730 -90358 -72541 -69075 113384 -53339 115478 144625 67664 -167777 105006 142465 121247 167961 -116642 -59091 74000 -31922 71236 -134925 60021 -85816 -13606 26336 37044 82280 7057 -169476 61377 -2677 -30992 -64897 -68707 42664 5724 -45826 74551 50965 -73582 181354 -115500 -124195 112020 157807 -112727 82260 -187197 199561 -12083 -77554 -33152 -61228 -47099 236041 152993 -238547 12039 -24913 -10256 -49618 -72309 -67685 -30001 -94105 -93578 50561 -24805 -96356 45393 -52620 71048 -53614 8561 15513 36671 -15116 -60945 -103892 4383 182517 -33995 -177504 -6051 -114639 43185 -1874 82089 -211486 90502 -89392 -36051 -85661 36106 112539 24406 145209 158795 -191118 -104669 73160 -252868 6179 132656 110303 -140621 -163266 48615 48952 -93964 -15069 -15870 -29520 -18480 -192848 -3842 -21886 -37177 -189605 -138363 -10366 -44837 40492 56698 64994 114563 -2046 40390 56799 8615 21374 16079 36261 31916 -40046 60161 -150907 73053 -75895 195513 79841 33340 -13263 -19515 -63998 148216 -215954 -105814 -45411 -121993 -77567 15757 -20254 7571 -67358 85753 -64858 103849 53916 25093 114150 62402 236213 -45850 107834 -48573 436 -99628 -97658 -110947 -64643 61368 -279145 -26097 -55206 -104825 -124344 117632 18642 
+-74178 -31440 -3568 27517 2277 49455 113616 140014 104327 34229 19140 -55914 56947 162759 -122849 29091 51657 32679 7176 17431 -149512 85730 -19487 -90848 83151 -88015 -24723 24756 122690 -81326 -12314 68550 -61151 -184628 53368 -26468 -44676 15285 -50205 -15576 -185505 -2117 173784 156546 -123632 11278 -50742 45311 -197357 101052 130527 -62605 -142816 -59487 10787 -125207 -216381 -23765 114879 15497 36037 41444 83593 127831 48583 29386 182758 -225618 -70205 19658 139673 3426 212611 20123 52148 -15050 95003 113957 104790 107539 -82000 -69674 -46661 -15660 76098 -243352 115473 -85771 -16081 16993 14489 83373 1702 -180961 64383 -7206 -12098 -88819 -94520 104317 -37927 -90140 82920 130893 -55472 160632 -116658 302 -36734 66674 -24883 80986 -25158 175503 -12832 -41768 -22793 -20884 81020 146359 67310 -196637 -23857 -64759 -90035 -32877 -49958 -80204 -76093 -133995 -84629 51799 18118 -129462 60913 -42347 201306 -47604 -1550 21994 34236 66513 -64664 -9662 3824 208746 -197847 -113741 -34996 -73860 22151 19845 78784 -110366 84305 -55006 31175 -60813 21897 173406 53344 129759 78199 -116185 -125893 85429 -225911 -5958 77641 69154 -104506 -160132 -61803 -2510 -103140 -30584 -18268 -105773 -8525 -156908 14489 -28351 -97230 -89776 -143717 28084 -126784 107217 48648 62554 -33883 -10020 53555 72536 39140 30584 21769 56297 46092 -39328 34168 -143548 90498 -116930 229835 81171 58987 36800 5770 -35140 87700 -224661 -118410 -50994 -114490 -89244 6731 -49691 12302 -96434 127677 -42172 122087 -79355 41161 160597 -8040 81121 -49562 101230 -122290 7321 -51601 -99881 17743 -50783 56405 -285037 -11993 -37006 -109258 -67776 30596 -34325 
+-77256 -88679 454 121186 -72948 26426 101858 126834 54497 18384 34826 -62129 58799 158073 -34264 3039 -7173 58311 68712 34846 -192350 105825 -66413 -69909 83407 -76975 -51542 14366 157979 -14858 48029 -16383 -63650 -161522 65835 -138547 -58623 17713 -14999 -40785 -62517 -43472 170960 200235 -47828 32676 35342 32090 -213975 100830 120530 91563 -106483 -66417 41485 -63030 -164077 -12247 116497 -22757 41203 61892 -68401 137575 29012 72119 148727 -158131 -70812 207951 103710 41721 228769 -24184 55781 104407 97948 56726 141127 66197 -42114 -110950 -78170 8567 -13397 -178498 40952 -89796 -29179 11154 -31945 84135 -4758 -159436 27886 -2506 14537 -4754 -107034 95986 9351 -137882 70710 120139 -19892 112073 -115917 102682 -210232 -13899 -26408 82501 99693 129183 -5613 -23547 -21398 16734 141768 1629 -20907 -216126 24773 -89525 -1345 -29119 -24549 -86821 -93524 -99843 -66223 58255 58229 -151723 60758 -52613 245295 -10650 -11037 36461 31558 161733 -14318 4954 18345 223839 -192527 111767 -66193 -48945 -23206 36720 63194 91066 87965 -58473 85112 -70418 55882 167065 49846 141496 63 -14557 -128086 35812 -160895 -17350 -10079 41473 -38549 -75777 -185518 -78369 -110753 29918 -18661 -184652 20218 -118406 21408 10604 -51697 75301 -127968 8956 -143402 139536 35327 40297 -31149 12917 68895 26311 85757 17392 -31527 71854 46280 -27779 -8106 -99621 88340 -183329 128742 -9656 103287 -22253 39757 36886 35250 -137889 -93253 -52934 -91469 -87650 45866 -52748 19097 -101716 -7074 -36924 190321 -101733 42321 134972 -76445 -60671 -49234 89402 -186411 18754 -66385 -106454 59863 -68252 19354 -267028 9562 -44215 -58573 50718 -61287 -46182 
+-94158 -166567 -25402 169218 -129608 14997 -89621 101588 -146747 43996 19549 -63529 57428 97249 18010 -66845 -41984 63928 -1338 58509 -211143 146325 -66418 -62319 80572 -73658 -49167 -2810 195597 101370 93993 -59164 -47326 -143928 72125 -58420 -66942 -7000 44208 -66280 30290 -89459 125858 185227 8453 30757 -5649 -40834 -225761 79202 134536 213626 -31008 -38678 52417 -6214 -49896 -14224 108675 -48586 48772 20591 -179519 80678 15998 51707 44889 22572 -60405 201323 56052 49358 116673 28537 79883 28019 123081 -15406 75905 -18174 1901 -121367 -39027 22776 19536 5830 -38121 -97731 -28114 23967 -47151 82439 -6318 -113230 -66642 -34342 40210 121340 -117023 -17992 -26550 -161943 62823 63382 23870 60219 -113067 120251 -234183 -46099 -495 55518 23862 79072 -28369 -57984 -16456 24639 42401 -71882 -57772 -184176 18757 -101404 143395 -19570 -9587 -82449 -168617 -61702 43441 61245 93984 -163275 38122 -77713 218838 37111 -7880 71616 30913 222442 49001 24469 42353 209559 -12158 157123 88378 -30483 -119031 14118 38703 79192 97872 -7062 108030 -74808 31449 82600 26151 90491 945 16502 -124518 -89920 -75998 20743 -83261 34249 15362 -31103 -178112 -123085 -102522 6306 -19014 -230851 46145 -71585 22117 -57357 29300 101787 -115635 5113 -104741 57976 66018 16172 56970 1698 71382 27960 118694 7677 -78192 101935 58309 1117 -56546 -75678 81758 -227926 9151 4114 170911 -154469 81690 93224 -114974 -62158 -43101 -41880 -57399 -69081 12153 -28697 12539 -62057 -167519 -48713 140386 -46455 54883 93769 -89409 -115477 -54755 76269 -154742 33084 -50472 -113128 -30346 -105482 -45400 -257183 7994 -32162 -32269 68780 -111511 -41585 
+-98277 -117208 533 81578 -155278 9408 -162774 68937 -301740 25097 -12847 -52587 53903 -16053 75501 -104576 -39165 62290 27938 45374 -202730 114870 -40162 -92880 82269 -74853 28001 5789 132856 201866 112965 -55501 -15567 -121275 59842 86020 -85903 3175 80590 -20686 -5012 -65489 38828 134587 22249 8144 -131872 -11154 -183297 54070 83701 168777 76298 -35470 34913 33435 70940 -5391 107047 -24211 56303 43737 -169832 15160 16385 35356 -22197 82067 -84087 -3682 35721 19592 -3480 158128 83587 -128408 69324 -84580 46177 -94134 23433 -57262 63113 15786 31012 92468 -95096 -129422 10524 37474 -48928 81074 -12371 -84389 -118442 -7019 35812 189218 -89695 -127231 -12706 -107436 60268 -4723 62963 10851 -108357 16008 -84461 229 37500 51282 -150488 12361 -2727 -52505 -17428 3874 -61651 12095 -94046 -112775 11790 -93629 132486 -4711 2894 -131452 -111747 50474 106214 59525 121901 -148278 37390 -44265 120558 54814 -1015 144358 32589 228467 105488 -34952 67722 169774 118649 18942 200060 -41265 -188040 35543 22224 12208 64315 -18453 87949 -72701 43326 -7703 -24857 88393 81982 -14149 -128884 -74493 2036 21718 -142571 57857 33603 23984 -56595 -31736 -141689 11315 -19865 -204098 62090 -35504 10338 -8057 141355 -33760 -119184 -17601 -58572 -19511 31131 -600 183615 1932 78833 31413 132749 -516 -163573 80206 48090 50630 -84102 -49060 82949 -209644 -37541 -22595 196801 -178442 100241 133531 -158904 89192 20447 4498 -17677 -55139 38425 16125 18594 15457 -204425 -70122 57721 79222 71324 65882 1581 -64929 -54143 65016 -6298 18298 -22665 -85438 -97618 -128362 -37258 -206639 28946 -30803 -46894 -49189 -34364 -93424 
+-90257 -27259 -34184 -61316 -90002 -16961 -48596 46350 -200368 46494 -6107 -40916 49490 -119568 119119 -156385 13761 37239 62585 31886 -200460 137650 28789 -62643 87562 -28760 114746 11862 129170 255373 105231 11671 14606 -98797 80485 177778 -86690 -10339 44305 -39085 -138527 -113862 -55700 66328 -22364 -4248 -177779 -21010 -122111 19979 32374 22169 147347 -41942 -3591 16154 90203 -44760 100635 6464 41655 29718 -39552 19728 30378 -20208 17179 -55493 -82910 -49652 23886 -35734 -116395 215510 85099 -180292 120880 -101545 3880 -154314 46938 -8833 155511 5035 74174 -6225 -156495 -142277 20473 23884 20408 79755 1499 2567 -113348 -27040 17925 145915 -69294 -227726 -21349 -63497 58088 -17984 92202 -23406 -101882 -108990 87409 94325 92704 57716 -196697 -45398 -9248 -50418 -18284 -36432 -36000 178007 -94821 -90768 10309 -115248 -37099 6749 13385 -121660 -76157 106407 127141 52717 140527 -164637 56879 -63245 -2284 46765 -22334 147359 35383 171265 172449 -109268 84891 120112 40862 -206775 165623 -76169 -215247 28631 1416 -143703 75478 -5161 112618 -79371 48827 -19603 -72161 82504 158245 -133746 -147850 49530 81407 48606 -172909 96818 58156 100124 37175 22016 -120825 -10524 -22852 -162230 78763 36063 -5968 -25349 194513 -190531 -101251 23501 -420 -61449 32544 -20333 156819 -2949 -6223 30049 112481 -12577 -133894 86099 34125 105071 -89349 -46153 57284 -173608 50728 61327 204074 -73434 94892 120285 -175426 176737 75760 -8665 22853 -56232 91488 73041 23485 91063 -70379 -110874 82544 190287 86198 45682 64391 67208 -41466 62071 -15954 12128 19024 -91052 -110150 -110932 55643 -163211 46957 -51688 -78544 -137458 55578 -75771 
+-69499 -9595 -21687 -105828 -28856 -15412 111456 23826 -20374 27002 21118 -35899 46181 -175453 98477 -201301 75478 9828 53876 29352 -165302 152092 50174 -100730 85631 -24125 83712 -19652 91919 244458 58807 101899 -16031 -61588 90203 191486 -94168 5048 43965 -18857 -204405 -165346 -73436 20693 -93107 10572 -125264 -25571 -32091 -5597 31181 -84129 233482 -66701 -83127 -56381 18006 -3153 96534 36826 24847 79646 98575 87403 51141 14068 127067 -217228 -87695 49934 -11440 -95632 -141756 144858 54518 -79661 63398 -57124 -5002 -187198 68620 31620 169977 -10839 94063 -194530 -76769 -141421 9631 38539 12478 76419 -8695 29287 -53113 -30146 27591 25439 -38706 -273027 -1988 -52107 60191 48204 100116 -56860 -93618 -168532 107376 174427 92072 55293 -56860 -91170 -34161 -17717 -14404 -84936 76698 234732 -29211 -54714 20903 -71147 -95471 4209 13742 -141763 -40213 126026 120283 58589 148262 -176484 69765 -83477 -33420 14528 3455 130466 37076 83597 197179 -183557 88452 59378 -153979 -148593 64589 -108812 -141735 9809 -14263 -218446 69289 -28430 48376 -94758 89504 34349 -93288 49703 166479 -175750 -144205 92377 125846 41902 -142418 126753 75480 90971 134014 36961 -154764 -29351 -21634 -87409 88728 25648 11412 -1089 200612 -169166 -99871 2199 -9810 -11044 15837 -26161 52493 -147 -34720 36500 72361 -24179 -68892 74329 26114 152959 -90933 -12137 21417 -104890 174344 77054 203194 26183 116977 69840 -55350 134552 80264 -18157 57169 -77292 33269 139198 54537 155241 86147 -148137 1410 179755 120750 70134 24089 216746 -53906 64875 -98522 8074 50706 -78984 -21124 -72310 90852 -108785 58590 -46018 -109323 -56926 117165 -67619 
+-79947 -82131 -22084 7927 -3636 -33629 97333 -7056 107077 34168 21221 -44286 47892 -177943 65301 -213983 115975 -41987 74885 2472 -139043 98762 44451 -110853 82169 14977 -27675 -6373 6829 155990 1977 80675 -48782 -45033 70389 30480 -90052 -28651 9691 -52117 -130555 -131637 -70995 -2306 -109640 32321 6863 -55108 39109 -6078 -20502 -22598 232536 -63298 -137714 -109684 -107050 -4610 84895 24860 10097 28763 155200 142922 67731 55059 153393 -184891 -97531 199255 4289 -132384 -75007 19861 47159 128624 66200 -26911 -54555 -181880 85636 40990 108468 -35796 60248 -247613 1875 -126694 -2587 21720 27922 73615 -9715 48842 25022 -33610 -49006 -77266 -23378 -209081 -32005 -57044 36844 116005 84820 -78666 -83262 -117187 -46552 208756 80765 47129 110648 -112827 -852 -44095 -19659 -141394 142181 190868 25301 6994 -1052 -51982 -44973 -13779 12846 -110522 -96517 31971 65300 51680 144540 -170267 35607 -59094 -52440 -37074 12620 128596 36141 -3944 192654 -176663 76575 -1637 -216560 50815 -21463 -137992 -93791 -6711 -38813 -100120 89978 -65084 4048 -90841 96909 147847 -87619 28810 85970 -216450 -114459 77222 139103 56511 -89572 123542 67369 81977 118623 -53953 -157041 -2379 -18792 3617 84656 -68731 10965 -33395 191059 -1100 -93116 36055 -3350 70128 20833 -18398 -50438 -8144 -91407 -316 24611 -38466 52549 113756 33170 197929 -63316 28544 9511 -33234 239857 91742 175386 35592 144744 8088 35893 135522 47486 -49575 80436 -90610 16068 194616 31495 217443 122434 -162691 -44397 84585 106823 97931 -45239 230363 -40049 74437 -162814 16661 75787 -79188 29054 -51961 29449 -67908 46309 -39979 -112395 21575 93393 -83018 
diff --git a/sim/corravg.txt b/sim/corravg.txt
new file mode 100644
index 0000000000000000000000000000000000000000..485ac6cd73641e55f53cd242a6f60c0913811a62
--- /dev/null
+++ b/sim/corravg.txt
@@ -0,0 +1,100 @@
+239 343 265 200 228 303 424 455 420 350 339 432 534 629 679 618 442 470 625 814 854 687 604 576 832 930 926 788 706 839 1047 1118 1001 882 887 996 1109 1102 1084 1057 1125 1209 1156 1200 1283 1296 1321 1340 1365 1450 1517 1441 1339 1341 1436 1611 1613 1452 1407 1554 1707 1835 1731 1579 1601 1754 2020 1961 1770 1643 1736 1827 1923 1885 1809 1814 1884 1962 2035 2051 2026 1995 1933 1941 2029 2143 2159 2031 1837 1838 1993 2176 2236 2158 2081 2142 2281 2347 2316 2197 2118 2227 2274 2327 2285 2236 2280 2291 2340 2362 2417 2482 2483 2452 2428 2468 2509 2494 2429 2454 2563 2644 2586 2452 2371 2477 2631 2745 2648 2548 2604 2784 2859 2821 2595 2506 2646 2772 2840 2822 2709 2700 2740 2732 2743 2804 2899 2952 2920 2849 2770 2888 2997 2946 2827 2736 2881 3068 3136 2969 2792 2827 2988 3153 3156 3090 3002 3117 3190 3234 3169 3027 3019 3106 3158 3167 3157 3170 3189 3178 3216 3301 3311 3260 3280 3327 3436 3413 3325 3149 3116 3290 3432 3488 3382 3253 3316 3520 3614 3526 3358 3298 3401 3524 3525 3436 3298 3281 3422 3504 3513 3530 3561 3634 3674 3596 3540 3532 3660 3643 3624 3525 3565 3674 3750 3676 3574 3532 3624 3805 3843 3863 3797 3828 3762 3798 3811 3893 3921 3896 3848 3793 3805 3908 3899 3865 3846 3853 3907 3993 3942 3923 3897 3895 3886 3880 3951 3946 4020 4036 3960 3891 3909 4008 4052 4030 4004 4002 4086 4087 4098 4008 3993 4020 4026 4024 4025 4035 4058 4111 4121 4019 4039 4175 4366 4374 4286 4137 4094 4213 4269 4312 4218 4134 4090 4216 4243 4287 4261 4223 4249 4277 4367 4470 4466 4385 4259 4240 4276 4390 4405 4313 4212 4261 4416 4510 4443 4332 4255 4338 4412 4404 4325 4267 4249 4371 4383 4328 4275 4358 4371 4442 4478 4443 4383 4385 4430 4491 4503 4553 4453 4405 4331 4451 4493 4493 4378 4286 4425 4655 4757 4702 4523 4446 4482 4644 4734 4672 4548 4483 4538 4629 4609 4569 4569 4539 4560 4618 4631 4682 4681 4592 4526 4548 4627 4747 4762 4631 4521 4495 4544 4634 4590 4563 4547 4641 4721 4769 4629 4572 4587 4662 4678 4695 4563 4518 4556 4610 4630 4621 4659 4688 4760 4879 4909 4878 4715 4627 4583 4707 4826 4778 4614 4490 4523 4732 4839 4737 4631 4653 4739 4908 4924 4811 4682 4596 4681 4724 4733 4789 4844 4823 4721 4666 4687 4754 4858 4772 4723 4699 4784 4877 4837 4697 4556 4576 4716 4841 4797 4663 4682 4736 4886 4849 4764 4717 4775 4943 4924 4814 4742 4689 4728 4778 4829 4883 4838 4885 4922 4949 4982 4963 4849 4777 4824 5031 5125 5077 4873 4735 4752 4964 5058 4973 4797 4788 4920 5075 5103 5019 4867 4905 4988 5061 5021 4948 4920 4883 4829 4836 4844 4919 5024 5032 4985 4945 5042 5074 5038 4958 4867 4946 5030 5058 4953 4852 4916 5007 5014 4973 4958 5044 5168 5177 5117 4975 4933 5011 5127 5093 4999 4990 5051 5077 5087 5072 5041 5085 5148 5184 5157 5137 5207 5184 5112 4991 5018 5087 5222 5187 5107 5026 5084 5128 5170 5144 5143 5126 5168 5145 5070 5081 5141 5107 5040 4995 5047 5166 5262 5254 5036 4998 5020 5218 5200 5190 5068 5074 5181 5172 5137 5090 5111 5174 5229 5129 5040 5129 5177 5223 5133 5097 5155 5331 5420 5343 5148 5088 5196 5289 5205 5065 5043 5117 5210 5268 5143 5089 5158 5323 5353 5244 5088 5071 5184 5222 5169 5028 4961 5003 5160 5205 5212 5128 5079 5066 5088 5219 5242 5200 5080 4995 4969 5089 5201 5116 4981 4926 5063 5274 5319 5182 5052 5046 5173 5251 5209 5065 4997 5072 5156 5088 4996 5005 5106 5165 5190 5104 5096 5190 5263 5233 5085 5020 5077 5204 5155 5084 5002 5029 5149 5182 5055 4983 5038 5222 5256 5157 5042 4985 5067 5200 5127 5009 4990 5077 5185 5223 5128 5057 5043 5018 5126 5201 5281 5249 5156 5040 5023 5161 5225 5244 5059 4938 5041 5223 5333 5201 5064 5054 5221 5427 5471 5368 5225 5137 5171 5193 5126 5114 5165 5171 5209 5155 5178 5192 5221 5178 5155 5171 5302 5350 5260 5159 5023 5090 5237 5244 5143 5108 5258 5374 5399 5273 5065 5082 5270 5397 5371 5133 5035 5098 5231 5279 5236 5169 5122 5234 5359 5391 5434 5349 5262 5156 5206 5328 5427 5399 5218 5095 5133 5315 5459 5390 5260 5282 5382 5548 5564 5399 5276 5319 5397 5397 5413 5315 5337 5358 5337 5307 5313 5279 5290 5288 5342 5312 5339 5340 5307 5288 5327 5386 5392 5321 5251 5314 5383 5407 5329 5193 5162 5312 5456 5559 5404 5222 5190 5322 5392 5362 5227 5202 5271 5364 5370 5287 5314 5355 5395 5443 5405 5390 5491 5513 5378 5226 5195 5281 5382 5415 5306 5235 5237 5324 5352 5333 5322 5316 5389 5417 5402 5392 5326 5255 5244 5194 5163 5253 5309 5318 5285 5260 5268 5246 5269 5273 5265 5330 5275 5224 5183 5175 5248 5312 5282 5201 5236 5358 5421 5405 5266 5182 5224 5372 5380 5296 5225 5184 5261 5262 5190 5192 5230 5282 5380 5257 5220 5248 5315 5422 5402 5249 5155 5264 5324 5366 5279 5132 5042 5096 5250 5246 5247 5164 5164 5162 5226 5291 5305 5262 5189 5166 5166 5206 5194 5166 5087 5067 5138 5190 5294 5248 5263 5255 5281 5264 5213 5203 5232 5273 5223 5150 5058 5157 5259 5291 5270 5296 5350 5393 5392 5336 5290 5264 5252 5229 5184 5158 5212 5305 5307 5171 5117 5208 5325 5465 5451 5367 5271 5254 5286 5309 5227 5181 5177 5200 5259 5284 5298 5334 5275 5204 5189 5290 5413 5449 5312 5182 5193 5249 5353 5361 5210 5175 5230 5429 5497 5424 5298 5247 5297 5372 5447 5415 5373 5377 5397 5310 5331 5310 5333 5320 5377 5436 5427 5393 5307 5267 5378 5483 5521 5388 5268 5279 5381 5450 5328 5142 5083 5196 5453 5535 5444 5282 5211 5368 5458 5445 5376 5279 5278 5308 5335 5259 5257 5250 5275 5251 5337 5432 5537 5432 5315 5207 5219 5394 5472 5447 5312 5188 5322 5408 5419 5303 5232 5279 5450 5472 5489 5393 5338 5375 5408 5398 5341 5278 5311 5358 5381 5353 5353 5294 5295 5331 5444 5499 5446 5308 5248 5311 5394 5433 5349 5196 5165 5262 5491 5508 5320 5138 5102 5323 5446 5425 5250 5242 5277 5354 5356 5279 5257 5316 5366 5372 5319 5299 5350 5402 5357 5265 5239 5365 5532 5457 5339 5241 5235 5334 5330 5316 5258 5274 5348 5409 5301 5298 5309 5421 5426 5391 5346 5339 5347 5391 5273 5142 5130 5159 5200 5275 5278 5304 5302 5278 5226 5233 5328 5410 5401 5279 5172 5228 5312 5333 5193 5083 5120 5331 5440 5342 5214 5217 5271 5418 5372 5269 5211 5269 5339 5225 5185 5152 5299 5423 5459 5329 5316 5346 5474 5446 5310 5205 5205 5280 5331 5283 5195 5204 5255 5289 5289 5285 5363 5485 5454 5313 5261 5252 5376 5414 5336 5242 5244 5312 5372 5301 5204 5195 5304 5336 5305 5348 5337 5406 5405 5294 5235 5281 5420 5441 5405 5301 5236 5332 5423 5444 5375 5298 5370 5475 5532 5473 5426 5419 5399 5369 5284 5296 5425 5464 5496 5370 5368 5388 5511 5560 5519 5438 5449 5457 5431 5353 5332 5339 5357 5299 5250 5291 5435 5494 5442 5265 5200 5356 5541 5660 5536 5303 5235 5432 5466 5402 5176 5115 5234 5438 5501 5442 5351 5342 5456 5502 5434 5328 5332 5402 5454 5408 5289 5285 5287 5439 5513 5538 5495 5446 5413 5397 5398 5388 5376 5335 5265 5332 5418 5488 5405 5244 5196 5309 5478 5561 5448 5348 5356 5368 5426 5390 5377 5311 5344 5371 5325 5278 5307 5293 5271 5183 5222 5323 5475 5512 5397 5275 5262 5419 5492 5399 5276 5296 5371 5455 5381 5247 5172 5267 5440 5507 5404 5321 5306 5396 5477 5370 5273 5187 5267 5410 5449 5380 5272 5227 5283 5363 5456 5458 5402 5360 5287 5346 5382 5380 5299 5157 5096 5233 5373 5432 5263 5171 5173 5286 5427 5412 5351 5319 5315 5275 5244 5193 5178 5251 5249 5223 5193 5235 5349 5382 5315 5238 5230 5321 5364 5338 5260 5249 5311 5335 5266 5254 5205 5322 5366 5373 5231 5193 5234 5414 5392 5311 5193 5272 5369 5379 5332 5203 5172 5166 5252 5288 5328 5378 5348 5355 5247 5266 5338 5395 5344 5288 5138 5214 5298 5279 5256 5176 5172 5274 5343 5375 5366 5357 5365 5365 5344 5394 5370 5421 5347 5304 5275 5297 5360 5313 5343 5374 5423 5486 5520 5460 5462 5445 5479 5445 5393 5346 5410 5493 5490 5351 5283 5286 5509 5619 5572 5506 5411 5474 5512 5489 5368 5304 5293 5368 5390 5392 5345 5373 5412 5409 5451 5450 5551 5579 5526 5358 5277 5270 5318 5433 5411 5377 5351 5414 5511 5479 5428 5455 5457 5505 5510 5482 5535 5532 5483 5442 5397 5399 5453 5507 5477 5510 5465 5456 5408 5474 5516 5570 5562 5468 5412 5432 5509 5561 5475 5352 5337 5455 5626 5672 5520 5367 5316 5501 5634 5587 5416 5396 5406 5538 5531 5392 5305 5335 5434 5491 5457 5425 5408 5518 5598 5503 5449 5423 5484 5506 5549 5535 5412 5352 5299 5348 5468 5531 5539 5524 5425 5483 5570 5579 5534 5316 5314 5419 5534 5541 5423 5325 5300 5395 5435 5517 5512 5560 5533 5489 5385 5382 5389 5368 5335 5334 5278 5376 5444 5457 5327 5224 5278 5433 5506 5449 5357 5335 5355 5394 5315 5203 5243 5361 5461 5434 5236 5177 5236 5364 5401 5383 5317 5306 5463 5472 5462 5377 5294 5325 5386 5389 5435 5468 5403 5394 5301 5297 5413 5516 5465 5376 5320 5366 5481 5532 5353 5224 5216 5335 5393 5441 5338 5293 5348 5361 5402 5394 5401 5453 5451 5440 5344 5295 5298 5325 5258 5256 5257 5342 5372 5413 5394 5418 5467 5482 5427 5380 5396 5477 5459 5349 5235 5241 5339 5500 5500 5369 5386 5438 5536 5596 5483 5391 5400 5447 5442 5417 5416 5431 5481 5438 5344 5343 5477 5660 5723 5528 5389 5340 5522 5594 5550 5340 5249 5385 5525 5546 5421 5338 5312 5451 5546 5513 5492 5488 5514 5495 5485 5436 5456 5493 5513 5451 5387 5379 5423 5418 5413 5461 5556 5606 5553 5510 5563 5552 5554 5481 5374 5434 5519 5650 5593 5435 5341 5370 5514 5634 5567 5487 5543 5591 5604 5471 5425 5461 5479 5494 5429 5368 5378 5552 5559 5484 5350 5436 5560 5693 5638 5450 5349 5392 5464 5432 5355 5288 5373 5489 5533 5448 5429 5522 5612 5632 5534 5487 5498 5590 5619 5466 5313 5265 5391 5538 5511 5544 5437 5470 5520 5487 5514 5532 5522 5435 5424 5435 5528 5556 5496 5383 5357 5438 5605 5629 5513 5429 5428 5505 5496 5434 5320 5319 5505 5517 5400 5281 5215 5332 5469 5448 5328 5311 5408 5565 5560 5437 5308 5283 5335 5427 5436 5409 5403 5327 5283 5284 5348 5482 5527 5481 5339 5355 5501 5587 5533 5312 5280 5361 5561 5582 5455 5311 5217 5304 5385 5422 5359 5368 5454 5541 5482 5438 5369 5389 5362 5368 5327 5314 5334 5338 5340 5362 5297 5377 5380 5390 5428 5438 5484 5400 5275 5117 5189 5297 5436 5349 5281 5247 5353 5444 5428 5363 5301 5330 5366 5408 5408 5387 5361 5320 5229 5241 5381 5485 5507 5440 5350 5300 5433 5519 5512 5358 5306 5356 5586 5617 5453 5301 5278 5374 5449 5506 5416 5403 5466 5508 5523 5502 5424 5456 5515 5524 5505 5426 5394 5440 5431 5443 5460 5447 5458 5468 5480 5526 5520 5469 5403 5420 5488 5562 5534 5456 5407 5374
+120 122 75 -36 -25 34 58 27 -59 -89 -94 -97 -112 -82 -106 -159 -223 -271 -224 -69 31 -96 -152 -232 -95 62 29 -105 -214 -165 -31 -4 -73 -288 -343 -339 -290 -217 -233 -270 -305 -276 -265 -169 -133 -123 -216 -263 -259 -110 -119 -222 -371 -438 -320 -158 -210 -391 -515 -379 -207 -95 -209 -419 -423 -345 -104 -121 -276 -370 -411 -259 -169 -246 -316 -319 -312 -300 -222 -218 -224 -393 -443 -466 -458 -330 -466 -531 -674 -633 -446 -307 -291 -454 -515 -442 -333 -289 -375 -487 -568 -580 -574 -552 -612 -661 -696 -642 -701 -568 -547 -531 -545 -632 -628 -531 -499 -515 -609 -666 -591 -551 -524 -755 -852 -804 -669 -481 -579 -785 -836 -774 -661 -658 -796 -891 -960 -839 -709 -631 -748 -809 -893 -872 -743 -632 -609 -670 -845 -921 -910 -812 -862 -1048 -1182 -1147 -1005 -842 -796 -970 -1119 -1066 -895 -768 -785 -843 -855 -833 -746 -819 -948 -1018 -1057 -1050 -1034 -1026 -982 -1017 -1052 -1077 -1106 -1014 -937 -1021 -1022 -976 -922 -891 -960 -1102 -1174 -1175 -976 -862 -918 -1033 -1068 -1057 -955 -935 -1001 -1179 -1155 -1072 -1010 -1083 -1152 -1241 -1166 -1176 -1105 -918 -901 -892 -947 -1053 -1023 -958 -962 -1051 -1102 -1166 -1108 -976 -1007 -1190 -1241 -1206 -1110 -934 -927 -918 -987 -958 -922 -935 -1050 -1035 -1002 -992 -980 -1041 -1012 -1061 -1057 -1072 -1076 -1049 -990 -969 -1014 -1080 -1056 -1096 -1056 -1136 -1108 -1083 -987 -923 -940 -1061 -1098 -955 -950 -890 -942 -976 -1013 -995 -1095 -1142 -1099 -1171 -1191 -1218 -1192 -1106 -979 -962 -1000 -1074 -1089 -934 -741 -727 -883 -1025 -1005 -954 -924 -1086 -1162 -1171 -1096 -1032 -1051 -1067 -1068 -1045 -1010 -1031 -938 -965 -945 -917 -986 -1036 -993 -974 -974 -981 -1037 -996 -926 -921 -1013 -1101 -1109 -1010 -1001 -1049 -1190 -1205 -1169 -1125 -1110 -1085 -1061 -1029 -1045 -995 -922 -973 -957 -974 -1007 -1029 -1022 -1015 -1058 -1164 -1345 -1277 -1206 -1070 -1160 -1245 -1212 -1085 -926 -953 -1063 -1135 -1116 -997 -978 -960 -1160 -1199 -1162 -1121 -1146 -1162 -1154 -1127 -1178 -1183 -1161 -1150 -1146 -1201 -1201 -1191 -1103 -995 -1079 -1126 -1288 -1273 -1133 -1094 -1109 -1186 -1178 -1178 -1100 -1149 -1259 -1274 -1241 -1268 -1266 -1245 -1319 -1271 -1314 -1249 -1251 -1219 -1108 -1058 -1092 -1125 -1132 -1066 -1104 -1222 -1347 -1345 -1251 -1244 -1302 -1385 -1495 -1393 -1292 -1204 -1230 -1292 -1320 -1216 -1136 -1127 -1220 -1333 -1299 -1355 -1287 -1229 -1193 -1293 -1378 -1438 -1401 -1200 -1245 -1337 -1470 -1459 -1376 -1269 -1315 -1505 -1613 -1531 -1370 -1247 -1267 -1363 -1384 -1310 -1168 -1176 -1255 -1290 -1318 -1273 -1270 -1343 -1439 -1498 -1521 -1432 -1417 -1355 -1321 -1315 -1292 -1282 -1219 -1183 -1188 -1219 -1283 -1209 -1125 -1116 -1216 -1407 -1487 -1453 -1332 -1250 -1306 -1358 -1374 -1283 -1240 -1229 -1259 -1342 -1425 -1309 -1191 -1182 -1178 -1281 -1384 -1404 -1354 -1165 -1127 -1138 -1247 -1299 -1190 -1135 -1088 -1355 -1478 -1406 -1271 -1091 -1144 -1392 -1376 -1325 -1157 -1067 -1106 -1135 -1112 -1035 -1014 -1113 -1235 -1321 -1345 -1315 -1294 -1254 -1234 -1240 -1299 -1254 -1302 -1175 -1130 -1149 -1124 -1061 -1042 -971 -1066 -1303 -1334 -1245 -1064 -1041 -1104 -1257 -1254 -1236 -1195 -1179 -1216 -1201 -1208 -1255 -1178 -1193 -1158 -1219 -1338 -1365 -1259 -1119 -989 -1044 -1219 -1306 -1205 -1074 -1034 -1229 -1360 -1377 -1306 -1244 -1221 -1373 -1538 -1404 -1274 -1207 -1219 -1270 -1258 -1164 -1144 -1118 -1171 -1132 -1158 -1178 -1242 -1217 -1287 -1322 -1364 -1416 -1373 -1260 -1238 -1370 -1470 -1408 -1392 -1155 -1203 -1328 -1402 -1419 -1330 -1263 -1303 -1458 -1466 -1410 -1319 -1231 -1262 -1352 -1402 -1378 -1405 -1353 -1343 -1346 -1483 -1500 -1510 -1425 -1311 -1373 -1470 -1481 -1313 -1188 -1075 -1213 -1448 -1474 -1380 -1280 -1411 -1495 -1606 -1566 -1471 -1513 -1495 -1590 -1546 -1426 -1315 -1313 -1316 -1391 -1385 -1440 -1429 -1385 -1452 -1460 -1469 -1590 -1591 -1539 -1480 -1511 -1629 -1621 -1479 -1413 -1374 -1532 -1658 -1603 -1508 -1462 -1510 -1561 -1539 -1486 -1356 -1383 -1492 -1452 -1506 -1446 -1418 -1394 -1412 -1433 -1477 -1612 -1652 -1591 -1526 -1489 -1491 -1574 -1516 -1443 -1355 -1397 -1427 -1417 -1294 -1179 -1165 -1299 -1386 -1446 -1511 -1496 -1506 -1557 -1515 -1474 -1443 -1486 -1444 -1408 -1394 -1455 -1446 -1370 -1281 -1310 -1359 -1502 -1513 -1413 -1351 -1358 -1378 -1451 -1363 -1252 -1251 -1400 -1510 -1520 -1387 -1275 -1337 -1451 -1547 -1484 -1474 -1369 -1389 -1416 -1408 -1294 -1205 -1213 -1208 -1217 -1267 -1378 -1366 -1337 -1356 -1289 -1393 -1464 -1489 -1377 -1356 -1309 -1385 -1299 -1222 -1109 -1074 -1137 -1224 -1204 -1258 -1244 -1245 -1315 -1285 -1270 -1379 -1396 -1369 -1277 -1315 -1266 -1347 -1325 -1275 -1199 -1244 -1346 -1360 -1343 -1208 -1199 -1244 -1288 -1310 -1234 -1220 -1327 -1353 -1316 -1252 -1153 -1263 -1344 -1386 -1351 -1391 -1390 -1422 -1461 -1342 -1303 -1319 -1339 -1242 -1182 -1198 -1225 -1264 -1207 -1168 -1140 -1265 -1449 -1524 -1493 -1392 -1339 -1394 -1453 -1418 -1363 -1308 -1246 -1256 -1325 -1281 -1255 -1244 -1260 -1230 -1294 -1393 -1497 -1463 -1339 -1291 -1245 -1385 -1400 -1371 -1322 -1374 -1442 -1495 -1450 -1406 -1331 -1338 -1426 -1484 -1440 -1409 -1347 -1362 -1295 -1296 -1302 -1277 -1340 -1360 -1361 -1436 -1541 -1436 -1435 -1433 -1483 -1591 -1588 -1440 -1326 -1310 -1318 -1484 -1348 -1229 -1120 -1225 -1392 -1482 -1420 -1383 -1319 -1421 -1569 -1642 -1530 -1486 -1464 -1455 -1449 -1490 -1482 -1451 -1392 -1358 -1383 -1545 -1544 -1526 -1480 -1399 -1437 -1571 -1518 -1467 -1386 -1306 -1411 -1526 -1527 -1499 -1473 -1504 -1540 -1604 -1558 -1515 -1525 -1511 -1511 -1475 -1424 -1355 -1246 -1242 -1313 -1373 -1463 -1487 -1413 -1395 -1499 -1608 -1632 -1548 -1383 -1439 -1579 -1628 -1561 -1303 -1157 -1151 -1298 -1457 -1390 -1325 -1248 -1398 -1526 -1534 -1420 -1357 -1345 -1434 -1441 -1463 -1549 -1443 -1360 -1274 -1302 -1391 -1473 -1552 -1454 -1391 -1397 -1443 -1436 -1327 -1206 -1108 -1296 -1389 -1387 -1341 -1251 -1301 -1389 -1460 -1442 -1474 -1453 -1468 -1436 -1419 -1430 -1305 -1179 -1108 -1187 -1256 -1344 -1269 -1171 -1081 -1128 -1343 -1421 -1355 -1232 -1259 -1451 -1571 -1488 -1274 -1122 -1173 -1343 -1393 -1415 -1167 -1126 -1238 -1284 -1379 -1318 -1238 -1243 -1314 -1382 -1366 -1355 -1236 -1173 -1143 -1186 -1278 -1425 -1331 -1237 -1244 -1346 -1385 -1420 -1356 -1253 -1218 -1322 -1330 -1278 -1089 -1056 -1077 -1257 -1299 -1303 -1293 -1333 -1407 -1417 -1381 -1385 -1368 -1419 -1287 -1318 -1277 -1212 -1249 -1131 -1094 -1187 -1292 -1322 -1326 -1192 -1246 -1376 -1482 -1446 -1301 -1200 -1311 -1426 -1463 -1448 -1302 -1224 -1301 -1365 -1326 -1344 -1295 -1299 -1374 -1396 -1320 -1357 -1384 -1285 -1268 -1287 -1419 -1454 -1454 -1360 -1261 -1402 -1521 -1531 -1493 -1405 -1404 -1505 -1494 -1453 -1259 -1175 -1225 -1277 -1357 -1250 -1235 -1271 -1411 -1394 -1403 -1471 -1529 -1578 -1616 -1603 -1566 -1540 -1527 -1431 -1362 -1365 -1326 -1360 -1419 -1336 -1347 -1343 -1431 -1490 -1448 -1440 -1504 -1582 -1507 -1437 -1364 -1410 -1483 -1462 -1446 -1447 -1462 -1499 -1506 -1406 -1467 -1483 -1504 -1475 -1366 -1295 -1287 -1470 -1390 -1332 -1284 -1280 -1449 -1537 -1581 -1515 -1474 -1542 -1634 -1630 -1533 -1503 -1537 -1480 -1385 -1346 -1272 -1346 -1333 -1400 -1306 -1378 -1446 -1520 -1556 -1517 -1452 -1537 -1599 -1584 -1508 -1462 -1434 -1487 -1463 -1352 -1349 -1357 -1491 -1456 -1392 -1367 -1366 -1479 -1428 -1419 -1396 -1390 -1420 -1388 -1363 -1303 -1312 -1368 -1403 -1359 -1397 -1443 -1523 -1524 -1364 -1219 -1268 -1393 -1349 -1339 -1215 -1130 -1200 -1224 -1332 -1297 -1274 -1357 -1450 -1524 -1570 -1523 -1504 -1372 -1353 -1287 -1338 -1404 -1395 -1297 -1185 -1088 -1205 -1336 -1347 -1266 -1283 -1376 -1496 -1482 -1409 -1216 -1231 -1287 -1321 -1336 -1348 -1251 -1290 -1320 -1277 -1291 -1263 -1290 -1355 -1390 -1305 -1243 -1192 -1128 -1058 -1147 -1279 -1359 -1384 -1271 -1209 -1296 -1453 -1456 -1384 -1258 -1306 -1474 -1547 -1490 -1218 -1082 -1116 -1178 -1290 -1284 -1189 -1166 -1230 -1309 -1375 -1385 -1371 -1353 -1382 -1441 -1520 -1452 -1453 -1326 -1121 -1167 -1240 -1367 -1380 -1237 -1253 -1244 -1414 -1404 -1313 -1226 -1280 -1354 -1504 -1407 -1256 -1257 -1326 -1345 -1363 -1323 -1304 -1448 -1526 -1522 -1473 -1424 -1381 -1348 -1341 -1372 -1392 -1373 -1374 -1208 -1208 -1283 -1415 -1491 -1482 -1443 -1422 -1556 -1712 -1713 -1530 -1398 -1403 -1497 -1550 -1510 -1327 -1217 -1258 -1351 -1488 -1507 -1456 -1453 -1456 -1519 -1650 -1681 -1612 -1478 -1372 -1456 -1491 -1578 -1470 -1335 -1314 -1459 -1574 -1586 -1420 -1380 -1392 -1500 -1530 -1482 -1357 -1300 -1458 -1548 -1519 -1457 -1392 -1487 -1600 -1584 -1584 -1602 -1583 -1599 -1583 -1539 -1514 -1533 -1429 -1358 -1309 -1320 -1480 -1552 -1470 -1361 -1371 -1542 -1668 -1696 -1654 -1560 -1563 -1669 -1675 -1596 -1521 -1376 -1386 -1409 -1439 -1462 -1468 -1343 -1345 -1454 -1500 -1558 -1545 -1450 -1375 -1393 -1493 -1563 -1484 -1302 -1247 -1376 -1502 -1572 -1446 -1326 -1421 -1534 -1557 -1513 -1340 -1332 -1317 -1396 -1349 -1302 -1228 -1202 -1287 -1362 -1384 -1405 -1463 -1468 -1489 -1490 -1516 -1524 -1466 -1316 -1243 -1282 -1267 -1274 -1174 -1135 -1154 -1311 -1370 -1391 -1302 -1325 -1307 -1384 -1487 -1400 -1303 -1267 -1315 -1270 -1304 -1257 -1197 -1251 -1224 -1229 -1295 -1346 -1365 -1373 -1240 -1167 -1215 -1314 -1331 -1224 -1190 -1252 -1363 -1347 -1274 -1286 -1246 -1378 -1455 -1518 -1433 -1432 -1412 -1312 -1219 -1182 -1192 -1249 -1261 -1259 -1239 -1256 -1361 -1342 -1328 -1396 -1517 -1555 -1581 -1524 -1477 -1467 -1418 -1389 -1294 -1266 -1214 -1330 -1400 -1428 -1265 -1221 -1293 -1389 -1449 -1473 -1455 -1514 -1448 -1441 -1382 -1334 -1388 -1427 -1421 -1382 -1449 -1510 -1556 -1432 -1345 -1319 -1342 -1473 -1580 -1442 -1341 -1283 -1303 -1323 -1257 -1298 -1370 -1495 -1538 -1609 -1595 -1609 -1610 -1571 -1487 -1470 -1524 -1550 -1473 -1351 -1341 -1262 -1335 -1331 -1347 -1407 -1525 -1598 -1609 -1507 -1493 -1461 -1607 -1639 -1598 -1485 -1445 -1396 -1493 -1381 -1281 -1300 -1388 -1475 -1537 -1431 -1480 -1468 -1469 -1460 -1409 -1432 -1462 -1574 -1496 -1401 -1359 -1409 -1541 -1526 -1465 -1362 -1455 -1491 -1625 -1493 -1408 -1324 -1314 -1407 -1419 -1353 -1391 -1414 -1330 -1356 -1435 -1495 -1599 -1585 -1553 -1579 -1713 -1663 -1599 -1433 -1412 -1361 -1405 -1397 -1304 -1260 -1242 -1416 -1439 -1442 -1426 -1476 -1525 -1615 -1543 -1451 -1441 -1437 -1447 -1449 -1408 -1351 -1353 -1413 -1426 -1377 -1419 -1453 -1335 -1307 -1302 -1303 -1363 -1365 -1351 -1237 -1144 -1319 -1387 -1471 -1422 -1380 -1420 -1509 -1625 -1606 -1511 -1449 -1395 -1381 -1368 -1288 -1300 -1203 -1222 -1126 -1245 -1330 -1344 -1309 -1263 -1302 -1451 -1618 -1569 -1484 -1374 -1394 -1413 -1439 -1297 -1179 -1154 -1257 -1291 -1320 -1231 -1167 -1249 -1321 -1408 -1377 -1273 -1315 -1352 -1349 -1353 -1270 -1251 -1192 -1214 -1269 -1344 -1457 -1388 -1293 -1249 -1322 -1370 -1445 -1372 -1148 -1082 -1264 -1282 -1284 -1167 -1119 -1186 -1360 -1462 -1426 -1428 -1396 -1405 -1538 -1485 -1369 -1382 -1267 -1266 -1230 -1168 -1227 -1292 -1254 -1199 -1172 -1275 -1491 -1536 -1475 -1420 -1427 -1395 -1530 -1458 -1336 -1273 -1284 -1421 -1420 -1342 -1296 -1280 -1324 -1394 -1449 -1396 -1389 -1408 -1421 -1375 -1384 -1341 -1297 -1328 -1346 -1436 -1556 -1512 -1458 -1390 -1448 -1544 -1652 -1563 -1415 -1293 -1415 -1505 -1520 -1333 -1137 -1196 -1420 -1625 -1530 -1468 -1431 -1454 -1601 -1682 -1667 -1621 -1619 -1571 -1488 -1486 -1522 -1451 -1441 -1387 -1328 -1408 -1554 -1599 -1478 -1378 -1423 -1589 -1696 -1704 -1598 -1580 -1602 -1649 -1612 -1464 -1409 -1500 -1548 -1648 -1735 -1642 -1603 -1610 -1591 -1564 -1597 -1563 -1549 -1500 -1469 -1577 -1554 -1552 -1412 -1484 -1536 -1738 -1929 -1815 -1675 -1575 -1591 -1676 -1671 -1587 -1422 -1436 -1560 -1606 -1498 -1333 -1335 -1387 -1566 -1665 -1716 -1742 -1672 -1644 -1593 -1533 -1532 -1559 -1542 -1478 -1475 -1413 -1555 -1515 -1430 -1324 -1373 -1508 -1633 -1571 -1454 -1466 -1487 -1456 -1465 -1411 -1354 -1370 -1474 -1488 -1487 -1447 -1479 -1459 -1521 -1495 -1485 -1483 -1493 -1397 -1305 -1322 -1296 -1285 -1265 -1243 -1303 -1423 -1459 -1471 -1446 -1369 -1496 -1575 -1582
+-129 -74 -127 -108 -122 -131 -164 -190 -189 -123 25 177 194 66 5 -16 72 73 -33 -225 -327 -211 -149 -136 -247 -383 -378 -239 -62 -10 -97 -150 -182 -61 10 -23 -66 -141 -108 -94 -92 -98 -221 -289 -288 -253 -196 -146 -158 -219 -182 -206 -137 -138 -208 -258 -219 -168 -53 -59 -68 -102 -86 0 27 58 -44 -134 -212 -233 -241 -292 -398 -419 -379 -281 -105 -50 -128 -239 -273 -155 -42 17 -70 -167 -124 -124 -86 -267 -468 -491 -415 -208 -185 -286 -427 -482 -353 -249 -184 -208 -231 -203 -99 -88 -158 -266 -308 -217 -167 -90 -65 -125 -196 -241 -303 -286 -294 -287 -323 -347 -357 -277 -264 -317 -361 -390 -269 -79 13 -21 -187 -265 -296 -170 -150 -216 -287 -376 -354 -350 -349 -455 -535 -536 -430 -301 -163 -170 -250 -282 -230 -103 -57 -106 -281 -395 -369 -278 -256 -328 -452 -442 -318 -256 -277 -295 -356 -356 -274 -239 -314 -377 -383 -331 -307 -251 -251 -267 -326 -378 -383 -311 -259 -228 -319 -373 -367 -349 -345 -402 -567 -576 -475 -340 -365 -428 -532 -437 -307 -182 -225 -331 -369 -324 -313 -380 -439 -516 -479 -492 -440 -432 -422 -368 -400 -484 -405 -340 -276 -211 -274 -326 -337 -317 -387 -461 -490 -411 -268 -225 -316 -411 -499 -436 -293 -243 -294 -344 -347 -387 -428 -479 -513 -447 -376 -286 -255 -208 -232 -296 -369 -434 -387 -326 -308 -366 -477 -562 -593 -551 -552 -606 -590 -507 -367 -302 -357 -415 -386 -356 -359 -373 -342 -351 -312 -363 -484 -560 -554 -485 -491 -485 -452 -437 -380 -399 -471 -436 -384 -221 -204 -307 -410 -382 -349 -326 -412 -475 -418 -240 -160 -145 -266 -355 -321 -329 -495 -546 -602 -556 -429 -406 -450 -496 -414 -336 -292 -330 -348 -304 -252 -244 -312 -366 -438 -467 -527 -557 -471 -479 -465 -535 -658 -608 -544 -444 -402 -390 -407 -321 -244 -333 -515 -589 -567 -411 -345 -329 -398 -416 -393 -352 -428 -457 -474 -440 -404 -423 -439 -387 -411 -404 -462 -462 -349 -279 -258 -376 -433 -446 -366 -319 -368 -449 -477 -428 -393 -458 -636 -665 -625 -474 -403 -361 -404 -402 -396 -399 -327 -261 -270 -309 -379 -440 -445 -469 -459 -488 -548 -451 -360 -294 -314 -405 -504 -405 -328 -286 -343 -433 -423 -347 -264 -286 -416 -476 -445 -346 -270 -298 -303 -404 -500 -528 -532 -502 -451 -420 -429 -396 -431 -538 -555 -604 -525 -465 -313 -246 -260 -342 -392 -333 -314 -373 -533 -670 -641 -520 -459 -520 -601 -715 -651 -523 -396 -376 -327 -362 -375 -358 -406 -440 -467 -482 -430 -351 -306 -313 -430 -615 -698 -633 -499 -403 -403 -491 -484 -388 -326 -379 -473 -480 -472 -361 -357 -364 -446 -426 -345 -290 -290 -391 -520 -547 -569 -539 -591 -590 -656 -684 -682 -640 -545 -418 -378 -451 -472 -376 -294 -257 -366 -511 -621 -580 -533 -527 -614 -708 -716 -613 -500 -518 -509 -474 -478 -386 -310 -252 -319 -349 -410 -432 -379 -364 -363 -435 -429 -371 -289 -276 -453 -656 -694 -517 -454 -440 -562 -635 -557 -475 -421 -476 -506 -438 -257 -166 -159 -234 -337 -373 -453 -533 -575 -548 -499 -490 -522 -601 -623 -633 -627 -663 -600 -407 -247 -163 -231 -366 -377 -351 -232 -253 -271 -323 -387 -462 -517 -591 -627 -560 -518 -443 -373 -363 -364 -484 -508 -508 -442 -348 -364 -400 -421 -324 -213 -216 -276 -444 -425 -365 -303 -366 -494 -574 -568 -519 -515 -559 -575 -538 -452 -390 -373 -385 -363 -336 -294 -316 -275 -275 -320 -451 -570 -544 -447 -468 -524 -605 -638 -509 -312 -298 -367 -431 -340 -301 -276 -230 -293 -293 -324 -352 -390 -486 -470 -431 -416 -367 -398 -394 -444 -471 -526 -481 -381 -321 -348 -457 -517 -438 -358 -326 -407 -435 -372 -263 -241 -405 -536 -567 -551 -482 -496 -517 -551 -565 -542 -596 -617 -566 -475 -408 -336 -329 -298 -246 -255 -348 -391 -429 -396 -336 -408 -479 -625 -650 -672 -621 -547 -450 -361 -333 -385 -459 -426 -396 -365 -302 -301 -315 -320 -349 -437 -513 -499 -441 -452 -475 -458 -465 -429 -507 -619 -676 -704 -573 -509 -508 -545 -532 -492 -379 -394 -422 -463 -396 -314 -336 -467 -555 -571 -552 -585 -643 -692 -681 -600 -481 -523 -566 -613 -550 -484 -407 -388 -372 -346 -340 -382 -418 -469 -425 -402 -466 -524 -582 -581 -558 -547 -556 -541 -522 -457 -381 -428 -493 -490 -472 -491 -482 -542 -549 -492 -395 -343 -376 -436 -448 -467 -520 -540 -600 -660 -680 -675 -691 -710 -667 -632 -590 -429 -342 -298 -362 -438 -476 -455 -418 -400 -420 -503 -526 -564 -612 -668 -714 -701 -553 -489 -475 -435 -484 -463 -426 -393 -373 -357 -392 -419 -431 -490 -496 -512 -519 -563 -564 -487 -424 -374 -460 -625 -679 -682 -536 -473 -495 -563 -552 -438 -385 -427 -513 -472 -387 -301 -322 -465 -616 -661 -648 -573 -581 -600 -589 -575 -612 -593 -607 -550 -499 -465 -464 -401 -339 -324 -376 -536 -617 -579 -448 -448 -503 -623 -642 -527 -418 -501 -600 -677 -605 -448 -345 -322 -420 -509 -511 -497 -437 -419 -424 -456 -514 -456 -444 -497 -600 -661 -628 -469 -297 -353 -546 -707 -663 -569 -563 -633 -702 -616 -387 -223 -262 -436 -541 -480 -377 -312 -401 -514 -546 -575 -606 -676 -706 -633 -607 -529 -431 -381 -274 -249 -404 -473 -551 -457 -337 -335 -415 -524 -534 -415 -402 -503 -600 -610 -547 -439 -513 -606 -736 -686 -542 -451 -465 -486 -501 -551 -525 -458 -448 -505 -616 -651 -597 -439 -380 -418 -601 -714 -608 -544 -523 -662 -814 -846 -652 -500 -512 -570 -570 -475 -306 -189 -281 -401 -456 -496 -457 -473 -456 -485 -534 -615 -640 -640 -548 -548 -575 -553 -453 -303 -265 -368 -580 -667 -569 -386 -342 -428 -574 -468 -368 -332 -431 -611 -685 -627 -477 -403 -498 -655 -751 -725 -673 -574 -502 -430 -405 -395 -440 -444 -417 -506 -574 -497 -342 -266 -327 -528 -647 -699 -591 -526 -577 -655 -666 -592 -396 -351 -388 -441 -390 -275 -243 -242 -360 -392 -460 -524 -539 -484 -530 -535 -586 -553 -476 -367 -368 -439 -517 -435 -314 -299 -374 -506 -537 -490 -394 -344 -388 -495 -462 -368 -338 -407 -510 -594 -570 -544 -434 -479 -486 -483 -520 -523 -473 -382 -361 -394 -406 -394 -260 -187 -232 -395 -470 -468 -378 -347 -425 -536 -603 -551 -440 -414 -465 -486 -421 -235 -138 -213 -341 -484 -530 -463 -386 -368 -364 -381 -361 -369 -418 -535 -570 -533 -454 -328 -338 -405 -487 -624 -595 -515 -461 -397 -392 -389 -452 -403 -393 -364 -364 -328 -279 -306 -366 -412 -501 -518 -544 -537 -568 -494 -392 -360 -354 -391 -372 -382 -364 -363 -297 -195 -208 -267 -384 -454 -417 -347 -382 -397 -506 -493 -424 -424 -500 -554 -518 -411 -299 -274 -370 -461 -500 -459 -416 -463 -475 -439 -435 -367 -382 -441 -482 -464 -431 -398 -448 -471 -444 -508 -529 -540 -501 -513 -466 -459 -449 -284 -195 -210 -311 -409 -528 -463 -381 -344 -423 -475 -473 -481 -443 -484 -525 -564 -493 -409 -324 -305 -348 -373 -401 -306 -292 -274 -344 -428 -403 -419 -394 -454 -610 -649 -542 -371 -243 -325 -446 -594 -597 -561 -544 -596 -631 -594 -463 -357 -387 -417 -483 -496 -425 -414 -411 -472 -582 -699 -689 -656 -592 -554 -589 -696 -598 -472 -409 -454 -616 -591 -438 -230 -151 -278 -473 -563 -450 -333 -359 -535 -656 -620 -544 -434 -497 -631 -650 -567 -450 -388 -401 -441 -537 -592 -560 -500 -423 -442 -477 -574 -524 -455 -409 -475 -603 -635 -531 -421 -429 -549 -691 -749 -687 -556 -518 -542 -580 -520 -428 -368 -351 -418 -450 -457 -406 -325 -282 -417 -606 -716 -644 -479 -404 -461 -643 -630 -506 -312 -266 -403 -577 -585 -435 -268 -289 -411 -491 -511 -422 -466 -510 -579 -570 -464 -420 -405 -497 -602 -708 -660 -555 -423 -282 -359 -461 -546 -484 -412 -399 -460 -567 -516 -362 -262 -292 -499 -594 -628 -531 -486 -533 -604 -654 -570 -510 -427 -437 -388 -358 -309 -231 -179 -163 -321 -452 -579 -578 -462 -425 -491 -552 -596 -554 -409 -425 -534 -616 -550 -366 -309 -363 -496 -518 -424 -277 -274 -359 -515 -516 -468 -428 -406 -507 -589 -579 -569 -494 -516 -509 -531 -596 -614 -582 -499 -414 -399 -453 -484 -394 -347 -268 -375 -404 -395 -304 -286 -352 -508 -633 -714 -652 -562 -513 -439 -445 -431 -381 -372 -373 -373 -334 -294 -241 -205 -222 -383 -573 -665 -541 -433 -386 -415 -528 -551 -490 -511 -596 -683 -671 -532 -355 -307 -402 -488 -509 -469 -456 -462 -454 -477 -387 -398 -503 -613 -672 -670 -635 -648 -643 -594 -539 -488 -521 -572 -546 -457 -384 -358 -333 -361 -301 -281 -326 -409 -423 -461 -516 -548 -615 -659 -652 -615 -600 -599 -538 -475 -358 -350 -342 -320 -278 -266 -326 -435 -531 -509 -502 -503 -507 -526 -483 -466 -497 -597 -599 -547 -463 -523 -574 -632 -619 -471 -418 -397 -430 -364 -320 -325 -360 -430 -439 -392 -402 -417 -543 -591 -567 -566 -604 -651 -620 -523 -399 -439 -464 -503 -426 -414 -405 -386 -320 -258 -281 -413 -547 -636 -653 -616 -587 -588 -578 -507 -484 -570 -604 -643 -548 -361 -343 -333 -404 -468 -471 -520 -539 -518 -460 -388 -386 -416 -504 -484 -531 -597 -621 -503 -453 -397 -460 -501 -488 -395 -328 -382 -463 -474 -356 -235 -234 -380 -523 -549 -490 -391 -447 -532 -599 -593 -575 -615 -602 -563 -420 -363 -374 -360 -326 -316 -302 -420 -468 -415 -412 -344 -497 -602 -643 -573 -564 -610 -666 -660 -557 -490 -435 -492 -554 -557 -457 -416 -430 -505 -480 -431 -385 -389 -396 -406 -440 -448 -423 -365 -360 -458 -604 -659 -717 -636 -563 -455 -422 -409 -324 -296 -310 -318 -331 -350 -276 -245 -232 -235 -315 -453 -573 -589 -527 -508 -508 -582 -625 -625 -517 -532 -575 -591 -539 -344 -223 -253 -392 -474 -510 -441 -420 -515 -598 -526 -429 -413 -444 -630 -726 -639 -531 -454 -472 -506 -497 -525 -526 -475 -430 -358 -330 -271 -302 -295 -354 -413 -433 -506 -487 -542 -499 -569 -645 -686 -627 -550 -466 -412 -443 -484 -415 -389 -386 -408 -477 -508 -462 -391 -339 -439 -576 -656 -634 -609 -545 -515 -591 -527 -475 -397 -414 -503 -618 -590 -469 -371 -337 -427 -490 -462 -444 -445 -451 -509 -506 -460 -448 -460 -525 -545 -576 -568 -516 -471 -424 -435 -479 -532 -536 -473 -391 -373 -422 -422 -403 -338 -296 -429 -594 -706 -688 -606 -510 -541 -607 -595 -560 -431 -437 -461 -492 -453 -331 -289 -312 -451 -555 -561 -533 -429 -410 -485 -562 -606 -600 -541 -513 -545 -594 -579 -476 -388 -346 -498 -597 -594 -471 -355 -340 -422 -461 -409 -362 -306 -307 -449 -547 -514 -517 -524 -532 -597 -623 -613 -602 -481 -445 -370 -384 -357 -383 -345 -402 -412 -518 -506 -494 -438 -460 -584 -638 -654 -563 -451 -523 -635 -648 -605 -564 -502 -506 -526 -533 -481 -380 -348 -398 -432 -519 -630 -585 -480 -374 -419 -493 -569 -517 -422 -446 -577 -699 -664 -488 -362 -398 -527 -613 -566 -379 -248 -214 -316 -335 -321 -303 -401 -544 -614 -564 -482 -514 -494 -567 -613 -675 -715 -608 -482 -385 -372 -378 -412 -323 -251 -336
+-18 27 39 8 -60 -127 -138 -119 -47 -27 39 84 95 104 56 20 -61 -103 -104 -109 -72 -38 -34 -47 -47 -18 36 126 183 202 223 202 124 36 -20 -18 -13 -49 -26 -69 -6 61 67 80 106 107 126 127 188 189 205 153 110 83 102 148 198 165 139 88 80 178 188 245 279 304 328 327 310 240 185 103 64 77 160 265 284 286 282 335 344 341 263 250 221 271 259 231 116 55 105 220 356 384 402 437 433 418 373 281 253 345 394 456 367 234 158 167 277 344 396 448 491 526 565 530 451 408 407 444 504 546 544 517 453 402 376 363 428 492 524 569 512 474 450 473 487 509 517 581 616 596 521 437 452 540 648 765 764 758 765 738 689 580 497 485 492 504 486 497 552 679 735 770 722 698 743 846 880 845 777 663 621 613 604 624 609 659 661 697 690 697 699 699 736 751 752 785 800 802 808 754 710 730 755 740 712 693 701 736 786 804 752 697 694 737 767 734 686 625 663 715 741 739 790 845 893 930 915 871 856 844 793 668 550 482 492 612 750 778 838 860 825 868 831 850 886 925 928 896 814 685 634 613 585 636 724 755 805 804 726 683 649 641 688 705 757 786 760 745 698 612 594 656 701 773 829 827 759 686 622 578 570 583 629 673 683 600 540 491 540 648 670 760 846 902 951 916 802 690 592 616 610 615 592 612 534 556 569 611 707 768 798 868 850 838 764 678 624 603 632 693 746 739 728 716 728 729 677 676 658 648 708 704 727 692 643 597 620 658 693 756 801 803 772 773 784 731 731 782 783 812 733 632 550 552 606 686 720 754 800 847 882 951 943 966 955 902 872 882 815 751 699 645 686 790 853 883 890 922 913 922 898 851 853 919 943 934 870 803 804 864 920 981 1001 1004 1011 945 876 817 795 859 935 977 912 889 854 907 905 945 1039 1079 1129 1124 1122 1126 1071 1056 997 955 954 935 925 943 897 863 795 812 836 954 1083 1153 1238 1178 1111 1052 1034 1010 981 979 1031 1022 1003 882 849 878 937 1022 1075 1057 1018 1007 1000 986 911 905 892 918 989 1066 1135 1156 1109 1003 994 980 1026 1062 1049 1072 1082 1007 913 844 850 896 987 1047 1021 993 983 1028 1082 1071 1067 1103 1129 1150 1107 1007 927 859 891 899 880 894 914 961 1014 1048 1026 1045 1047 1011 995 951 920 906 893 850 843 784 796 885 946 992 971 957 932 933 939 927 849 766 693 716 772 826 873 902 947 980 930 936 913 952 914 899 852 847 837 902 891 835 730 758 838 932 969 962 950 966 994 997 932 877 879 899 928 884 780 685 691 734 771 837 909 959 993 998 944 898 824 784 794 856 868 874 842 816 790 815 839 862 925 1035 1052 1032 925 805 680 636 715 789 914 964 981 950 856 826 919 1012 1075 1061 1000 954 935 908 862 815 816 843 929 983 925 897 858 885 877 963 984 1011 1049 1104 1132 1027 929 862 818 874 935 999 1052 1060 1065 1042 1014 1003 1013 1025 1049 1078 1012 919 883 882 918 953 1001 1016 1043 1133 1216 1225 1214 1122 1045 968 932 966 1024 1029 992 949 917 935 1045 1173 1183 1204 1175 1191 1172 1119 1068 1016 1046 1121 1152 1149 1063 1065 1032 1021 1030 1057 1069 1112 1113 1135 1122 1140 1149 1118 1129 1078 1108 1055 1056 1028 1063 1111 1161 1193 1170 1185 1196 1149 1133 1056 994 980 1010 1079 1120 1097 1032 1020 1043 1159 1295 1376 1361 1269 1165 1095 1115 1076 1012 1006 1013 1046 1068 1041 990 959 1026 1101 1137 1143 1139 1111 1113 1039 992 961 964 1033 1068 1090 1138 1095 1020 965 908 872 947 994 1050 1040 1037 1017 974 914 862 864 904 976 1022 997 985 1018 1060 1065 1051 1001 978 1007 982 944 843 794 774 782 815 912 985 1034 1067 1068 1047 1041 1015 990 958 915 913 866 829 781 785 823 853 878 915 970 998 1032 973 917 863 876 875 848 811 830 852 896 876 844 834 860 902 911 852 838 855 901 877 840 846 871 929 924 796 695 748 837 968 1065 1098 1050 1018 1020 1003 928 898 846 834 819 798 755 760 821 847 927 996 1043 1128 1149 1113 1045 987 928 904 909 946 980 992 960 920 936 928 943 987 1001 1060 1065 1014 914 833 824 838 963 1061 1140 1181 1165 1119 1071 1061 1086 1084 1126 1078 1047 1041 1022 976 921 871 918 965 1024 1064 1062 1113 1120 1156 1172 1206 1223 1276 1307 1269 1188 1074 991 921 881 911 1035 1115 1202 1197 1171 1098 1073 1096 1121 1095 1123 1167 1172 1146 1078 1014 1019 1076 1161 1202 1241 1184 1184 1147 1124 1037 976 993 1024 1118 1124 1098 1060 992 1030 1093 1179 1245 1283 1243 1254 1224 1144 1066 1022 1001 1048 1067 1066 1062 1020 1009 999 984 1065 1152 1230 1246 1209 1170 1150 1155 1129 1017 940 928 945 948 962 941 952 956 991 1023 1013 1004 1019 1066 1056 942 886 906 935 1002 1069 1025 1000 999 1047 1092 1081 1013 961 911 909 967 918 822 803 830 915 982 971 956 985 991 1038 1082 1055 1033 1038 1067 1018 947 846 813 818 861 868 868 840 870 894 900 869 861 926 997 1095 1055 979 882 778 733 720 791 853 920 975 997 922 881 868 893 907 877 860 845 800 800 774 761 781 833 943 1069 1099 1060 967 914 880 898 897 922 891 871 888 875 822 808 809 862 929 990 995 1024 1066 1073 1036 1000 953 1000 1015 994 935 901 865 842 860 843 840 856 948 1010 1068 1071 1043 969 950 918 972 989 1018 1008 948 919 927 988 1038 1069 1092 1064 1023 980 964 874 859 801 840 910 998 1109 1171 1195 1209 1188 1223 1200 1167 1131 1149 1098 1035 950 874 848 921 992 1051 1031 1060 1046 1040 1056 1060 1111 1184 1272 1234 1205 1090 1018 1031 1054 1041 1073 1096 1092 979 960 973 1078 1163 1181 1155 1116 1080 1096 1098 1077 1016 1002 1066 1162 1275 1288 1236 1202 1170 1155 1156 1162 1107 1101 1041 997 940 868 919 984 1114 1270 1333 1345 1280 1183 1109 1081 1066 1070 1076 1099 1111 1064 1000 948 934 956 993 1025 1078 1089 1104 1088 1069 1036 1059 1052 1041 1062 1134 1140 1111 1006 885 841 905 967 1047 1096 1098 1031 1034 986 937 915 943 980 1015 1058 1039 1029 1019 1034 1033 1053 1086 1129 1191 1166 1109 1006 908 839 772 773 844 921 1009 1055 1087 1068 1082 1066 1078 1102 1094 1066 987 942 847 832 832 825 881 870 892 908 887 874 874 864 888 874 893 922 943 920 960 913 890 872 902 919 945 936 925 913 912 929 949 967 936 901 833 780 795 858 885 930 966 1011 1024 1067 1073 1047 1019 1048 1024 1007 941 851 742 694 715 775 858 922 935 968 1038 1049 1058 998 995 931 970 1018 993 955 916 893 909 892 919 974 1045 1050 1019 932 883 905 938 981 1043 1058 1060 1053 1030 988 1002 1008 1037 1099 1139 1121 1129 1109 1071 1050 982 942 908 911 1004 1069 1069 1026 975 1001 1103 1204 1222 1278 1285 1284 1207 1092 958 889 932 1023 1061 1036 990 952 978 1082 1130 1158 1195 1217 1245 1211 1137 1081 1024 1010 1046 1091 1098 1150 1222 1235 1174 1053 1058 1030 1064 1091 1153 1134 1121 1084 1054 1011 1046 1082 1119 1201 1240 1203 1191 1154 1160 1180 1216 1212 1149 1105 1043 996 939 867 913 961 1014 1076 1092 1131 1170 1247 1254 1176 1081 1042 1037 1104 1129 1050 961 903 923 1003 1085 1120 1169 1109 1109 1102 1072 1028 1043 1007 1027 1071 1053 1053 1019 1023 1075 1146 1180 1180 1131 1126 1069 1038 950 922 982 1032 1097 1102 1058 972 968 939 939 1004 1016 1115 1139 1125 1075 974 921 906 930 942 935 943 912 881 832 809 863 994 1134 1166 1154 1071 1029 939 909 864 796 863 855 912 931 922 940 898 883 896 944 996 1044 1037 995 916 858 823 867 920 932 1015 1021 1067 1063 1073 1027 961 951 936 980 976 953 921 882 895 896 892 845 854 883 959 1008 1047 989 988 1008 1027 1012 1025 1044 1013 953 874 773 738 780 881 994 1017 1036 1018 1018 1024 1025 1004 1020 952 955 912 942 968 1021 1026 1008 1051 1097 1158 1139 1104 1078 1015 958 921 937 950 937 929 905 937 989 1058 1125 1167 1184 1175 1147 1066 1043 991 1000 1016 1051 1105 1059 1018 943 901 943 1013 1090 1162 1130 1095 1064 1033 1072 1079 1145 1161 1169 1119 1031 965 1004 1035 1071 1106 1138 1178 1266 1264 1202 1081 986 900 962 1005 1063 1110 1107 1132 1124 1132 1141 1209 1234 1300 1260 1234 1162 1059 978 932 903 957 1049 1132 1151 1130 1113 1112 1142 1147 1159 1149 1146 1145 1129 1119 1005 972 943 947 1002 1001 1052 1088 1068 1050 992 959 935 986 1109 1172 1214 1187 1056 975 915 905 954 1002 1077 1136 1200 1153 1120 1079 1041 1052 1029 980 1008 976 948 915 886 898 983 1095 1137 1174 1171 1116 1093 1054 959 876 830 876 936 934 892 871 841 892 894 941 969 1021 1031 1014 936 900 883 897 931 943 937 911 902 902 900 911 915 904 948 994 987 992 916 857 867 912 971 979 922 864 866 835 895 949 978 1005 1070 1103 1065 1016 912 850 797 787 802 851 839 890 877 929 988 1022 1041 1048 1084 1085 1057 974 878 789 806 836 825 852 847 896 980 1025 921 857 870 952 1054 1056 1055 1016 970 937 917 908 912 984 1040 1047 991 942 939 932 939 907 903 941 964 1014 1042 981 953 972 950 1007 1071 1096 1090 1155 1150 1150 1108 1057 1054 1025 1055 1001 886 804 753 814 934 1004 1078 1127 1193 1237 1225 1133 1060 1086 1146 1150 1095 1011 980 1037 1076 1082 1089 1098 1109 1162 1158 1080 1072 1050 1108 1088 1058 1101 1126 1164 1169 1143 1127 1094 1134 1167 1185 1192 1191 1194 1151 1093 998 969 967 1040 1058 1030 1021 980 1024 1077 1154 1180 1181 1128 1155 1165 1169 1099 1012 949 952 968 981 986 961 1022 1092 1128 1147 1126 1155 1238 1251 1195 1088 981 921 977 988 1039 1065 1104 1118 1123 1075 1037 1035 1091 1127 1145 1098 1057 1029 1004 996 997 1072 1136 1173 1208 1106 1009 991 997 1020 1021 1010 1023 1011 1008 968 914 852
+-88 11 61 68 31 0 82 168 216 170 120 52 52 152 189 178 69 -8 2 86 145 89 48 30 116 160 230 177 69 0 93 142 173 109 92 153 243 314 298 237 184 208 247 317 286 196 38 -54 -15 70 139 155 135 163 310 444 464 371 262 236 317 428 439 299 234 208 266 308 310 234 194 177 283 291 309 290 258 246 313 407 439 362 232 201 264 377 379 316 211 200 329 483 504 343 225 238 274 331 260 131 67 177 309 429 381 308 280 341 523 602 562 419 392 310 333 335 261 149 145 190 312 387 414 320 321 335 428 486 447 332 291 284 302 319 304 249 238 322 373 447 409 329 221 214 298 396 414 411 290 267 355 398 408 284 251 292 407 473 382 276 304 392 493 504 362 204 170 270 378 390 286 265 276 377 472 500 484 439 452 451 472 434 384 277 239 262 307 354 352 299 331 357 450 498 477 392 349 351 403 425 399 310 295 386 434 467 450 369 361 395 426 465 387 336 348 429 457 439 352 312 317 442 560 518 443 355 379 521 661 649 589 560 501 530 500 437 342 344 371 433 493 459 487 494 514 573 607 635 576 530 488 483 490 470 425 388 416 520 561 593 530 450 465 532 558 516 453 397 421 471 517 454 422 451 534 616 631 580 504 525 581 602 606 543 483 504 529 546 538 508 488 483 563 632 677 688 608 630 699 761 737 677 577 549 553 630 594 538 519 519 580 711 723 690 686 723 748 793 702 599 529 497 555 654 647 650 611 600 635 661 634 608 598 575 638 613 604 481 444 506 617 703 662 582 601 674 716 748 707 647 598 651 682 718 627 561 525 506 500 610 622 646 597 626 733 804 777 679 679 676 733 738 619 512 482 543 610 619 551 511 557 677 745 727 648 562 543 523 519 528 514 538 624 681 668 656 623 610 631 717 766 756 633 535 460 492 557 558 522 497 538 610 685 677 594 545 555 587 671 656 618 562 551 553 529 483 460 426 476 542 616 631 575 488 474 587 666 694 623 536 500 538 567 514 440 418 491 627 668 699 653 667 612 579 554 505 460 493 517 605 689 673 609 564 637 725 832 806 665 522 506 576 620 518 425 410 507 684 736 635 575 560 664 777 751 714 653 662 714 742 705 603 542 456 509 530 562 551 524 544 557 609 643 652 641 639 685 727 714 631 589 570 618 657 636 590 608 648 741 783 746 635 555 516 587 629 626 610 503 542 682 838 927 855 787 749 805 910 857 724 586 568 668 742 682 551 523 586 762 788 758 656 640 714 779 819 806 760 745 764 757 770 718 646 579 595 644 728 752 707 646 650 699 741 705 681 674 658 720 692 660 573 585 691 818 912 886 780 677 640 708 728 645 558 450 474 576 696 704 669 687 766 863 901 835 739 640 700 742 757 672 552 489 566 703 744 676 609 603 638 696 781 723 709 706 769 800 794 715 671 617 627 649 623 651 609 612 691 684 670 621 577 573 642 714 680 595 489 473 551 714 791 785 730 673 757 805 793 663 555 506 511 549 522 470 460 474 633 682 719 684 674 684 712 740 697 624 537 499 549 608 627 580 529 550 582 634 628 555 533 569 665 747 701 643 577 634 683 692 653 612 577 655 698 773 741 630 573 577 664 752 798 681 531 510 554 652 654 617 632 741 848 866 834 738 686 706 728 721 618 549 468 505 523 553 553 610 689 792 837 860 827 699 627 585 594 666 680 619 586 619 712 729 684 590 564 623 713 721 651 569 602 709 768 761 675 678 710 762 800 777 763 703 718 744 823 796 798 729 687 687 682 661 575 577 564 680 781 757 761 782 827 885 912 845 799 785 753 748 681 618 563 595 607 674 744 792 787 764 695 700 758 730 705 615 646 751 818 769 663 640 730 872 890 741 583 593 653 725 708 660 612 622 748 783 732 662 643 643 716 750 752 785 747 765 783 810 708 713 607 597 600 646 666 603 544 621 728 800 871 792 755 781 793 820 742 603 537 524 552 551 585 589 630 658 765 791 791 694 635 628 697 731 697 579 495 549 658 733 724 694 648 662 696 703 634 538 551 619 654 661 615 596 591 642 673 715 698 626 597 641 729 782 771 659 596 560 606 630 590 426 366 434 570 649 645 556 607 709 821 858 773 621 598 621 712 653 530 474 527 614 693 691 678 593 592 648 700 699 623 508 507 584 662 681 646 605 630 714 812 820 761 674 615 673 721 718 612 504 485 542 657 707 643 564 534 581 641 701 731 664 657 729 796 794 639 518 524 584 674 617 553 520 555 707 817 803 686 655 714 813 834 745 604 531 593 676 749 716 663 676 756 860 877 803 703 614 644 669 707 704 660 629 683 724 799 800 764 763 807 850 889 812 737 611 575 618 680 669 657 660 678 695 677 603 573 578 739 855 917 896 823 738 721 779 776 727 613 577 601 693 724 717 632 623 724 843 886 811 644 609 657 738 760 701 652 688 807 886 874 837 760 754 776 746 709 645 598 581 621 677 685 679 674 645 758 824 895 817 754 693 662 718 697 657 627 650 715 708 652 565 537 570 644 678 668 613 634 640 713 732 721 654 583 565 630 676 645 546 496 519 653 810 853 803 732 674 722 674 595 506 495 570 651 662 628 589 633 720 766 758 721 672 588 571 565 525 539 538 506 555 569 603 609 588 592 629 725 712 635 492 523 604 690 683 547 459 441 551 637 672 581 506 532 632 675 727 665 644 615 660 697 694 628 545 494 539 610 633 648 606 655 737 809 735 538 454 429 489 552 527 484 484 572 651 708 699 716 726 732 756 732 605 498 442 487 519 595 612 576 579 605 674 760 715 578 566 607 702 764 704 633 586 659 733 731 720 676 699 741 759 734 699 636 677 710 729 756 749 701 695 728 730 744 694 651 662 760 868 937 893 824 784 794 830 780 696 616 589 599 626 646 619 659 734 824 900 884 825 751 716 694 699 671 617 596 590 676 768 760 748 691 628 699 780 812 741 609 609 725 777 818 765 771 691 790 842 765 709 692 743 786 797 774 695 680 685 723 758 720 682 632 597 664 713 718 712 748 746 814 862 816 736 633 597 616 594 579 530 500 576 670 722 723 665 648 708 793 829 732 582 512 558 635 692 693 650 623 630 738 740 670 607 550 599 683 649 603 547 532 610 690 688 602 525 604 747 808 777 647 577 595 635 695 699 602 548 525 543 520 511 496 539 594 671 734 768 740 732 713 738 749 698 630 546 501 560 605 584 545 528 549 667 786 810 722 613 589 580 634 616 565 567 612 705 775 763 720 612 609 678 719 689 606 535 555 655 719 677 556 493 568 659 756 720 653 612 615 666 670 645 618 641 698 670 612 487 380 469 517 594 642 672 642 666 723 792 818 769 669 647 646 718 715 620 541 485 552 685 715 712 720 728 745 760 726 638 572 577 619 676 761 798 811 782 783 791 789 760 678 684 664 682 706 643 520 462 567 658 726 717 679 688 773 818 787 704 696 763 862 895 839 671 574 540 554 633 617 612 589 594 683 741 774 769 683 715 773 824 844 763 670 628 675 703 724 706 690 705 757 783 800 710 657 623 625 640 660 582 617 649 725 827 878 812 739 689 716 786 743 679 544 545 599 613 624 581 587 640 677 718 639 578 584 699 793 807 772 691 673 677 691 684 653 576 551 543 617 623 637 645 662 708 753 847 781 685 579 590 604 605 589 581 562 613 694 661 622 591 603 635 684 627 550 453 419 458 480 531 599 638 699 724 700 695 647 601 600 639 612 519 474 404 442 524 574 558 514 456 483 542 650 714 625 605 625 684 701 694 638 581 539 522 547 487 492 482 511 570 629 623 596 585 599 636 610 615 547 498 532 598 650 616 593 626 648 699 753 656 615 572 535 525 488 405 384 465 512 610 673 650 675 650 692 755 758 695 606 547 552 584 647 615 617 621 628 692 665 630 584 578 697 789 806 771 732 721 772 817 777 662 649 693 721 765 723 680 664 723 733 780 754 698 654 639 656 667 635 650 612 701 781 863 852 809 751 727 774 767 729 595 496 509 588 647 646 598 615 668 754 861 886 834 781 793 821 850 781 711 614 654 716 798 804 708 601 554 660 773 733 713 684 745 823 858 808 692 668 726 792 819 779 751 684 723 768 814 822 800 741 712 744 751 698 572 506 527 691 766 793 744 704 800 909 931 792 649 622 670 765 767 668 526 520 593 679 721 671 702 750 766 780 738 696 632 620 624 700 730 705 663 711 700 749 760 694 636 612 660 730 728 666 601 611 635 729 734 711 659 618 638 640 673 686 658 684 723 789 793 724 573 485 538 626 665 605 519 542 664 825 788 735 663 665 779 772 703 572 496 546 578 555 564 541 561 616 685 731 714 666 627 622 689 734 720 676 596 587 633 665 705 677 609 607 673 676 672 606 528 535 574 600 614 610 564 580 586 646 632 645 643 673 760 862 833 756 672 627 701 708 655 549 443 472 587 681 656 604 674 781 863 871 775 716 720 737 774 761 702 683 668 733 782 806 790 781 749 737 706 697 679 667 645 671 668 705 737 709 704 747
+-27 100 128 59 -37 -60 -38 14 33 31 -12 -75 -125 -141 -160 -140 -111 -111 -128 -113 -127 -127 -164 -176 -207 -239 -175 -186 -267 -335 -348 -302 -233 -225 -235 -252 -208 -61 -44 -119 -230 -317 -330 -261 -270 -300 -437 -461 -370 -239 -221 -212 -276 -259 -212 -153 -191 -219 -281 -219 -141 -79 -74 -257 -331 -393 -344 -328 -294 -276 -271 -239 -205 -216 -254 -295 -278 -238 -225 -246 -310 -358 -393 -406 -374 -300 -201 -163 -176 -206 -249 -204 -198 -244 -345 -404 -428 -323 -258 -291 -359 -388 -325 -213 -103 -96 -162 -145 -60 -15 -30 -221 -257 -332 -232 -157 -187 -253 -294 -232 -154 -69 -108 -187 -117 -45 25 46 -26 -137 -174 -199 -143 -163 -127 -164 -145 -66 -50 -34 -60 -49 -36 32 11 -9 -86 -134 -178 -193 -132 -73 -3 44 86 64 56 7 -33 -7 36 44 96 97 39 -45 -117 -133 -21 78 130 140 84 66 122 87 97 36 50 83 138 52 -37 -176 -191 -111 11 74 130 128 120 85 58 -12 -30 -26 -32 -45 -7 -7 -32 -155 -212 -161 -120 -66 -62 -52 -9 97 98 59 -89 -176 -138 -30 -18 -41 -197 -232 -235 -142 -22 5 -16 -13 -3 -34 -60 -91 -123 -154 -213 -209 -148 -110 -121 -139 -134 -170 -131 -108 -135 -162 -137 -104 -54 -88 -252 -369 -349 -325 -265 -300 -319 -297 -277 -235 -290 -340 -359 -326 -287 -226 -225 -324 -406 -527 -507 -463 -373 -379 -456 -468 -454 -345 -293 -326 -441 -435 -312 -252 -281 -426 -583 -607 -581 -575 -541 -514 -413 -330 -226 -270 -364 -453 -470 -445 -427 -444 -460 -454 -480 -521 -500 -431 -376 -354 -410 -500 -472 -389 -390 -431 -501 -522 -446 -329 -347 -477 -611 -628 -560 -447 -433 -425 -454 -427 -360 -315 -337 -403 -472 -558 -506 -472 -474 -546 -575 -601 -472 -356 -342 -404 -488 -470 -365 -258 -219 -335 -392 -349 -278 -285 -366 -472 -553 -465 -365 -241 -226 -240 -246 -202 -178 -192 -255 -318 -316 -373 -328 -320 -345 -346 -359 -278 -194 -112 -100 -171 -229 -240 -181 -182 -228 -311 -319 -306 -206 -160 -196 -256 -272 -251 -150 -120 -90 -87 -124 -68 -66 -43 -110 -170 -242 -219 -107 -32 -45 -145 -227 -226 -130 -36 -18 -54 -18 -3 66 11 -117 -230 -280 -194 -70 -75 -113 -160 -99 -21 47 40 -42 -104 -107 -49 -68 -127 -235 -310 -242 -129 -27 27 58 -8 -69 -87 -108 -103 -142 -102 -134 -117 -106 -94 -180 -286 -300 -232 -150 -77 -55 -73 -61 -32 -5 -46 -93 -150 -181 -175 -187 -262 -324 -360 -333 -243 -121 -48 -13 -66 -79 -88 -99 -179 -283 -380 -348 -253 -106 -139 -273 -452 -453 -396 -313 -308 -315 -293 -255 -230 -300 -421 -525 -514 -419 -322 -340 -423 -423 -430 -366 -351 -407 -403 -416 -369 -341 -398 -481 -598 -602 -601 -496 -444 -411 -443 -495 -472 -401 -401 -387 -411 -383 -318 -326 -321 -391 -495 -538 -559 -567 -557 -557 -529 -538 -540 -511 -546 -467 -416 -449 -481 -468 -422 -413 -466 -582 -605 -573 -486 -480 -563 -659 -641 -505 -396 -427 -572 -639 -640 -549 -557 -650 -736 -748 -651 -516 -491 -468 -468 -397 -317 -294 -322 -423 -579 -651 -630 -532 -491 -542 -600 -643 -559 -466 -418 -458 -478 -448 -343 -310 -364 -392 -438 -389 -318 -281 -307 -442 -482 -521 -508 -442 -354 -335 -313 -297 -312 -268 -235 -268 -352 -387 -348 -242 -227 -277 -354 -371 -330 -205 -156 -205 -257 -206 -102 -121 -186 -293 -325 -281 -172 -138 -176 -312 -338 -257 -148 -25 49 20 -91 -151 -97 -74 -145 -246 -343 -273 -174 -79 -131 -255 -284 -222 -75 -26 -38 -109 -102 -56 -47 -96 -206 -238 -216 -166 -141 -158 -228 -239 -265 -262 -142 -66 -17 -51 -87 -159 -108 -110 -172 -262 -316 -222 -67 37 -10 -110 -198 -163 -86 2 -66 -161 -211 -240 -160 -177 -159 -262 -348 -323 -176 -46 -49 -119 -169 -131 -43 -30 -109 -243 -305 -279 -210 -264 -400 -530 -505 -361 -258 -251 -304 -331 -300 -267 -279 -407 -477 -442 -360 -308 -323 -374 -440 -569 -627 -626 -516 -409 -334 -368 -464 -476 -463 -443 -426 -509 -553 -492 -428 -440 -520 -637 -612 -493 -375 -291 -285 -324 -309 -352 -418 -536 -676 -691 -643 -542 -448 -465 -539 -584 -598 -548 -449 -403 -391 -445 -430 -455 -527 -644 -711 -742 -637 -535 -431 -488 -599 -644 -662 -608 -581 -562 -622 -633 -602 -572 -530 -583 -613 -690 -695 -627 -554 -534 -574 -572 -576 -516 -476 -535 -573 -610 -550 -474 -469 -580 -663 -728 -649 -540 -435 -459 -451 -428 -405 -398 -383 -459 -521 -505 -494 -440 -498 -538 -547 -496 -452 -486 -430 -460 -422 -356 -334 -281 -259 -294 -346 -383 -406 -358 -370 -422 -471 -565 -508 -390 -285 -267 -328 -373 -313 -225 -226 -316 -400 -374 -252 -190 -277 -374 -423 -349 -229 -211 -246 -272 -270 -169 -160 -219 -244 -318 -229 -193 -201 -280 -338 -357 -306 -238 -156 -63 -65 -86 -112 -93 -142 -211 -265 -354 -381 -310 -287 -270 -266 -285 -213 -202 -113 -105 -58 -77 -104 -168 -215 -176 -123 -146 -197 -284 -242 -107 -73 -127 -232 -270 -149 -55 -75 -157 -230 -165 -83 -62 -146 -266 -203 -74 23 -87 -223 -298 -249 -129 -55 -51 -125 -158 -170 -127 -188 -274 -365 -443 -422 -367 -314 -251 -271 -256 -237 -206 -183 -173 -205 -268 -303 -347 -408 -524 -586 -540 -420 -318 -291 -261 -292 -311 -347 -401 -426 -377 -348 -324 -349 -435 -468 -483 -488 -474 -458 -405 -313 -285 -349 -465 -486 -418 -358 -427 -524 -573 -530 -465 -442 -525 -576 -589 -472 -400 -391 -430 -452 -501 -486 -548 -517 -558 -518 -503 -482 -534 -594 -656 -750 -737 -696 -613 -537 -572 -546 -575 -498 -489 -517 -634 -692 -735 -656 -612 -622 -608 -646 -649 -587 -565 -523 -483 -491 -485 -552 -610 -682 -733 -720 -679 -560 -416 -345 -422 -498 -598 -567 -496 -454 -454 -448 -455 -420 -482 -552 -624 -672 -632 -461 -352 -334 -386 -442 -478 -442 -434 -388 -385 -383 -376 -398 -364 -457 -527 -615 -572 -459 -372 -326 -353 -407 -336 -269 -235 -268 -331 -351 -311 -277 -313 -433 -535 -501 -387 -269 -192 -236 -260 -262 -205 -176 -222 -264 -277 -285 -210 -183 -177 -213 -210 -172 -147 -146 -183 -164 -216 -222 -152 -119 -87 -107 -133 -224 -255 -239 -205 -182 -194 -201 -176 -99 -81 -92 -166 -184 -134 -50 -47 -130 -288 -297 -215 -97 -69 -135 -235 -246 -140 0 38 -34 -134 -122 -40 22 4 -65 -156 -164 -176 -83 -106 -146 -167 -142 -77 24 50 21 -50 -90 -108 -107 -196 -261 -284 -297 -229 -182 -120 -143 -235 -208 -198 -128 -106 -137 -256 -313 -277 -231 -278 -385 -435 -366 -240 -142 -134 -241 -357 -373 -244 -101 -95 -217 -333 -359 -314 -324 -327 -389 -358 -318 -248 -267 -285 -338 -369 -312 -271 -187 -140 -213 -311 -373 -415 -471 -511 -591 -573 -477 -320 -223 -271 -346 -391 -402 -379 -317 -365 -406 -523 -571 -529 -556 -530 -526 -528 -476 -427 -365 -420 -550 -652 -675 -585 -495 -444 -484 -531 -534 -530 -566 -604 -678 -687 -624 -529 -473 -376 -452 -502 -525 -538 -512 -561 -550 -636 -618 -568 -494 -456 -498 -585 -532 -368 -263 -274 -392 -533 -572 -475 -443 -509 -580 -594 -514 -418 -402 -512 -569 -604 -451 -336 -321 -345 -396 -453 -418 -453 -521 -519 -524 -450 -384 -346 -357 -382 -414 -426 -393 -354 -341 -352 -397 -432 -444 -449 -470 -465 -403 -363 -247 -224 -239 -283 -287 -297 -287 -234 -167 -90 -96 -235 -352 -413 -401 -353 -333 -297 -263 -191 -143 -198 -265 -285 -231 -122 -99 -182 -230 -213 -173 -157 -269 -379 -438 -325 -190 -79 -84 -138 -146 -88 -101 -165 -195 -199 -190 -140 -171 -159 -166 -159 -135 -48 -11 25 -19 -40 -111 -142 -145 -171 -204 -166 -127 -59 23 -29 -96 -118 -88 -50 -48 -76 -91 -100 -7 -32 -57 -105 -163 -177 -216 -197 -217 -197 -187 -221 -245 -170 -53 -10 -13 -97 -207 -205 -168 -208 -261 -325 -351 -305 -249 -268 -373 -368 -306 -177 -120 -192 -277 -318 -307 -256 -230 -288 -359 -327 -261 -177 -202 -259 -286 -299 -172 -92 -108 -260 -364 -453 -411 -333 -294 -370 -426 -372 -289 -225 -268 -304 -351 -313 -250 -217 -297 -360 -377 -349 -321 -408 -494 -599 -607 -594 -496 -496 -519 -509 -500 -473 -431 -330 -326 -362 -452 -537 -581 -530 -522 -563 -647 -646 -572 -423 -387 -523 -627 -622 -524 -424 -407 -466 -579 -584 -537 -468 -501 -580 -630 -507 -320 -243 -271 -393 -539 -620 -559 -555 -512 -590 -628 -579 -520 -480 -505 -621 -579 -459 -256 -166 -227 -450 -623 -615 -508 -418 -461 -493 -519 -452 -468 -529 -580 -630 -590 -496 -405 -349 -317 -379 -454 -459 -468 -421 -389 -417 -440 -425 -347 -278 -344 -438 -498 -403 -204 -118 -209 -337 -438 -371 -311 -241 -341 -436 -445 -306 -190 -159 -226 -271 -269 -218 -152 -149 -194 -300 -356 -355 -269 -266 -340 -389 -386 -246 -116 -56 -133 -241 -286 -193 -127 -89 -142 -220 -179 -166 -148 -188 -303 -346 -305 -146 -100 -99 -138 -187 -143 -99 -4 58 20 -117 -188 -201 -146 -124 -174 -262 -238 -91 54 74 -48 -193 -227 -209 -151 -169 -196 -235 -131 -107 -115 -161 -234 -246 -150 -59 -17 -79 -138 -211 -271 -226 -193 -220 -246 -334 -361 -303 -224 -278 -351 -360 -258 -110 -2 -50 -203 -339 -359 -288 -216 -247 -313 -305 -280 -237 -243 -318 -372 -390 -305 -253 -249 -290 -349 -342 -283 -207 -218 -297 -408 -434 -361 -277 -301 -357 -380 -289 -198 -172 -270 -334 -444 -425 -454 -501 -564 -605 -602 -559 -516 -480 -457 -415 -472 -449 -449 -410 -373 -456 -553 -686 -699 -607 -515 -572 -680 -727 -651 -546 -507 -552 -610 -608 -493 -427 -465 -577 -669 -603 -464 -403 -489 -625 -649 -577 -499 -458 -494 -625 -654 -595 -492 -397 -467 -529 -588 -553 -542 -593 -611 -656 -606 -476 -410 -411 -497 -531 -513 -499 -485 -483 -536 -558 -623 -668 -630 -576 -525 -503 -494 -505 -463 -438 -445 -496 -586 -538 -450 -366 -346 -467 -566 -612 -597 -546 -552 -577 -507 -348 -228 -205 -343 -448 -512 -463 -386 -363 -465 -442 -412 -386 -396 -444 -452 -419 -287 -141 -84 -214 -344 -418 -456 -378 -321 -276 -337 -341 -252 -230 -244 -329 -392 -368 -260 -118 -66 -81 -161 -242 -311 -336 -375 -396 -371 -268 -187 -134 -130 -156 -181 -230 -180 -153 -120 -120 -143 -166 -200 -226 -271 -263 -223 -169 -72 -45 -68 -115 -174 -246 -216 -111 -29 6 -86 -172 -167 -118 -110 -163 -236 -242 -156 -93 -58 -133 -205 -238 -212 -90 -76 -107 -193 -233 -202 -225 -258 -331 -364 -332 -221 -120 -102 -142 -145 -135 -67 -73 -109 -250 -332 -285 -208 -191 -254 -294 -278 -191 -43 -72 -169 -301 -321 -208 -168 -227 -280 -288 -298 -228 -200 -204 -217 -255 -259 -300 -279 -206 -189 -234 -281 -380 -362 -388 -447 -515 -549 -461 -391 -370 -423 -473 -481 -367 -253
+16 38 149 163 137 219 239 318 328 299 248 272 280 354 379 433 432 403 404 317 337 401 471 562 565 647 675 721 679 648 603 584 622 717 751 770 738 725 717 688 774 800 834 902 962 1004 961 910 892 956 974 1095 1102 1139 1149 1162 1185 1104 1075 1033 1064 1176 1332 1381 1357 1301 1284 1267 1259 1256 1236 1285 1301 1367 1425 1424 1427 1380 1388 1427 1431 1443 1464 1394 1329 1327 1380 1426 1430 1445 1427 1524 1635 1709 1673 1548 1458 1475 1524 1577 1622 1648 1685 1763 1711 1638 1503 1491 1572 1726 1846 1865 1837 1796 1814 1828 1786 1759 1681 1749 1812 1901 1927 1912 1902 1894 1866 1875 1908 1933 1949 1933 1883 1784 1753 1793 1843 1902 1994 2084 2099 2105 2052 1938 1886 1899 2016 2075 2122 2109 2098 2101 2091 2090 2051 1977 1960 1937 2011 2067 2103 2170 2192 2257 2215 2177 2155 2185 2286 2344 2312 2224 2123 2081 2131 2154 2161 2206 2230 2306 2309 2241 2164 2109 2127 2178 2279 2295 2365 2441 2466 2467 2379 2303 2301 2343 2314 2272 2303 2344 2416 2352 2265 2188 2212 2352 2427 2457 2430 2446 2465 2497 2511 2492 2478 2553 2646 2673 2617 2516 2531 2530 2561 2559 2448 2388 2473 2573 2660 2681 2674 2630 2585 2618 2680 2730 2792 2832 2881 2885 2821 2771 2647 2571 2587 2638 2757 2815 2832 2789 2786 2739 2686 2669 2691 2748 2792 2826 2800 2815 2821 2813 2818 2871 2893 2992 3057 2999 2876 2763 2729 2712 2670 2729 2776 2931 3105 3045 2924 2867 2859 2967 2946 2913 2923 2946 3085 3159 3146 3073 2968 2939 2943 2953 2958 2939 2963 2965 2973 2969 2980 3051 3081 3147 3166 3225 3215 3175 3083 2966 2971 3039 3084 3159 3167 3166 3240 3224 3146 3018 2942 2924 2988 3092 3192 3208 3153 3043 3084 3116 3178 3178 3230 3247 3259 3302 3225 3131 2983 2925 3018 3140 3169 3126 3082 3061 3136 3177 3192 3101 3102 3176 3302 3372 3390 3286 3166 3170 3170 3165 3174 3204 3212 3245 3249 3222 3157 3131 3065 3068 3155 3226 3279 3322 3222 3246 3222 3262 3274 3179 3174 3190 3275 3361 3377 3259 3171 3110 3151 3190 3204 3238 3217 3187 3186 3141 3080 3105 3171 3352 3475 3564 3533 3461 3351 3276 3287 3259 3212 3212 3256 3303 3287 3356 3299 3238 3215 3178 3232 3283 3369 3344 3370 3298 3293 3259 3286 3313 3355 3458 3463 3455 3339 3205 3105 3066 3132 3271 3304 3356 3344 3346 3351 3362 3328 3239 3147 3227 3359 3452 3477 3430 3390 3361 3353 3395 3336 3353 3345 3422 3442 3342 3238 3144 3161 3292 3460 3517 3580 3553 3567 3504 3478 3385 3355 3386 3450 3472 3510 3567 3537 3569 3527 3460 3491 3425 3466 3463 3467 3453 3495 3513 3434 3393 3426 3536 3616 3680 3609 3492 3443 3431 3397 3374 3376 3437 3533 3604 3620 3581 3496 3467 3468 3469 3402 3404 3465 3535 3584 3576 3566 3558 3554 3627 3664 3713 3705 3589 3578 3550 3535 3585 3561 3562 3562 3669 3710 3760 3764 3715 3721 3752 3714 3671 3621 3659 3663 3688 3671 3627 3659 3658 3661 3628 3695 3748 3784 3746 3647 3582 3601 3637 3627 3604 3595 3665 3796 3890 3780 3657 3600 3640 3640 3638 3611 3619 3709 3803 3782 3691 3581 3561 3630 3769 3783 3740 3688 3623 3572 3581 3619 3740 3797 3828 3776 3742 3728 3677 3606 3516 3492 3511 3588 3670 3715 3671 3722 3740 3762 3725 3613 3569 3563 3620 3669 3671 3630 3570 3572 3634 3701 3777 3728 3676 3649 3622 3636 3531 3432 3405 3471 3631 3709 3714 3639 3649 3710 3702 3626 3458 3438 3508 3733 3829 3806 3742 3653 3568 3503 3508 3532 3581 3623 3542 3518 3477 3547 3575 3616 3622 3634 3746 3787 3684 3581 3435 3431 3508 3581 3575 3547 3611 3659 3764 3691 3537 3439 3365 3483 3538 3598 3583 3578 3510 3514 3541 3525 3509 3560 3610 3665 3707 3733 3651 3533 3488 3477 3521 3533 3496 3513 3576 3660 3702 3660 3566 3515 3486 3541 3656 3723 3826 3816 3677 3609 3585 3678 3718 3735 3683 3697 3781 3724 3566 3388 3362 3465 3729 3813 3803 3755 3746 3762 3733 3590 3494 3453 3575 3749 3840 3779 3726 3701 3733 3681 3661 3646 3662 3726 3673 3638 3612 3540 3553 3616 3683 3763 3832 3968 3964 3869 3806 3735 3665 3612 3577 3612 3693 3816 3901 3915 3843 3731 3764 3768 3762 3832 3776 3767 3836 3851 3880 3832 3801 3812 3921 3969 4011 3897 3755 3664 3660 3639 3597 3649 3729 3843 4020 4012 3957 3794 3721 3661 3648 3678 3720 3765 3851 3823 3834 3778 3767 3795 3827 3827 3798 3736 3698 3688 3638 3617 3625 3693 3798 3821 3840 3836 3819 3925 3907 3859 3720 3684 3723 3807 3852 3781 3701 3736 3729 3748 3720 3643 3664 3702 3802 3821 3750 3657 3665 3735 3806 3821 3810 3812 3832 3874 3835 3715 3592 3596 3655 3670 3722 3725 3759 3811 3811 3699 3614 3533 3522 3593 3681 3693 3774 3773 3794 3786 3733 3796 3848 3894 3792 3748 3650 3567 3565 3517 3458 3513 3571 3696 3785 3811 3797 3714 3748 3747 3738 3718 3671 3685 3744 3786 3782 3724 3643 3592 3628 3677 3728 3699 3661 3593 3566 3530 3516 3512 3556 3656 3829 3876 3862 3757 3741 3696 3672 3609 3536 3523 3599 3704 3744 3691 3672 3679 3677 3617 3565 3543 3600 3615 3576 3545 3539 3685 3826 3888 3891 3885 3879 3877 3797 3658 3520 3417 3483 3583 3646 3699 3710 3785 3873 3845 3765 3682 3663 3657 3646 3659 3623 3708 3682 3747 3779 3720 3737 3713 3719 3696 3714 3664 3539 3454 3470 3556 3654 3796 3789 3813 3869 3867 3851 3788 3693 3653 3686 3738 3793 3814 3851 3858 3816 3770 3744 3793 3786 3733 3720 3705 3767 3845 3813 3772 3752 3819 3993 4110 4045 3930 3890 3859 3881 3758 3636 3557 3701 3870 3958 3920 3833 3848 3875 3911 3841 3787 3766 3850 3953 3964 3871 3733 3722 3729 3817 3901 3980 4043 4027 3946 3800 3709 3657 3664 3690 3747 3800 3897 3913 3925 3916 3817 3772 3844 3893 3959 3933 3888 3822 3825 3867 3898 3905 3899 3913 3960 3970 3910 3717 3624 3623 3702 3829 3883 3920 3987 4111 4170 4103 3926 3759 3770 3757 3786 3772 3767 3789 3855 3909 3844 3790 3721 3727 3774 3777 3791 3743 3681 3704 3726 3731 3836 3972 4049 4007 3903 3813 3749 3710 3676 3583 3556 3619 3767 3877 3842 3770 3665 3644 3718 3730 3698 3786 3865 3921 3963 3888 3808 3718 3761 3928 3949 3886 3764 3619 3568 3573 3563 3590 3626 3655 3813 3881 3850 3831 3844 3840 3805 3786 3694 3713 3694 3766 3778 3757 3733 3750 3738 3639 3647 3646 3769 3820 3824 3671 3555 3505 3624 3721 3780 3810 3827 3934 3999 3976 3861 3761 3716 3688 3742 3755 3707 3736 3771 3769 3704 3668 3655 3706 3835 3935 3959 3914 3794 3766 3726 3671 3735 3793 3905 3974 3941 3838 3688 3607 3563 3565 3563 3618 3655 3755 3818 3827 3790 3818 3821 3857 3803 3746 3696 3738 3821 3864 3773 3731 3716 3860 3911 3935 3884 3794 3790 3745 3630 3569 3548 3686 3862 4000 4055 4043 4057 4114 4020 3904 3765 3724 3748 3833 3917 3898 3860 3850 3844 3831 3829 3820 3827 3881 3928 3875 3840 3764 3791 3863 3974 4042 4027 3987 3960 3941 3831 3734 3646 3636 3652 3752 3788 3830 3829 3908 3903 3864 3837 3799 3801 3824 3829 3835 3845 3869 3911 3882 3859 3867 3941 4046 3995 3885 3807 3775 3834 3808 3751 3652 3720 3835 4015 4089 4036 3988 3971 3944 3938 3847 3794 3811 3896 3964 3916 3823 3741 3709 3752 3795 3816 3807 3836 3750 3742 3753 3780 3833 3808 3853 3873 3944 3951 3994 3876 3770 3680 3622 3626 3699 3733 3745 3751 3744 3755 3760 3739 3712 3746 3748 3800 3856 3794 3691 3634 3739 3804 3924 3860 3809 3812 3803 3841 3776 3610 3461 3432 3485 3559 3656 3703 3766 3839 3837 3772 3721 3725 3704 3784 3740 3734 3676 3617 3550 3551 3581 3699 3773 3742 3663 3589 3558 3611 3599 3576 3485 3537 3647 3813 3859 3848 3746 3684 3679 3651 3589 3593 3626 3649 3724 3712 3661 3622 3626 3656 3732 3758 3760 3732 3647 3539 3507 3539 3590 3650 3761 3835 3861 3947 3898 3783 3680 3559 3520 3454 3459 3533 3600 3695 3755 3775 3732 3723 3682 3713 3740 3800 3768 3677 3567 3545 3585 3686 3805 3824 3785 3786 3784 3796 3695 3632 3551 3516 3646 3764 3855 3892 3876 3888 3889 3806 3812 3749 3758 3794 3821 3919 3897 3866 3831 3795 3755 3739 3810 3819 3852 3889 3880 3818 3757 3743 3714 3747 3885 3938 4054 4038 3972 3864 3803 3719 3705 3660 3699 3736 3796 3840 3773 3706 3705 3751 3861 3895 3984 3975 3892 3819 3748 3639 3614 3673 3728 3823 3880 3976 3980 3927 3882 3745 3718 3664 3713 3821 3889 3891 3871 3847 3850 3859 3924 3902 3950 3918 3927 3880 3889 3854 3791 3791 3845 3887 3978 4017 3963 3897 3888 3829 3777 3669 3658 3684 3780 3916 3940 3992 3983 3934 3845 3808 3764 3769 3786 3823 3769 3693 3640 3666 3723 3799 3833 3937 3939 3919 3800 3719 3699 3695 3710 3711 3746 3820 3928 4023 3996 3893 3766 3712 3698 3763 3750 3763 3763 3782 3740 3728 3715 3699 3741 3758 3698 3670 3715 3690 3715 3717 3761 3788 3788 3779 3758 3712 3733 3738 3708 3646 3599 3581 3618 3604 3672 3751 3817 3809 3774 3720 3675 3675 3650 3673 3751 3791 3786 3753 3660 3638 3709 3760 3839 3838 3753 3741 3807 3793 3704 3518 3448 3470 3634 3749 3794 3797 3775 3784 3797 3776 3716 3709 3776 3797 3747 3725 3667 3627 3637 3619 3617 3650 3739 3746 3668 3607 3600 3641 3682 3675 3646 3674 3772 3814 3853 3787 3705 3664 3622 3612 3578 3565 3626 3691 3766 3818 3804 3681 3667 3665 3739 3816 3839 3771 3722 3699 3708 3695 3705 3760 3830 3914 3987 4015 3905 3750 3689 3659 3601 3647 3710 3803 3920 3908 3897 3883 3878 3884 3869 3786 3789 3798 3853 3825 3776 3683 3681 3724 3855 3913 3839 3827 3876 3844 3759 3686 3619 3720 3849 3881 3960 3919 3926 3950 3945 3878 3795 3700 3732 3840 3975 3964 3951 3894 3911 3911 3905 3927 3888 3891 3944 3989 3979 3895 3833 3802 3805 3828 3980 4065 4137 4089 4062 3959 3855 3751 3760 3726 3820 3851 3908 3910 3939 3867 3830 3838 3919 3987 4006 3944 3951 3941 3953 3897 3802 3715 3788 3884 3981 3939 3857 3809 3797 3822 3785 3702 3740 3819 3920 3980 3960 3862 3776 3808 3770 3774 3838 3887 3928 3923 3843 3757 3680 3695 3732 3695 3717 3732 3786 3796 3791 3761 3685 3660 3723 3787 3868 3887 3894 3893 3882 3820 3785 3679 3664 3713 3726 3723 3645 3594 3583 3610 3658 3693 3735 3800 3824 3841 3778 3655 3625 3641 3677 3748 3770 3767 3809 3809 3784 3729 3663 3626 3617 3589 3642 3687 3731 3792 3810 3768 3724 3736 3748 3797 3789 3760 3751 3704 3717 3663 3697 3750 3846 3808 3808 3729 3601 3592 3523 3471 3541 3578 3613 3695 3797 3862 3847 3853 3734 3663 3645 3666 3644 3646 3634 3629 3674 3652 3621 3651 3690 3757 3791 3837 3762 3742 3721 3753 3743 3776 3773 3792 3866 3884 3821 3785 3793 3832 3804 3754 3708 3723 3833 3877 3893 3856 3801 3801 3767 3751 3798 3854 3938 3950 3926 3853 3818 3798 3816 3804 3834 3861 3898 3880 3796 3764 3738 3773 3779
+-107 -60 -3 50 146 251 249 276 246 246 192 160 68 -10 7 18 135 267 358 394 416 388 320 227 143 65 -25 0 72 244 362 420 372 404 456 512 479 345 178 96 175 262 318 295 303 377 460 541 508 436 408 449 468 427 346 300 386 494 608 626 604 560 641 673 627 557 460 431 492 566 578 587 523 544 606 603 615 619 634 638 599 532 464 446 506 605 711 710 771 747 702 669 573 559 528 528 500 514 522 616 756 856 896 829 765 775 785 784 669 636 654 733 791 808 786 803 904 1011 961 866 698 649 670 730 746 765 780 825 934 1004 954 929 867 808 820 828 807 769 796 806 864 930 960 938 981 998 1027 950 900 786 704 725 792 953 1063 1141 1135 1054 1035 1029 1022 980 855 759 723 769 840 953 1043 1154 1251 1285 1252 1113 984 883 847 858 831 835 855 936 1085 1236 1245 1239 1151 1070 1017 988 933 896 857 888 1004 1190 1228 1170 1089 1089 1093 1077 1011 879 859 928 1025 1110 1127 1098 1075 1128 1214 1236 1173 1089 1015 1003 1039 1004 1040 1083 1145 1267 1300 1270 1267 1193 1183 1210 1189 1104 1043 1020 1060 1177 1250 1290 1335 1349 1339 1368 1302 1228 1214 1135 1032 1011 1051 1154 1271 1323 1319 1280 1335 1384 1346 1226 1113 1033 1081 1178 1192 1161 1162 1232 1336 1411 1353 1267 1222 1242 1311 1305 1188 1067 1120 1210 1306 1355 1355 1337 1372 1363 1381 1273 1191 1132 1204 1293 1316 1349 1323 1335 1386 1429 1431 1359 1313 1252 1229 1242 1206 1174 1200 1278 1382 1445 1479 1566 1541 1462 1328 1186 1137 1141 1206 1234 1296 1390 1477 1573 1546 1502 1429 1411 1430 1344 1210 1122 1182 1262 1406 1504 1438 1414 1416 1435 1454 1378 1289 1232 1228 1293 1312 1347 1396 1453 1549 1613 1528 1420 1359 1320 1359 1340 1314 1324 1364 1417 1554 1610 1591 1535 1452 1410 1412 1412 1368 1328 1338 1343 1377 1443 1481 1558 1589 1602 1555 1528 1415 1349 1279 1245 1244 1332 1391 1451 1462 1493 1556 1650 1621 1526 1435 1328 1312 1344 1412 1463 1447 1526 1565 1621 1628 1602 1569 1518 1477 1468 1424 1381 1315 1375 1481 1627 1685 1635 1527 1471 1481 1520 1503 1404 1321 1359 1436 1556 1593 1595 1519 1555 1550 1562 1541 1444 1422 1405 1415 1397 1357 1354 1442 1610 1740 1767 1687 1544 1543 1523 1524 1463 1401 1328 1297 1392 1481 1571 1629 1679 1716 1712 1667 1569 1463 1414 1426 1378 1392 1410 1505 1587 1622 1661 1678 1678 1664 1544 1422 1411 1426 1528 1607 1609 1638 1635 1698 1774 1742 1659 1557 1542 1545 1538 1481 1423 1438 1580 1686 1740 1659 1593 1531 1529 1547 1505 1410 1334 1384 1530 1643 1703 1685 1643 1618 1685 1667 1629 1474 1389 1365 1369 1429 1448 1535 1648 1751 1765 1749 1704 1682 1669 1684 1597 1539 1527 1524 1573 1609 1653 1724 1832 1824 1702 1588 1517 1544 1586 1525 1456 1484 1579 1700 1764 1750 1683 1646 1658 1693 1673 1593 1551 1568 1614 1659 1638 1643 1627 1658 1665 1707 1623 1533 1471 1483 1563 1659 1712 1691 1676 1714 1751 1773 1745 1682 1682 1678 1626 1582 1534 1507 1599 1640 1637 1612 1571 1612 1686 1762 1780 1775 1725 1655 1544 1484 1475 1578 1647 1747 1769 1766 1780 1761 1722 1623 1597 1563 1560 1553 1532 1565 1601 1685 1751 1824 1769 1733 1704 1666 1648 1627 1554 1503 1528 1577 1742 1873 1865 1764 1629 1566 1542 1599 1589 1522 1557 1634 1680 1733 1761 1775 1844 1898 1777 1703 1575 1501 1515 1590 1598 1617 1595 1614 1698 1797 1830 1840 1733 1674 1618 1605 1565 1492 1519 1544 1637 1722 1744 1760 1712 1706 1708 1672 1576 1492 1502 1491 1534 1624 1664 1687 1702 1751 1711 1671 1667 1634 1684 1646 1588 1575 1612 1679 1770 1762 1768 1712 1658 1625 1567 1521 1573 1665 1666 1645 1640 1685 1783 1858 1856 1755 1685 1619 1616 1636 1652 1594 1564 1550 1561 1635 1638 1620 1611 1607 1729 1749 1710 1652 1581 1559 1634 1670 1647 1559 1580 1611 1738 1754 1708 1685 1693 1725 1700 1651 1564 1498 1480 1551 1598 1632 1690 1755 1811 1792 1751 1683 1667 1630 1599 1608 1656 1757 1844 1821 1701 1686 1644 1683 1720 1650 1570 1555 1642 1716 1827 1768 1741 1761 1829 1873 1851 1721 1589 1541 1586 1662 1707 1659 1666 1754 1849 1838 1741 1612 1547 1621 1683 1680 1552 1508 1482 1651 1735 1770 1738 1705 1717 1777 1772 1744 1699 1650 1673 1644 1625 1586 1590 1687 1784 1791 1789 1763 1702 1642 1644 1623 1658 1742 1755 1719 1760 1737 1790 1774 1725 1636 1560 1518 1579 1662 1736 1684 1694 1703 1807 1853 1817 1698 1634 1637 1673 1659 1625 1554 1591 1712 1807 1806 1738 1684 1622 1680 1738 1695 1649 1591 1623 1723 1728 1763 1742 1767 1722 1766 1726 1676 1670 1670 1669 1759 1746 1713 1570 1517 1562 1630 1755 1770 1754 1694 1678 1726 1734 1743 1729 1707 1698 1710 1722 1721 1715 1720 1693 1664 1622 1643 1655 1630 1617 1649 1704 1670 1714 1723 1764 1786 1794 1778 1657 1567 1542 1635 1638 1659 1650 1676 1724 1791 1802 1773 1728 1682 1600 1632 1635 1640 1619 1591 1689 1748 1784 1785 1735 1765 1811 1849 1847 1798 1684 1637 1649 1709 1729 1696 1661 1713 1788 1810 1759 1692 1664 1726 1740 1767 1685 1573 1659 1710 1784 1785 1742 1736 1702 1685 1647 1643 1624 1661 1614 1690 1717 1764 1834 1864 1823 1736 1696 1661 1674 1666 1662 1625 1701 1822 1912 1958 1901 1833 1805 1808 1810 1722 1660 1644 1704 1832 1862 1814 1730 1728 1816 1932 1890 1810 1727 1686 1832 1849 1824 1751 1717 1806 1867 1829 1722 1662 1632 1740 1840 1872 1799 1752 1786 1852 1835 1783 1728 1730 1750 1775 1757 1785 1806 1792 1801 1808 1794 1791 1759 1763 1779 1746 1749 1771 1726 1710 1686 1687 1718 1737 1820 1833 1863 1861 1857 1870 1806 1774 1730 1758 1827 1862 1819 1736 1658 1643 1714 1810 1836 1795 1819 1879 1893 1854 1760 1708 1744 1833 1862 1795 1700 1654 1634 1739 1775 1803 1757 1728 1762 1834 1840 1847 1737 1676 1654 1714 1774 1791 1778 1779 1769 1797 1784 1771 1758 1696 1747 1782 1779 1813 1791 1792 1830 1845 1815 1774 1682 1714 1723 1783 1859 1901 1878 1834 1774 1768 1780 1740 1745 1702 1631 1656 1675 1633 1669 1745 1840 1901 1947 1868 1789 1676 1649 1712 1756 1795 1742 1700 1693 1775 1830 1847 1766 1720 1698 1715 1776 1815 1822 1843 1847 1881 1842 1759 1691 1703 1806 1831 1822 1720 1739 1779 1878 1945 1838 1739 1730 1808 1835 1850 1778 1724 1706 1726 1782 1787 1760 1791 1822 1831 1802 1754 1754 1752 1807 1800 1817 1780 1773 1750 1648 1653 1664 1712 1793 1819 1802 1765 1728 1766 1803 1797 1763 1727 1780 1758 1800 1729 1646 1626 1695 1792 1812 1782 1789 1821 1942 1990 1908 1736 1679 1706 1816 1827 1758 1644 1664 1741 1852 1911 1866 1866 1847 1862 1828 1769 1686 1684 1744 1810 1811 1820 1741 1774 1804 1824 1817 1740 1718 1684 1714 1732 1755 1749 1742 1691 1684 1686 1704 1708 1739 1707 1677 1727 1764 1771 1794 1740 1745 1721 1753 1785 1753 1707 1703 1751 1783 1752 1677 1674 1729 1819 1857 1863 1771 1737 1754 1831 1869 1821 1766 1700 1664 1693 1677 1667 1677 1675 1767 1861 1886 1870 1798 1756 1754 1749 1731 1668 1591 1554 1594 1745 1849 1873 1769 1757 1758 1785 1795 1735 1687 1626 1641 1694 1726 1646 1603 1642 1723 1769 1778 1719 1723 1795 1772 1742 1717 1685 1629 1689 1773 1846 1863 1750 1637 1594 1566 1631 1718 1751 1735 1670 1669 1682 1779 1854 1842 1798 1740 1694 1695 1673 1612 1545 1572 1700 1795 1784 1720 1737 1754 1864 1819 1631 1462 1417 1516 1705 1750 1737 1656 1737 1778 1817 1759 1652 1609 1632 1723 1717 1655 1618 1641 1709 1779 1801 1697 1641 1667 1710 1763 1753 1749 1747 1798 1807 1792 1787 1782 1742 1713 1642 1557 1587 1649 1703 1716 1695 1714 1690 1718 1733 1716 1717 1714 1732 1797 1674 1566 1540 1622 1785 1751 1678 1567 1583 1742 1823 1870 1763 1641 1616 1668 1684 1643 1599 1595 1698 1798 1805 1810 1766 1763 1810 1844 1775 1731 1680 1672 1684 1661 1690 1678 1696 1736 1753 1776 1781 1745 1735 1685 1729 1794 1803 1813 1814 1736 1749 1769 1751 1782 1734 1658 1635 1642 1630 1647 1587 1603 1701 1773 1863 1850 1769 1755 1749 1742 1710 1638 1560 1539 1547 1611 1689 1747 1800 1839 1807 1749 1650 1576 1539 1529 1557 1619 1613 1673 1738 1814 1881 1921 1940 1878 1797 1735 1646 1643 1620 1635 1601 1641 1792 1920 1928 1859 1745 1677 1714 1682 1661 1698 1751 1843 1897 1878 1776 1685 1689 1739 1747 1751 1630 1536 1555 1656 1740 1768 1784 1794 1763 1753 1779 1723 1672 1627 1706 1661 1675 1658 1685 1768 1842 1792 1799 1767 1784 1787 1759 1701 1662 1682 1727 1717 1607 1600 1656 1791 1850 1834 1792 1778 1817 1884 1803 1607 1513 1557 1653 1744 1678 1645 1675 1777 1852 1797 1652 1589 1687 1796 1867 1807 1719 1672 1730 1818 1821 1799 1702 1720 1750 1725 1717 1674 1671 1757 1825 1782 1763 1749 1798 1878 1875 1849 1761 1659 1632 1670 1668 1713 1741 1774 1837 1818 1823 1796 1796 1825 1742 1628 1539 1540 1599 1727 1713 1684 1730 1827 1971 2000 1859 1738 1672 1675 1665 1547 1480 1483 1635 1806 1864 1842 1737 1698 1692 1693 1645 1632 1567 1635 1720 1735 1766 1741 1752 1780 1799 1779 1683 1600 1566 1567 1629 1701 1743 1783 1815 1854 1902 1877 1827 1745 1646 1576 1571 1635 1756 1795 1812 1802 1863 1905 1939 1921 1799 1710 1685 1667 1622 1584 1506 1554 1659 1746 1774 1770 1757 1813 1823 1832 1785 1676 1605 1602 1588 1639 1668 1684 1744 1753 1778 1821 1752 1731 1674 1636 1601 1672 1710 1747 1716 1786 1827 1930 1921 1867 1773 1734 1733 1729 1678 1681 1672 1764 1833 1901 1903 1799 1720 1750 1757 1733 1687 1638 1636 1752 1770 1806 1779 1784 1851 1920 1929 1907 1744 1683 1637 1668 1685 1608 1583 1618 1704 1777 1849 1891 1913 1921 1875 1746 1625 1579 1617 1642 1654 1630 1636 1756 1822 1837 1803 1752 1782 1786 1798 1656 1528 1556 1667 1770 1760 1732 1741 1805 1933 1903 1710 1520 1447 1561 1701 1778 1754 1768 1799 1904 1890 1812 1666 1652 1652 1648 1662 1625 1600 1606 1760 1852 1877 1859 1779 1765 1764 1703 1602 1500 1479 1501 1513 1544 1628 1741 1859 1908 1877 1773 1691 1681 1628 1582 1568 1588 1648 1733 1700 1664 1741 1780 1902 1912 1814 1677 1582 1624 1684 1685 1633 1609 1698 1833 1878 1839 1741 1691 1655 1671 1613 1532 1534 1611 1705 1822 1832 1766 1678 1705 1695 1671 1659 1499 1456 1450 1558 1663 1783 1781 1765 1767 1779 1774 1767 1697 1604 1578 1551 1529 1569 1617 1652 1749 1835 1849 1821 1786 1694 1635 1610 1657 1654 1648 1596 1581 1607 1696 1763 1803 1802 1827 1843 1861 1808 1717 1648 1596 1633 1611 1615 1628 1684 1751 1800 1831 1844 1765 1684 1574 1548 1646 1734 1748
+29 113 64 41 25 29 -3 -23 -57 0 60 78 -21 -180 -200 -190 -51 11 12 -15 -32 -18 -61 -142 -221 -327 -355 -332 -233 -109 -30 -15 -88 -149 -151 -126 -117 -87 -132 -204 -215 -209 -223 -256 -358 -353 -326 -224 -148 -149 -179 -222 -198 -211 -262 -325 -336 -294 -177 -76 -22 -101 -173 -259 -282 -275 -290 -319 -313 -213 -180 -187 -325 -423 -436 -389 -268 -184 -188 -262 -323 -365 -405 -412 -408 -361 -248 -232 -189 -249 -318 -388 -449 -461 -485 -490 -479 -421 -411 -375 -364 -370 -400 -423 -363 -273 -195 -163 -234 -344 -422 -469 -478 -459 -445 -380 -318 -263 -234 -260 -377 -439 -527 -548 -488 -423 -338 -307 -314 -326 -373 -405 -462 -502 -464 -400 -337 -350 -411 -493 -519 -473 -404 -367 -366 -391 -390 -409 -427 -554 -638 -656 -557 -350 -197 -176 -271 -431 -520 -484 -446 -493 -551 -606 -541 -450 -406 -413 -477 -494 -395 -310 -298 -358 -397 -429 -432 -484 -525 -621 -625 -631 -531 -468 -448 -399 -413 -399 -409 -473 -562 -611 -639 -612 -537 -440 -468 -540 -640 -632 -611 -527 -487 -532 -598 -625 -644 -606 -568 -555 -588 -581 -539 -456 -437 -491 -613 -718 -742 -715 -642 -604 -522 -463 -381 -389 -459 -623 -714 -678 -596 -534 -561 -624 -673 -610 -520 -503 -548 -609 -578 -505 -468 -486 -532 -629 -732 -723 -719 -679 -639 -626 -601 -601 -539 -503 -564 -657 -750 -748 -681 -596 -610 -684 -748 -790 -770 -728 -694 -678 -601 -575 -561 -593 -701 -847 -887 -840 -754 -660 -569 -587 -602 -632 -654 -703 -818 -891 -879 -740 -586 -459 -497 -640 -724 -753 -705 -658 -669 -688 -706 -699 -695 -743 -807 -822 -749 -641 -555 -525 -582 -647 -718 -762 -811 -859 -838 -816 -761 -690 -644 -610 -673 -750 -771 -715 -619 -624 -733 -789 -786 -799 -799 -825 -893 -867 -784 -671 -578 -614 -682 -746 -781 -823 -872 -856 -821 -705 -619 -547 -564 -630 -697 -779 -842 -849 -835 -800 -746 -697 -619 -626 -624 -668 -743 -755 -735 -683 -668 -749 -844 -894 -869 -782 -695 -627 -611 -633 -638 -632 -706 -785 -899 -961 -943 -812 -702 -660 -733 -790 -746 -683 -699 -771 -797 -783 -680 -605 -630 -764 -859 -862 -786 -749 -728 -727 -694 -612 -577 -597 -733 -871 -932 -893 -786 -670 -640 -644 -708 -758 -778 -758 -765 -785 -783 -770 -729 -722 -712 -764 -849 -818 -780 -715 -659 -680 -733 -854 -904 -950 -946 -950 -890 -776 -610 -492 -510 -705 -820 -879 -855 -791 -841 -939 -972 -884 -772 -768 -797 -880 -824 -712 -583 -580 -672 -801 -852 -891 -881 -925 -945 -942 -887 -780 -709 -676 -712 -806 -843 -858 -828 -829 -808 -779 -766 -771 -802 -815 -834 -854 -809 -757 -722 -791 -912 -1035 -1079 -1033 -938 -818 -816 -840 -884 -896 -869 -881 -943 -1047 -1106 -1020 -863 -727 -726 -803 -844 -820 -824 -874 -1009 -1113 -1132 -1052 -946 -940 -946 -951 -948 -885 -831 -821 -796 -802 -755 -749 -782 -871 -940 -992 -978 -936 -830 -770 -791 -895 -937 -918 -881 -874 -967 -1037 -982 -863 -805 -853 -949 -1034 -991 -873 -766 -714 -756 -806 -832 -898 -985 -1075 -1067 -1010 -932 -859 -812 -869 -909 -1001 -999 -1034 -1030 -1007 -980 -937 -834 -747 -713 -770 -830 -859 -885 -901 -912 -946 -992 -987 -1012 -1050 -1015 -992 -941 -852 -788 -715 -668 -708 -842 -994 -1092 -1043 -930 -872 -836 -836 -811 -766 -806 -904 -1006 -1003 -901 -792 -804 -905 -997 -1034 -958 -901 -882 -901 -864 -743 -655 -692 -767 -968 -1039 -1029 -980 -898 -827 -799 -807 -802 -825 -851 -876 -905 -951 -928 -919 -872 -804 -762 -751 -696 -706 -754 -739 -773 -793 -811 -857 -931 -951 -979 -980 -914 -842 -713 -640 -663 -739 -802 -842 -858 -938 -1038 -1059 -987 -790 -708 -726 -853 -927 -932 -846 -811 -874 -899 -892 -851 -838 -910 -1019 -1032 -967 -875 -809 -804 -788 -762 -747 -785 -857 -940 -1009 -942 -847 -782 -777 -827 -918 -964 -950 -900 -871 -900 -936 -925 -833 -771 -773 -784 -839 -849 -812 -754 -743 -796 -893 -985 -1105 -1133 -1093 -1035 -977 -929 -882 -792 -748 -791 -898 -1029 -1109 -1061 -966 -934 -956 -985 -982 -908 -971 -1031 -1058 -990 -851 -768 -806 -973 -1134 -1205 -1202 -1117 -1048 -986 -936 -881 -810 -752 -823 -862 -946 -1036 -1030 -919 -832 -811 -864 -982 -1004 -1011 -970 -942 -948 -926 -901 -882 -972 -1036 -1141 -1113 -972 -789 -709 -741 -818 -911 -971 -1009 -1083 -1141 -1166 -1094 -957 -845 -786 -855 -939 -986 -981 -983 -1016 -1116 -1128 -1111 -999 -960 -942 -973 -983 -926 -853 -810 -792 -784 -878 -970 -1057 -1105 -1127 -1081 -1038 -950 -908 -856 -812 -883 -969 -989 -979 -916 -865 -906 -945 -879 -880 -832 -917 -1029 -1101 -1050 -901 -796 -768 -862 -1014 -1016 -997 -924 -911 -915 -900 -791 -725 -737 -841 -970 -1043 -1043 -1020 -981 -988 -974 -896 -834 -807 -862 -924 -968 -928 -923 -885 -899 -915 -943 -937 -969 -989 -942 -873 -803 -771 -796 -793 -826 -857 -1036 -1176 -1192 -1039 -872 -780 -795 -883 -885 -864 -821 -835 -874 -867 -813 -735 -792 -873 -1027 -1051 -1020 -951 -925 -857 -869 -870 -851 -911 -954 -1102 -1104 -1027 -898 -789 -744 -776 -822 -861 -891 -903 -998 -1094 -1075 -950 -837 -764 -775 -828 -851 -835 -840 -825 -881 -863 -944 -993 -1005 -1035 -976 -893 -794 -716 -709 -646 -660 -709 -827 -941 -1002 -999 -980 -971 -932 -838 -791 -784 -882 -1002 -1024 -954 -849 -768 -820 -932 -945 -954 -922 -906 -949 -942 -958 -926 -911 -862 -860 -916 -1015 -1015 -989 -943 -895 -910 -885 -879 -829 -847 -897 -1003 -1020 -1010 -925 -861 -867 -850 -875 -856 -844 -872 -868 -863 -839 -825 -850 -928 -1052 -1122 -1124 -1050 -909 -787 -740 -738 -731 -755 -789 -907 -1004 -1109 -1077 -990 -890 -862 -878 -934 -1002 -979 -958 -924 -889 -900 -891 -923 -980 -1041 -1065 -1055 -1000 -983 -936 -868 -843 -879 -913 -936 -944 -1020 -1049 -1103 -1019 -920 -842 -828 -941 -1057 -1065 -1030 -969 -959 -913 -883 -826 -820 -899 -954 -1041 -989 -839 -754 -676 -728 -879 -1010 -1113 -1163 -1184 -1123 -1012 -863 -710 -603 -658 -764 -858 -941 -952 -958 -936 -957 -966 -958 -954 -948 -975 -931 -903 -810 -795 -780 -808 -840 -955 -1038 -1071 -1037 -923 -845 -819 -823 -846 -836 -836 -861 -934 -999 -977 -911 -872 -837 -865 -864 -873 -859 -861 -906 -923 -869 -810 -775 -786 -877 -947 -992 -934 -865 -812 -778 -776 -749 -773 -847 -958 -1133 -1179 -1101 -938 -792 -704 -712 -725 -780 -824 -887 -936 -927 -941 -932 -950 -964 -979 -946 -871 -803 -795 -777 -774 -751 -734 -763 -871 -914 -1006 -1011 -922 -828 -720 -708 -687 -809 -812 -824 -851 -843 -882 -879 -811 -730 -697 -752 -862 -948 -983 -948 -854 -829 -809 -833 -871 -913 -956 -984 -973 -898 -838 -778 -741 -739 -807 -865 -1014 -1088 -1100 -1078 -1006 -919 -832 -756 -778 -838 -896 -909 -860 -784 -774 -879 -997 -1086 -1122 -1063 -983 -870 -835 -732 -688 -643 -667 -752 -890 -1019 -1044 -1003 -944 -913 -876 -852 -823 -841 -861 -903 -906 -809 -797 -790 -843 -896 -936 -964 -910 -931 -877 -854 -862 -851 -864 -899 -933 -986 -1015 -1064 -1046 -946 -884 -870 -877 -923 -923 -904 -944 -991 -1068 -1112 -1050 -954 -898 -890 -939 -990 -926 -853 -838 -854 -899 -975 -1009 -1049 -1088 -1164 -1177 -1019 -833 -682 -677 -749 -808 -859 -854 -905 -995 -1113 -1079 -950 -875 -824 -877 -939 -953 -962 -912 -903 -869 -859 -847 -876 -921 -980 -981 -996 -969 -983 -982 -906 -852 -846 -933 -961 -1001 -989 -949 -938 -917 -911 -861 -841 -894 -994 -1061 -1046 -980 -913 -842 -862 -883 -896 -894 -906 -900 -858 -870 -766 -696 -664 -739 -948 -1144 -1208 -1171 -1032 -886 -777 -702 -696 -714 -752 -850 -889 -931 -955 -930 -945 -910 -893 -910 -927 -939 -897 -870 -854 -819 -823 -838 -884 -937 -1030 -1081 -1031 -921 -782 -738 -739 -830 -827 -852 -898 -959 -990 -933 -846 -768 -749 -764 -831 -937 -942 -957 -912 -882 -852 -799 -835 -875 -865 -865 -823 -747 -746 -721 -707 -694 -772 -883 -997 -1069 -1078 -1057 -974 -923 -781 -729 -720 -775 -842 -870 -867 -849 -871 -892 -982 -1004 -991 -950 -882 -905 -913 -909 -873 -815 -838 -888 -935 -984 -1043 -1040 -1005 -945 -878 -813 -771 -808 -846 -885 -886 -931 -935 -930 -867 -775 -715 -760 -890 -973 -1024 -974 -885 -864 -856 -866 -825 -843 -894 -928 -907 -811 -721 -662 -700 -791 -846 -871 -871 -936 -1034 -1135 -1125 -1009 -917 -876 -913 -936 -918 -837 -827 -851 -878 -886 -896 -961 -1014 -1098 -1113 -1019 -954 -927 -869 -837 -811 -838 -942 -1096 -1207 -1211 -1068 -988 -933 -894 -881 -849 -825 -877 -964 -989 -900 -788 -728 -758 -859 -957 -1025 -1027 -1045 -1039 -965 -882 -833 -845 -947 -1030 -1071 -1023 -946 -805 -727 -712 -734 -809 -882 -964 -1031 -1046 -1046 -979 -954 -1019 -1030 -958 -924 -899 -890 -874 -890 -833 -809 -853 -935 -1039 -1055 -1095 -1029 -991 -887 -787 -696 -715 -769 -881 -922 -962 -993 -1074 -1077 -1031 -903 -775 -784 -850 -944 -916 -913 -870 -858 -835 -804 -802 -850 -950 -1016 -1002 -931 -883 -872 -897 -937 -931 -947 -983 -1022 -994 -900 -801 -723 -702 -732 -782 -834 -908 -960 -959 -968 -935 -908 -933 -897 -859 -839 -852 -844 -887 -846 -788 -711 -691 -745 -826 -946 -1015 -1017 -990 -881 -785 -720 -714 -693 -752 -789 -886 -936 -986 -1010 -1033 -983 -909 -853 -835 -883 -952 -906 -834 -705 -671 -764 -848 -910 -977 -975 -1025 -1018 -952 -888 -837 -871 -911 -946 -1004 -1050 -1084 -1067 -945 -825 -707 -723 -810 -904 -981 -978 -946 -927 -923 -873 -891 -909 -967 -1009 -1006 -918 -803 -775 -785 -790 -789 -817 -889 -1003 -1108 -1110 -1023 -837 -721 -715 -728 -725 -716 -689 -768 -915 -1023 -1007 -953 -958 -995 -1080 -1086 -1017 -916 -860 -855 -847 -866 -849 -902 -992 -1054 -1059 -1007 -945 -914 -937 -931 -906 -950 -1033 -1115 -1184 -1133 -1067 -1007 -956 -866 -818 -799 -896 -1002 -1075 -1018 -914 -851 -851 -914 -971 -979 -1017 -940 -939 -953 -901 -868 -860 -931 -959 -1045 -1058 -1059 -1013 -1023 -1027 -948 -895 -808 -784 -890 -956 -956 -919 -856 -893 -962 -1035 -1059 -1118 -1143 -1143 -1120 -1021 -943 -827 -786 -828 -898 -978 -1070 -1130 -1145 -1060 -973 -902 -881 -926 -938 -986 -1029 -1067 -1085 -1009 -928 -804 -825 -899 -947 -975 -992 -986 -962 -951 -930 -841 -853 -973 -1104 -1164 -1088 -946 -802 -710 -723 -824 -859 -941 -1011 -1057 -1079 -1093 -1076 -976 -871 -811 -875 -894 -922 -911 -846 -843 -870 -866 -901 -969 -1093 -1173 -1212 -1160 -1074 -903 -759 -711 -729 -810 -883 -976 -1000 -1059 -1077 -1041 -904 -815 -750 -841 -978 -1031 -981 -902 -832 -862 -924 -941 -917 -903 -929 -965 -980 -885 -858 -814 -873 -922 -968 -993 -1008 -1005 -1011 -964 -889 -835 -799 -818 -890 -997 -1031 -1084 -1075 -1046 -1022 -1013 -1023 -1015 -960 -915 -913 -950 -949 -925 -817 -774 -800 -913 -1057 -1167 -1161 -1069 -958 -931 -886 -852 -789 -723 -781 -957 -1095 -1137 -1044 -931 -881 -936 -969 -953 -904 -849 -886 -926 -879 -799 -747 -797 -920 -1018 -1025 -958 -907 -911 -942 -990 -993 -1000 -1013 -992 -1016 -1051 -1086 -1035 -905 -778 -721
+238 344 338 132 -138 -330 -239 -58 138 163 256 331 407 403 268 131 47 92 121 121 42 57 167 307 398 346 281 267 427 459 477 401 288 218 205 287 300 353 457 589 670 682 556 446 367 391 370 362 351 412 539 665 754 719 718 712 721 674 622 570 589 668 687 646 612 636 780 923 988 975 849 735 588 512 548 671 795 947 1009 1053 1012 914 787 754 744 784 826 864 868 936 947 979 973 860 831 893 926 1010 1055 965 890 912 879 866 866 897 1004 1107 1222 1146 1109 1081 1111 1150 1144 1067 980 977 1041 1114 1108 1141 1131 1225 1395 1388 1351 1268 1224 1143 1039 899 797 884 1158 1432 1510 1489 1383 1308 1272 1148 1028 960 955 1145 1330 1441 1370 1350 1391 1394 1389 1257 1162 1136 1193 1195 1099 1069 1117 1312 1536 1617 1589 1470 1461 1473 1402 1255 1142 1128 1329 1484 1571 1517 1436 1475 1581 1589 1463 1285 1167 1217 1322 1372 1297 1347 1479 1657 1761 1642 1550 1528 1650 1667 1499 1215 1051 1118 1329 1517 1567 1541 1566 1744 1801 1686 1462 1336 1375 1472 1487 1458 1489 1667 1825 1879 1757 1581 1551 1605 1726 1650 1546 1420 1352 1413 1558 1614 1712 1807 1887 1910 1811 1695 1562 1582 1641 1750 1785 1701 1692 1730 1776 1795 1736 1638 1686 1739 1769 1768 1665 1628 1662 1714 1685 1616 1515 1639 1848 1999 2033 1943 1791 1662 1678 1570 1494 1476 1563 1685 1751 1760 1738 1795 1875 1846 1788 1672 1621 1654 1694 1720 1707 1753 1785 1904 1979 2049 2071 2114 1929 1758 1583 1576 1551 1664 1838 2032 2148 2175 2091 2002 1893 1910 1929 1894 1844 1759 1800 1928 2031 1985 1924 1919 2041 2084 1981 1833 1721 1715 1822 1805 1752 1747 1851 2034 2180 2185 2076 2022 1964 1905 1827 1745 1746 1819 1868 1975 1991 2029 2032 2035 2057 1990 1853 1878 1852 1917 1948 1940 1934 1977 2050 2187 2200 2133 2095 2046 2008 1917 1776 1669 1723 1949 2161 2258 2203 2048 1973 2013 1996 1897 1755 1745 1891 2064 2161 2102 2050 2077 2203 2222 2070 1901 1887 1949 2030 1977 1849 1785 1869 2019 2088 2090 2054 2100 2139 2163 2082 1992 1962 2026 2091 2086 2032 1999 2014 2069 2073 2025 1910 1962 2042 2162 2140 2027 1913 1874 1894 1948 1975 2010 2100 2218 2345 2340 2168 1972 1897 1890 1961 1894 1817 1862 1971 2185 2233 2119 1992 1929 2003 2036 2030 1951 1897 1916 2023 2106 2136 2154 2077 2178 2280 2259 2102 1893 1780 1805 1949 1975 2024 2121 2247 2348 2361 2254 2146 2125 2159 2122 2038 1951 1969 2070 2183 2205 2186 2184 2243 2326 2362 2310 2160 2089 1997 1913 1826 1822 1924 2180 2360 2369 2309 2182 2098 2051 2031 1975 1858 1782 1796 1959 2140 2230 2269 2250 2213 2213 2188 2123 1972 1922 1904 1979 2016 2028 2050 2185 2272 2369 2305 2187 2084 2022 1945 1935 1932 2035 2250 2392 2393 2326 2235 2171 2196 2190 2143 2089 2088 2199 2289 2324 2192 2127 2083 2103 2130 2154 2181 2213 2303 2298 2219 2097 2059 2090 2188 2282 2327 2276 2167 2109 2143 2123 2139 2155 2065 2106 2148 2115 2078 1981 1976 2073 2161 2165 2116 2124 2187 2231 2239 2079 1966 1978 2122 2220 2245 2205 2257 2296 2276 2164 1971 1925 2004 2143 2241 2236 2174 2182 2304 2293 2238 2094 2060 2155 2239 2293 2233 2082 2036 2049 2154 2138 2128 2176 2279 2379 2319 2237 2048 1958 1983 2142 2194 2174 2127 2156 2220 2291 2247 2103 2101 2160 2263 2243 2109 1965 1949 2100 2275 2290 2249 2258 2324 2392 2309 2156 1970 1963 1954 2011 2020 2094 2271 2480 2478 2388 2162 2085 2059 2022 1987 1923 1939 2121 2290 2449 2364 2322 2250 2181 2128 2086 2015 2008 1990 2057 2068 2092 2122 2149 2262 2363 2448 2315 2104 1994 1992 2081 2150 2116 2109 2153 2302 2343 2303 2177 2095 2085 2153 2227 2219 2205 2140 2200 2172 2118 2099 2163 2256 2291 2365 2309 2355 2336 2248 2191 2109 2117 2154 2247 2284 2300 2269 2276 2292 2272 2249 2152 2121 2100 2143 2167 2266 2297 2268 2263 2226 2241 2261 2242 2199 2178 2183 2128 2018 1883 1923 2081 2317 2395 2298 2160 2088 2192 2233 2222 2160 2120 2219 2310 2308 2155 2080 2083 2242 2319 2286 2324 2359 2405 2437 2325 2113 1970 1979 2116 2257 2362 2393 2417 2432 2336 2263 2127 2070 2091 2159 2153 2114 2063 2069 2248 2370 2414 2314 2187 2150 2157 2198 2115 2015 1989 2154 2254 2285 2217 2218 2339 2436 2339 2100 1893 1856 2002 2219 2322 2331 2298 2376 2422 2335 2096 1986 1974 2086 2242 2328 2221 2186 2290 2383 2406 2263 2121 2050 2139 2284 2279 2180 2109 2102 2156 2201 2203 2259 2329 2426 2407 2341 2161 2064 2150 2282 2326 2306 2233 2277 2334 2355 2278 2165 2046 2127 2183 2218 2135 2107 2153 2216 2213 2155 2141 2182 2340 2453 2498 2393 2243 2108 2070 2070 2053 2102 2167 2261 2327 2369 2332 2220 2145 2119 2170 2205 2224 2309 2334 2421 2448 2378 2235 2220 2261 2378 2412 2362 2271 2264 2249 2217 2146 2045 2093 2181 2243 2271 2217 2154 2191 2291 2348 2253 2288 2279 2299 2303 2231 2152 2163 2145 2213 2246 2336 2396 2441 2425 2301 2109 1987 1939 2087 2204 2408 2514 2566 2561 2465 2383 2319 2256 2157 2129 2119 2159 2278 2321 2323 2351 2414 2421 2300 2195 2156 2222 2257 2211 2060 2012 2112 2289 2448 2447 2362 2326 2300 2231 2146 2008 1967 2058 2171 2224 2141 2195 2202 2257 2291 2181 2131 2166 2204 2234 2269 2273 2197 2204 2182 2154 2137 2121 2205 2273 2361 2305 2209 2081 1983 2054 2187 2313 2323 2280 2262 2258 2288 2292 2194 2119 2167 2268 2370 2276 2189 2205 2244 2341 2234 2094 2047 2158 2335 2390 2256 2089 2024 2084 2231 2231 2197 2179 2211 2219 2173 2049 2010 2088 2250 2330 2293 2146 2067 2141 2127 2116 1994 1955 1991 2135 2308 2342 2374 2348 2330 2271 2154 2003 1929 1978 2200 2402 2447 2351 2230 2177 2234 2245 2138 2051 2076 2151 2226 2181 2087 2089 2225 2350 2380 2333 2191 2207 2263 2243 2137 1986 2023 2145 2330 2404 2290 2169 2087 2095 2064 1973 1954 2058 2266 2401 2474 2328 2220 2186 2211 2172 2117 2060 2096 2160 2238 2254 2147 2083 2123 2179 2170 2036 2024 2091 2230 2309 2299 2186 2160 2175 2327 2385 2337 2252 2223 2231 2213 2119 2008 1965 2051 2199 2276 2251 2209 2182 2167 2205 2105 2054 2101 2236 2294 2335 2232 2133 2068 2119 2160 2270 2267 2284 2211 2120 2053 1998 1978 2025 2094 2182 2207 2250 2288 2322 2289 2211 2143 2145 2188 2255 2324 2345 2318 2312 2258 2245 2194 2081 2058 2064 2196 2297 2377 2317 2236 2240 2291 2349 2299 2218 2136 2109 2165 2228 2208 2178 2149 2237 2328 2345 2227 2128 2034 2034 2086 2027 1988 1989 2195 2438 2575 2528 2285 2081 2015 2060 2168 2213 2175 2244 2379 2369 2323 2186 2174 2209 2217 2215 2096 2140 2168 2320 2400 2347 2312 2364 2425 2443 2365 2227 2168 2166 2230 2188 2161 2121 2270 2428 2499 2398 2248 2130 2176 2256 2298 2223 2187 2239 2373 2450 2382 2223 2131 2192 2334 2348 2273 2148 2148 2266 2334 2230 2045 1926 2055 2242 2383 2359 2254 2197 2211 2229 2168 2117 2128 2222 2364 2402 2285 2180 2156 2192 2229 2183 2182 2223 2300 2274 2253 2196 2216 2299 2343 2299 2235 2268 2345 2421 2436 2270 2174 2175 2233 2372 2404 2306 2175 2079 2096 2140 2114 2070 2120 2194 2391 2437 2356 2164 2046 2039 2127 2156 2081 2071 2179 2364 2469 2371 2154 1996 1973 2019 2000 2012 2060 2167 2309 2328 2307 2246 2235 2276 2320 2285 2199 2208 2187 2215 2160 2108 2135 2275 2327 2350 2255 2124 2039 2011 2059 2073 2112 2167 2277 2365 2438 2413 2292 2192 2067 2044 2055 2106 2185 2199 2271 2309 2276 2193 2081 2104 2164 2276 2289 2235 2187 2211 2287 2304 2234 2206 2242 2347 2477 2466 2294 2179 2130 2161 2119 2115 2132 2229 2357 2360 2332 2228 2194 2247 2256 2258 2218 2170 2198 2271 2272 2300 2288 2258 2276 2297 2327 2317 2222 2163 2085 2059 2068 2073 2140 2258 2342 2416 2404 2310 2147 2115 2119 2136 2089 2059 2092 2281 2394 2353 2260 2093 2070 2168 2209 2138 2105 2090 2186 2326 2311 2214 2116 2152 2197 2309 2317 2305 2249 2242 2283 2229 2185 2227 2260 2327 2301 2193 2083 2130 2305 2414 2440 2411 2381 2366 2362 2263 2115 2108 2092 2162 2253 2236 2227 2295 2399 2424 2309 2076 1905 1893 2037 2215 2255 2272 2289 2372 2492 2422 2339 2133 2070 2116 2193 2195 2102 2063 2130 2293 2447 2374 2304 2294 2296 2410 2338 2158 2070 2088 2150 2262 2341 2416 2499 2566 2457 2315 2141 2101 2234 2295 2266 2135 2109 2161 2254 2248 2180 2213 2333 2468 2516 2352 2110 1976 2018 2107 2086 2091 2065 2266 2472 2533 2431 2249 2091 2050 2059 2094 2080 2020 2116 2277 2358 2338 2281 2198 2172 2227 2265 2197 2145 2033 2066 2163 2280 2286 2246 2218 2229 2310 2337 2320 2157 2031 2029 2045 2110 2162 2256 2360 2368 2253 2156 2040 2012 2090 2183 2300 2319 2313 2287 2196 2095 2009 2030 2061 2178 2255 2237 2279 2258 2225 2125 2023 1954 2020 2030 2111 2174 2145 2164 2179 2199 2248 2259 2188 2085 2098 2203 2267 2195 2113 2068 2160 2324 2408 2321 2167 2048 2101 2195 2157 2024 1988 2025 2289 2457 2453 2379 2273 2252 2218 2088 1936 1910 2035 2292 2373 2289 2159 2119 2190 2248 2197 2111 2075 2152 2201 2144 2063 2006 2033 2136 2295 2320 2336 2346 2376 2361 2155 1996 1915 1964 2146 2285 2327 2269 2251 2320 2383 2405 2284 2189 2178 2200 2207 2125 2055 2113 2308 2512 2545 2429 2348 2374 2451 2394 2177 2027 1941 2115 2284 2367 2407 2485 2612 2618 2525 2223 1954 1909 2071 2217 2275 2207 2254 2338 2440 2475 2365 2280 2242 2238 2205 2137 2090 2097 2135 2171 2207 2180 2222 2267 2390 2427 2353 2207 2096 2078 2132 2203 2274 2302 2345 2323 2301 2219 2185 2171 2329 2464 2441 2288 2152 2125 2224 2254 2266 2213 2258 2353 2492 2510 2476 2391 2314 2253 2118 2037 2001 2068 2243 2381 2458 2425 2329 2271 2285 2293 2302 2206 2158 2144 2130 2163 2199 2217 2239 2266 2361 2428 2415 2303 2175 2145 2145 2133 2082 2114 2238 2400 2555 2571 2459 2305 2276 2254 2236 2180 2102 2108 2200 2230 2182 2101 2071 2167 2371 2496 2493 2369 2262 2179 2141 2089 2031 2029 2127 2315 2461 2536 2512 2406 2242 2127 2009 1913 1885 2009 2202 2329 2340 2301 2266 2296 2361 2325 2212 2125 2138 2168 2182 2150 2180 2224 2375 2448 2448 2353 2260 2221 2188 2049 1886 1841 1980 2285 2480 2502 2434 2339 2287 2261 2204 2083 1972 2021 2238 2378 2399 2289 2206 2216 2296 2250 2155 2026 2073 2215 2283 2264 2135 2044 2116 2214 2291 2265 2221 2208 2289 2341 2138 2013 1933 2046 2156 2254 2234 2173 2194 2300 2299 2248 2191 2218 2320 2370 2260 2176 2106 2176 2266 2212 2166 2165 2352 2550 2609 2462 2228 2071 2044 2131 2242 2236 2255 2369 2488 2581 2488 2382 2272 2250 2353 2339 2182 2038 2012 2108 2267 2282 2170 2053 2118 2372 2525 2492
+28 -124 -182 -130 -14 177 183 55 -82 -182 -109 92 247 272 139 -32 -132 -106 4 204 288 232 113 -46 -124 -76 39 185 246 164 6 -114 -146 -60 15 44 6 -74 -99 -56 10 128 139 85 -35 -147 -190 -114 47 173 199 111 -29 -129 -100 7 75 155 170 172 132 27 -60 -61 11 121 178 135 50 0 57 157 198 98 -74 -204 -207 -129 -11 35 103 130 99 9 -95 -168 -27 99 140 1 -198 -340 -279 -56 190 276 245 117 -2 -43 -52 -60 -13 9 -55 -97 -84 36 227 332 308 66 -185 -295 -216 -40 93 139 45 -30 -113 -151 -124 -76 0 67 -18 -176 -279 -242 -45 49 43 -111 -261 -230 -60 41 67 10 -67 -82 -72 -30 -22 22 90 80 48 -116 -239 -216 -120 83 199 163 41 -72 -147 -186 -193 -154 -130 -103 -118 -123 -95 -55 -17 -20 -55 -158 -266 -342 -264 -154 -48 6 -69 -151 -240 -221 -110 86 210 156 -20 -291 -361 -301 -147 37 88 -4 -93 -121 -61 -4 76 22 -89 -234 -338 -285 -173 -73 3 -29 -164 -292 -357 -213 -78 34 34 -134 -336 -450 -414 -197 0 126 80 -33 -165 -194 -131 -138 -184 -160 -177 -126 -63 -5 45 47 39 -1 -124 -285 -323 -194 -20 76 41 -61 -179 -180 -171 -105 -44 -92 -141 -206 -292 -290 -287 -196 -8 58 35 -125 -209 -250 -194 -80 -95 -107 -110 -169 -101 -6 93 184 131 3 -198 -321 -285 -30 209 261 112 -127 -232 -233 -98 28 61 0 -78 -184 -185 -162 -111 -36 9 -41 -188 -313 -301 -115 132 111 -22 -259 -354 -312 -100 76 74 -36 -181 -237 -186 -116 -31 72 143 113 2 -112 -208 -151 -46 19 -23 -121 -164 -114 -26 55 28 -46 -159 -204 -258 -232 -164 -66 -6 -74 -175 -257 -284 -199 -40 43 31 -22 -87 -243 -292 -281 -192 -84 46 80 17 -49 -79 33 85 82 -105 -314 -392 -317 -115 40 127 25 -74 -133 -113 -75 -26 13 -67 -214 -398 -480 -418 -188 63 213 147 -22 -211 -286 -169 -107 -122 -225 -365 -349 -195 -4 154 223 128 -26 -167 -210 -183 -67 88 94 -44 -140 -215 -156 -53 57 140 86 -8 -150 -260 -217 -173 -96 -73 -130 -184 -200 -187 -95 -2 5 -44 -166 -232 -239 -106 -74 -18 -52 -73 -134 -161 -179 -138 -10 182 164 30 -125 -306 -323 -200 -50 93 23 -58 -88 -65 -6 86 50 -114 -233 -313 -304 -208 -77 0 24 -62 -210 -325 -353 -176 34 125 48 -164 -367 -417 -225 8 130 45 -112 -223 -200 -82 32 95 18 -49 -183 -188 -163 -59 112 148 52 -84 -243 -174 -27 146 201 73 -154 -273 -358 -285 -121 24 110 105 9 -154 -260 -239 -160 -113 -172 -214 -199 -65 95 155 133 62 -68 -72 -125 -201 -223 -71 91 180 147 -29 -131 -93 70 206 176 -12 -251 -335 -287 -163 -52 50 134 141 92 -58 -204 -205 -147 -71 -122 -275 -347 -257 -19 187 242 61 -117 -261 -294 -177 -39 79 90 19 -139 -264 -191 24 240 311 187 -50 -178 -202 -74 -17 -61 -148 -199 -190 -123 -46 43 139 105 -30 -256 -364 -350 -188 -7 8 -97 -265 -305 -205 -11 109 102 20 -72 -167 -209 -240 -200 -201 -56 27 25 -28 -18 45 64 69 9 -125 -233 -279 -169 -63 58 69 -19 -122 -228 -232 -157 -6 90 40 -79 -179 -323 -347 -312 -152 -13 19 -16 -131 -191 -103 18 90 -32 -240 -377 -401 -287 -63 83 113 11 -108 -128 -128 25 95 47 -115 -353 -480 -501 -320 -36 137 181 70 -67 -186 -251 -293 -304 -319 -379 -411 -387 -324 -54 143 206 64 -234 -437 -394 -234 -67 -80 -136 -254 -258 -200 -111 -73 24 75 59 -55 -263 -394 -333 -178 -2 15 -44 -138 -125 -50 -20 -20 -149 -232 -281 -302 -325 -291 -226 -111 -60 -113 -251 -362 -305 -160 -9 7 -91 -271 -348 -378 -314 -199 -19 85 81 -28 -157 -257 -185 -18 3 -125 -323 -418 -266 -1 170 190 48 -145 -254 -254 -190 -137 -71 2 -2 -172 -320 -357 -172 80 151 21 -185 -375 -347 -166 -33 2 -82 -165 -170 -112 -18 43 110 55 -48 -125 -188 -165 -15 85 132 50 -77 -186 -174 -120 37 99 104 15 -63 -170 -226 -213 -152 -146 -67 -63 -47 -39 50 92 59 -63 -177 -289 -334 -315 -228 -102 27 90 102 -39 -96 -48 47 121 127 2 -226 -362 -328 -115 101 288 268 145 -31 -99 -144 -119 -146 -198 -215 -295 -243 -121 74 165 207 98 -117 -336 -366 -272 -95 9 -34 -218 -363 -344 -119 82 225 176 55 -81 -197 -210 -146 -141 -124 -125 -100 -54 18 111 224 222 119 -70 -271 -289 -274 -176 -96 -113 -170 -190 -161 -96 -5 41 80 -29 -148 -343 -428 -400 -298 -208 -96 -61 -16 -17 4 -32 -101 -132 -184 -235 -311 -282 -225 -62 84 166 136 -86 -245 -257 -139 32 74 -22 -178 -256 -301 -241 -160 -85 -28 -42 -91 -219 -273 -298 -142 -31 -136 -257 -407 -376 -170 46 140 71 -121 -262 -223 -130 38 87 29 -126 -267 -356 -355 -175 68 227 249 68 -78 -166 -147 -77 -73 -142 -209 -181 -108 -22 69 107 26 -46 -184 -341 -387 -298 -89 -3 -57 -154 -266 -187 -84 36 92 50 -29 -77 -134 -211 -262 -175 -36 154 175 128 -21 -81 -28 60 49 -79 -252 -240 -149 -12 76 162 117 78 -21 -96 -123 -103 10 40 -2 -216 -361 -386 -218 35 213 223 97 -22 -207 -211 -163 -95 -88 -83 -145 -170 -43 191 350 391 168 -76 -252 -310 -183 -2 52 1 -33 -65 -53 31 91 169 160 55 -173 -351 -394 -268 -78 35 78 30 -43 -21 9 44 -54 -164 -307 -389 -330 -244 -89 35 101 116 52 -40 -87 -86 -4 46 130 69 -30 -184 -252 -166 -62 50 103 55 -47 -32 -29 -25 -30 -111 -148 -238 -289 -262 -198 -33 106 135 24 -136 -248 -207 -45 46 -38 -230 -382 -396 -283 -76 84 233 189 104 -68 -193 -205 -146 -82 -85 -196 -267 -195 19 213 273 150 -68 -268 -336 -301 -272 -150 -82 -98 -214 -289 -327 -177 57 198 179 -20 -272 -365 -324 -192 -184 -228 -250 -176 -64 57 108 86 63 32 -94 -234 -358 -303 -149 53 108 23 -70 -102 14 97 137 72 -54 -147 -306 -380 -408 -275 -78 103 141 33 -92 -183 -156 -126 -116 -227 -291 -295 -240 -100 73 186 241 111 -104 -317 -371 -283 -42 81 46 -132 -276 -220 -66 132 230 144 47 -131 -212 -208 -133 -23 33 14 -94 -150 -178 -69 115 100 -25 -254 -395 -391 -237 13 71 20 -127 -255 -275 -197 -30 78 115 85 -25 -162 -188 -171 -110 -52 -35 -69 -68 -69 -9 47 92 38 -89 -239 -305 -260 -136 27 99 123 6 -88 -158 -183 -123 -80 -93 -100 -230 -337 -365 -311 -137 40 130 83 -28 -146 -191 -173 -135 -92 -102 -151 -177 -148 -14 174 237 188 -28 -246 -314 -220 -42 53 18 -128 -279 -305 -247 -88 98 228 215 70 -146 -343 -373 -254 -111 -118 -242 -334 -265 -46 176 257 108 -149 -327 -403 -306 -233 -90 -19 30 22 6 -17 40 200 267 186 -48 -294 -409 -399 -221 -99 -37 47 72 41 15 -9 -59 -130 -214 -339 -369 -413 -267 -39 121 146 60 -82 -127 -160 -147 -133 -132 -145 -127 -205 -292 -295 -222 -9 155 171 67 -149 -199 -122 -54 -10 -94 -201 -262 -262 -94 42 97 97 -19 -165 -310 -368 -271 -141 4 -55 -233 -401 -466 -240 5 176 183 -29 -246 -293 -329 -200 -140 -111 -159 -216 -197 -155 -13 96 145 21 -194 -379 -368 -207 -14 118 63 -37 -173 -215 -200 -77 50 59 0 -128 -259 -347 -302 -221 -123 -143 -193 -211 -163 -74 -15 36 -49 -160 -197 -212 -193 -178 -132 -39 6 3 -59 -145 -182 -30 144 195 50 -121 -318 -305 -174 30 147 230 192 110 -8 -71 -101 -96 -103 -142 -208 -300 -235 -35 161 285 192 -74 -319 -434 -377 -187 -20 27 -16 -62 -144 -57 110 231 300 177 -65 -284 -322 -252 -91 98 117 32 10 -7 35 103 119 11 -94 -314 -324 -279 -105 68 197 185 30 -117 -194 -136 -74 36 21 -115 -242 -296 -223 -119 -34 -8 46 34 22 12 -10 -34 -41 -41 -64 -136 -195 -107 73 245 299 213 48 -112 -200 -167 -51 -28 -43 -68 -55 -116 -135 -61 42 172 197 85 -95 -269 -332 -199 -127 -90 -178 -257 -230 -127 49 128 102 -41 -175 -263 -303 -159 1 156 143 -17 -221 -271 -157 92 222 212 36 -97 -192 -176 -210 -182 -150 -146 -144 -177 -151 -54 149 190 80 -189 -401 -481 -359 -128 -21 -69 -150 -211 -128 -35 38 17 1 -29 -140 -256 -387 -394 -234 13 124 186 169 62 120 60 11 -121 -242 -331 -265 -193 -115 -19 74 160 91 -54 -220 -295 -233 -145 -88 -112 -180 -257 -300 -189 -108 22 75 50 -54 -206 -279 -230 -100 1 -27 -181 -226 -219 -61 117 179 82 -106 -255 -258 -186 -19 41 102 61 -122 -230 -252 -108 13 165 93 -90 -282 -402 -322 -153 -58 -55 -104 -167 -165 -61 45 60 -1 -180 -266 -295 -223 -64 76 152 135 27 -73 -165 -166 -114 30 131 107 -17 -123 -154 -72 45 94 40 -27 -125 -111 -107 -134 -122 -91 -52 -105 -175 -238 -156 -79 48 -10 -113 -233 -297 -256 -71 57 120 65 -81 -247 -263 -197 -19 186 259 161 -68 -196 -171 -86 15 32 -78 -143 -100 -12 124 179 191 102 -44 -234 -372 -323 -125 104 165 3 -164 -265 -139 66 174 104 -68 -292 -379 -310 -204 -80 5 54 78 51 2 10 45 88 58 -122 -273 -272 -183 23 170 198 80 -19 -94 -129 -102 -45 -28 -42 -70 -147 -258 -255 -170 -24 55 71 -9 -57 -140 -154 -194 -235 -246 -222 -226 -216 -174 -67 94 214 209 42 -204 -359 -301 -140 8 -10 -61 -161 -145 -59 57 150 168 133 -40 -229 -388 -368 -250 -98 -11 -78 -135 -214 -133 15 88 36 -207 -405 -485 -356 -117 32 65 -12 -159 -332 -296 -230 -87 9 17 -65 -145 -239 -155 -59 -5 -1 -92 -186 -170 -99 -11 59 79 -16 -130 -213 -257 -233 -131 -58 -76 -127 -232 -271 -194 -84 -9 24 -83 -180 -296 -322 -331 -322 -146 14 75 62 -33 -124 -160 -76 -48 -82 -251 -295 -291 -108 56 173 110 0 -147 -223 -218 -169 -64 71 10 -104 -247 -373 -315 -134 73 115 -18 -225 -371 -330 -208 -81 -10 -136 -247 -260 -234 -50 34 98 -27 -145 -325 -355 -208 0 137 148 34 -112 -218 -169 -31 114 136 43 -109
+-188 -179 -178 -58 54 124 80 79 5 -84 -255 -422 -667 -714 -595 -520 -418 -316 -204 -128 -115 -238 -417 -564 -618 -690 -776 -928 -1000 -864 -589 -349 -275 -352 -464 -583 -715 -837 -917 -976 -880 -881 -904 -981 -1003 -842 -608 -473 -465 -743 -999 -1154 -1191 -1163 -1223 -1278 -1236 -1145 -976 -849 -734 -759 -842 -1051 -1254 -1376 -1432 -1334 -1238 -1219 -1278 -1372 -1372 -1239 -1109 -1023 -1104 -1208 -1368 -1448 -1498 -1508 -1524 -1509 -1520 -1539 -1532 -1518 -1314 -1231 -1230 -1367 -1606 -1789 -1880 -1855 -1813 -1708 -1695 -1637 -1565 -1582 -1583 -1618 -1630 -1614 -1608 -1665 -1802 -1944 -2051 -2094 -2002 -1902 -1728 -1635 -1579 -1598 -1700 -1831 -1972 -2029 -2037 -2140 -2206 -2243 -2189 -1985 -1787 -1664 -1726 -1802 -1978 -2099 -2095 -2166 -2181 -2231 -2350 -2381 -2357 -2187 -1996 -1793 -1694 -1821 -2077 -2253 -2362 -2408 -2437 -2525 -2583 -2547 -2374 -2101 -1880 -1810 -1910 -2040 -2244 -2510 -2637 -2686 -2560 -2386 -2348 -2369 -2451 -2365 -2171 -2026 -1976 -2112 -2362 -2567 -2664 -2730 -2720 -2747 -2648 -2547 -2377 -2253 -2223 -2198 -2279 -2380 -2547 -2725 -2923 -2952 -2940 -2824 -2710 -2607 -2459 -2401 -2374 -2369 -2514 -2670 -2766 -2805 -2803 -2880 -2942 -2969 -2819 -2672 -2577 -2499 -2456 -2436 -2415 -2608 -2841 -2960 -3029 -3025 -2915 -2867 -2805 -2691 -2490 -2393 -2475 -2713 -2925 -3011 -2932 -2794 -2769 -2872 -2996 -3037 -2983 -2927 -2783 -2660 -2561 -2525 -2714 -2945 -3073 -3071 -3002 -2930 -3020 -3121 -3184 -3016 -2770 -2680 -2627 -2672 -2779 -2928 -3111 -3201 -3198 -3107 -3064 -3040 -3107 -3126 -3089 -2837 -2693 -2710 -2876 -3086 -3147 -3185 -3203 -3388 -3474 -3414 -3248 -3029 -2810 -2800 -2806 -2845 -2920 -3100 -3336 -3512 -3445 -3300 -3199 -3127 -3148 -3183 -3025 -2857 -2828 -2903 -3013 -3156 -3160 -3284 -3481 -3593 -3577 -3322 -3091 -2933 -2919 -2941 -2970 -3011 -3181 -3375 -3521 -3516 -3491 -3493 -3494 -3385 -3174 -2876 -2751 -2883 -3226 -3462 -3498 -3450 -3457 -3498 -3517 -3522 -3451 -3319 -3263 -3160 -3018 -2950 -2927 -3224 -3500 -3611 -3554 -3421 -3486 -3574 -3613 -3455 -3124 -2875 -2954 -3103 -3286 -3462 -3551 -3640 -3636 -3591 -3471 -3356 -3338 -3445 -3409 -3279 -3090 -3053 -3226 -3504 -3686 -3679 -3638 -3610 -3598 -3594 -3441 -3259 -3209 -3246 -3338 -3305 -3328 -3407 -3611 -3828 -3825 -3695 -3465 -3401 -3464 -3443 -3352 -3266 -3345 -3552 -3672 -3684 -3539 -3452 -3523 -3755 -3881 -3800 -3594 -3453 -3389 -3368 -3369 -3357 -3465 -3652 -3827 -3909 -3815 -3749 -3762 -3768 -3744 -3456 -3294 -3267 -3436 -3627 -3751 -3717 -3713 -3744 -3872 -3937 -3873 -3795 -3680 -3516 -3429 -3297 -3296 -3466 -3723 -3930 -3893 -3808 -3802 -3887 -4012 -3924 -3714 -3371 -3239 -3359 -3487 -3655 -3787 -3854 -3971 -3996 -3927 -3756 -3667 -3691 -3746 -3690 -3475 -3341 -3320 -3530 -3753 -3933 -3959 -3991 -4045 -4081 -3973 -3723 -3476 -3345 -3344 -3419 -3531 -3639 -3806 -3991 -4100 -4118 -3974 -3837 -3755 -3708 -3597 -3464 -3399 -3529 -3752 -3944 -3986 -3939 -3964 -4064 -4115 -4053 -3862 -3608 -3538 -3524 -3568 -3603 -3651 -3780 -4002 -4140 -4159 -4051 -3956 -3923 -3823 -3663 -3433 -3338 -3564 -3794 -4080 -4104 -3978 -3931 -3878 -3933 -3963 -3934 -3866 -3744 -3651 -3541 -3497 -3638 -3813 -4026 -4113 -4072 -3928 -3903 -3961 -3953 -3822 -3635 -3508 -3547 -3692 -3861 -3927 -3971 -4027 -4022 -3931 -3793 -3715 -3766 -3815 -3797 -3726 -3598 -3532 -3615 -3816 -3911 -3897 -3907 -3976 -4053 -4102 -4035 -3857 -3716 -3583 -3605 -3635 -3745 -3832 -3982 -4098 -4112 -4058 -4026 -3939 -3872 -3798 -3778 -3727 -3724 -3787 -3851 -3893 -3878 -3869 -3945 -4108 -4204 -4079 -3882 -3671 -3498 -3542 -3627 -3721 -3834 -3950 -4034 -4083 -4046 -4037 -4094 -4112 -3938 -3711 -3473 -3452 -3648 -3861 -4072 -4106 -4041 -3985 -4072 -4070 -4096 -4019 -3915 -3753 -3645 -3601 -3571 -3721 -3919 -4067 -4234 -4239 -4200 -4194 -4118 -3987 -3792 -3570 -3413 -3502 -3714 -3992 -4157 -4270 -4249 -4154 -4115 -4019 -3947 -3878 -3802 -3723 -3724 -3700 -3772 -3937 -4089 -4222 -4311 -4208 -4149 -4052 -3930 -3821 -3715 -3643 -3667 -3791 -3930 -4005 -4063 -4148 -4237 -4252 -4212 -4030 -3843 -3728 -3695 -3710 -3832 -3962 -4043 -4171 -4147 -4053 -3942 -3949 -4069 -4187 -4077 -3848 -3651 -3547 -3664 -3863 -4020 -4116 -4148 -4205 -4198 -4158 -4086 -4013 -3941 -3886 -3813 -3738 -3752 -3865 -3994 -4072 -4078 -4003 -3908 -3970 -4046 -4133 -4025 -3899 -3719 -3681 -3697 -3780 -3955 -4040 -4138 -4163 -4130 -4059 -4076 -4074 -4103 -3975 -3717 -3555 -3590 -3776 -4038 -4158 -4172 -4154 -4129 -4182 -4147 -4062 -3905 -3898 -3886 -3881 -3823 -3759 -3850 -4061 -4222 -4303 -4266 -4158 -4125 -4063 -3916 -3707 -3536 -3523 -3692 -3939 -3983 -4041 -4122 -4182 -4245 -4172 -4029 -3873 -3763 -3744 -3689 -3677 -3715 -3821 -4006 -4098 -4090 -4112 -4118 -4223 -4190 -3980 -3644 -3428 -3511 -3712 -3927 -3992 -4029 -4066 -4183 -4312 -4309 -4126 -3964 -3795 -3659 -3520 -3513 -3684 -4013 -4210 -4380 -4177 -3977 -3810 -3907 -4061 -4075 -3949 -3760 -3644 -3685 -3801 -3907 -4061 -4160 -4277 -4279 -4123 -3992 -3883 -3818 -3836 -3719 -3633 -3650 -3845 -4100 -4210 -4176 -4111 -4053 -4118 -4034 -4008 -3816 -3795 -3865 -3881 -3926 -3828 -3822 -3896 -4062 -4161 -4066 -3975 -3920 -3958 -3942 -3804 -3573 -3562 -3746 -3938 -4090 -4082 -4041 -4101 -4196 -4223 -4055 -3790 -3690 -3764 -3866 -3943 -3972 -3932 -3935 -3947 -3917 -3898 -4006 -4095 -4252 -4238 -3966 -3668 -3559 -3707 -3988 -4084 -4092 -3971 -4091 -4191 -4205 -4137 -4047 -3975 -3899 -3798 -3617 -3590 -3720 -4049 -4263 -4247 -4085 -3926 -4023 -4194 -4274 -4103 -3901 -3786 -3783 -3810 -3902 -3994 -4067 -4240 -4315 -4356 -4216 -4053 -4025 -3994 -3834 -3640 -3524 -3612 -3887 -4125 -4312 -4293 -4220 -4154 -4193 -4076 -3942 -3815 -3816 -3810 -3818 -3752 -3762 -3829 -4065 -4249 -4227 -4209 -4107 -4025 -3924 -3775 -3597 -3519 -3679 -3933 -4139 -4206 -4179 -4211 -4310 -4335 -4223 -3915 -3657 -3543 -3573 -3763 -3843 -3928 -4064 -4154 -4170 -4134 -4007 -4006 -4043 -4094 -4006 -3779 -3611 -3641 -3871 -4043 -4096 -4112 -4138 -4186 -4216 -4124 -4012 -3863 -3735 -3661 -3611 -3654 -3816 -4109 -4272 -4262 -4054 -3892 -3929 -4033 -4212 -4152 -3956 -3713 -3625 -3673 -3768 -3918 -4001 -4096 -4165 -4112 -3971 -3887 -3860 -3837 -3890 -3757 -3628 -3628 -3724 -4009 -4137 -4167 -4086 -4010 -3973 -3998 -3911 -3849 -3837 -3836 -3917 -3899 -3828 -3836 -3867 -3988 -4114 -4171 -4136 -4150 -4098 -3983 -3849 -3691 -3675 -3814 -3970 -4064 -4064 -4093 -4128 -4231 -4267 -4153 -3952 -3772 -3769 -3751 -3783 -3766 -3847 -3974 -4030 -4069 -4053 -4164 -4247 -4223 -4147 -3881 -3612 -3562 -3679 -3911 -4046 -4059 -4012 -4056 -4202 -4237 -4256 -4136 -3914 -3721 -3592 -3549 -3665 -3927 -4194 -4345 -4311 -4186 -4107 -4056 -4136 -4158 -4101 -3894 -3734 -3602 -3698 -3827 -4008 -4115 -4243 -4299 -4268 -4130 -4008 -3913 -3842 -3723 -3696 -3695 -3824 -4050 -4232 -4365 -4360 -4268 -4194 -4082 -4008 -3845 -3775 -3732 -3771 -3902 -3982 -3999 -4054 -4105 -4164 -4196 -4171 -4113 -4002 -3946 -3875 -3844 -3826 -3866 -3970 -4118 -4172 -4230 -4189 -4170 -4121 -4092 -3945 -3789 -3647 -3695 -3829 -4043 -4197 -4178 -4103 -4045 -4029 -4107 -4183 -4222 -4210 -4019 -3885 -3708 -3702 -3834 -4032 -4159 -4242 -4113 -4076 -4067 -4052 -4011 -3953 -3887 -3774 -3817 -3814 -3921 -4066 -4164 -4247 -4267 -4105 -4049 -4010 -4089 -4096 -4045 -3856 -3708 -3710 -3838 -3976 -4059 -4069 -4057 -4137 -4283 -4293 -4266 -4082 -3947 -3830 -3767 -3786 -3824 -4046 -4246 -4387 -4407 -4255 -4164 -4038 -4005 -3980 -3849 -3792 -3818 -3838 -3901 -3932 -4002 -4190 -4316 -4383 -4393 -4206 -3988 -3787 -3698 -3602 -3664 -3737 -3924 -4121 -4208 -4158 -4106 -4135 -4147 -4153 -3975 -3681 -3514 -3570 -3819 -4042 -4069 -4077 -4082 -4139 -4205 -4217 -4164 -4043 -3996 -3849 -3712 -3622 -3617 -3779 -4023 -4163 -4230 -4168 -4204 -4233 -4223 -4066 -3815 -3628 -3578 -3694 -3857 -4061 -4175 -4280 -4281 -4193 -4028 -3972 -4014 -4072 -4085 -3883 -3691 -3593 -3741 -3981 -4176 -4224 -4129 -4183 -4223 -4232 -4100 -3904 -3769 -3814 -3887 -3904 -3893 -3897 -4062 -4215 -4277 -4136 -3952 -3858 -3901 -3918 -3816 -3746 -3769 -3872 -4006 -4060 -4014 -3938 -3995 -4179 -4331 -4235 -4098 -3906 -3846 -3852 -3789 -3776 -3799 -4049 -4154 -4173 -4090 -4035 -4079 -4143 -4136 -3922 -3758 -3722 -3939 -4124 -4146 -4065 -3944 -3962 -4073 -4196 -4207 -4184 -4179 -4069 -3856 -3683 -3547 -3677 -3915 -4100 -4192 -4069 -4030 -4130 -4306 -4332 -4173 -3910 -3718 -3648 -3787 -3908 -3973 -4135 -4288 -4357 -4281 -4089 -3962 -3993 -4067 -4056 -3865 -3707 -3699 -3850 -4067 -4179 -4150 -4211 -4314 -4393 -4315 -4109 -3804 -3703 -3736 -3777 -3802 -3910 -4038 -4261 -4343 -4284 -4133 -4000 -3991 -4045 -3973 -3783 -3644 -3719 -3951 -4067 -4176 -4047 -4091 -4236 -4372 -4363 -4155 -3852 -3761 -3714 -3719 -3730 -3776 -3954 -4207 -4270 -4258 -4202 -4124 -4186 -4121 -3919 -3685 -3557 -3702 -3990 -4244 -4336 -4271 -4093 -4092 -4066 -4056 -4042 -3965 -3972 -3891 -3739 -3625 -3691 -3961 -4223 -4373 -4276 -4195 -4113 -4144 -4125 -4061 -3884 -3722 -3793 -3888 -3977 -4017 -4056 -4117 -4161 -4137 -4046 -3907 -3924 -3989 -4007 -3907 -3750 -3665 -3772 -4006 -4154 -4082 -4019 -4045 -4121 -4206 -4109 -3912 -3785 -3747 -3748 -3764 -3826 -3845 -3998 -4118 -4256 -4194 -4102 -4058 -4030 -4018 -3927 -3798 -3830 -3905 -3985 -4024 -3939 -3923 -4089 -4255 -4391 -4316 -4048 -3949 -3849 -3742 -3690 -3707 -3819 -4006 -4183 -4235 -4212 -4149 -4234 -4317 -4174 -3938 -3616 -3517 -3686 -3947 -4091 -4158 -4116 -4085 -4144 -4180 -4216 -4142 -4063 -3890 -3794 -3626 -3616 -3789 -3995 -4188 -4281 -4265 -4217 -4258 -4274 -4173 -4018 -3784 -3610 -3635 -3700 -3918 -4071 -4251 -4247 -4220 -4102 -4035 -3937 -3983 -4033 -3987 -3841 -3742 -3732 -3835 -4061 -4236 -4323 -4313 -4323 -4283 -4161 -3924 -3700 -3592 -3705 -3875 -3999 -4035 -4085 -4184 -4316 -4398 -4302 -4105 -3913 -3898 -3848 -3826 -3847 -3967 -4105 -4222 -4212 -4116 -4054 -4062 -4170 -4206 -4135 -3968 -3784 -3733 -3741 -3876 -3973 -4104 -4176 -4217 -4174 -4088 -4097 -4117 -4080 -3968 -3835 -3738 -3821 -4022 -4149 -4224 -4118 -3996 -3973 -4082 -4167 -4237 -4157 -4034 -3931 -3768 -3703 -3758 -3908 -4095 -4264 -4275 -4179 -4088 -4068 -4177 -4155 -4047 -3865 -3703 -3673 -3801 -4028 -4185 -4226 -4230 -4178 -4163 -4119 -4037 -3988 -3965 -4005 -3936 -3919 -3859 -3930 -4083 -4217 -4314 -4362 -4379 -4352 -4251 -4018 -3864 -3713 -3729 -3840 -3948 -4120 -4180 -4344 -4413 -4430 -4374 -4168 -4053 -3980 -3898 -3903 -3850 -3910 -3991 -4150 -4172 -4193 -4200 -4258 -4382 -4348 -4120 -3828 -3635 -3640 -3759 -3956 -4132 -4186 -4230 -4302 -4366 -4328 -4217 -4136 -4003 -3858 -3695 -3638 -3671 -3972 -4258 -4390 -4311 -4140 -4083 -4113 -4205 -4180 -4055 -3884 -3726 -3699 -3753 -3892 -4058 -4283 -4388 -4407 -4294 -4150 -4077 -4021 -3954 -3770 -3624 -3661 -3839 -4164 -4403 -4321 -4258 -4133 -4192 -4121 -4052 -3948 -3904 -3902 -3937 -3869 -3816 -3853 -4013 -4234 -4307 -4244 -4124 -3996 -4001 -4010 -3877 -3738 -3678 -3735 -3868 -3984 -3984 -4032 -4102 -4217 -4255 -4121 -3993 -3832 -3773 -3913 -3912 -3959 -3911 -3974 -4028 -3985 -4015 -4052 -4211 -4365 -4291 -4037 -3728 -3621 -3681 -3889 -4066 -4132 -4140 -4258 -4255 -4314 -4233 -4120 -4018 -3990 -3893 -3715 -3676 -3757 -4001 -4188 -4191 -4059 -3910 -3990 -4186 -4301 -4215 -3962 -3768 -3699 -3755 -3876 -3920 -3954 -4116 -4224 -4257 -4214 -4164 -4098 -4122 -3956 -3748 -3562 -3577 -3849 -4149 -4294 -4283 -4305 -4247 -4212 -4135 -4021 -3910 -3898 -3943 -3889 -3767 -3770 -3845 -4065 -4315 -4407 -4365 -4241 -4213 -4116 -3892 -3660 -3524 -3641 -3904 -4171 -4215 -4192 -4202 -4321 -4438 -4340 -4098 -3853 -3717 -3813 -3849 -3872 -3928 -4089 -4211 -4310 -4237 -4141 -4111 -4233 -4272 -4119 -3799 -3596 -3589 -3804 -4068 -4174 -4191 -4236 -4325 -4364 -4311 -4145 -4042 -3916 -3806 -3687 -3735 -3820 -4109 -4359 -4438 -4246 -4031 -3980 -4131 -4210 -4195 -4059 -3926 -3870 -3920 -3954 -4032 -4082 -4206 -4321 -4371 -4237 -4058 -3949 -4004 -4046 -3955 -3799 -3702 -3866 -4113 -4321 -4337 -4235 -4176 -4169 -4190 -4098 -3972 -3861 -3809 -3906 -3911 -3885 -3879 -3966 -4126 -4219 -4206 -4175 -4204 -4208 -4117 -3949 -3737 -3679 -3825 -4028 -4116 -4133 -4151 -4266 -4381 -4321 -4134 -3919
+132 223 125 27 -21 -84 -75 -157 -95 -25 217 380 455 344 111 58 50 56 119 179 166 180 124 99 94 183 307 405 411 326 204 185 187 229 254 204 301 396 522 605 569 453 370 303 337 373 433 429 351 358 334 427 594 740 794 667 368 126 154 368 631 851 884 711 534 388 402 475 567 675 650 529 432 444 597 715 833 763 641 518 473 571 679 741 795 825 845 870 801 683 623 622 673 738 784 831 863 859 781 713 692 722 712 829 825 814 762 803 850 910 960 933 936 850 813 786 766 770 814 946 1024 1106 947 852 753 794 892 1089 1237 1217 1113 871 738 696 801 1117 1273 1255 1115 915 762 803 981 1046 1042 919 759 740 829 1018 1220 1339 1285 1182 975 815 777 889 966 1051 1046 1114 1125 1178 1286 1253 1147 1010 961 912 1013 1152 1100 1097 1098 1108 1190 1316 1335 1307 1179 1056 929 863 1003 1127 1235 1187 1111 1045 1065 1134 1256 1332 1334 1295 1087 928 905 888 993 1187 1345 1405 1355 1292 1238 1206 1160 1133 1178 1189 1159 1102 1038 1068 1227 1408 1510 1512 1314 1104 999 1017 1095 1235 1309 1366 1338 1240 1216 1202 1204 1272 1294 1261 1230 1262 1320 1386 1307 1241 1157 1151 1222 1335 1403 1438 1430 1360 1368 1364 1401 1471 1418 1314 1139 1023 1133 1395 1597 1715 1614 1397 1224 1135 1295 1336 1432 1402 1328 1304 1203 1230 1377 1574 1643 1600 1446 1271 1145 1277 1377 1386 1366 1339 1387 1419 1383 1424 1437 1581 1663 1627 1536 1403 1343 1247 1228 1338 1414 1545 1716 1717 1656 1528 1407 1358 1353 1355 1311 1325 1338 1466 1593 1622 1565 1573 1567 1565 1464 1376 1248 1232 1316 1424 1501 1659 1719 1728 1553 1319 1201 1160 1405 1636 1694 1652 1400 1325 1330 1489 1700 1759 1684 1593 1415 1302 1352 1431 1657 1736 1672 1442 1252 1305 1409 1609 1701 1641 1466 1442 1408 1406 1398 1404 1488 1591 1705 1650 1594 1555 1541 1498 1414 1361 1365 1513 1622 1629 1560 1530 1538 1645 1716 1695 1500 1440 1381 1409 1469 1568 1674 1724 1727 1626 1462 1392 1366 1472 1564 1597 1627 1651 1628 1540 1466 1373 1437 1563 1708 1733 1659 1531 1473 1520 1600 1706 1703 1638 1561 1418 1386 1402 1597 1777 1923 1823 1663 1475 1448 1526 1619 1631 1647 1561 1544 1588 1568 1575 1696 1647 1707 1645 1574 1547 1541 1541 1449 1422 1421 1540 1699 1752 1763 1668 1596 1609 1711 1717 1659 1562 1431 1365 1406 1546 1783 1962 2086 1990 1773 1482 1313 1368 1474 1527 1595 1566 1650 1810 1891 1926 1826 1769 1689 1623 1527 1424 1358 1443 1615 1767 1858 1847 1807 1720 1548 1403 1392 1465 1605 1697 1720 1607 1508 1526 1634 1734 1821 1822 1771 1705 1678 1572 1503 1498 1694 1679 1647 1528 1520 1606 1748 1771 1752 1655 1608 1661 1690 1607 1509 1452 1520 1623 1816 1899 1814 1652 1546 1384 1402 1506 1627 1753 1776 1645 1553 1483 1554 1720 1832 1820 1697 1562 1542 1572 1690 1768 1793 1714 1638 1515 1440 1465 1548 1670 1792 1821 1774 1711 1598 1526 1457 1397 1488 1668 1736 1734 1726 1610 1550 1663 1729 1754 1758 1649 1521 1409 1456 1602 1757 1876 1837 1745 1598 1545 1589 1670 1718 1763 1739 1713 1684 1729 1734 1681 1698 1695 1718 1699 1727 1751 1672 1545 1426 1405 1555 1747 1895 1918 1818 1597 1579 1621 1695 1762 1744 1653 1534 1409 1479 1697 1912 1990 1982 1803 1597 1452 1381 1472 1640 1726 1856 1876 1901 1894 1853 1847 1802 1703 1669 1649 1611 1610 1629 1663 1736 1827 1857 1885 1767 1696 1566 1519 1549 1687 1793 1844 1771 1688 1696 1652 1772 1827 1829 1786 1697 1606 1577 1569 1556 1659 1675 1729 1739 1756 1805 1845 1818 1743 1647 1614 1667 1710 1709 1653 1607 1585 1714 1831 1980 1978 1783 1591 1401 1388 1488 1713 1858 1856 1810 1619 1505 1524 1641 1762 1894 1891 1816 1693 1657 1645 1798 1795 1727 1626 1519 1531 1632 1712 1805 1854 1836 1849 1818 1726 1601 1489 1496 1557 1677 1782 1930 1969 1928 1831 1667 1564 1544 1634 1599 1551 1481 1517 1620 1764 1900 1901 1851 1801 1744 1664 1563 1552 1603 1683 1792 1800 1799 1782 1751 1765 1780 1767 1814 1834 1803 1689 1475 1344 1402 1652 1962 2088 2011 1875 1730 1680 1685 1747 1783 1747 1660 1488 1423 1482 1712 1973 2067 2037 1799 1605 1453 1503 1608 1675 1684 1764 1770 1882 1915 1937 1871 1776 1695 1648 1613 1692 1804 1859 1805 1681 1586 1645 1832 1951 1933 1804 1600 1530 1600 1726 1859 1882 1864 1786 1643 1642 1653 1746 1906 2021 1864 1677 1507 1473 1549 1695 1770 1904 1877 1904 1828 1714 1667 1711 1810 1860 1858 1747 1714 1696 1717 1786 1829 1873 1837 1738 1561 1416 1438 1608 1853 1979 1940 1802 1633 1646 1657 1706 1742 1739 1748 1761 1791 1815 1809 1770 1714 1615 1520 1543 1637 1778 1851 1804 1713 1699 1824 1919 1936 1869 1678 1499 1516 1593 1830 1999 2093 2109 1857 1600 1404 1354 1549 1757 1851 1734 1590 1545 1635 1826 1940 1955 1840 1736 1662 1524 1463 1532 1638 1824 1870 1802 1673 1667 1722 1816 1798 1769 1669 1676 1593 1591 1513 1509 1625 1862 2048 2026 1944 1823 1734 1680 1633 1518 1482 1571 1645 1725 1737 1805 1853 1962 1902 1757 1660 1508 1499 1537 1555 1537 1622 1868 2080 2107 2017 1740 1573 1495 1547 1648 1751 1775 1742 1665 1572 1507 1649 1842 2039 2019 1819 1558 1464 1506 1696 1867 1920 1706 1570 1538 1547 1677 1811 1859 1895 1804 1661 1527 1481 1535 1619 1654 1645 1666 1735 1864 1926 1848 1744 1673 1672 1736 1734 1740 1732 1714 1669 1689 1647 1736 1843 1856 1815 1674 1532 1561 1693 1866 1848 1788 1723 1587 1548 1595 1682 1836 1923 1937 1851 1693 1514 1434 1417 1523 1632 1736 1792 1772 1681 1701 1734 1809 1901 1901 1720 1539 1417 1427 1635 1847 2017 2011 1937 1765 1585 1532 1586 1667 1735 1760 1671 1561 1579 1689 1767 1832 1839 1772 1692 1672 1747 1767 1695 1607 1511 1560 1618 1756 1861 1866 1765 1647 1599 1614 1711 1803 1817 1684 1494 1453 1581 1851 2125 2180 2032 1802 1581 1438 1394 1473 1629 1748 1809 1761 1728 1692 1716 1777 1744 1694 1550 1478 1478 1543 1657 1794 1875 1942 1940 1832 1690 1497 1407 1479 1572 1708 1785 1780 1723 1656 1640 1692 1760 1801 1809 1760 1671 1609 1665 1731 1788 1835 1753 1678 1647 1649 1681 1676 1714 1656 1636 1629 1652 1636 1597 1526 1491 1593 1692 1872 1919 1897 1725 1598 1470 1546 1632 1789 1867 1807 1701 1534 1509 1597 1822 1904 1934 1805 1678 1579 1656 1745 1817 1802 1792 1734 1645 1621 1685 1783 1909 1928 1858 1760 1697 1601 1561 1496 1461 1512 1680 1857 1954 1949 1871 1734 1711 1716 1682 1695 1660 1646 1646 1676 1780 1881 2026 1988 1914 1733 1569 1490 1523 1595 1718 1773 1770 1831 1861 1888 1818 1838 1806 1847 1842 1783 1643 1588 1500 1504 1600 1664 1828 1942 1940 1816 1643 1615 1631 1738 1809 1725 1535 1431 1524 1731 1987 2149 2192 2080 1878 1623 1415 1406 1524 1712 1768 1814 1788 1846 1899 1956 1903 1786 1716 1668 1690 1712 1747 1765 1754 1799 1876 1904 1851 1845 1742 1635 1532 1539 1638 1849 1971 1945 1808 1629 1641 1722 1808 1877 1981 1959 1895 1800 1689 1758 1782 1853 1870 1853 1809 1759 1711 1809 1834 1816 1775 1781 1826 1834 1714 1590 1615 1789 1943 2053 1954 1780 1598 1550 1630 1716 1867 1939 1964 1815 1593 1522 1531 1723 1908 1974 1897 1784 1801 1822 1937 1934 1850 1818 1811 1784 1734 1698 1747 1868 2010 2035 1895 1781 1703 1667 1643 1520 1476 1640 1891 2075 2077 1922 1742 1634 1605 1687 1745 1686 1685 1702 1690 1707 1749 1861 1939 1936 1801 1652 1506 1540 1642 1705 1801 1813 1888 1971 1967 1913 1789 1702 1717 1861 1883 1816 1624 1572 1520 1580 1737 1831 1931 1989 1907 1763 1561 1501 1604 1762 1864 1782 1624 1545 1738 1902 2042 2086 1898 1770 1583 1459 1444 1481 1689 1842 1909 1880 1873 1876 1912 1872 1749 1633 1582 1688 1810 1827 1744 1747 1709 1728 1862 1925 1891 1865 1772 1649 1519 1515 1632 1791 1900 1841 1693 1570 1569 1761 1919 2059 2004 1918 1801 1637 1599 1604 1648 1770 1871 1929 1881 1817 1828 1834 1855 1800 1847 1818 1792 1780 1672 1658 1746 1915 2008 2024 1896 1717 1637 1573 1647 1754 1799 1869 1774 1712 1595 1566 1662 1788 1950 1976 1896 1845 1860 1895 1795 1719 1581 1618 1707 1821 1915 1957 1949 1919 1923 1901 1898 1859 1748 1690 1542 1515 1650 1959 2210 2332 2206 1943 1676 1584 1643 1793 1824 1823 1741 1654 1643 1770 1895 2038 2084 2006 1772 1621 1556 1627 1773 1855 1914 1885 1898 1889 1937 1877 1858 1829 1842 1905 1856 1778 1658 1621 1674 1750 1835 1942 1961 2041 1944 1821 1686 1617 1683 1782 1861 1842 1893 1886 1915 1924 1927 1833 1803 1752 1754 1753 1678 1707 1767 1867 1967 2071 2093 2006 1860 1689 1620 1633 1825 1999 2115 2039 1860 1696 1649 1741 1920 1998 1939 1769 1673 1611 1625 1850 2014 2019 1924 1680 1563 1611 1720 1907 2037 2071 1901 1749 1622 1702 1785 1792 1849 1827 1786 1856 1880 1909 1892 1828 1798 1805 1774 1835 1879 1937 1895 1859 1787 1847 1899 1931 1914 1913 1755 1658 1666 1743 1830 1934 1873 1738 1685 1649 1660 1772 1912 1956 1979 1930 1819 1647 1519 1524 1635 1804 1910 1967 1925 1864 1840 1770 1800 1843 1821 1745 1627 1526 1570 1738 1991 2183 2211 2038 1838 1687 1586 1604 1674 1718 1785 1756 1745 1774 1816 1890 1978 1936 1824 1676 1635 1629 1716 1735 1736 1766 1767 1843 2005 2048 2024 1891 1715 1668 1644 1751 1815 1804 1675 1575 1559 1703 1971 2167 2231 2091 1833 1584 1398 1478 1625 1814 1900 1895 1870 1780 1739 1776 1947 1897 1796 1630 1507 1510 1576 1720 1850 1965 2003 1997 1882 1821 1715 1640 1711 1834 1924 1923 1900 1828 1777 1718 1678 1777 1863 1922 1901 1821 1821 1756 1837 1911 1890 1788 1670 1728 1805 1869 1825 1828 1848 1907 1932 1874 1800 1707 1669 1636 1630 1722 1805 2016 2034 1965 1761 1600 1665 1860 2073 2020 1904 1682 1596 1615 1750 1956 2051 2074 1985 1799 1677 1686 1786 1954 1957 1834 1630 1511 1551 1728 1916 2045 2068 1953 1920 1797 1666 1607 1556 1694 1776 1872 1897 1926 1956 1957 1863 1698 1626 1628 1710 1794 1808 1748 1709 1829 2030 2076 1992 1874 1799 1728 1668 1626 1661 1779 1871 2033 2001 1843 1773 1718 1736 1765 1794 1828 1873 1919 1808 1688 1641 1660 1845 1998 2069 1954 1735 1684 1660 1738 1834 1887 1812 1757 1644 1561 1703 1879 2148 2193 2049 1800 1561 1556 1645 1795 1893 1931 1853 1917 1941 1910 1869 1850 1801 1703 1661 1596 1644 1757 1833 1883 1868 1833 1886 1930 1910 1804 1664 1554 1628 1785 1906 1979 1958 1884 1788 1657 1665 1764 1951 2054 1984 1828 1706 1683 1755 1822 1868 1840 1875 1843 1851 1898 1846 1859 1886 1923 1859 1764 1609 1525 1587 1673 1755 1865 1923 1918 1907 1781 1690 1641
+-132 -2 48 -21 -137 -323 -389 -350 -242 -146 -84 -193 -289 -368 -295 -114 3 -69 -291 -512 -556 -493 -332 -218 -251 -394 -589 -674 -624 -507 -400 -393 -447 -544 -592 -501 -384 -263 -295 -438 -597 -695 -635 -488 -480 -524 -590 -669 -613 -561 -588 -606 -744 -811 -852 -850 -740 -616 -523 -525 -641 -687 -693 -663 -615 -693 -807 -903 -901 -820 -745 -740 -801 -920 -1009 -923 -829 -743 -750 -911 -1035 -1100 -1013 -829 -710 -633 -742 -978 -1046 -955 -826 -639 -680 -943 -1231 -1404 -1341 -1138 -870 -753 -859 -1126 -1333 -1339 -1161 -903 -809 -885 -1126 -1324 -1340 -1171 -927 -825 -897 -1151 -1332 -1385 -1342 -1251 -1142 -1193 -1296 -1357 -1403 -1317 -1155 -1070 -1093 -1202 -1279 -1292 -1217 -1057 -1049 -1153 -1291 -1396 -1404 -1347 -1312 -1331 -1315 -1399 -1442 -1402 -1366 -1331 -1294 -1346 -1451 -1468 -1387 -1329 -1223 -1206 -1283 -1332 -1304 -1259 -1293 -1401 -1534 -1656 -1558 -1444 -1266 -1255 -1402 -1563 -1681 -1651 -1544 -1384 -1293 -1301 -1458 -1611 -1650 -1516 -1304 -1182 -1250 -1529 -1750 -1846 -1742 -1487 -1365 -1404 -1622 -1762 -1856 -1775 -1621 -1481 -1473 -1564 -1716 -1800 -1689 -1459 -1333 -1365 -1546 -1747 -1815 -1703 -1510 -1438 -1524 -1692 -1846 -1831 -1705 -1620 -1586 -1685 -1822 -1936 -1895 -1749 -1543 -1411 -1448 -1651 -1842 -1877 -1792 -1600 -1558 -1620 -1805 -1912 -1940 -1875 -1741 -1746 -1784 -1881 -1967 -1956 -1869 -1760 -1705 -1754 -1818 -1898 -1882 -1761 -1646 -1594 -1692 -1795 -1865 -1805 -1761 -1793 -1860 -2005 -2071 -2072 -1939 -1828 -1738 -1714 -1796 -1893 -1907 -1879 -1814 -1787 -1839 -1961 -1989 -1943 -1762 -1637 -1715 -1874 -2022 -2081 -2030 -1900 -1877 -1940 -2058 -2136 -2129 -1958 -1735 -1597 -1639 -1805 -2076 -2146 -2001 -1770 -1566 -1605 -1812 -2086 -2233 -2120 -1908 -1736 -1737 -1923 -2088 -2230 -2193 -2018 -1845 -1743 -1879 -2073 -2222 -2187 -1965 -1721 -1642 -1769 -1993 -2147 -2170 -2017 -1950 -1991 -2056 -2183 -2179 -2114 -2005 -1943 -1937 -2009 -2101 -2141 -2107 -1961 -1883 -1869 -1966 -2111 -2094 -2041 -1915 -1887 -1965 -2084 -2158 -2141 -2109 -2104 -2133 -2187 -2227 -2227 -2183 -2165 -2111 -2082 -2075 -2125 -2071 -2011 -1892 -1858 -1981 -2163 -2307 -2340 -2153 -1974 -1929 -1985 -2241 -2378 -2332 -2153 -1991 -1956 -2044 -2177 -2308 -2324 -2105 -1855 -1712 -1786 -1999 -2229 -2327 -2180 -1985 -1881 -1955 -2158 -2321 -2345 -2280 -2152 -2048 -2091 -2178 -2271 -2297 -2201 -2055 -1948 -2019 -2189 -2315 -2317 -2166 -2010 -1897 -2019 -2181 -2296 -2258 -2157 -2090 -2195 -2292 -2449 -2466 -2353 -2201 -2036 -1973 -2008 -2187 -2315 -2308 -2188 -2076 -2077 -2208 -2322 -2332 -2235 -2097 -1994 -2076 -2234 -2415 -2511 -2435 -2339 -2261 -2248 -2299 -2327 -2296 -2195 -2079 -1991 -2068 -2229 -2391 -2421 -2277 -2097 -2061 -2122 -2278 -2372 -2364 -2274 -2207 -2201 -2294 -2325 -2367 -2399 -2332 -2271 -2223 -2181 -2250 -2256 -2216 -2121 -2069 -2070 -2201 -2386 -2450 -2372 -2216 -2216 -2340 -2442 -2550 -2465 -2318 -2174 -2177 -2227 -2425 -2511 -2518 -2325 -2141 -1960 -2012 -2209 -2443 -2483 -2329 -2147 -2070 -2186 -2385 -2522 -2571 -2414 -2281 -2233 -2251 -2385 -2452 -2440 -2356 -2227 -2124 -2123 -2187 -2320 -2315 -2265 -2177 -2168 -2313 -2465 -2571 -2496 -2318 -2174 -2152 -2256 -2470 -2509 -2480 -2362 -2276 -2215 -2260 -2355 -2397 -2303 -2137 -2062 -2033 -2172 -2356 -2450 -2424 -2303 -2192 -2275 -2371 -2460 -2437 -2407 -2312 -2296 -2293 -2387 -2395 -2353 -2272 -2153 -2156 -2242 -2405 -2484 -2459 -2297 -2089 -2042 -2187 -2388 -2519 -2508 -2410 -2266 -2260 -2327 -2473 -2592 -2541 -2396 -2183 -2048 -2077 -2250 -2409 -2416 -2388 -2260 -2203 -2251 -2351 -2400 -2372 -2292 -2237 -2309 -2396 -2474 -2487 -2463 -2425 -2345 -2371 -2395 -2394 -2376 -2260 -2199 -2110 -2183 -2321 -2460 -2423 -2283 -2147 -2115 -2298 -2484 -2574 -2539 -2409 -2234 -2208 -2308 -2391 -2484 -2453 -2320 -2201 -2156 -2212 -2342 -2441 -2414 -2244 -2048 -2062 -2243 -2521 -2633 -2588 -2437 -2273 -2291 -2366 -2513 -2592 -2527 -2397 -2234 -2182 -2237 -2434 -2555 -2534 -2392 -2124 -2059 -2144 -2310 -2499 -2500 -2420 -2317 -2293 -2382 -2435 -2494 -2450 -2367 -2353 -2328 -2367 -2373 -2390 -2339 -2332 -2272 -2270 -2318 -2356 -2297 -2240 -2221 -2296 -2413 -2548 -2582 -2511 -2337 -2248 -2252 -2373 -2506 -2585 -2514 -2405 -2288 -2261 -2314 -2414 -2471 -2387 -2224 -2098 -2143 -2292 -2469 -2567 -2510 -2319 -2300 -2311 -2477 -2609 -2576 -2443 -2272 -2237 -2293 -2409 -2505 -2524 -2444 -2269 -2162 -2197 -2382 -2561 -2543 -2381 -2133 -2057 -2219 -2458 -2660 -2733 -2650 -2503 -2395 -2354 -2449 -2546 -2533 -2414 -2216 -2091 -2084 -2309 -2465 -2532 -2435 -2303 -2212 -2256 -2395 -2410 -2381 -2303 -2277 -2325 -2445 -2557 -2560 -2538 -2407 -2299 -2220 -2218 -2344 -2410 -2352 -2289 -2169 -2154 -2296 -2471 -2548 -2493 -2382 -2297 -2297 -2436 -2539 -2560 -2510 -2391 -2277 -2265 -2302 -2337 -2373 -2402 -2348 -2318 -2304 -2331 -2321 -2284 -2177 -2180 -2192 -2387 -2549 -2547 -2464 -2349 -2357 -2404 -2507 -2560 -2514 -2391 -2231 -2181 -2251 -2388 -2529 -2553 -2445 -2251 -2114 -2117 -2299 -2532 -2635 -2500 -2264 -2162 -2282 -2470 -2656 -2661 -2494 -2266 -2147 -2190 -2393 -2564 -2634 -2487 -2223 -2013 -2011 -2218 -2446 -2557 -2472 -2232 -2163 -2239 -2463 -2701 -2738 -2596 -2396 -2214 -2212 -2320 -2536 -2641 -2643 -2482 -2291 -2166 -2213 -2332 -2472 -2459 -2417 -2324 -2242 -2379 -2477 -2514 -2509 -2519 -2501 -2524 -2491 -2496 -2450 -2383 -2342 -2384 -2333 -2386 -2354 -2304 -2242 -2244 -2315 -2492 -2574 -2565 -2365 -2205 -2188 -2342 -2576 -2673 -2642 -2538 -2383 -2384 -2410 -2494 -2524 -2455 -2300 -2171 -2095 -2223 -2437 -2650 -2600 -2466 -2291 -2203 -2352 -2518 -2619 -2519 -2314 -2210 -2266 -2500 -2666 -2761 -2642 -2378 -2159 -2089 -2153 -2361 -2559 -2537 -2379 -2176 -2108 -2246 -2497 -2680 -2671 -2496 -2290 -2264 -2361 -2570 -2652 -2636 -2479 -2328 -2328 -2322 -2421 -2535 -2546 -2449 -2282 -2217 -2274 -2371 -2438 -2349 -2277 -2227 -2329 -2525 -2635 -2667 -2532 -2410 -2341 -2397 -2452 -2501 -2478 -2406 -2284 -2253 -2268 -2331 -2435 -2477 -2430 -2279 -2229 -2246 -2400 -2494 -2519 -2482 -2442 -2442 -2517 -2541 -2546 -2481 -2406 -2362 -2394 -2429 -2433 -2438 -2296 -2161 -2082 -2148 -2315 -2510 -2535 -2458 -2287 -2292 -2429 -2605 -2669 -2553 -2349 -2148 -2146 -2282 -2488 -2651 -2611 -2416 -2187 -2117 -2164 -2335 -2504 -2522 -2380 -2167 -2175 -2310 -2555 -2766 -2745 -2537 -2344 -2221 -2359 -2575 -2688 -2667 -2470 -2270 -2073 -2169 -2383 -2614 -2649 -2518 -2284 -2154 -2247 -2450 -2675 -2704 -2501 -2317 -2206 -2254 -2465 -2621 -2683 -2608 -2517 -2401 -2291 -2289 -2341 -2395 -2362 -2287 -2211 -2257 -2398 -2492 -2469 -2457 -2414 -2439 -2502 -2464 -2430 -2326 -2326 -2442 -2537 -2599 -2611 -2474 -2319 -2266 -2207 -2257 -2367 -2430 -2393 -2302 -2209 -2196 -2320 -2546 -2674 -2603 -2449 -2367 -2420 -2539 -2646 -2650 -2532 -2374 -2246 -2273 -2440 -2537 -2589 -2531 -2343 -2200 -2180 -2335 -2558 -2631 -2530 -2340 -2269 -2371 -2612 -2765 -2818 -2660 -2378 -2235 -2237 -2375 -2536 -2625 -2538 -2401 -2257 -2213 -2309 -2479 -2584 -2524 -2376 -2263 -2323 -2460 -2619 -2689 -2609 -2497 -2437 -2424 -2447 -2513 -2524 -2484 -2410 -2360 -2413 -2527 -2527 -2503 -2367 -2191 -2177 -2340 -2592 -2701 -2697 -2534 -2390 -2419 -2515 -2583 -2648 -2536 -2410 -2313 -2283 -2430 -2550 -2655 -2587 -2442 -2272 -2231 -2329 -2452 -2532 -2519 -2433 -2428 -2487 -2595 -2683 -2680 -2617 -2505 -2466 -2479 -2585 -2570 -2528 -2459 -2362 -2300 -2368 -2472 -2622 -2616 -2469 -2334 -2292 -2365 -2542 -2675 -2590 -2443 -2325 -2336 -2480 -2670 -2722 -2667 -2527 -2430 -2331 -2351 -2447 -2469 -2380 -2242 -2153 -2177 -2392 -2638 -2774 -2690 -2502 -2305 -2340 -2457 -2632 -2662 -2569 -2412 -2324 -2349 -2501 -2616 -2689 -2600 -2404 -2193 -2118 -2229 -2413 -2540 -2556 -2429 -2314 -2314 -2465 -2592 -2684 -2676 -2541 -2493 -2449 -2430 -2472 -2448 -2399 -2369 -2367 -2368 -2459 -2515 -2531 -2417 -2298 -2291 -2380 -2529 -2553 -2527 -2390 -2357 -2443 -2587 -2718 -2725 -2596 -2403 -2252 -2243 -2329 -2454 -2503 -2466 -2318 -2241 -2210 -2327 -2471 -2523 -2446 -2325 -2240 -2310 -2472 -2624 -2654 -2512 -2414 -2342 -2332 -2470 -2494 -2528 -2392 -2286 -2261 -2298 -2415 -2574 -2541 -2408 -2189 -2123 -2245 -2482 -2696 -2694 -2595 -2437 -2387 -2448 -2512 -2593 -2603 -2481 -2341 -2303 -2335 -2410 -2531 -2513 -2410 -2272 -2261 -2383 -2484 -2557 -2511 -2396 -2353 -2420 -2585 -2714 -2625 -2542 -2424 -2387 -2430 -2573 -2587 -2564 -2395 -2257 -2151 -2211 -2404 -2592 -2677 -2594 -2364 -2250 -2298 -2550 -2732 -2705 -2595 -2387 -2264 -2343 -2497 -2659 -2697 -2581 -2405 -2231 -2177 -2227 -2349 -2435 -2416 -2301 -2324 -2383 -2555 -2697 -2666 -2546 -2395 -2315 -2355 -2496 -2540 -2560 -2532 -2465 -2448 -2465 -2498 -2477 -2436 -2366 -2288 -2265 -2345 -2460 -2579 -2518 -2468 -2403 -2459 -2560 -2631 -2585 -2505 -2452 -2456 -2497 -2589 -2551 -2467 -2339 -2239 -2285 -2432 -2582 -2656 -2616 -2456 -2286 -2261 -2367 -2596 -2726 -2713 -2544 -2378 -2402 -2547 -2763 -2850 -2777 -2518 -2319 -2229 -2259 -2424 -2577 -2553 -2462 -2334 -2254 -2415 -2556 -2643 -2567 -2438 -2322 -2354 -2485 -2646 -2669 -2616 -2471 -2397 -2424 -2469 -2572 -2564 -2502 -2369 -2270 -2275 -2370 -2527 -2609 -2516 -2332 -2245 -2356 -2580 -2818 -2850 -2737 -2543 -2451 -2428 -2531 -2562 -2605 -2538 -2488 -2395 -2346 -2469 -2547 -2579 -2546 -2418 -2371 -2399 -2505 -2633 -2615 -2549 -2436 -2467 -2591 -2664 -2684 -2615 -2487 -2420 -2451 -2524 -2524 -2530 -2441 -2331 -2240 -2305 -2410 -2603 -2703 -2697 -2560 -2440 -2447 -2556 -2638 -2594 -2475 -2368 -2406 -2515 -2631 -2686 -2639 -2510 -2385 -2335 -2356 -2401 -2472 -2459 -2362 -2279 -2316 -2512 -2741 -2833 -2739 -2465 -2245 -2225 -2409 -2679 -2803 -2715 -2538 -2345 -2292 -2392 -2569 -2710 -2656 -2450 -2209 -2161 -2255 -2537 -2780 -2799 -2638 -2398 -2307 -2466 -2591 -2742 -2768 -2623 -2434 -2345 -2381 -2464 -2536 -2524 -2469 -2352 -2338 -2289 -2456 -2510 -2547 -2457 -2390 -2356 -2455 -2589 -2624 -2619 -2546 -2512 -2543 -2564 -2541 -2499 -2458 -2370 -2308 -2371 -2400 -2436 -2419 -2406 -2340 -2436 -2494 -2593 -2562 -2487 -2348 -2309 -2458 -2637 -2773 -2726 -2503 -2258 -2206 -2294 -2466 -2589 -2608 -2476 -2243 -2104 -2202 -2425 -2608 -2688 -2542 -2315 -2184 -2293 -2611 -2825 -2883 -2711 -2446 -2251 -2262 -2421 -2549 -2617 -2544 -2381 -2285 -2280 -2387 -2590 -2628 -2532 -2352 -2248 -2282 -2491 -2658 -2681 -2589 -2414 -2374 -2430 -2551 -2638 -2646 -2514 -2352 -2240 -2253 -2367 -2512 -2512 -2439 -2304 -2227 -2281 -2464 -2638 -2721 -2592 -2433 -2349 -2389 -2487 -2553 -2524 -2448 -2468 -2456 -2511 -2573 -2543 -2502 -2385 -2317 -2269 -2293 -2337 -2407 -2395 -2349 -2375 -2520 -2673 -2730 -2682 -2514 -2321 -2288 -2387 -2467 -2565 -2532 -2441 -2323 -2273 -2320 -2472 -2497 -2497 -2398 -2273 -2234 -2354 -2523 -2625 -2602 -2481 -2399 -2417 -2561 -2712 -2733 -2572 -2331 -2255 -2258 -2374 -2492 -2492 -2375 -2223 -2169 -2231 -2431 -2600 -2645 -2520 -2294 -2126 -2179 -2391 -2685 -2757 -2629 -2388 -2226 -2195 -2358 -2521 -2579 -2513 -2321 -2098 -2070 -2225 -2504 -2663 -2666 -2446 -2260 -2226 -2355 -2558 -2658 -2593 -2540 -2414 -2422 -2527 -2565 -2531 -2480 -2346 -2264 -2222 -2346 -2440 -2522 -2540 -2407 -2337 -2312 -2386 -2470 -2438 -2401 -2380 -2448 -2554 -2647 -2636 -2524 -2465 -2389 -2411 -2457 -2433 -2431 -2361 -2317 -2344 -2398 -2531 -2595 -2556 -2411 -2264 -2204 -2345 -2611 -2750 -2666 -2498 -2286 -2260 -2411 -2612 -2693 -2628 -2380 -2157 -2077 -2169 -2381 -2531 -2645 -2473 -2223 -2090 -2177 -2432 -2685 -2737 -2568 -2352 -2242 -2303 -2496 -2608 -2611 -2500 -2342 -2274 -2340 -2426 -2505 -2477 -2365 -2212 -2126 -2192 -2382 -2558 -2585 -2481 -2378 -2377 -2491 -2621 -2661 -2544 -2397 -2257 -2257 -2367 -2460 -2546 -2552 -2377 -2296 -2220 -2278 -2388 -2509 -2466 -2395 -2295 -2357 -2424 -2550 -2553 -2529 -2430 -2417 -2505 -2587 -2609 -2500 -2386 -2298 -2210 -2283 -2404 -2461 -2442 -2330 -2267 -2287 -2395 -2551 -2631 -2561 -2365 -2202 -2205 -2361 -2543 -2620 -2593 -2428 -2354 -2321 -2375 -2445 -2434 -2408 -2314 -2193 -2169 -2281 -2425 -2549 -2535 -2410 -2311 -2335 -2484 -2581 -2607 -2506 -2374 -2353 -2475 -2606 -2662 -2575 -2406 -2196 -2151
+85 71 17 -90 -165 -182 -167 -184 -120 -186 -148 -174 -207 -283 -332 -359 -371 -391 -467 -551 -645 -553 -472 -333 -224 -192 -246 -309 -399 -499 -628 -659 -632 -558 -517 -548 -632 -714 -719 -677 -653 -675 -765 -861 -880 -847 -827 -804 -719 -676 -634 -654 -700 -739 -727 -726 -768 -879 -1011 -1089 -1048 -995 -960 -977 -989 -995 -967 -992 -1100 -1102 -1085 -1005 -998 -1032 -1115 -1153 -1147 -1135 -1168 -1139 -1131 -1100 -1142 -1315 -1439 -1513 -1451 -1360 -1310 -1414 -1461 -1427 -1297 -1229 -1195 -1220 -1328 -1333 -1333 -1343 -1387 -1407 -1384 -1362 -1391 -1485 -1557 -1542 -1430 -1312 -1312 -1451 -1646 -1698 -1679 -1576 -1494 -1463 -1551 -1481 -1498 -1407 -1427 -1391 -1401 -1449 -1616 -1811 -1915 -1890 -1791 -1752 -1793 -1892 -1896 -1786 -1791 -1776 -1828 -1803 -1749 -1699 -1774 -1843 -1932 -1905 -1799 -1657 -1702 -1785 -1923 -1983 -2029 -2026 -2073 -2059 -2055 -2084 -2169 -2162 -2173 -2084 -1885 -1814 -1845 -1917 -1987 -2020 -1987 -1910 -1868 -1877 -1999 -2071 -2138 -2170 -2172 -2055 -2004 -2047 -2174 -2287 -2361 -2333 -2277 -2174 -2126 -2084 -1978 -1882 -1814 -1916 -1984 -2099 -2185 -2203 -2333 -2425 -2427 -2364 -2305 -2299 -2441 -2527 -2470 -2312 -2233 -2306 -2361 -2438 -2434 -2379 -2368 -2353 -2295 -2224 -2159 -2192 -2270 -2384 -2438 -2421 -2463 -2549 -2611 -2633 -2625 -2613 -2630 -2603 -2498 -2357 -2297 -2343 -2393 -2459 -2442 -2416 -2368 -2355 -2341 -2361 -2443 -2567 -2591 -2601 -2512 -2475 -2490 -2612 -2764 -2852 -2836 -2702 -2558 -2453 -2416 -2412 -2467 -2463 -2487 -2482 -2458 -2472 -2486 -2542 -2561 -2701 -2715 -2773 -2743 -2713 -2690 -2691 -2685 -2603 -2634 -2689 -2723 -2696 -2633 -2551 -2608 -2685 -2663 -2557 -2460 -2420 -2502 -2574 -2622 -2655 -2715 -2828 -2831 -2832 -2788 -2773 -2805 -2790 -2677 -2550 -2527 -2632 -2777 -2776 -2680 -2594 -2619 -2627 -2704 -2754 -2731 -2701 -2743 -2729 -2680 -2727 -2902 -3106 -3198 -3124 -2905 -2742 -2673 -2679 -2796 -2783 -2746 -2723 -2671 -2639 -2668 -2801 -2860 -2934 -2913 -2858 -2834 -2833 -2832 -2838 -2844 -2924 -2942 -2977 -2961 -2889 -2871 -2857 -2864 -2825 -2723 -2651 -2591 -2596 -2650 -2738 -2862 -2928 -2932 -2937 -2923 -2920 -2975 -3020 -3067 -3074 -3070 -2989 -2899 -2902 -2919 -2978 -3046 -2974 -2919 -2852 -2831 -2895 -2910 -2904 -2915 -2897 -2962 -2984 -3099 -3218 -3315 -3334 -3268 -3125 -3038 -3081 -3099 -3106 -3007 -2875 -2812 -2836 -2875 -2930 -3019 -3033 -3045 -3038 -2970 -2879 -2890 -3006 -3103 -3127 -3129 -3058 -3106 -3169 -3208 -3155 -3068 -2976 -2944 -2880 -2841 -2815 -2846 -2871 -2973 -3012 -2981 -2992 -2931 -2952 -2987 -3091 -3207 -3271 -3268 -3235 -3103 -3031 -3032 -3049 -3147 -3130 -3092 -3032 -2961 -2973 -2990 -3011 -2988 -3016 -3052 -3098 -3092 -3104 -3197 -3313 -3400 -3378 -3275 -3159 -3133 -3145 -3172 -3159 -3064 -3001 -2981 -3037 -3086 -3154 -3196 -3225 -3240 -3187 -3117 -3029 -3004 -3024 -3061 -3117 -3164 -3262 -3353 -3339 -3317 -3216 -3175 -3113 -3053 -2982 -2934 -2985 -3022 -3079 -3088 -3104 -3145 -3196 -3244 -3282 -3313 -3333 -3308 -3206 -3166 -3114 -3122 -3182 -3273 -3324 -3317 -3263 -3177 -3053 -3018 -2980 -3036 -3133 -3181 -3157 -3111 -3098 -3210 -3312 -3405 -3426 -3324 -3197 -3107 -3112 -3219 -3285 -3278 -3144 -3117 -3087 -3107 -3152 -3162 -3152 -3212 -3261 -3256 -3171 -3124 -3169 -3269 -3373 -3405 -3346 -3348 -3378 -3404 -3414 -3341 -3315 -3269 -3255 -3161 -3074 -3079 -3103 -3181 -3304 -3288 -3246 -3269 -3310 -3303 -3274 -3279 -3352 -3409 -3401 -3289 -3197 -3215 -3336 -3409 -3390 -3262 -3105 -3047 -3005 -3090 -3090 -3149 -3169 -3125 -3140 -3183 -3273 -3320 -3346 -3334 -3291 -3229 -3206 -3270 -3289 -3315 -3291 -3279 -3233 -3191 -3242 -3232 -3294 -3323 -3257 -3198 -3124 -3120 -3170 -3226 -3301 -3367 -3388 -3429 -3446 -3446 -3450 -3484 -3473 -3394 -3390 -3401 -3324 -3257 -3184 -3214 -3336 -3404 -3393 -3287 -3218 -3268 -3357 -3406 -3396 -3361 -3331 -3339 -3320 -3337 -3415 -3484 -3571 -3561 -3438 -3225 -3166 -3210 -3229 -3262 -3271 -3218 -3216 -3135 -3151 -3148 -3285 -3442 -3574 -3530 -3396 -3276 -3272 -3272 -3266 -3284 -3309 -3399 -3479 -3508 -3453 -3371 -3354 -3342 -3328 -3217 -3213 -3245 -3259 -3338 -3406 -3462 -3521 -3574 -3509 -3535 -3536 -3511 -3479 -3430 -3374 -3321 -3312 -3340 -3367 -3397 -3391 -3381 -3329 -3325 -3327 -3369 -3390 -3365 -3303 -3286 -3416 -3414 -3490 -3437 -3458 -3538 -3493 -3428 -3255 -3225 -3317 -3433 -3526 -3446 -3288 -3221 -3241 -3331 -3376 -3401 -3470 -3561 -3505 -3386 -3302 -3249 -3390 -3529 -3571 -3466 -3403 -3433 -3475 -3499 -3473 -3411 -3373 -3376 -3373 -3278 -3184 -3220 -3311 -3450 -3466 -3482 -3463 -3513 -3524 -3530 -3545 -3479 -3522 -3494 -3428 -3338 -3233 -3259 -3273 -3413 -3428 -3431 -3415 -3411 -3410 -3355 -3319 -3343 -3364 -3447 -3476 -3535 -3582 -3603 -3638 -3615 -3534 -3436 -3368 -3411 -3510 -3519 -3449 -3302 -3225 -3310 -3382 -3457 -3468 -3466 -3490 -3457 -3428 -3390 -3415 -3506 -3601 -3662 -3572 -3484 -3429 -3475 -3517 -3518 -3387 -3320 -3306 -3292 -3234 -3225 -3199 -3302 -3426 -3500 -3459 -3430 -3430 -3446 -3500 -3501 -3485 -3459 -3416 -3351 -3259 -3218 -3367 -3465 -3600 -3616 -3475 -3296 -3225 -3263 -3333 -3403 -3366 -3320 -3374 -3422 -3428 -3481 -3577 -3641 -3659 -3628 -3465 -3341 -3308 -3378 -3496 -3534 -3511 -3396 -3400 -3467 -3568 -3567 -3553 -3458 -3422 -3355 -3348 -3350 -3431 -3530 -3561 -3558 -3537 -3533 -3547 -3616 -3559 -3443 -3335 -3349 -3371 -3325 -3297 -3238 -3287 -3428 -3526 -3471 -3394 -3319 -3386 -3455 -3434 -3399 -3355 -3364 -3388 -3404 -3425 -3412 -3436 -3439 -3436 -3367 -3308 -3301 -3377 -3456 -3518 -3500 -3421 -3375 -3342 -3390 -3524 -3596 -3651 -3561 -3515 -3445 -3467 -3534 -3533 -3513 -3507 -3424 -3351 -3320 -3317 -3394 -3522 -3571 -3481 -3405 -3348 -3350 -3374 -3424 -3464 -3469 -3489 -3517 -3498 -3466 -3499 -3507 -3499 -3441 -3332 -3290 -3269 -3256 -3264 -3246 -3327 -3406 -3484 -3468 -3455 -3369 -3395 -3419 -3469 -3435 -3409 -3426 -3454 -3474 -3415 -3486 -3533 -3498 -3447 -3387 -3347 -3395 -3456 -3370 -3327 -3327 -3351 -3404 -3412 -3425 -3481 -3579 -3627 -3604 -3462 -3373 -3435 -3505 -3560 -3470 -3397 -3333 -3384 -3422 -3473 -3437 -3370 -3349 -3275 -3244 -3308 -3399 -3540 -3615 -3601 -3542 -3516 -3532 -3527 -3541 -3459 -3506 -3508 -3499 -3446 -3344 -3330 -3353 -3414 -3482 -3463 -3453 -3469 -3463 -3519 -3485 -3475 -3474 -3541 -3572 -3576 -3528 -3484 -3507 -3567 -3608 -3632 -3504 -3359 -3256 -3281 -3363 -3448 -3431 -3358 -3324 -3304 -3418 -3497 -3582 -3615 -3562 -3487 -3413 -3346 -3392 -3482 -3608 -3613 -3500 -3406 -3397 -3356 -3398 -3395 -3381 -3354 -3376 -3368 -3394 -3427 -3540 -3610 -3656 -3567 -3491 -3463 -3543 -3596 -3541 -3510 -3427 -3448 -3536 -3523 -3473 -3440 -3487 -3565 -3610 -3534 -3500 -3499 -3518 -3562 -3559 -3558 -3557 -3530 -3514 -3524 -3572 -3629 -3688 -3687 -3596 -3486 -3449 -3469 -3489 -3475 -3442 -3370 -3319 -3328 -3428 -3499 -3577 -3571 -3609 -3509 -3425 -3323 -3327 -3398 -3563 -3651 -3567 -3427 -3320 -3346 -3476 -3583 -3589 -3519 -3382 -3343 -3339 -3312 -3283 -3399 -3524 -3656 -3693 -3636 -3527 -3531 -3570 -3545 -3516 -3417 -3426 -3539 -3494 -3497 -3499 -3539 -3623 -3671 -3561 -3458 -3383 -3365 -3419 -3468 -3529 -3509 -3567 -3619 -3644 -3600 -3513 -3514 -3512 -3528 -3512 -3417 -3351 -3371 -3412 -3445 -3427 -3388 -3380 -3387 -3429 -3432 -3430 -3405 -3381 -3422 -3443 -3450 -3530 -3598 -3624 -3593 -3450 -3364 -3352 -3416 -3549 -3590 -3440 -3379 -3263 -3267 -3299 -3365 -3428 -3533 -3590 -3563 -3439 -3336 -3386 -3471 -3525 -3517 -3424 -3354 -3327 -3444 -3456 -3481 -3463 -3522 -3517 -3428 -3275 -3161 -3169 -3295 -3399 -3445 -3400 -3366 -3373 -3394 -3394 -3457 -3521 -3642 -3654 -3575 -3397 -3324 -3272 -3345 -3414 -3421 -3368 -3312 -3303 -3316 -3380 -3410 -3395 -3382 -3370 -3411 -3414 -3462 -3500 -3500 -3531 -3501 -3478 -3414 -3440 -3490 -3435 -3441 -3355 -3286 -3293 -3306 -3392 -3403 -3448 -3426 -3439 -3435 -3457 -3485 -3532 -3489 -3458 -3369 -3344 -3410 -3457 -3498 -3451 -3390 -3424 -3441 -3422 -3294 -3212 -3211 -3337 -3416 -3406 -3274 -3181 -3247 -3393 -3522 -3598 -3571 -3571 -3541 -3526 -3374 -3278 -3298 -3384 -3457 -3487 -3415 -3404 -3396 -3461 -3505 -3515 -3463 -3449 -3388 -3338 -3335 -3459 -3599 -3692 -3663 -3566 -3510 -3476 -3521 -3536 -3486 -3420 -3362 -3369 -3413 -3429 -3454 -3440 -3444 -3494 -3444 -3452 -3382 -3318 -3309 -3362 -3428 -3486 -3477 -3410 -3376 -3443 -3515 -3532 -3486 -3361 -3324 -3346 -3319 -3331 -3278 -3320 -3350 -3371 -3392 -3418 -3446 -3472 -3525 -3512 -3530 -3524 -3494 -3474 -3442 -3501 -3561 -3619 -3454 -3369 -3311 -3380 -3464 -3567 -3537 -3427 -3333 -3319 -3439 -3471 -3506 -3506 -3522 -3539 -3501 -3414 -3418 -3484 -3595 -3607 -3559 -3433 -3325 -3280 -3296 -3328 -3437 -3458 -3493 -3410 -3319 -3332 -3330 -3423 -3495 -3502 -3423 -3416 -3445 -3468 -3442 -3437 -3482 -3534 -3522 -3422 -3303 -3189 -3236 -3287 -3378 -3379 -3355 -3299 -3332 -3379 -3490 -3505 -3479 -3452 -3406 -3427 -3415 -3412 -3497 -3518 -3516 -3479 -3374 -3342 -3296 -3322 -3358 -3410 -3395 -3391 -3340 -3334 -3315 -3362 -3407 -3487 -3503 -3479 -3449 -3482 -3548 -3584 -3542 -3373 -3310 -3305 -3395 -3468 -3488 -3427 -3386 -3326 -3305 -3278 -3269 -3242 -3321 -3438 -3470 -3475 -3423 -3390 -3480 -3553 -3616 -3596 -3546 -3533 -3475 -3383 -3300 -3259 -3246 -3262 -3343 -3338 -3370 -3340 -3345 -3411 -3425 -3436 -3422 -3446 -3402 -3426 -3433 -3466 -3494 -3541 -3524 -3409 -3300 -3267 -3329 -3385 -3410 -3321 -3208 -3186 -3186 -3265 -3394 -3433 -3445 -3438 -3456 -3393 -3411 -3414 -3467 -3550 -3500 -3461 -3466 -3493 -3488 -3444 -3376 -3333 -3332 -3354 -3337 -3246 -3228 -3338 -3484 -3619 -3625 -3639 -3580 -3605 -3569 -3499 -3502 -3571 -3628 -3630 -3508 -3377 -3336 -3414 -3448 -3456 -3408 -3368 -3376 -3452 -3468 -3498 -3509 -3533 -3485 -3426 -3347 -3398 -3496 -3584 -3560 -3468 -3379 -3356 -3454 -3465 -3480 -3387 -3288 -3255 -3176 -3239 -3336 -3429 -3531 -3545 -3516 -3487 -3452 -3486 -3540 -3583 -3600 -3543 -3496 -3422 -3426 -3500 -3543 -3545 -3523 -3446 -3353 -3285 -3301 -3311 -3390 -3511 -3606 -3644 -3550 -3598 -3612 -3689 -3756 -3800 -3705 -3606 -3542 -3399 -3276 -3289 -3432 -3546 -3608 -3470 -3387 -3329 -3396 -3563 -3591 -3502 -3494 -3532 -3599 -3606 -3539 -3518 -3575 -3649 -3651 -3583 -3475 -3453 -3463 -3437 -3377 -3305 -3229 -3329 -3368 -3451 -3483 -3483 -3471 -3482 -3468 -3467 -3557 -3666 -3679 -3587 -3470 -3394 -3429 -3551 -3611 -3584 -3483 -3390 -3391 -3366 -3302 -3330 -3354 -3426 -3478 -3469 -3482 -3470 -3553 -3611 -3682 -3702 -3634 -3523 -3428 -3417 -3417 -3405 -3439 -3471 -3520 -3537 -3500 -3339 -3248 -3222 -3302 -3394 -3408 -3421 -3399 -3400 -3421 -3406 -3462 -3558 -3641 -3588 -3463 -3357 -3332 -3466 -3559 -3526 -3405 -3287 -3277 -3358 -3371 -3362 -3266 -3304 -3360 -3417 -3399 -3340 -3407 -3521 -3578 -3594 -3475 -3447 -3464 -3499 -3454 -3335 -3275 -3292 -3330 -3328 -3290 -3205 -3156 -3194 -3276 -3328 -3355 -3407 -3394 -3463 -3475 -3453 -3440 -3394 -3403 -3344 -3386 -3403 -3424 -3444 -3453 -3355 -3260 -3232 -3267 -3371 -3492 -3463 -3374 -3259 -3281 -3335 -3444 -3546 -3589 -3658 -3654 -3570 -3426 -3383 -3403 -3495 -3487 -3319 -3165 -3059 -3186 -3366 -3493 -3495 -3414 -3328 -3331 -3341 -3316 -3351 -3442 -3543 -3608 -3536 -3478 -3449 -3447 -3458 -3454 -3343 -3255 -3193 -3180 -3136 -3149 -3189 -3313 -3425 -3487 -3417 -3365 -3375 -3452 -3499 -3508 -3421 -3381 -3336 -3334 -3338 -3367 -3389 -3423 -3482 -3397 -3335 -3227 -3187 -3250 -3320 -3374 -3333 -3278 -3247 -3335 -3468 -3593 -3621 -3614 -3594 -3601 -3591 -3584 -3553 -3488 -3419 -3317 -3271 -3265 -3325 -3380 -3443 -3401 -3334 -3272 -3286 -3360 -3426 -3504 -3546 -3573 -3527 -3428 -3381 -3452 -3532 -3622 -3558 -3398 -3279 -3263 -3274 -3239 -3229 -3210 -3262 -3347 -3388 -3373 -3378 -3392 -3427 -3500 -3579 -3518 -3485 -3424 -3397 -3419 -3463 -3475 -3540 -3488 -3443 -3373 -3331 -3328 -3344 -3353 -3360 -3324 -3337 -3319 -3350 -3440 -3518 -3574 -3602 -3556 -3490 -3460 -3448 -3482 -3555 -3550 -3484 -3395 -3287 -3279 -3335 -3462 -3453 -3428 -3403 -3375 -3433 -3457 -3460 -3442 -3387 -3393 -3487 -3537 -3482 -3521 -3546 -3521 -3448 -3369 -3341 -3302 -3325 -3315 -3357 -3404 -3471 -3476 -3449 -3392 -3435 -3497 -3472 -3471 -3345 -3327
+-67 -51 -120 -134 -117 -110 -88 -133 -176 -231 -207 -148 -117 -140 -205 -234 -256 -257 -267 -269 -291 -270 -328 -392 -478 -513 -499 -426 -326 -324 -388 -473 -526 -496 -450 -413 -366 -362 -460 -495 -561 -529 -483 -424 -440 -512 -552 -618 -577 -579 -520 -506 -466 -442 -429 -499 -578 -565 -509 -429 -396 -386 -421 -452 -457 -490 -516 -568 -594 -596 -608 -594 -608 -626 -615 -667 -689 -723 -673 -691 -677 -673 -681 -722 -721 -709 -627 -612 -650 -779 -840 -863 -835 -798 -736 -747 -796 -842 -946 -940 -954 -888 -843 -794 -830 -881 -933 -901 -807 -775 -723 -776 -859 -938 -975 -994 -973 -946 -936 -910 -954 -956 -1041 -983 -984 -975 -1002 -986 -950 -883 -878 -914 -955 -995 -1034 -983 -976 -953 -900 -888 -953 -999 -1047 -1067 -1026 -1021 -1038 -1000 -1032 -1075 -1095 -1073 -993 -913 -873 -946 -1065 -1138 -1179 -1061 -1016 -956 -1012 -1129 -1203 -1252 -1228 -1185 -1098 -1045 -1024 -1065 -1211 -1269 -1240 -1173 -1134 -1118 -1177 -1238 -1297 -1290 -1272 -1247 -1209 -1215 -1287 -1334 -1333 -1279 -1231 -1271 -1320 -1354 -1373 -1288 -1329 -1348 -1315 -1336 -1362 -1355 -1395 -1380 -1317 -1264 -1273 -1340 -1370 -1437 -1438 -1414 -1352 -1336 -1331 -1356 -1401 -1377 -1358 -1281 -1322 -1326 -1404 -1425 -1425 -1346 -1275 -1238 -1194 -1247 -1315 -1408 -1499 -1481 -1403 -1318 -1309 -1319 -1353 -1374 -1381 -1348 -1345 -1414 -1401 -1463 -1503 -1535 -1493 -1439 -1371 -1389 -1459 -1529 -1547 -1522 -1529 -1564 -1574 -1582 -1579 -1580 -1591 -1573 -1573 -1549 -1523 -1564 -1583 -1625 -1618 -1583 -1577 -1581 -1590 -1563 -1593 -1557 -1556 -1573 -1623 -1599 -1631 -1662 -1638 -1603 -1564 -1525 -1573 -1571 -1573 -1492 -1471 -1487 -1474 -1497 -1525 -1583 -1638 -1598 -1536 -1481 -1453 -1500 -1587 -1576 -1563 -1569 -1560 -1532 -1569 -1592 -1600 -1619 -1597 -1549 -1511 -1482 -1550 -1609 -1668 -1687 -1698 -1598 -1541 -1516 -1562 -1572 -1651 -1692 -1733 -1719 -1709 -1759 -1700 -1651 -1630 -1646 -1699 -1718 -1754 -1766 -1664 -1693 -1681 -1652 -1664 -1680 -1696 -1726 -1695 -1671 -1634 -1651 -1738 -1781 -1816 -1818 -1766 -1655 -1602 -1563 -1616 -1705 -1778 -1779 -1734 -1669 -1636 -1630 -1657 -1703 -1705 -1707 -1681 -1669 -1696 -1694 -1738 -1754 -1757 -1692 -1642 -1582 -1588 -1645 -1755 -1766 -1762 -1677 -1626 -1578 -1590 -1649 -1707 -1705 -1749 -1694 -1685 -1685 -1702 -1706 -1696 -1699 -1703 -1727 -1750 -1781 -1743 -1747 -1699 -1713 -1726 -1734 -1785 -1814 -1853 -1815 -1775 -1718 -1722 -1800 -1892 -1958 -1957 -1846 -1770 -1768 -1812 -1867 -1874 -1844 -1826 -1846 -1776 -1757 -1795 -1860 -1904 -1966 -1893 -1834 -1767 -1779 -1789 -1843 -1854 -1865 -1834 -1791 -1740 -1784 -1845 -1912 -1870 -1832 -1772 -1735 -1729 -1721 -1705 -1695 -1728 -1758 -1817 -1823 -1808 -1762 -1779 -1816 -1827 -1881 -1940 -1900 -1899 -1874 -1822 -1771 -1737 -1697 -1707 -1775 -1815 -1838 -1806 -1800 -1817 -1877 -1926 -1866 -1798 -1766 -1788 -1893 -1993 -2071 -2090 -2072 -1991 -1905 -1856 -1866 -1931 -1990 -2024 -1948 -1963 -1961 -1980 -1953 -1941 -1933 -1942 -2009 -2001 -1973 -1889 -1930 -2002 -2067 -2056 -2048 -1989 -1975 -1926 -1871 -1829 -1757 -1749 -1791 -1839 -1867 -1886 -1890 -1837 -1785 -1764 -1788 -1823 -1914 -1911 -1876 -1849 -1828 -1848 -1939 -1912 -1920 -1906 -1870 -1823 -1771 -1807 -1836 -1874 -1906 -1870 -1840 -1798 -1837 -1851 -1958 -1993 -2011 -1950 -1875 -1824 -1829 -1909 -2017 -2058 -2057 -2003 -1985 -1940 -1876 -1878 -1893 -1915 -1938 -1946 -1919 -1932 -1921 -1922 -1908 -1899 -1985 -2011 -2009 -1963 -1934 -1942 -2012 -2040 -2023 -1965 -1917 -1926 -1904 -1870 -1778 -1767 -1805 -1958 -2036 -2011 -1973 -1891 -1903 -1899 -1870 -1882 -1905 -1970 -1969 -1928 -1859 -1822 -1859 -1885 -1934 -1852 -1805 -1788 -1764 -1765 -1812 -1891 -1977 -2025 -1936 -1877 -1852 -1883 -1919 -1950 -1941 -1976 -1966 -1970 -1925 -1878 -1853 -1865 -1884 -1942 -1940 -1948 -1936 -1898 -1878 -1904 -1982 -2020 -1986 -1970 -2001 -2038 -2062 -1988 -1976 -1954 -1973 -2024 -1996 -1945 -1880 -1875 -1917 -1937 -1976 -1969 -2022 -2013 -2013 -2014 -2007 -2056 -2111 -2127 -2038 -2004 -1948 -1983 -1993 -1987 -1983 -2006 -1996 -1945 -1858 -1823 -1852 -1947 -1985 -1994 -1873 -1779 -1814 -1886 -1941 -1985 -1956 -1950 -1957 -1971 -1949 -1919 -1886 -1847 -1835 -1866 -1887 -1873 -1896 -1882 -1858 -1868 -1834 -1803 -1808 -1841 -1950 -2033 -2102 -2088 -2025 -2026 -2064 -2100 -2095 -2060 -2011 -1964 -1976 -1997 -2017 -1997 -2030 -1987 -1972 -1900 -1958 -2041 -2138 -2205 -2188 -2126 -2027 -1989 -2018 -2063 -2134 -2165 -2148 -2055 -1966 -1981 -2029 -2078 -2083 -2041 -1971 -1840 -1867 -1857 -1929 -2013 -2027 -2042 -2012 -1969 -1940 -1947 -1981 -2040 -2013 -1950 -1899 -1898 -1935 -1966 -2022 -2018 -1931 -1850 -1800 -1771 -1825 -1877 -1929 -1960 -2021 -2024 -2042 -2057 -2023 -2052 -1994 -1935 -1945 -1949 -1992 -2039 -2050 -2063 -1968 -1949 -1959 -2035 -2057 -2100 -2097 -2071 -1988 -1916 -1890 -1966 -2088 -2152 -2153 -2110 -2014 -1941 -2021 -2062 -2059 -2068 -2043 -1942 -1889 -1890 -1922 -1965 -2044 -2051 -1975 -1892 -1893 -1884 -1907 -2002 -2048 -2038 -2047 -2048 -2047 -2060 -1963 -1921 -1864 -1853 -1847 -1884 -1881 -1885 -1899 -1917 -1885 -1862 -1855 -1880 -1930 -1981 -1966 -1924 -1933 -1985 -2080 -2132 -2126 -2036 -1916 -1802 -1770 -1826 -1878 -1964 -1986 -1946 -1894 -1827 -1869 -1975 -2021 -2011 -2031 -1985 -2010 -2007 -2026 -2027 -2111 -2171 -2193 -2082 -1964 -1843 -1845 -1975 -2036 -2042 -1991 -1910 -1893 -1911 -1973 -1992 -1988 -2036 -2041 -2065 -2107 -2121 -2133 -2105 -2082 -2086 -2052 -2046 -2012 -2012 -2001 -1962 -1939 -1859 -1881 -1934 -2001 -2059 -1999 -1942 -1955 -1943 -1991 -2036 -2060 -2069 -2069 -1962 -1879 -1874 -1890 -2015 -2059 -2022 -1889 -1802 -1790 -1861 -1864 -1885 -1907 -1932 -1974 -1929 -1842 -1887 -1955 -2075 -2066 -2001 -1908 -1891 -1984 -2052 -2114 -2054 -2029 -1932 -1922 -1845 -1811 -1876 -2002 -2117 -2109 -2067 -2011 -2007 -2055 -2066 -2105 -2121 -2122 -2103 -2093 -2096 -2106 -2105 -2086 -1985 -1944 -1930 -1953 -2020 -1992 -1906 -1933 -1917 -1981 -2037 -2074 -2086 -2047 -1980 -1909 -1843 -1905 -1966 -2023 -2050 -2032 -1967 -1881 -1827 -1788 -1841 -1926 -1967 -1912 -1798 -1727 -1790 -1897 -2002 -2001 -1963 -1907 -1946 -1952 -1991 -2009 -2028 -2081 -2072 -2019 -1905 -1790 -1836 -1918 -2001 -2058 -2003 -1965 -1958 -1928 -1957 -2013 -2027 -2052 -2020 -2019 -2059 -2118 -2171 -2162 -2086 -2006 -2030 -2064 -2086 -2044 -1983 -1891 -1975 -2008 -2033 -2090 -2087 -2107 -2021 -2008 -1924 -1921 -2046 -2112 -2171 -2099 -2015 -1948 -1935 -1993 -2088 -2133 -2175 -2051 -1882 -1767 -1778 -1835 -1887 -1942 -1963 -1953 -1960 -1958 -1980 -2022 -2038 -2102 -2094 -2058 -2003 -1983 -1994 -2045 -2023 -2058 -2049 -2028 -1925 -1899 -1905 -1941 -1963 -1977 -1959 -1983 -2129 -2246 -2253 -2154 -2036 -2000 -2017 -2083 -2132 -2140 -2173 -2093 -2087 -2030 -1982 -1986 -2032 -2034 -2047 -1974 -1940 -1951 -2004 -2154 -2243 -2241 -2208 -2109 -2030 -2038 -2102 -2186 -2223 -2169 -2090 -2026 -2031 -2059 -2062 -2039 -2004 -1975 -1921 -1887 -1937 -2012 -2073 -2172 -2200 -2181 -2099 -2055 -2007 -1996 -2020 -2050 -2029 -1988 -2010 -1975 -1932 -1925 -1927 -1890 -1893 -1882 -1923 -1924 -1978 -1964 -1967 -1991 -1959 -1978 -2034 -2110 -2120 -2114 -2013 -1951 -1913 -1942 -1982 -1998 -2008 -2011 -1991 -1978 -2006 -2049 -2076 -2081 -2065 -2017 -1995 -2055 -2059 -2162 -2177 -2183 -2157 -2150 -2083 -2096 -2085 -2071 -2080 -2050 -2024 -1948 -1899 -1907 -1973 -1998 -2004 -2010 -2005 -2021 -2039 -2082 -2105 -2122 -2096 -2086 -2049 -1979 -1912 -1898 -1890 -1887 -1907 -1924 -1946 -1916 -1935 -1922 -1907 -1903 -1881 -1865 -1875 -1900 -1944 -2022 -2000 -1987 -1967 -2000 -2001 -1954 -1937 -1887 -1836 -1894 -1959 -2020 -2023 -1998 -1978 -1928 -1912 -1920 -1959 -2041 -2102 -2149 -2120 -2069 -2066 -2021 -2102 -2122 -2154 -2125 -2088 -2036 -1980 -1991 -2030 -2014 -1992 -1950 -1985 -2004 -1994 -2042 -2084 -2125 -2142 -2141 -2077 -2032 -1984 -1973 -1999 -2024 -2031 -2004 -1962 -1956 -1975 -1979 -1914 -1865 -1858 -1884 -1943 -1959 -1981 -1944 -1913 -1888 -1956 -1989 -2073 -2072 -2013 -1949 -1953 -1918 -1947 -1932 -1978 -2009 -1992 -1934 -1826 -1759 -1762 -1886 -1982 -2031 -2037 -1953 -1901 -1920 -2000 -2104 -2168 -2143 -2108 -2051 -2036 -1983 -2017 -1970 -1925 -1942 -1890 -1949 -1944 -1958 -1938 -1981 -2024 -2077 -2088 -2035 -1978 -1991 -2066 -2165 -2202 -2133 -2098 -2110 -2082 -2090 -1987 -1934 -1873 -1921 -1993 -2051 -2088 -2034 -1985 -1952 -1994 -2000 -2033 -2045 -2040 -2037 -2044 -2029 -2056 -2075 -2092 -2090 -2054 -2011 -1886 -1809 -1792 -1837 -1914 -1981 -2000 -1953 -1902 -1873 -1890 -1938 -1983 -1976 -1999 -1998 -2003 -2036 -2028 -2013 -1979 -1932 -1950 -1891 -1900 -1898 -1910 -1916 -1952 -2013 -2006 -2015 -1999 -1997 -2045 -2023 -2063 -2070 -2067 -2117 -2126 -2112 -2054 -2034 -2040 -2064 -2121 -2137 -2107 -2032 -1929 -1893 -1923 -1990 -2089 -2127 -2124 -2046 -1984 -1942 -2003 -2028 -2078 -2067 -2073 -2022 -1980 -1942 -1936 -1961 -1999 -2043 -1994 -1896 -1797 -1789 -1787 -1862 -1897 -1939 -1936 -1937 -1941 -1936 -1951 -1968 -1930 -1962 -1887 -1851 -1884 -1915 -1910 -1898 -1884 -1867 -1890 -1887 -1867 -1856 -1884 -1938 -1970 -1994 -2022 -2104 -2117 -2083 -1993 -1900 -1892 -1901 -2025 -2101 -2112 -2115 -2069 -1988 -1932 -1908 -1954 -2012 -2062 -2042 -1978 -1924 -1972 -2054 -2117 -2155 -2125 -2076 -1997 -1989 -1983 -1979 -2042 -2016 -2063 -2033 -1963 -1858 -1820 -1864 -1898 -1981 -1976 -1935 -1872 -1852 -1855 -1940 -2039 -2036 -2011 -1958 -1955 -1917 -1906 -1881 -1863 -1843 -1879 -1932 -1923 -1958 -1946 -1933 -1891 -1874 -1869 -1921 -1981 -2000 -1960 -1901 -1869 -1895 -1983 -2030 -2120 -2145 -2154 -2030 -1949 -1833 -1868 -1953 -2046 -2003 -1945 -1901 -1907 -1949 -1947 -1946 -1938 -1973 -1966 -2011 -1924 -1947 -1990 -2085 -2141 -2097 -2015 -1963 -1967 -1976 -1993 -2004 -1997 -1989 -1957 -1943 -1887 -1929 -1946 -2012 -2015 -2015 -2016 -2005 -2017 -2012 -1985 -1951 -1899 -1914 -1958 -1956 -1948 -1981 -1961 -1931 -1920 -1852 -1902 -1924 -1907 -1848 -1803 -1798 -1821 -1884 -2004 -2094 -2032 -1920 -1829 -1780 -1852 -1940 -2011 -2006 -1981 -1940 -1944 -1947 -1953 -1943 -1949 -1938 -1949 -1875 -1879 -1935 -2062 -2115 -2130 -2104 -2051 -1968 -1973 -1965 -2009 -2071 -2089 -2057 -2003 -1970 -2027 -2086 -2110 -2050 -1981 -1924 -1916 -1984 -2043 -2073 -2113 -2078 -2060 -2015 -2003 -1999 -2048 -2050 -2057 -2012 -1933 -1926 -1975 -2036 -2048 -2043 -1963 -1875 -1841 -1858 -1915 -1955 -1967 -1930 -1849 -1837 -1871 -1951 -2022 -2022 -1976 -1871 -1798 -1770 -1814 -1866 -1927 -1971 -1890 -1795 -1790 -1831 -1868 -1936 -1999 -1989 -1998 -1912 -1905 -1937 -1945 -2053 -2148 -2106 -2043 -1970 -1940 -1939 -1930 -1959 -1985 -2003 -2041 -2053 -2038 -2057 -2053 -2068 -2000 -1952 -1961 -1991 -2027 -2101 -2115 -2101 -2063 -2008 -2012 -1973 -1983 -1950 -1962 -1888 -1929 -1918 -1983 -2014 -1986 -1952 -1867 -1857 -1853 -1917 -1986 -2058 -2065 -2006 -1954 -1911 -1886 -1955 -1989 -2031 -1960 -1883 -1791 -1799 -1841 -1898 -1962 -1971 -1983 -1878 -1829 -1775 -1840 -1890 -1957 -1978 -1964 -1947 -1967 -1914 -1920 -1939 -1940 -1959 -1950 -1959 -1930 -1951 -1950 -2012 -1983 -1981 -1947 -1976 -2008 -1990 -1962 -1942 -1937 -1990 -2004 -2041 -2061 -2070 -2101 -2082 -2063 -2065 -2062 -2049 -2047 -1978 -1908 -1862 -1795 -1865 -1974 -2063 -2086 -2014 -1988 -1911 -1911 -1937 -1950 -1994 -2031 -2053 -2005 -1955 -1923 -1955 -1997 -2027 -2001 -1900 -1834 -1851 -1900 -1962 -2001 -2016 -1980 -1960 -1898 -1875 -1889 -1893 -1971 -1995 -1962 -1944 -1925 -1914 -1929 -1925 -1891 -1895 -1885 -1869 -1860 -1927 -1938 -1961 -1901 -1865 -1868 -1900 -1968 -1992 -2020 -2002 -2016 -2006 -2014 -2071 -2072 -2075 -1996 -1926 -1915 -1917 -2027 -2057 -2096 -2086 -2080 -2035 -1999 -1943 -1994 -2080 -2156 -2151 -2106 -2005 -1972 -2049 -2127 -2106 -2065 -1986 -1907 -1876 -1879 -1921 -2003 -2027 -2067 -1993 -1942 -1896 -1918 -1938 -1946 -1961 -1949 -2011 -2011 -1960 -1932 -1921 -1977 -2025 -2004 -1916 -1841 -1818 -1865 -1921 -1862 -1827 -1827 -1874 -1911 -1958 -1940 -1930 -1926 -1950 -2001 -2064 -2102 -2075 -2047 -1982 -1930 -1989 -1979 -2012 -2004 -1999
+124 126 134 182 218 244 279 262 237 218 252 309 338 324 272 258 263 263 249 302 386 477 522 502 426 384 460 588 704 720 694 578 541 538 555 644 729 787 770 676 632 614 726 833 886 861 853 828 877 942 1014 1104 1200 1257 1233 1158 1065 1021 1073 1157 1223 1259 1265 1247 1255 1259 1278 1312 1382 1464 1456 1396 1314 1314 1390 1523 1596 1586 1544 1473 1434 1445 1458 1519 1543 1534 1494 1427 1435 1450 1547 1681 1714 1706 1635 1598 1635 1704 1732 1761 1681 1618 1562 1625 1706 1791 1825 1792 1772 1685 1690 1737 1839 1871 1879 1816 1747 1776 1877 1992 2103 2128 2063 1998 1901 1834 1904 2020 2119 2168 2102 1991 1911 1911 2052 2169 2242 2255 2263 2214 2149 2169 2275 2324 2418 2402 2359 2308 2297 2308 2364 2405 2449 2426 2363 2272 2158 2171 2310 2468 2558 2549 2447 2346 2425 2480 2566 2610 2582 2516 2504 2490 2472 2505 2533 2552 2496 2437 2349 2363 2397 2437 2481 2431 2397 2439 2479 2593 2692 2706 2680 2628 2557 2574 2598 2645 2618 2572 2545 2494 2508 2562 2588 2632 2643 2674 2688 2704 2725 2721 2738 2775 2797 2850 2922 2917 2910 2912 2923 2947 2929 2880 2859 2828 2797 2774 2820 2887 3004 3072 3096 2994 2858 2874 2971 3097 3192 3205 3111 3024 2978 2995 3068 3110 3144 3070 2969 2874 2863 2939 2995 3033 2993 2940 2923 2940 2983 2999 3106 3165 3196 3157 3065 2971 2967 3020 3094 3087 3049 3016 2959 2982 2970 3008 3055 3081 3102 3064 3033 3003 3048 3153 3254 3308 3327 3261 3197 3186 3176 3279 3308 3324 3243 3163 3090 3125 3211 3285 3303 3305 3238 3221 3262 3355 3448 3519 3533 3417 3332 3288 3331 3454 3523 3529 3452 3331 3231 3260 3342 3415 3404 3308 3217 3184 3261 3434 3540 3603 3574 3467 3336 3288 3285 3364 3438 3505 3487 3375 3258 3208 3251 3317 3415 3407 3320 3272 3239 3259 3330 3413 3480 3539 3540 3534 3454 3435 3445 3471 3527 3559 3534 3474 3429 3353 3359 3430 3500 3575 3560 3478 3424 3449 3533 3652 3638 3632 3568 3569 3606 3657 3708 3739 3700 3685 3618 3515 3489 3515 3553 3598 3602 3576 3589 3616 3643 3695 3696 3665 3636 3640 3646 3708 3760 3766 3716 3640 3583 3552 3611 3658 3649 3579 3511 3497 3503 3515 3536 3504 3550 3576 3649 3681 3639 3583 3559 3594 3673 3686 3659 3646 3564 3535 3451 3465 3529 3588 3683 3649 3543 3444 3436 3482 3670 3775 3820 3775 3684 3586 3682 3812 3926 3955 3843 3683 3577 3527 3617 3722 3785 3800 3776 3720 3684 3701 3777 3846 3916 3928 3876 3808 3729 3707 3784 3871 3888 3910 3817 3759 3775 3837 3878 3852 3769 3703 3654 3643 3636 3680 3759 3843 3939 3915 3822 3728 3708 3721 3745 3748 3737 3680 3629 3634 3656 3706 3738 3744 3695 3559 3508 3571 3710 3837 3835 3700 3613 3601 3718 3826 3898 3903 3863 3744 3683 3625 3676 3829 3938 3971 3860 3718 3645 3688 3849 3956 3999 3989 3919 3854 3813 3850 3916 4014 4064 4045 3982 3888 3890 3945 3975 3965 3939 3881 3795 3748 3760 3830 3922 3983 4002 3993 3919 3897 3870 3904 3922 3977 3977 3927 3878 3826 3806 3809 3797 3824 3807 3827 3797 3763 3734 3748 3800 3842 3830 3804 3860 3900 3952 3935 3908 3873 3871 3868 3843 3765 3720 3742 3781 3792 3756 3762 3833 3933 3968 3942 3859 3789 3818 3880 3919 3942 4010 4050 4076 4040 3989 3953 3980 4022 4018 3963 3922 3867 3885 3918 3943 3992 4027 4075 4084 4005 3935 3940 3978 4043 4103 4123 4068 3985 3894 3893 3920 3996 4081 4120 4051 3892 3737 3713 3801 3927 4014 4038 3934 3854 3847 3923 4046 4102 4057 3993 3871 3835 3802 3862 3907 3996 4035 3970 3869 3797 3825 3886 3943 3967 3899 3828 3809 3840 3854 3939 4024 4097 4081 4049 3942 3914 4001 4035 4050 3984 3889 3838 3901 3940 3966 4018 4045 4066 4096 4008 3998 4031 4104 4179 4156 4048 3934 3927 4000 4141 4269 4240 4141 3966 3851 3836 3934 4065 4102 4102 4042 3918 3929 4003 4092 4122 4117 4040 3928 3835 3838 3908 3990 4025 4005 3925 3830 3816 3843 3913 4005 3989 3901 3833 3739 3731 3823 3911 3971 4033 3984 3991 3965 3937 3933 3933 3974 3951 3922 3856 3830 3826 3886 3990 4032 4052 4042 4010 3996 3986 3993 4034 4088 4131 4117 4091 4067 4106 4168 4169 4163 4122 4107 4028 4001 4035 4040 4073 4118 4110 4113 4054 4070 4087 4116 4102 4093 4095 4104 4108 4123 4111 4082 4055 4045 4001 3995 4037 4052 4010 3972 3945 3881 3859 3893 3942 3993 4046 4084 4120 4095 4047 3988 3973 4028 4029 4016 4006 3946 3895 3874 3939 3968 4053 4090 4069 3969 3896 3898 3973 4098 4210 4166 4105 4035 3964 3987 4085 4215 4294 4267 4155 4015 3927 3963 4098 4192 4204 4144 4027 4004 4061 4148 4260 4274 4248 4121 4049 3980 3976 4047 4150 4197 4210 4144 4114 4091 4120 4113 4090 4061 4005 3985 3966 3958 4015 4092 4135 4107 4076 4051 4040 4025 4041 4035 4002 3968 3957 3971 3973 3981 4019 4032 4037 4040 3970 3931 3952 3997 4093 4080 4043 3941 3879 3930 4058 4188 4229 4151 4072 3971 3958 3935 4018 4119 4170 4125 4045 3936 3944 4057 4210 4318 4249 4110 4018 3995 4064 4174 4254 4283 4250 4185 4123 4090 4095 4161 4182 4171 4100 4014 4038 4082 4144 4159 4114 4145 4203 4235 4191 4096 4116 4125 4218 4181 4096 4037 3958 4002 4040 4046 4082 4124 4138 4091 3985 3878 3875 3944 4040 4074 4055 4014 3996 4012 4031 4090 4106 4065 4004 3942 3947 3990 4048 4065 4026 3975 3966 4041 4060 4132 4114 4076 4050 4015 4033 4115 4181 4257 4259 4231 4190 4153 4118 4124 4156 4223 4240 4177 4069 4020 4043 4143 4253 4258 4249 4222 4249 4204 4186 4118 4130 4190 4261 4230 4120 4011 3998 4101 4262 4284 4248 4109 3942 3853 3861 3929 4092 4197 4199 4071 3956 3898 3973 4109 4196 4187 4102 3981 3915 3856 3906 4012 4135 4125 4047 3885 3808 3845 4002 4123 4132 4073 3989 3980 4025 4096 4166 4216 4185 4189 4148 4148 4110 4164 4159 4099 4051 4048 4048 4110 4201 4251 4298 4244 4192 4147 4152 4196 4255 4259 4220 4163 4086 4120 4140 4227 4262 4296 4227 4133 4067 4061 4061 4118 4128 4157 4175 4151 4070 3984 3962 4066 4222 4310 4236 4086 3973 3955 4003 4068 4128 4100 4047 4043 4018 4029 4041 4087 4155 4114 4030 3946 3900 3949 4042 4118 4161 4168 4106 4069 4066 4056 4095 4072 4036 3990 3987 4003 4039 4080 4127 4126 4106 4137 4151 4137 4063 3992 4016 4104 4172 4242 4239 4218 4182 4155 4146 4173 4214 4222 4179 4135 4090 4042 4098 4159 4213 4185 4153 4111 4169 4249 4272 4256 4178 4081 4030 4044 4119 4206 4243 4191 4126 4050 3992 3999 4058 4068 4086 4022 3933 3934 3975 4069 4140 4217 4175 4121 4054 3988 3983 4029 4072 4112 4092 3959 3908 3978 4043 4143 4167 4168 4115 4067 4030 4020 4059 4091 4146 4195 4195 4096 4042 4081 4202 4352 4392 4351 4243 4095 4011 4037 4154 4225 4278 4254 4171 4094 4172 4247 4377 4444 4372 4278 4161 4110 4127 4189 4328 4386 4360 4228 4094 4030 4083 4195 4262 4204 4106 4006 3978 4041 4148 4166 4172 4093 4075 4089 4122 4151 4136 4117 4071 3998 3933 3945 3966 4052 4154 4198 4132 4031 3984 3971 4031 4077 4112 4074 4039 3998 4089 4184 4305 4325 4295 4231 4147 4078 4072 4053 4086 4143 4184 4179 4141 4111 4087 4126 4243 4341 4363 4285 4120 4083 4107 4215 4307 4317 4247 4194 4171 4131 4133 4169 4181 4218 4196 4148 4080 4012 4037 4100 4184 4256 4216 4210 4155 4102 4111 4123 4133 4113 4098 4069 4098 4098 4103 4122 4097 4068 4087 4119 4080 3980 3912 3899 3997 4110 4201 4159 4075 4008 4052 4128 4143 4123 4074 4046 4040 4024 4043 4026 4057 4040 4085 4081 4018 4039 4125 4188 4193 4149 4083 4062 4074 4156 4230 4266 4250 4170 4116 4136 4184 4271 4295 4224 4081 3990 3972 4065 4185 4272 4294 4273 4241 4173 4093 4098 4170 4246 4303 4277 4195 4088 4091 4139 4219 4296 4231 4115 3934 3896 3911 4014 4122 4161 4118 4083 3968 3987 4018 4129 4248 4284 4190 4017 3877 3786 3854 4002 4127 4131 4039 3944 3870 3874 3969 4104 4133 4096 4015 3929 3951 4065 4189 4206 4213 4151 4106 4128 4137 4132 4121 4109 4098 4085 4036 4075 4149 4236 4277 4225 4164 4114 4135 4198 4265 4310 4269 4252 4213 4196 4190 4237 4270 4287 4250 4143 4072 4072 4124 4112 4092 4075 4064 4039 4018 3979 4022 4152 4276 4284 4216 4059 3946 3933 3958 4046 4074 4089 4060 3995 3930 3908 3929 4033 4089 4087 3988 3890 3866 3972 4057 4149 4174 4165 4124 4112 4091 4089 4114 4141 4156 4151 4099 4050 4011 4026 4065 4146 4223 4203 4188 4133 4129 4136 4170 4148 4235 4283 4305 4266 4214 4209 4176 4221 4194 4173 4126 4085 4037 4047 4026 4069 4158 4206 4222 4182 4165 4179 4209 4204 4131 3998 3989 4042 4122 4157 4155 4112 4043 4016 3970 3964 3999 4093 4131 4099 3969 3896 3907 4050 4211 4225 4189 4094 4000 3976 4034 4083 4138 4125 4055 3957 3853 3856 3933 4053 4141 4132 4045 3982 3961 3965 4003 4111 4180 4194 4167 4125 4073 4070 4130 4227 4288 4227 4084 3985 3946 3960 4059 4146 4243 4230 4153 4096 4079 4117 4195 4304 4343 4283 4187 4054 4030 4130 4238 4284 4218 4066 3991 4013 4065 4144 4164 4124 4042 3918 3887 3923 4064 4171 4190 4142 4087 4067 4105 4170 4157 4102 4007 3943 3890 3879 3915 3977 4060 4055 3989 3950 3887 3909 3964 4019 4019 4025 4037 4080 4096 4155 4158 4162 4157 4147 4089 4024 3970 3984 3994 4056 4097 4103 4133 4143 4143 4150 4193 4267 4301 4283 4248 4166 4122 4140 4246 4338 4359 4314 4210 4130 4111 4160 4220 4224 4189 4103 4060 4010 4015 4104 4212 4281 4277 4243 4121 4073 4057 4075 4114 4142 4100 4072 4038 3984 3988 3925 3968 3980 3978 3968 3948 3964 3965 3991 4033 4090 4083 4090 4078 4055 4082 4120 4152 4181 4148 4046 3986 3956 3981 4048 4094 4143 4137 4094 4077 4086 4178 4222 4242 4168 4118 4109 4110 4263 4314 4341 4267 4164 4106 4114 4136 4222 4243 4193 4085 3945 3944 4036 4235 4334 4358 4254 4139 4064 4101 4159 4250 4303 4239 4156 4031 3961 3992 4057 4160 4178 4114 4016 3935 3928 3937 4064 4130 4159 4139 4101 4046 4080 4109 4150 4183 4141 4056 3977 3893 3863 3900 3957 4021 4106 4125 4092 4036 3977 3988 4037 4135 4162 4091 4023 4007 4082 4185 4239 4213 4161 4087 4056 4053 4098 4092 4093 4084 4057 4007 3972 4012 4108 4199 4229 4175 4157 4180 4186 4280 4290 4219 4151 4075 4069 4100 4188 4274 4279 4187 4102 4039 4015 4047 4104 4141 4143 4115 4094 4122 4129 4191 4205 4217 4173 4154 4130 4044 4024 4002 4011 4065 4058 4054 3987 3959 3928 3941 3935 3987 4054 4122 4082 3991 3921 3935 4033 4205 4266 4193 4108 4055 4041 4076 4138 4183 4181 4100 3965 3928 3944 4076 4235 4299 4301 4262 4197 4206 4207 4202 4216 4269 4330 4294 4210 4175 4167 4270 4324 4308 4220 4133 4089 4114 4179 4229 4221 4226 4250 4269 4249 4220 4177 4200 4206 4211 4171 4084 4035 4029 4076 4188 4162 4105
+107 0 -75 -153 -186 -108 10 113 54 -4 -144 -112 -130 -50 40 100 36 -62 -225 -289 -229 -72 89 96 -23 -172 -186 -116 9 29 26 -88 -165 -203 -143 -53 26 66 -17 -141 -236 -263 -232 -113 -23 12 -103 -266 -325 -312 -131 27 82 27 -126 -214 -241 -222 -144 -90 -32 -82 -168 -252 -297 -214 -87 -85 -100 -235 -309 -349 -323 -299 -193 -142 -143 -214 -324 -328 -200 -90 -81 -136 -343 -438 -408 -334 -241 -174 -147 -139 -238 -320 -362 -362 -296 -245 -174 -190 -296 -320 -289 -222 -115 -149 -207 -312 -272 -262 -202 -157 -212 -259 -265 -264 -294 -281 -279 -271 -175 -211 -221 -293 -265 -283 -256 -288 -365 -332 -343 -351 -425 -466 -442 -436 -357 -317 -327 -356 -311 -341 -382 -472 -480 -457 -323 -305 -350 -465 -495 -473 -393 -358 -354 -438 -513 -589 -562 -527 -408 -357 -333 -359 -443 -423 -419 -336 -266 -265 -339 -417 -475 -462 -398 -359 -355 -393 -462 -521 -525 -491 -387 -386 -439 -492 -539 -541 -360 -173 -149 -170 -329 -470 -550 -564 -458 -423 -380 -330 -399 -558 -677 -688 -544 -336 -239 -302 -418 -528 -542 -475 -339 -369 -389 -412 -495 -534 -534 -484 -350 -283 -320 -485 -665 -683 -631 -560 -475 -422 -425 -485 -557 -533 -484 -316 -248 -261 -436 -610 -614 -557 -440 -384 -417 -512 -508 -524 -483 -458 -471 -480 -551 -622 -610 -577 -425 -354 -296 -342 -407 -526 -605 -524 -473 -407 -404 -449 -612 -681 -624 -584 -503 -446 -422 -475 -527 -653 -666 -638 -466 -419 -427 -452 -561 -539 -540 -443 -453 -429 -446 -440 -547 -614 -685 -596 -562 -485 -518 -597 -627 -600 -562 -499 -496 -473 -437 -483 -545 -653 -642 -572 -515 -511 -565 -627 -600 -540 -565 -630 -666 -664 -633 -592 -583 -597 -571 -556 -542 -574 -560 -513 -551 -565 -601 -588 -583 -592 -618 -613 -562 -547 -533 -493 -596 -629 -625 -578 -613 -592 -592 -532 -464 -395 -454 -502 -584 -605 -563 -496 -492 -529 -604 -638 -661 -587 -535 -489 -586 -727 -774 -767 -709 -584 -551 -495 -528 -590 -636 -685 -625 -569 -491 -518 -631 -814 -811 -809 -693 -593 -546 -575 -704 -778 -836 -760 -587 -468 -461 -599 -733 -713 -613 -532 -458 -489 -541 -639 -693 -743 -743 -649 -569 -534 -611 -753 -820 -819 -699 -534 -489 -514 -639 -703 -781 -680 -583 -482 -448 -503 -643 -718 -750 -736 -698 -699 -738 -786 -833 -815 -761 -621 -546 -544 -597 -716 -802 -833 -682 -552 -520 -558 -721 -841 -874 -772 -649 -637 -695 -793 -835 -834 -789 -701 -655 -603 -606 -693 -754 -728 -689 -657 -635 -678 -794 -781 -820 -733 -624 -578 -527 -599 -664 -758 -757 -740 -717 -673 -657 -679 -669 -678 -641 -650 -643 -627 -554 -539 -609 -656 -743 -803 -872 -806 -730 -645 -550 -607 -626 -658 -627 -600 -656 -665 -748 -706 -701 -667 -662 -639 -633 -662 -669 -667 -731 -700 -727 -679 -653 -606 -629 -647 -651 -577 -558 -531 -527 -614 -681 -714 -699 -635 -583 -598 -642 -609 -690 -698 -748 -754 -656 -643 -646 -707 -829 -773 -645 -532 -479 -503 -608 -652 -715 -734 -699 -650 -620 -617 -700 -765 -734 -690 -592 -575 -663 -758 -798 -749 -730 -660 -578 -524 -519 -583 -637 -713 -649 -620 -550 -605 -699 -783 -789 -765 -708 -589 -542 -574 -673 -795 -849 -800 -686 -632 -586 -614 -680 -738 -812 -765 -658 -653 -649 -744 -803 -844 -784 -679 -629 -663 -738 -799 -929 -908 -840 -710 -670 -707 -740 -832 -879 -818 -786 -687 -695 -645 -713 -735 -814 -827 -792 -674 -611 -622 -722 -834 -840 -796 -731 -647 -693 -711 -723 -734 -734 -691 -595 -589 -682 -756 -794 -728 -650 -553 -618 -686 -753 -794 -786 -702 -688 -557 -552 -660 -785 -914 -892 -772 -674 -648 -674 -713 -727 -750 -755 -731 -676 -671 -701 -745 -809 -822 -814 -775 -745 -751 -772 -802 -773 -771 -762 -745 -721 -699 -694 -703 -781 -823 -882 -834 -712 -624 -604 -713 -778 -798 -762 -679 -640 -642 -641 -660 -699 -726 -792 -741 -673 -597 -606 -662 -740 -790 -754 -751 -742 -736 -679 -637 -721 -780 -828 -790 -678 -661 -737 -856 -857 -805 -702 -678 -731 -740 -788 -780 -771 -776 -723 -700 -651 -672 -771 -899 -877 -790 -730 -688 -768 -839 -838 -800 -700 -559 -519 -575 -719 -899 -990 -951 -772 -654 -586 -651 -749 -831 -844 -808 -710 -665 -657 -736 -838 -920 -930 -846 -738 -612 -626 -721 -830 -925 -849 -757 -671 -722 -829 -938 -894 -858 -757 -726 -689 -723 -797 -854 -921 -920 -779 -666 -658 -633 -720 -773 -794 -681 -675 -692 -759 -827 -853 -834 -817 -747 -692 -653 -649 -691 -753 -797 -751 -728 -788 -834 -878 -827 -768 -757 -727 -721 -667 -693 -738 -852 -923 -897 -831 -705 -693 -733 -803 -868 -786 -788 -789 -791 -813 -876 -875 -867 -897 -886 -843 -749 -711 -722 -843 -817 -795 -782 -774 -789 -784 -778 -755 -814 -810 -803 -716 -711 -700 -787 -794 -757 -712 -704 -724 -725 -709 -691 -730 -808 -808 -758 -636 -552 -596 -682 -764 -772 -713 -660 -613 -644 -721 -751 -818 -838 -822 -773 -730 -726 -724 -720 -800 -787 -770 -683 -681 -693 -829 -932 -867 -794 -733 -686 -789 -856 -805 -810 -778 -782 -748 -765 -746 -822 -899 -919 -785 -751 -709 -705 -765 -777 -804 -826 -759 -780 -713 -706 -761 -833 -889 -822 -721 -646 -657 -726 -807 -827 -838 -825 -770 -694 -642 -741 -813 -837 -827 -705 -608 -573 -665 -779 -916 -976 -943 -826 -677 -577 -651 -764 -874 -807 -677 -581 -632 -757 -867 -938 -918 -826 -760 -673 -670 -693 -793 -851 -875 -799 -691 -657 -701 -735 -781 -841 -860 -771 -718 -738 -756 -807 -870 -818 -762 -647 -641 -737 -817 -842 -814 -777 -749 -730 -714 -689 -728 -798 -857 -866 -778 -713 -714 -762 -883 -910 -847 -797 -701 -704 -711 -734 -779 -804 -794 -758 -746 -760 -732 -693 -686 -732 -735 -712 -689 -686 -725 -819 -778 -755 -718 -671 -683 -693 -672 -685 -693 -686 -677 -646 -618 -653 -698 -754 -732 -736 -746 -780 -702 -668 -658 -720 -727 -766 -735 -605 -626 -669 -685 -776 -773 -767 -807 -789 -782 -778 -756 -798 -805 -787 -710 -642 -599 -635 -734 -811 -879 -819 -714 -631 -602 -701 -811 -865 -843 -706 -569 -535 -605 -754 -860 -885 -732 -573 -495 -494 -559 -636 -697 -733 -704 -650 -592 -505 -574 -684 -729 -737 -598 -518 -588 -715 -804 -774 -700 -583 -573 -675 -713 -742 -745 -715 -726 -642 -595 -594 -723 -839 -873 -794 -709 -661 -695 -752 -779 -808 -781 -772 -728 -673 -593 -661 -738 -864 -835 -753 -641 -551 -574 -689 -774 -800 -776 -648 -612 -586 -620 -711 -821 -793 -749 -629 -528 -506 -590 -699 -776 -793 -744 -692 -652 -641 -669 -672 -669 -692 -689 -671 -611 -615 -687 -772 -791 -733 -700 -708 -746 -758 -759 -664 -604 -650 -658 -665 -670 -676 -754 -763 -833 -743 -755 -698 -704 -696 -676 -668 -685 -738 -751 -758 -736 -687 -723 -727 -619 -584 -627 -705 -828 -889 -873 -766 -749 -694 -677 -656 -677 -711 -749 -749 -748 -701 -695 -690 -783 -866 -868 -802 -704 -603 -637 -688 -777 -821 -861 -815 -817 -775 -791 -775 -727 -803 -800 -762 -704 -675 -696 -777 -830 -849 -805 -719 -619 -585 -623 -747 -893 -901 -805 -659 -636 -702 -774 -801 -746 -706 -748 -734 -675 -549 -574 -689 -865 -947 -841 -739 -662 -714 -798 -882 -809 -733 -646 -679 -693 -806 -855 -977 -912 -811 -723 -738 -786 -877 -905 -843 -793 -773 -736 -718 -773 -828 -929 -967 -885 -726 -683 -705 -851 -955 -952 -897 -747 -666 -647 -748 -873 -913 -844 -678 -609 -601 -680 -777 -811 -789 -831 -817 -770 -774 -774 -816 -854 -862 -751 -639 -588 -646 -786 -912 -947 -860 -737 -689 -648 -702 -769 -850 -853 -802 -733 -779 -801 -882 -855 -849 -837 -846 -814 -768 -720 -799 -896 -956 -966 -830 -742 -787 -823 -858 -850 -787 -778 -817 -871 -904 -899 -898 -824 -799 -777 -763 -776 -780 -774 -688 -710 -745 -812 -833 -778 -767 -765 -818 -830 -763 -699 -707 -741 -789 -771 -757 -777 -761 -809 -729 -671 -643 -683 -770 -849 -847 -823 -714 -649 -683 -767 -827 -862 -846 -724 -650 -665 -724 -763 -806 -765 -757 -729 -701 -734 -790 -775 -753 -726 -682 -599 -671 -652 -797 -844 -855 -840 -662 -567 -585 -676 -857 -975 -907 -742 -605 -595 -618 -677 -684 -720 -711 -675 -668 -701 -704 -761 -808 -830 -801 -699 -629 -664 -723 -849 -891 -752 -638 -574 -612 -661 -770 -788 -747 -602 -511 -494 -561 -725 -837 -882 -840 -704 -580 -560 -610 -683 -739 -724 -650 -639 -603 -622 -706 -710 -721 -702 -592 -544 -511 -571 -659 -721 -736 -688 -663 -674 -681 -757 -800 -845 -804 -701 -602 -597 -593 -675 -741 -723 -686 -649 -712 -710 -779 -836 -883 -826 -756 -678 -647 -712 -812 -876 -906 -807 -715 -689 -679 -711 -781 -791 -842 -765 -741 -650 -640 -718 -814 -840 -791 -714 -685 -688 -674 -689 -756 -787 -806 -729 -649 -633 -661 -695 -758 -745 -717 -661 -683 -692 -704 -787 -817 -857 -812 -775 -786 -755 -828 -792 -773 -772 -799 -823 -758 -746 -685 -705 -741 -760 -810 -836 -786 -789 -761 -749 -848 -951 -947 -932 -854 -809 -777 -733 -763 -687 -675 -748 -769 -757 -754 -837 -867 -863 -736 -630 -616 -710 -891 -938 -911 -794 -749 -717 -790 -867 -850 -882 -811 -696 -559 -526 -651 -771 -898 -919 -777 -697 -675 -653 -677 -798 -868 -938 -871 -788 -671 -702 -854 -981 -1020 -868 -723 -548 -574 -639 -765 -824 -874 -845 -780 -686 -699 -823 -929 -951 -914 -816 -680 -574 -629 -752 -863 -920 -835 -761 -694 -709 -762 -830 -792 -734 -628 -606 -590 -779 -835 -968 -883 -715 -565 -544 -665 -801 -862 -849 -824 -737 -733 -721 -730 -789 -894 -894 -780 -610 -526 -594 -778 -910 -868 -765 -644 -690 -767 -822 -841 -846 -841 -817 -754 -667 -585 -666 -758 -783 -719 -658 -611 -614 -679 -770 -813 -786 -795 -654 -654 -653 -668 -691 -726 -820 -804 -833 -821 -775 -737 -733 -690 -669 -688 -712 -752 -748 -727 -796 -847 -889 -870 -771 -747 -782 -864 -895 -882 -845 -800 -856 -868 -862 -751 -750 -802 -882 -927 -854 -752 -744 -809 -849 -832 -825 -847 -885 -899 -858 -835 -858 -855 -815 -785 -701 -673 -680 -766 -737 -807 -791 -776 -779 -819 -772 -821 -869 -954 -864 -815 -695 -666 -744 -827 -892 -879 -802 -758 -744 -828 -891 -925 -884 -789 -743 -725 -735 -832 -907 -978 -975 -943 -832 -839 -891 -1040 -1108 -1123 -987 -855 -708 -740 -829 -975 -996 -984 -846 -751 -723 -816 -894 -988 -1042 -982 -917 -861 -885 -895 -918 -942 -929 -899 -807 -708 -738 -816 -935 -966 -867 -765 -656 -692 -762 -891 -895 -871 -857 -813 -776 -820 -869 -977 -961 -894 -831 -747 -684 -786 -856 -990 -1013 -908 -764 -620 -682 -787 -956 -985 -968 -824 -725 -791 -814 -953 -999 -956 -859 -723 -677 -774 -847 -940 -933 -836 -783 -718 -759 -799 -848 -920 -943 -863 -749 -690 -738 -845 -948 -978 -928 -759 -661 -634 -692 -772 -750 -799 -799 -788 -836 -847 -899 -936 -877 -883 -782 -787 -799 -731 -728 -759
+2 50 73 70 144 201 230 155 73 3 125 267 347 358 262 243 294 329 373 363 299 250 177 157 195 242 341 386 390 311 296 287 313 353 397 404 369 298 239 219 304 381 395 366 256 168 156 185 217 210 227 187 149 168 234 315 333 240 169 153 194 312 329 290 240 220 194 134 133 150 231 263 190 73 11 10 119 196 244 188 152 154 187 207 244 292 339 294 233 164 156 253 324 359 294 233 187 207 261 301 349 400 393 378 329 350 423 520 620 601 572 502 471 476 574 587 513 397 320 338 426 558 565 528 471 411 389 383 438 448 479 442 337 177 166 272 418 478 427 305 182 148 161 196 196 189 160 143 82 92 197 274 328 272 203 123 114 117 156 262 257 287 240 186 187 185 297 366 382 297 312 347 378 451 523 508 481 442 442 481 532 529 466 419 406 448 507 604 662 694 676 620 487 393 393 504 568 559 529 454 457 468 475 487 429 459 392 329 285 301 348 371 327 280 275 306 348 329 234 203 196 235 225 222 204 283 364 363 310 226 207 256 260 273 261 257 278 366 423 474 523 487 432 320 326 365 426 464 458 475 488 498 484 484 490 564 583 524 434 385 411 511 576 602 587 543 537 567 578 557 555 505 433 427 496 575 643 623 537 507 514 550 486 404 380 406 469 471 416 406 498 624 662 569 414 333 340 405 424 421 409 407 400 399 392 457 515 464 351 240 215 252 309 309 351 377 444 455 365 271 277 362 454 430 329 327 334 459 506 483 426 369 371 363 425 485 527 463 416 397 439 570 674 644 636 627 606 583 553 520 594 710 778 698 552 498 550 627 681 686 619 538 550 548 582 622 606 559 479 443 428 470 480 460 410 348 324 305 244 257 308 397 411 336 228 165 222 297 310 249 220 221 276 280 263 289 266 301 311 338 331 362 392 399 351 353 360 409 439 508 575 610 587 514 456 475 529 621 646 606 563 533 587 626 657 636 644 597 639 642 672 674 633 564 550 537 570 546 515 501 529 498 451 366 356 385 437 421 387 336 341 397 386 346 303 279 224 216 232 297 373 371 361 293 255 273 301 313 281 230 208 224 196 198 238 327 439 480 466 409 391 442 505 500 428 357 357 402 493 533 573 571 517 398 366 364 394 450 513 542 520 521 443 423 417 533 582 583 480 416 467 540 643 610 494 453 438 486 468 445 371 373 380 404 440 509 562 595 606 553 509 474 423 362 417 523 574 541 442 313 353 446 497 428 270 188 216 273 329 358 390 393 415 373 375 345 420 428 397 365 325 297 311 339 374 450 508 494 352 258 279 384 473 451 396 395 505 650 690 675 630 617 636 667 656 646 624 597 585 569 627 646 630 601 614 642 697 619 549 485 552 692 752 668 557 442 468 518 512 473 366 361 357 338 324 320 333 390 383 379 307 273 270 293 309 339 326 304 212 172 227 346 380 319 224 182 297 371 355 298 271 304 450 526 566 547 517 543 520 536 534 608 616 615 579 549 577 556 529 559 625 728 719 646 515 535 651 754 749 659 590 606 656 668 592 505 424 436 407 396 371 399 432 442 419 375 413 386 387 408 399 418 382 349 280 299 367 456 455 314 238 237 289 338 354 280 219 224 246 338 403 525 525 448 387 361 425 438 436 482 538 582 551 424 343 316 421 521 461 356 322 364 496 547 552 537 540 582 651 598 575 558 586 594 494 393 417 492 567 608 592 527 511 452 426 414 466 543 525 488 425 469 545 608 626 496 451 396 415 444 451 475 483 488 423 361 317 340 383 404 380 312 257 215 243 342 463 457 443 407 351 323 316 263 214 217 263 350 364 369 385 408 448 417 393 354 352 417 446 420 412 480 533 560 561 604 658 681 662 526 496 506 609 680 640 574 597 676 701 680 659 644 667 675 645 618 611 644 691 673 633 560 482 436 440 407 392 361 337 372 400 434 460 443 405 389 392 361 350 343 305 305 324 271 248 237 241 279 229 184 169 204 242 317 369 435 493 510 467 451 491 583 621 540 393 389 448 544 541 516 487 523 582 579 504 489 529 644 701 681 605 627 680 748 760 723 683 635 570 565 515 522 509 443 372 325 371 401 432 430 419 431 454 460 462 453 455 438 406 363 267 312 349 341 310 302 266 258 219 209 242 342 396 370 283 268 349 478 511 480 401 410 462 474 412 373 377 470 476 429 369 376 435 538 526 441 408 390 442 469 556 637 677 647 558 476 469 529 575 524 480 425 463 456 438 427 496 556 608 534 467 466 548 611 584 537 478 500 560 584 553 536 473 421 357 311 368 437 486 464 431 392 407 426 354 299 289 365 371 331 261 297 379 456 459 361 264 230 249 299 244 257 251 265 279 277 284 362 389 449 407 380 347 355 355 369 451 541 593 565 518 493 498 513 513 466 465 473 537 599 624 657 649 662 659 668 642 622 597 520 476 530 644 674 668 583 545 549 530 463 381 345 377 374 355 323 292 348 448 524 528 441 404 354 279 251 226 243 272 224 253 198 221 204 176 168 185 213 260 301 281 351 445 546 556 495 442 440 458 476 421 312 288 316 435 555 577 557 514 486 458 531 596 638 652 666 668 694 708 715 702 741 756 662 529 395 368 412 494 507 464 452 417 465 467 519 525 501 478 403 425 459 524 529 484 391 349 328 309 217 202 189 282 331 315 234 214 288 382 472 445 350 320 374 444 532 483 420 314 304 297 351 423 411 358 308 305 306 362 405 478 526 572 561 482 432 447 476 594 627 591 517 484 485 516 533 565 510 474 430 464 524 568 570 540 518 582 639 697 654 630 640 676 672 595 476 421 499 544 505 408 344 373 424 512 494 457 434 437 451 460 481 484 455 400 300 284 296 305 330 307 333 366 290 292 227 245 283 327 398 374 326 342 363 394 417 396 411 407 438 466 494 482 442 394 413 464 535 525 503 461 482 538 557 566 558 611 685 730 723 719 682 635 666 652 603 541 542 543 577 601 646 644 614 512 437 434 491 513 511 448 444 519 544 511 444 400 409 420 380 267 213 217 274 302 256 212 228 281 341 284 308 232 214 224 284 371 464 523 532 473 429 418 420 424 390 373 339 333 352 367 479 555 658 647 639 588 606 658 680 674 666 686 685 652 634 634 652 696 619 533 431 390 427 491 506 553 579 593 575 546 567 611 618 573 449 394 402 444 493 470 414 403 374 323 289 263 270 329 293 242 223 316 418 491 510 515 461 408 371 328 299 363 406 388 289 236 252 329 437 400 357 340 404 432 474 478 504 567 564 547 481 507 542 619 604 536 480 431 426 486 530 587 606 592 546 509 547 598 635 669 621 657 629 660 664 612 577 554 554 557 554 522 514 509 508 456 460 432 451 487 553 602 531 452 393 422 503 556 490 394 315 305 353 379 323 262 224 260 270 310 365 397 419 459 418 389 352 382 426 496 480 444 389 343 317 350 394 480 477 487 483 525 576 592 575 541 537 581 652 683 730 714 684 605 613 635 625 650 603 600 605 610 559 527 516 595 669 678 608 495 439 490 629 647 629 543 459 418 442 458 517 474 375 265 185 180 264 319 376 389 408 395 350 275 208 227 270 310 246 209 221 357 458 477 424 346 341 380 412 390 373 315 314 284 338 408 538 604 626 577 546 519 520 533 546 597 700 734 662 569 534 605 685 690 571 452 403 430 493 549 604 609 581 602 554 538 555 557 538 488 459 413 404 422 473 488 526 523 431 351 289 315 362 373 317 262 304 386 442 460 424 384 362 322 320 319 361 357 321 311 316 361 391 395 368 333 319 327 283 229 215 343 513 584 552 418 363 454 493 491 426 386 404 433 486 518 529 580 593 560 537 549 592 618 588 522 526 573 616 612 602 608 630 564 498 459 477 556 603 581 488 368 380 439 527 532 512 456 374 353 376 438 464 468 407 316 288 292 259 245 260 318 346 292 243 233 295 391 376 370 286 299 380 428 439 418 418 357 320 256 280 361 463 527 442 340 340 427 532 599 617 624 609 598 551 489 526 650 719 668 533 488 559 688 741 720 614 510 486 547 614 670 679 646 591 549 499 497 562 587 625 618 528 455 364 373 434 484 443 398 283 280 309 396 392 347 271 256 285 333 363 426 425 344 252 205 274 367 435 437 415 415 364 311 328 294 394 494 495 433 326 349 474 602 624 504 403 402 470 548 582 608 625 653 647 626 614 626 674 615 552 431 363 403 455 521 626 651 664 634 609 636 665 643 586 465 405 394 473 553 592 600 541 480 409 336 373 385 376 327 292 320 365 412 431 406 409 415 333 246 198 229 347 380 356 277 241 304 379 399 367 309 247 225 203 265 331 443 502 502 475 473 486 495 489 470 466 428 377 339 415 516 657 732 666 550 488 554 627 628 628 533 517 515 600 664 702 707 640 555 447 426 506 524 560 563 559 522 511 521 592 668 694 573 451 309 314 398 510 522 459 356 322 323 268 294 332 366 303 224 152 168 306 410 499 462 382 319 314 323 376 462 508 459 326 252 269 339 468 456 378 336 372 442 488 564 631 688 680
+-61 -47 25 101 63 -48 -124 -122 16 140 159 77 -11 -44 -24 20 54 60 31 -13 -14 82 161 221 210 146 161 229 310 272 163 128 188 265 276 204 115 134 241 298 206 127 50 76 143 137 92 70 58 122 162 132 113 78 8 -19 -42 -73 -75 -137 -238 -239 -188 -93 -64 -162 -252 -271 -234 -133 -146 -232 -287 -285 -205 -168 -155 -187 -195 -138 -135 -171 -236 -305 -332 -253 -170 -69 -76 -151 -187 -175 -23 122 144 41 -77 -62 24 79 36 -32 13 102 203 132 16 -55 -13 96 118 101 28 -24 -13 -2 12 44 77 38 -40 -64 -63 -15 -26 -98 -172 -184 -130 -150 -216 -326 -335 -270 -203 -216 -311 -368 -315 -230 -126 -165 -285 -359 -404 -376 -308 -250 -256 -288 -348 -372 -338 -221 -239 -285 -339 -340 -333 -289 -293 -325 -229 -113 -110 -193 -303 -358 -236 -88 -26 -113 -216 -286 -261 -245 -178 -163 -121 -154 -186 -242 -268 -202 -200 -187 -164 -98 -45 -1 -77 -198 -163 -87 -2 -54 -217 -311 -310 -189 -153 -190 -260 -296 -228 -194 -166 -191 -207 -175 -203 -269 -299 -308 -305 -261 -233 -221 -243 -303 -362 -385 -366 -328 -307 -400 -530 -609 -541 -414 -343 -391 -454 -454 -406 -378 -389 -409 -430 -399 -379 -412 -431 -414 -424 -405 -395 -368 -333 -347 -401 -480 -468 -369 -275 -266 -301 -287 -233 -130 -95 -138 -173 -163 -110 -119 -159 -214 -208 -169 -82 -75 -133 -180 -216 -176 -207 -161 -166 -160 -176 -244 -237 -200 -157 -146 -175 -207 -212 -206 -257 -336 -430 -445 -392 -431 -464 -535 -545 -497 -447 -424 -452 -467 -505 -474 -459 -404 -386 -384 -430 -488 -482 -458 -433 -428 -426 -409 -402 -460 -536 -613 -572 -419 -224 -147 -228 -323 -346 -249 -200 -146 -182 -195 -190 -184 -209 -208 -203 -188 -178 -172 -199 -206 -230 -240 -202 -171 -147 -145 -170 -214 -171 -74 1 -27 -162 -309 -353 -284 -226 -212 -224 -276 -312 -339 -401 -433 -407 -375 -383 -361 -387 -379 -380 -387 -359 -343 -301 -310 -400 -488 -508 -441 -389 -373 -469 -578 -575 -501 -452 -392 -404 -429 -407 -458 -461 -459 -415 -344 -270 -272 -324 -336 -365 -390 -396 -365 -288 -277 -344 -443 -492 -410 -307 -225 -267 -343 -349 -293 -233 -236 -269 -282 -247 -227 -239 -240 -226 -199 -162 -206 -239 -290 -322 -327 -320 -301 -236 -233 -252 -300 -302 -230 -154 -162 -226 -324 -347 -304 -263 -286 -335 -416 -409 -378 -404 -464 -491 -509 -475 -456 -445 -452 -478 -521 -530 -511 -450 -429 -470 -544 -607 -580 -525 -494 -528 -523 -500 -408 -392 -439 -481 -503 -433 -397 -432 -479 -501 -427 -323 -238 -227 -297 -303 -353 -296 -285 -222 -158 -147 -197 -267 -274 -196 -162 -170 -173 -167 -116 -96 -158 -261 -313 -299 -187 -131 -124 -160 -226 -289 -256 -271 -262 -278 -304 -338 -394 -399 -403 -385 -394 -439 -455 -456 -480 -558 -557 -514 -470 -469 -549 -637 -627 -517 -408 -375 -433 -508 -601 -615 -576 -556 -532 -502 -501 -496 -479 -459 -384 -372 -353 -387 -373 -362 -345 -352 -312 -284 -209 -233 -291 -358 -366 -284 -203 -177 -235 -307 -341 -328 -280 -219 -191 -144 -125 -174 -197 -254 -315 -296 -253 -233 -237 -283 -322 -383 -400 -338 -267 -228 -278 -389 -444 -459 -398 -363 -350 -394 -415 -393 -389 -380 -391 -368 -386 -424 -441 -487 -550 -565 -533 -468 -431 -447 -490 -528 -521 -445 -363 -343 -453 -540 -557 -492 -413 -373 -430 -480 -487 -456 -432 -407 -445 -441 -424 -435 -464 -478 -469 -405 -350 -267 -256 -272 -313 -347 -326 -316 -288 -288 -311 -318 -326 -293 -274 -276 -318 -294 -263 -230 -223 -268 -338 -359 -290 -214 -209 -270 -344 -389 -354 -279 -238 -273 -355 -418 -439 -413 -436 -422 -450 -466 -473 -454 -531 -534 -547 -503 -436 -422 -490 -563 -608 -578 -543 -560 -605 -654 -684 -616 -557 -495 -514 -575 -604 -571 -563 -513 -468 -506 -475 -483 -416 -412 -426 -486 -492 -456 -339 -274 -293 -362 -409 -438 -353 -268 -210 -170 -174 -220 -225 -199 -225 -260 -294 -287 -258 -238 -292 -330 -317 -278 -188 -198 -300 -410 -465 -406 -292 -263 -353 -443 -554 -522 -455 -425 -414 -418 -465 -510 -533 -557 -587 -625 -634 -601 -584 -556 -567 -574 -594 -578 -488 -458 -553 -639 -689 -611 -506 -462 -544 -610 -653 -597 -475 -401 -405 -450 -434 -441 -437 -449 -500 -495 -419 -294 -238 -272 -364 -459 -504 -411 -329 -262 -357 -416 -428 -372 -269 -225 -260 -300 -272 -280 -298 -351 -417 -419 -379 -311 -311 -372 -424 -402 -354 -313 -313 -383 -487 -561 -556 -478 -387 -378 -396 -496 -532 -460 -378 -362 -433 -530 -538 -506 -485 -538 -579 -603 -529 -432 -392 -493 -583 -596 -552 -484 -470 -544 -605 -623 -589 -481 -449 -456 -501 -519 -520 -516 -485 -540 -556 -558 -468 -396 -424 -470 -497 -486 -395 -301 -303 -339 -433 -484 -420 -354 -272 -245 -308 -352 -366 -338 -284 -249 -265 -258 -239 -210 -253 -258 -338 -351 -252 -184 -212 -318 -433 -446 -359 -305 -313 -386 -454 -472 -407 -400 -414 -496 -563 -540 -497 -496 -521 -592 -606 -556 -519 -501 -565 -655 -690 -674 -611 -567 -613 -707 -753 -725 -631 -548 -521 -517 -514 -530 -555 -567 -556 -503 -480 -424 -406 -395 -433 -460 -473 -446 -388 -346 -369 -465 -445 -397 -255 -158 -179 -312 -363 -338 -237 -155 -197 -288 -340 -378 -330 -341 -348 -338 -299 -236 -223 -271 -389 -457 -474 -451 -375 -328 -357 -464 -541 -561 -511 -459 -480 -548 -572 -589 -548 -564 -593 -641 -620 -580 -550 -599 -650 -649 -631 -564 -527 -558 -616 -629 -652 -575 -503 -470 -498 -573 -649 -627 -527 -481 -471 -522 -537 -473 -417 -363 -432 -494 -445 -372 -329 -375 -446 -430 -374 -311 -321 -410 -415 -434 -374 -352 -296 -318 -359 -387 -408 -394 -354 -293 -317 -348 -388 -369 -340 -363 -400 -440 -376 -287 -263 -364 -489 -522 -446 -348 -303 -354 -430 -492 -508 -537 -526 -524 -467 -461 -478 -454 -488 -476 -513 -560 -531 -505 -492 -563 -630 -716 -670 -529 -488 -503 -557 -621 -575 -528 -526 -569 -616 -618 -596 -584 -577 -542 -523 -478 -478 -472 -478 -448 -405 -437 -441 -437 -398 -367 -424 -477 -394 -268 -194 -217 -331 -390 -381 -297 -284 -304 -301 -279 -217 -197 -247 -272 -258 -202 -170 -198 -299 -369 -443 -430 -401 -322 -285 -320 -432 -495 -475 -413 -388 -419 -542 -610 -631 -598 -592 -562 -526 -472 -475 -576 -684 -745 -695 -627 -576 -610 -681 -659 -658 -629 -619 -587 -510 -474 -504 -544 -523 -504 -475 -486 -521 -505 -395 -319 -339 -371 -430 -364 -298 -306 -415 -438 -404 -253 -153 -168 -179 -224 -244 -258 -244 -211 -185 -173 -246 -343 -371 -383 -347 -282 -283 -245 -248 -286 -334 -370 -401 -342 -333 -377 -451 -496 -467 -404 -386 -421 -498 -524 -548 -544 -527 -492 -502 -476 -494 -551 -572 -529 -503 -512 -543 -540 -492 -425 -451 -506 -515 -491 -423 -410 -466 -548 -538 -446 -415 -423 -461 -428 -398 -348 -349 -363 -379 -376 -353 -357 -413 -385 -399 -397 -398 -320 -272 -260 -305 -420 -442 -393 -309 -264 -327 -406 -380 -302 -261 -238 -297 -308 -299 -304 -369 -459 -440 -395 -295 -239 -271 -281 -324 -352 -355 -321 -284 -308 -376 -469 -493 -468 -376 -384 -451 -457 -440 -372 -387 -464 -577 -594 -525 -501 -522 -568 -598 -592 -552 -485 -467 -496 -558 -632 -648 -634 -557 -524 -556 -627 -658 -614 -492 -464 -476 -519 -490 -443 -419 -472 -505 -453 -345 -221 -257 -341 -413 -386 -301 -202 -201 -257 -373 -427 -388 -270 -176 -105 -158 -237 -271 -234 -143 -141 -189 -274 -297 -284 -310 -366 -430 -425 -305 -253 -334 -478 -559 -561 -481 -449 -430 -480 -497 -552 -594 -588 -526 -492 -553 -603 -665 -630 -605 -647 -734 -746 -705 -593 -580 -622 -731 -700 -593 -469 -467 -564 -636 -595 -494 -406 -382 -385 -404 -446 -498 -508 -450 -383 -353 -365 -393 -371 -331 -308 -347 -309 -220 -143 -161 -259 -331 -311 -205 -123 -200 -339 -424 -374 -283 -232 -255 -343 -421 -428 -435 -374 -351 -333 -345 -419 -437 -411 -405 -442 -531 -565 -510 -401 -412 -542 -651 -642 -538 -439 -424 -503 -554 -550 -512 -495 -573 -597 -548 -483 -454 -465 -489 -493 -479 -450 -402 -372 -384 -474 -581 -588 -484 -346 -334 -405 -519 -511 -436 -352 -359 -446 -480 -404 -315 -280 -352 -400 -384 -296 -258 -288 -372 -398 -371 -350 -321 -323 -318 -312 -343 -332 -301 -248 -215 -254 -306 -322 -276 -255 -316 -336 -311 -246 -180 -194 -290 -341 -332 -263 -261 -335 -445 -497 -468 -405 -379 -379 -375 -408 -473 -488 -491 -448 -384 -382 -428 -518 -570 -579 -607 -653 -592 -498 -459 -543 -720 -793 -707 -545 -456 -457 -572 -632 -612 -537 -497 -485 -495 -471 -450 -432 -453 -432 -384 -344 -359 -357 -358 -363 -355 -356 -336 -264 -218 -247 -325 -330 -233 -145 -69 -128 -227 -280 -255 -187 -164 -254 -276 -275 -275 -291 -341 -380 -396 -400 -368 -398 -413 -465 -529 -562 -469 -381 -337 -413 -577 -665 -627 -542 -520 -635 -750 -814 -755 -697 -682 -659 -649 -636 -579 -616 -673 -713 -653 -539 -491 -473 -519 -596 -608 -551 -467 -378 -362 -409 -507 -537 -480 -412 -395 -456 -474 -415 -274 -178 -220 -258 -318 -265 -199 -207 -245 -334 -338 -310 -278 -238 -262 -337 -398 -383 -334 -321 -347 -428 -484 -462 -411 -315 -313 -348 -354 -326 -295 -358 -521 -623 -619 -529 -463 -466 -554 -632 -604 -562 -473 -457 -466 -495 -535 -541 -536 -514 -484 -485 -494 -463 -403 -387 -409 -510 -566 -524 -424 -397 -477 -588 -600 -490 -393 -364 -423 -519 -529 -431 -378 -389 -405 -434 -422 -392 -391 -391 -411 -420 -385 -348 -321 -380 -502 -568 -508 -390 -293 -285 -359 -384 -366 -271 -208 -231 -277 -299 -300 -291 -280 -317 -304 -265 -268 -306 -337 -387 -362 -333 -296 -300 -302 -371 -469 -529 -506 -410 -306 -298 -401 -566 -601 -559 -471 -468 -544 -591 -573 -541 -582 -664 -717 -663 -598 -556 -584 -623 -672 -665 -587 -504 -510 -534 -605 -653 -598 -512 -453 -470 -528 -536 -517 -428 -380 -392 -410 -412 -360 -332 -329 -383 -360 -264 -160 -157 -176 -247 -278 -282 -225 -165 -146 -191 -309 -347 -300 -189 -105 -115 -196 -288 -312 -253 -274 -335 -418 -437 -386 -344 -360 -427 -505 -469 -399 -376 -462 -575 -634 -629 -598 -571 -607 -659 -698 -705 -657 -614 -566 -570 -585 -575 -572 -557 -595 -660 -664 -607 -488 -429 -456 -540 -607 -543 -466 -385 -414 -440 -480 -451 -409 -368 -348 -287 -311 -277 -270 -247 -277 -277 -275 -315 -261 -254 -283 -363 -363 -327 -228 -201 -290 -370 -414 -385 -334 -312 -383 -400 -403 -338 -327 -357 -373 -410 -385 -396 -372 -429 -472 -542 -538 -552 -514 -446 -464 -499 -519 -529 -464 -386 -402 -496 -583 -579 -498 -443 -436 -464 -478 -489 -525 -502 -523 -522 -492 -455 -463 -483 -554 -560 -505 -380 -292 -302 -408 -529 -593 -550 -463 -371 -355 -424 -454 -431 -402 -343 -383
+-111 -148 -93 -66 -40 -58 -112 -117 -84 2 17 -28 -138 -182 -185 -141 -69 -72 -97 -142 -141 -69 18 18 -57 -116 -70 34 69 11 -107 -132 -74 87 173 104 -24 -52 -45 -14 -27 -42 -74 -12 32 52 -24 -66 -63 -23 48 28 17 -18 -62 -55 -23 -20 -31 -122 -181 -204 -147 -47 -59 -134 -239 -296 -207 -100 -13 -36 -121 -188 -175 -197 -178 -153 -149 -87 -23 -25 -75 -160 -222 -200 -68 -4 -79 -207 -261 -212 -51 113 114 36 -84 -73 17 46 12 -51 -46 -45 2 -23 -66 -56 8 93 78 11 -105 -193 -238 -198 -138 -73 -55 -81 -98 -73 9 17 25 -92 -217 -270 -237 -205 -216 -277 -317 -269 -201 -151 -166 -203 -216 -164 -130 -136 -239 -284 -252 -132 -9 76 0 -110 -194 -197 -109 -18 -50 -163 -244 -269 -191 -102 -55 -56 -43 12 -3 -54 -163 -193 -135 -38 4 -17 -93 -158 -177 -139 -132 -144 -213 -313 -336 -296 -214 -189 -235 -275 -276 -179 -5 25 -45 -191 -259 -219 -132 -126 -209 -292 -311 -239 -159 -184 -246 -282 -257 -133 -88 -79 -117 -122 -90 -28 -20 -84 -151 -172 -130 -66 -7 -30 -105 -185 -201 -152 -123 -146 -233 -274 -252 -157 -101 -112 -151 -184 -142 -64 -62 -96 -173 -234 -167 -165 -157 -214 -285 -312 -257 -140 -75 -123 -266 -405 -414 -297 -156 -97 -100 -105 -122 -87 -85 -115 -172 -124 -45 -38 -96 -151 -196 -167 -75 0 -38 -99 -185 -185 -131 -60 -18 -78 -98 -152 -137 -43 37 24 -44 -94 -133 -108 -82 -89 -90 -116 -118 -128 -210 -282 -304 -285 -204 -125 -111 -178 -212 -206 -118 15 23 -60 -206 -261 -201 -131 -95 -122 -128 -113 -82 -121 -173 -240 -225 -136 -51 -37 -93 -180 -153 -41 91 134 103 -7 -81 -97 -47 -33 -31 -119 -150 -149 -115 -50 -29 -76 -140 -116 -96 -81 -134 -183 -151 -56 0 20 -76 -120 -135 -68 -11 -46 -149 -235 -327 -275 -223 -137 -146 -239 -264 -215 -164 -67 -80 -157 -178 -152 -92 -56 -93 -128 -90 -31 -5 -52 -160 -270 -281 -243 -173 -135 -141 -172 -124 -60 -22 14 -21 -94 -110 -71 -26 0 -60 -146 -219 -161 -38 -3 -85 -226 -299 -280 -208 -204 -281 -326 -273 -137 -75 -84 -158 -176 -158 -121 -123 -178 -192 -221 -206 -155 -82 -98 -239 -297 -333 -261 -161 -128 -160 -201 -185 -95 -13 -30 -66 -94 -50 26 22 -66 -189 -282 -245 -137 -84 -165 -225 -245 -209 -109 -50 -59 -199 -276 -274 -208 -84 -38 -105 -226 -320 -298 -261 -231 -240 -241 -191 -167 -178 -297 -332 -288 -172 -102 -152 -245 -321 -279 -162 -50 -13 -105 -204 -255 -212 -111 -42 -7 -99 -217 -291 -257 -159 -93 -88 -89 -90 -45 -40 -110 -169 -182 -109 -19 -11 -60 -165 -214 -186 -112 -77 -81 -178 -225 -247 -189 -153 -125 -167 -188 -182 -148 -154 -152 -247 -257 -213 -183 -203 -243 -250 -235 -144 -47 -80 -209 -341 -328 -249 -184 -162 -171 -259 -246 -178 -96 -9 -29 -87 -139 -200 -173 -157 -156 -148 -83 -48 -6 -38 -129 -176 -212 -136 -147 -168 -214 -247 -202 -112 -85 -79 -127 -159 -146 -98 -91 -155 -276 -291 -227 -203 -123 -101 -151 -218 -236 -223 -218 -235 -251 -277 -268 -220 -195 -200 -198 -167 -114 -118 -180 -239 -273 -222 -150 -35 -13 -73 -162 -258 -239 -191 -126 -132 -179 -237 -244 -192 -114 -102 -118 -155 -117 -91 -98 -152 -235 -283 -238 -193 -193 -188 -178 -155 -110 -139 -226 -330 -390 -381 -285 -203 -130 -124 -152 -187 -183 -160 -160 -162 -172 -205 -260 -281 -330 -355 -304 -184 -47 -17 -23 -153 -267 -288 -239 -151 -124 -182 -232 -224 -131 10 65 13 -77 -187 -255 -254 -232 -221 -211 -222 -184 -195 -240 -221 -181 -74 -7 -64 -243 -362 -383 -277 -188 -174 -238 -316 -336 -267 -177 -156 -252 -321 -390 -375 -312 -254 -178 -143 -166 -155 -177 -203 -216 -280 -245 -205 -140 -143 -216 -268 -275 -228 -81 -44 -52 -126 -188 -209 -162 -118 -104 -136 -200 -182 -128 -129 -153 -178 -198 -146 -109 -127 -178 -260 -294 -209 -105 -129 -252 -338 -333 -279 -186 -203 -259 -315 -301 -272 -165 -192 -216 -311 -386 -389 -377 -276 -188 -202 -220 -224 -207 -189 -245 -272 -279 -253 -173 -190 -240 -309 -302 -219 -123 -120 -191 -246 -232 -146 -101 -121 -244 -291 -271 -164 -67 -42 -112 -220 -316 -294 -252 -204 -234 -257 -250 -226 -191 -193 -211 -234 -183 -182 -219 -314 -395 -372 -247 -188 -180 -238 -321 -360 -299 -227 -188 -183 -261 -351 -412 -370 -261 -158 -122 -211 -257 -236 -202 -180 -225 -264 -216 -156 -91 -148 -263 -299 -251 -131 -114 -218 -326 -323 -281 -121 -97 -147 -244 -310 -303 -253 -212 -198 -192 -240 -287 -309 -323 -298 -279 -251 -202 -223 -299 -413 -458 -419 -322 -153 -82 -129 -274 -341 -325 -236 -150 -154 -281 -358 -397 -312 -188 -92 -75 -115 -144 -148 -150 -138 -197 -197 -162 -144 -145 -231 -278 -218 -90 34 17 -161 -321 -380 -318 -200 -180 -236 -325 -302 -189 -147 -152 -231 -264 -263 -255 -267 -309 -325 -334 -279 -233 -260 -267 -300 -369 -347 -276 -250 -270 -318 -380 -346 -260 -171 -111 -131 -204 -224 -234 -188 -215 -226 -288 -260 -212 -193 -196 -194 -218 -187 -107 -91 -136 -238 -258 -246 -116 -30 -107 -201 -274 -264 -188 -154 -167 -282 -355 -313 -209 -171 -173 -241 -307 -312 -267 -249 -208 -300 -344 -379 -365 -320 -305 -294 -304 -281 -233 -248 -286 -354 -379 -333 -277 -264 -314 -343 -356 -240 -115 -66 -62 -187 -309 -319 -264 -156 -131 -192 -260 -243 -155 -118 -158 -210 -210 -159 -80 -115 -208 -302 -270 -175 -92 -75 -198 -284 -238 -178 -111 -146 -257 -347 -367 -309 -207 -152 -162 -192 -260 -291 -300 -234 -249 -233 -222 -217 -190 -271 -318 -349 -254 -125 -48 -133 -239 -335 -278 -144 -36 -54 -153 -283 -331 -260 -180 -121 -118 -133 -149 -160 -156 -147 -160 -168 -161 -133 -149 -276 -344 -357 -248 -82 -27 -102 -242 -367 -333 -205 -149 -212 -310 -415 -379 -306 -214 -195 -259 -299 -346 -346 -306 -245 -223 -243 -270 -281 -260 -251 -276 -253 -176 -97 -80 -201 -341 -410 -350 -150 -2 -45 -156 -225 -197 -92 -86 -101 -169 -160 -118 -64 -84 -159 -222 -240 -177 -120 -134 -194 -259 -306 -255 -159 -169 -218 -261 -283 -274 -207 -197 -249 -320 -348 -314 -265 -266 -275 -317 -281 -290 -280 -317 -349 -331 -336 -335 -288 -262 -247 -186 -161 -135 -78 -165 -245 -313 -294 -180 -97 -136 -205 -287 -244 -104 -28 -70 -167 -242 -226 -159 -89 -109 -129 -146 -123 -69 -59 -69 -100 -145 -222 -257 -333 -356 -338 -254 -191 -108 -91 -152 -251 -243 -216 -161 -200 -282 -442 -473 -401 -246 -145 -151 -175 -249 -288 -270 -269 -277 -296 -266 -240 -207 -167 -226 -272 -261 -162 -50 -35 -110 -252 -301 -244 -116 -70 -147 -223 -227 -138 -48 -45 -108 -182 -215 -180 -156 -155 -193 -184 -127 -98 -138 -231 -320 -348 -260 -135 -102 -173 -310 -429 -423 -295 -165 -124 -222 -347 -342 -250 -177 -165 -230 -310 -300 -263 -227 -266 -303 -249 -172 -86 -115 -196 -267 -218 -100 27 37 -100 -267 -320 -276 -109 -25 -77 -171 -232 -196 -93 -50 -101 -210 -260 -216 -175 -163 -198 -250 -253 -219 -167 -171 -214 -277 -308 -283 -251 -255 -317 -431 -436 -370 -259 -165 -244 -323 -392 -362 -258 -191 -200 -263 -304 -247 -153 -118 -203 -273 -259 -198 -140 -116 -221 -338 -386 -274 -133 -46 -92 -131 -168 -118 -40 -11 -94 -196 -225 -158 -91 -71 -139 -251 -267 -238 -202 -202 -252 -311 -315 -255 -202 -184 -257 -275 -276 -251 -214 -253 -305 -404 -373 -304 -235 -223 -337 -413 -422 -297 -216 -228 -384 -513 -490 -370 -192 -120 -155 -222 -248 -214 -162 -134 -169 -206 -233 -229 -249 -248 -213 -189 -123 -67 -16 -115 -237 -298 -243 -115 -45 -102 -196 -223 -130 2 44 -62 -249 -375 -395 -335 -252 -231 -262 -276 -267 -242 -209 -194 -231 -266 -261 -243 -231 -329 -408 -439 -378 -211 -72 -97 -213 -352 -361 -309 -225 -210 -259 -302 -270 -157 -113 -105 -121 -135 -131 -151 -210 -253 -254 -175 -70 -2 24 -68 -207 -260 -231 -93 -3 -82 -238 -345 -338 -209 -100 -90 -171 -199 -170 -110 -126 -223 -297 -317 -281 -235 -245 -242 -232 -179 -180 -190 -314 -361 -387 -359 -266 -216 -182 -209 -274 -271 -205 -149 -86 -143 -194 -211 -190 -159 -130 -96 -115 -62 -37 -40 -101 -163 -236 -204 -187 -159 -179 -208 -196 -135 -117 -67 -46 -60 -116 -144 -163 -199 -242 -312 -345 -314 -234 -188 -201 -306 -384 -378 -281 -247 -272 -334 -376 -320 -276 -252 -251 -284 -259 -208 -187 -201 -257 -313 -314 -260 -191 -188 -187 -236 -259 -248 -193 -132 -124 -137 -181 -160 -121 -88 -63 -105 -108 -87 -50 -94 -178 -208 -223 -164 -133 -196 -231 -311 -323 -260 -178 -178 -235 -319 -325 -200 -92 -44 -119 -285 -395 -399 -342 -292 -332 -384 -441 -420 -414 -429 -406 -345 -240 -190 -240 -317 -379 -377 -256 -179 -95 -135 -233 -308 -253 -176 -111 -182 -242 -310 -314 -284 -185 -181 -190 -224 -151 -112 -87 -99 -130 -150 -120 -65 -100 -171 -245 -253 -232 -146 -86 -164 -323 -414 -441 -332 -273 -262 -310 -347 -341 -251 -170 -191 -218 -244 -227 -278 -332 -415 -417 -343 -256 -219 -273 -369 -422 -424 -296 -191 -127 -160 -255 -302 -276 -167 -63 -64 -105 -177 -208 -157 -122 -144 -190 -206 -182 -82 -44 -74 -153 -205 -199 -161 -160 -250 -290 -277 -173 -84 -79 -144 -248 -305 -262 -211 -198 -266 -387 -384 -314 -230 -219 -263 -345 -327 -263 -238 -299 -360 -379 -320 -229 -134 -128 -156 -162 -140 -102 -144 -203 -232 -263 -270 -218 -186 -141 -106 -101 -112 -67 -46 -86 -208 -292 -324 -224 -143 -92 -128 -167 -175 -109 -61 -101 -209 -286 -279 -250 -259 -329 -404 -367 -317 -204 -187 -240 -337 -386 -371 -275 -223 -245 -354 -402 -362 -273 -218 -182 -237 -265 -267 -303 -334 -381 -360 -329 -232 -177 -204 -196 -219 -199 -117 -66 -69 -110 -206 -238 -249 -121 -57 -70 -231 -313 -304 -142 -26 -30 -120 -248 -290 -224 -197 -236 -278 -253 -183 -153 -182 -285 -363 -325 -232 -161 -194 -321 -452 -475 -414 -356 -359 -388 -447 -483 -443 -332 -245 -265 -290 -319 -277 -254 -262 -311 -338 -296 -191 -180 -237 -352 -398 -330 -210 -124 -142 -214 -341 -354 -256 -147 -60 -74 -128 -184 -203 -135 -114 -156 -223 -274 -223 -180 -229 -308 -387 -319 -231 -197 -275 -396 -396 -318 -231 -195 -302 -400 -438 -360 -265 -218 -224 -336 -399 -454 -378 -304 -281 -334 -383 -402 -349 -292 -241 -309 -342 -290 -194 -111 -95 -211 -267 -257 -178 -135 -162 -279 -330 -286 -208 -169 -215 -241 -247 -215 -123 -108 -149 -237 -259 -218 -151 -120 -211 -318 -385 -304 -200 -165 -241 -352 -418 -383 -308 -294 -333
+63 143 88 23 -45 9 130 126 -11 -65 -37 118 139 121 9 26 101 81 23 -16 -13 5 -30 -118 -130 -131 -80 -127 -129 -102 -49 -11 -64 -108 -123 19 106 115 -31 -94 -59 74 73 59 -27 -37 26 33 18 -15 50 58 111 141 212 276 319 272 255 342 436 557 507 369 306 387 485 491 354 290 352 455 396 281 230 276 396 442 409 329 362 423 427 432 370 366 418 379 269 191 204 258 250 197 159 172 200 207 124 126 171 244 295 240 227 242 311 343 372 276 314 320 342 279 302 258 211 205 169 230 405 510 482 468 398 422 488 513 465 464 548 581 510 351 315 434 580 643 613 525 482 564 601 549 511 491 583 613 582 552 587 607 593 562 491 490 483 456 428 445 534 605 599 491 397 394 559 655 641 562 589 613 627 512 448 473 483 516 509 485 447 416 406 369 382 492 560 616 631 597 647 633 503 360 363 479 549 596 472 374 358 432 420 373 371 483 606 596 549 521 505 552 572 556 568 659 678 665 529 477 570 704 742 655 582 615 700 710 703 629 716 797 837 826 747 752 839 915 872 749 726 736 768 759 725 710 746 746 699 674 709 715 733 647 613 690 744 728 644 550 577 611 615 549 448 469 550 608 587 532 504 569 550 571 575 595 594 601 535 526 615 685 667 565 524 548 662 733 699 651 715 861 908 818 721 729 887 1001 930 834 795 837 905 941 837 919 978 1017 894 784 731 812 870 844 809 874 948 1012 926 809 757 780 856 822 763 771 774 767 716 640 563 612 645 680 634 681 678 642 622 545 600 710 850 793 686 676 693 716 678 626 606 728 871 864 753 727 730 836 829 848 812 874 936 893 848 864 845 850 848 804 808 921 926 895 840 809 834 889 858 831 863 963 1024 1012 882 759 800 822 835 796 785 869 882 844 739 715 774 880 959 923 882 907 919 886 821 830 879 926 935 873 830 824 811 777 682 694 738 794 845 804 809 816 831 804 781 706 775 839 849 851 785 781 727 668 644 638 693 724 677 614 609 648 754 786 803 790 787 788 779 752 794 832 814 774 733 714 761 799 806 809 832 862 895 887 886 925 991 1022 1010 904 888 883 930 921 878 854 928 944 958 995 976 1031 1047 996 900 794 790 845 858 833 858 902 884 791 725 669 727 727 737 660 610 595 591 592 576 637 722 776 749 717 730 782 769 702 582 565 616 719 761 752 692 661 675 698 744 804 876 891 915 924 945 1008 1063 1023 1045 1082 1097 1136 1061 972 943 1014 1047 1042 930 890 926 1002 1051 967 939 945 964 989 976 1009 1040 1034 991 946 871 875 857 807 747 800 861 887 775 680 649 704 817 804 697 691 719 840 848 827 757 780 843 915 911 850 802 732 725 687 743 845 878 872 843 866 924 901 876 845 844 977 1049 994 887 853 918 993 997 914 906 884 959 945 928 902 896 942 1003 1024 1011 1022 976 926 878 908 934 958 948 879 896 956 992 951 851 861 966 999 972 903 788 845 970 1055 1057 977 875 875 885 902 946 950 919 837 799 825 912 977 894 830 842 896 911 868 733 743 836 913 920 836 726 763 847 861 790 672 641 678 719 760 760 793 745 812 793 836 876 871 818 765 765 816 921 920 885 869 968 1026 1012 922 847 872 975 1128 1130 1013 909 889 912 1012 1111 1146 1091 1001 952 966 1017 1050 998 1010 1061 1118 1086 978 814 753 833 931 846 770 701 717 849 820 756 657 594 698 798 778 724 660 685 701 656 623 674 689 720 685 693 718 709 671 610 626 720 789 782 782 755 790 836 920 898 852 801 885 1011 1062 1065 1014 996 1032 994 977 934 897 961 1009 1037 1045 988 920 924 999 1081 1100 1062 1002 964 943 932 881 841 841 877 990 927 881 797 714 821 817 819 799 803 822 797 758 739 791 817 798 770 799 838 876 854 799 792 852 909 916 843 717 756 838 907 871 876 898 937 1008 1039 961 918 878 896 899 929 945 892 874 860 919 892 870 881 906 984 991 1031 966 921 965 985 1069 1048 987 965 988 964 946 922 878 905 918 859 890 945 973 1035 981 957 1019 1099 1112 1037 1017 1048 1121 1122 995 825 814 935 1054 1032 891 815 842 964 969 878 830 852 956 989 982 857 825 816 882 909 899 937 908 835 779 740 766 760 717 652 682 780 862 838 794 730 854 921 963 929 914 932 927 931 871 889 926 998 985 976 986 991 989 952 909 1002 1112 1150 1109 1057 1040 1144 1181 1172 1059 990 1082 1178 1198 1085 973 979 1077 1110 991 903 911 974 995 944 841 768 743 773 791 807 873 921 891 782 692 677 757 732 716 709 731 779 739 595 551 631 781 845 779 709 751 846 898 863 819 789 879 930 953 944 912 920 905 885 893 987 1041 1066 1050 1021 1036 1088 1042 933 904 961 1090 1131 1034 957 977 1033 1120 1094 997 947 983 994 997 906 881 902 962 930 872 852 850 842 848 845 906 862 821 727 743 821 920 949 884 774 740 775 816 813 781 806 815 839 825 817 833 859 918 917 903 887 869 841 836 814 915 1032 1019 946 860 871 961 980 916 854 873 985 1002 936 833 819 922 1029 1077 1044 1048 1093 1057 1012 907 917 945 902 948 948 957 949 849 827 851 957 1064 1109 1056 970 977 1071 1116 1063 972 986 1032 1090 1013 930 822 816 850 896 935 951 961 898 851 846 918 944 925 913 896 950 1008 956 884 801 898 982 915 754 695 680 763 820 735 660 682 761 867 841 772 818 900 944 947 843 911 965 1013 978 930 938 975 966 843 787 833 991 1083 1062 967 929 1005 1098 1142 1110 1109 1149 1201 1189 1187 1153 1095 1027 969 976 1013 1086 1093 1025 946 879 918 905 917 928 978 1022 1022 892 805 750 824 860 854 851 799 799 762 716 658 729 775 769 741 690 653 739 764 678 698 747 889 995 930 875 882 970 992 923 784 709 881 921 934 874 863 920 994 1003 958 985 1021 1089 1053 1009 1021 1082 1158 1077 998 947 967 1000 963 909 923 983 1014 972 874 871 948 1028 1047 964 910 897 925 913 838 895 955 958 909 815 811 867 885 810 780 735 772 808 787 711 797 859 962 893 763 779 826 903 901 904 887 929 933 865 782 787 832 853 802 787 851 995 979 942 802 813 909 1019 999 911 878 979 1051 948 861 806 870 1019 1062 965 923 914 932 867 811 828 911 994 992 918 914 974 1042 1014 941 955 1047 1137 1119 994 932 966 1036 998 928 854 876 925 902 867 836 857 952 961 926 954 994 1047 980 893 875 901 893 843 747 691 824 897 857 721 641 666 757 763 693 708 742 849 839 717 729 804 915 933 903 831 801 836 854 817 790 876 979 966 886 900 925 1011 990 913 851 969 1095 1148 1125 1122 1133 1182 1130 1050 940 1006 1096 1120 988 855 838 929 977 951 923 953 1013 1005 926 822 828 923 920 867 825 855 860 910 845 753 716 719 721 684 625 693 829 852 759 642 671 699 754 766 744 851 869 895 786 738 742 891 944 878 853 812 826 811 824 785 893 928 934 902 946 1010 1046 1082 965 953 1018 1144 1117 1006 954 965 1041 1036 940 859 851 941 988 965 962 992 1042 1113 1057 992 977 976 948 907 835 884 935 919 811 750 782 824 853 843 808 797 873 892 826 762 776 853 947 959 913 915 869 860 785 803 818 788 745 706 704 844 911 894 775 736 776 924 993 872 793 861 1014 1087 1030 944 846 863 913 935 917 897 860 806 782 813 922 982 1001 1012 1039 1130 1140 1061 862 821 895 1050 1123 1058 968 1005 1066 1082 998 907 935 1056 1096 1097 971 881 902 889 907 919 975 1020 993 939 900 905 906 889 793 836 928 1055 1020 828 666 663 828 916 863 722 688 754 830 769 714 695 777 810 797 770 754 808 843 855 843 826 896 842 844 836 960 1037 997 922 840 867 984 1038 963 900 892 951 1023 963 877 947 1043 1189 1150 1114 1060 1064 1052 1043 1008 1031 1066 1010 907 836 895 964 946 793 679 789 886 1002 925 805 703 776 876 840 778 774 827 855 772 691 617 680 756 784 769 773 743 746 686 647 734 815 852 824 728 714 824 852 862 807 881 923 984 911 792 685 757 850 954 944 904 914 934 972 1025 958 1013 990 1001 961 943 979 957 932 918 931 1019 1014 981 919 923 1028 997 959 919 960 1087 1139 1153 982 930 918 916 847 815 786 855 948 907 830 787 842 916 899 826 857 912 936 904 756 745 810 887 847 734 688 765 903 918 795 686 644 765 860 862 829 842 845 892 845 795 752 822 912 972 1019 966 886 790 723 744 818 886 882 864 890 914 984 962 861 829 914 994 1017 973 960 928 958 933 930 921 998 1068 1034 1044 985 969 956 901 863 954 1067 1106 1064 919 850 884 961 923 797 741 765 849 902 794 748 816 917 924 874 821 765 803 798 708 635 601 655 715 694 724 722 756 763 748 742 756 765 792 792 764 810 858 910 850 863 923 987 960 909 881 863 867 881 854 879 943 971 940 927 905 953 990 959 948 999 1042 1080 1074 990 964 939 996 984 935 883 866 900 874 835 794 836 910 916 915 917 919 955 918 877 859 816 847 805 762 778 818 837 804 737 734 768 825 800 750 689 743 790 841 860 856 877 879 875 827 817 844 867 874 802 816 828 891 927 983 1011 989 964 960 927 993 1038 1098 1052 1004 935 1002 1027 1014 976 977 1063 1003 956 901 869 959 1052 1124 1118 1061 1063 1092 1112 1091 1103 1157 1150 1071 999 921 899 930 878 865 901 969 996 995 966 1026 1037 1090
+92 37 -12 -35 -56 -106 -69 13 114 28 -120 -205 -81 33 -3 -214 -354 -252 -60 -43 -243 -487 -452 -218 -92 -154 -385 -486 -425 -299 -299 -347 -397 -450 -490 -543 -575 -585 -561 -665 -797 -825 -701 -596 -610 -767 -885 -754 -574 -517 -757 -953 -921 -715 -608 -701 -917 -971 -823 -691 -684 -838 -940 -938 -900 -901 -947 -918 -892 -904 -973 -1021 -898 -778 -828 -1018 -1160 -1081 -960 -909 -1135 -1344 -1302 -1093 -938 -1036 -1276 -1385 -1247 -1064 -1051 -1209 -1372 -1384 -1276 -1226 -1265 -1317 -1306 -1338 -1368 -1422 -1408 -1357 -1362 -1458 -1539 -1418 -1278 -1203 -1367 -1520 -1458 -1229 -1115 -1220 -1408 -1472 -1316 -1172 -1217 -1441 -1617 -1615 -1441 -1326 -1372 -1487 -1542 -1569 -1573 -1575 -1525 -1443 -1498 -1624 -1689 -1643 -1543 -1535 -1666 -1831 -1772 -1576 -1504 -1697 -2015 -2128 -1966 -1788 -1847 -2040 -2188 -2082 -1860 -1721 -1805 -1934 -2005 -1971 -1927 -1972 -1992 -1977 -1977 -1999 -2055 -2005 -1873 -1813 -1913 -1996 -1984 -1792 -1727 -1904 -2113 -2168 -1961 -1716 -1692 -1864 -1996 -1924 -1668 -1623 -1754 -1977 -2076 -1981 -1913 -1881 -1841 -1871 -1869 -1944 -1975 -1979 -1922 -1896 -1995 -2116 -2105 -2026 -2014 -2197 -2393 -2387 -2203 -2018 -2077 -2362 -2547 -2478 -2281 -2220 -2343 -2501 -2488 -2339 -2196 -2221 -2336 -2415 -2401 -2379 -2392 -2376 -2367 -2336 -2389 -2472 -2456 -2358 -2283 -2397 -2533 -2536 -2361 -2134 -2184 -2409 -2531 -2387 -2113 -1993 -2136 -2355 -2428 -2296 -2185 -2207 -2371 -2472 -2436 -2359 -2331 -2355 -2382 -2371 -2420 -2453 -2454 -2421 -2395 -2484 -2571 -2539 -2385 -2280 -2341 -2571 -2690 -2618 -2403 -2356 -2535 -2799 -2820 -2609 -2427 -2454 -2599 -2661 -2589 -2438 -2420 -2518 -2618 -2661 -2663 -2632 -2610 -2535 -2506 -2545 -2612 -2624 -2490 -2386 -2461 -2666 -2783 -2679 -2412 -2391 -2584 -2791 -2725 -2452 -2278 -2337 -2619 -2757 -2772 -2652 -2637 -2759 -2849 -2859 -2803 -2741 -2727 -2733 -2735 -2746 -2769 -2753 -2682 -2667 -2734 -2898 -2932 -2796 -2585 -2571 -2756 -2935 -2931 -2698 -2542 -2639 -2935 -3039 -2902 -2710 -2609 -2682 -2814 -2780 -2660 -2579 -2596 -2619 -2630 -2600 -2613 -2624 -2588 -2605 -2682 -2812 -2825 -2707 -2550 -2581 -2751 -2929 -2854 -2649 -2508 -2636 -2909 -3040 -2902 -2666 -2625 -2819 -2978 -2984 -2876 -2826 -2899 -3009 -3048 -2965 -2952 -2974 -3017 -3105 -3132 -3148 -3083 -2923 -2789 -2833 -2994 -3137 -3108 -2931 -2786 -2904 -3120 -3175 -2988 -2774 -2736 -2909 -3065 -3009 -2833 -2703 -2716 -2818 -2840 -2786 -2727 -2694 -2743 -2745 -2755 -2728 -2714 -2673 -2671 -2746 -2919 -3006 -2905 -2731 -2591 -2756 -2970 -3007 -2861 -2669 -2672 -2903 -3059 -2996 -2820 -2735 -2875 -3054 -3128 -3019 -2931 -2980 -3105 -3144 -3162 -3097 -3011 -3016 -3052 -3073 -3144 -3176 -3058 -2915 -2881 -3000 -3184 -3215 -3075 -2838 -2827 -3028 -3179 -3105 -2894 -2794 -2934 -3113 -3174 -3046 -2886 -2810 -2894 -2931 -2870 -2786 -2748 -2815 -2918 -2969 -3017 -2996 -2911 -2822 -2858 -3031 -3128 -3072 -2891 -2703 -2749 -2975 -3182 -3082 -2928 -2847 -2981 -3137 -3125 -2953 -2795 -2842 -2986 -3108 -3084 -3016 -3004 -3096 -3207 -3223 -3175 -3088 -2977 -2952 -2919 -2979 -3027 -2953 -2858 -2792 -2896 -3090 -3213 -3106 -2861 -2793 -2937 -3124 -3177 -3023 -2892 -2953 -3135 -3241 -3167 -3023 -2959 -3075 -3151 -3143 -3014 -2895 -2895 -2958 -3081 -3169 -3191 -3162 -3074 -3064 -3139 -3306 -3350 -3264 -3087 -3032 -3170 -3316 -3347 -3223 -3092 -3076 -3193 -3260 -3187 -3039 -2992 -3108 -3204 -3262 -3143 -3026 -2972 -3062 -3121 -3152 -3114 -3033 -2914 -2885 -2985 -3052 -3090 -2948 -2822 -2805 -2956 -3115 -3099 -2912 -2818 -2838 -3041 -3174 -3100 -2977 -2961 -3076 -3187 -3132 -2971 -2840 -2917 -3077 -3227 -3233 -3163 -3081 -3084 -3129 -3219 -3331 -3360 -3305 -3195 -3214 -3306 -3420 -3425 -3277 -3195 -3256 -3447 -3492 -3355 -3151 -3060 -3144 -3278 -3271 -3142 -3041 -3116 -3308 -3382 -3333 -3176 -3067 -3080 -3158 -3189 -3206 -3107 -2998 -2947 -2980 -3077 -3110 -3050 -2949 -2885 -2970 -3091 -3118 -3013 -2857 -2849 -2988 -3132 -3134 -3023 -2944 -3052 -3227 -3264 -3190 -3020 -2969 -3041 -3175 -3259 -3241 -3157 -3116 -3113 -3196 -3270 -3390 -3371 -3293 -3264 -3271 -3333 -3346 -3222 -3049 -3065 -3227 -3361 -3358 -3205 -3122 -3181 -3310 -3386 -3262 -3093 -3083 -3224 -3316 -3327 -3194 -3064 -3070 -3153 -3259 -3278 -3235 -3104 -2987 -2944 -2968 -3000 -3055 -3007 -2963 -2989 -3134 -3279 -3256 -3141 -3072 -3131 -3276 -3295 -3185 -3049 -2992 -3148 -3278 -3286 -3179 -3052 -3041 -3109 -3169 -3175 -3122 -3043 -3012 -3071 -3161 -3288 -3279 -3259 -3206 -3200 -3266 -3301 -3237 -3079 -2994 -3042 -3155 -3189 -3094 -2942 -2939 -3128 -3267 -3214 -3035 -2899 -2948 -3122 -3211 -3204 -3129 -3071 -3106 -3178 -3248 -3310 -3236 -3165 -3097 -3090 -3144 -3216 -3233 -3185 -3156 -3264 -3355 -3393 -3281 -3138 -3179 -3382 -3519 -3496 -3311 -3146 -3177 -3344 -3412 -3410 -3250 -3172 -3179 -3230 -3290 -3263 -3212 -3189 -3228 -3276 -3330 -3344 -3259 -3143 -3053 -3101 -3158 -3183 -3089 -2973 -2976 -3146 -3210 -3144 -2964 -2815 -2877 -3107 -3209 -3094 -2929 -2915 -3032 -3211 -3285 -3279 -3222 -3232 -3218 -3223 -3201 -3171 -3112 -3068 -3073 -3166 -3282 -3366 -3307 -3262 -3268 -3410 -3517 -3450 -3279 -3196 -3306 -3497 -3640 -3503 -3326 -3275 -3382 -3537 -3539 -3394 -3282 -3248 -3289 -3311 -3284 -3216 -3184 -3224 -3280 -3331 -3386 -3338 -3239 -3136 -3097 -3140 -3207 -3171 -3027 -2979 -3081 -3257 -3279 -3129 -2927 -2894 -3076 -3277 -3298 -3147 -3042 -3043 -3116 -3162 -3163 -3135 -3137 -3191 -3224 -3205 -3212 -3147 -3135 -3141 -3179 -3286 -3366 -3345 -3249 -3171 -3310 -3468 -3530 -3378 -3207 -3202 -3380 -3556 -3444 -3256 -3074 -3117 -3261 -3375 -3313 -3224 -3185 -3229 -3302 -3322 -3258 -3245 -3221 -3236 -3250 -3306 -3329 -3311 -3217 -3183 -3226 -3328 -3332 -3177 -3012 -2977 -3137 -3302 -3299 -3113 -2969 -3041 -3278 -3440 -3395 -3310 -3243 -3280 -3350 -3336 -3252 -3216 -3210 -3290 -3320 -3322 -3298 -3224 -3161 -3153 -3255 -3373 -3357 -3211 -3063 -3122 -3317 -3482 -3391 -3202 -3061 -3159 -3365 -3417 -3275 -3055 -3022 -3109 -3209 -3185 -3093 -3050 -3075 -3136 -3123 -3110 -3130 -3154 -3188 -3224 -3285 -3335 -3296 -3196 -3081 -3105 -3272 -3381 -3306 -3097 -2971 -3095 -3341 -3480 -3388 -3217 -3216 -3367 -3493 -3428 -3312 -3242 -3324 -3471 -3525 -3467 -3411 -3354 -3358 -3372 -3402 -3348 -3262 -3131 -3074 -3118 -3283 -3368 -3305 -3152 -3114 -3243 -3464 -3488 -3265 -3053 -3014 -3125 -3219 -3154 -3016 -2974 -3059 -3179 -3190 -3084 -3021 -3006 -3031 -3055 -3028 -3033 -3081 -3116 -3121 -3236 -3325 -3384 -3309 -3134 -3046 -3194 -3393 -3440 -3231 -3017 -3040 -3281 -3508 -3498 -3340 -3287 -3400 -3568 -3538 -3354 -3205 -3269 -3425 -3516 -3488 -3454 -3411 -3409 -3359 -3361 -3378 -3357 -3264 -3195 -3170 -3273 -3424 -3441 -3248 -3066 -3102 -3321 -3497 -3395 -3177 -3030 -3095 -3204 -3192 -3051 -2960 -3010 -3172 -3226 -3155 -3028 -3011 -3085 -3150 -3219 -3217 -3229 -3203 -3137 -3209 -3300 -3419 -3375 -3158 -3004 -3118 -3332 -3511 -3398 -3136 -3045 -3195 -3393 -3451 -3248 -3140 -3212 -3415 -3498 -3424 -3266 -3284 -3392 -3464 -3452 -3390 -3299 -3248 -3192 -3170 -3227 -3284 -3291 -3188 -3098 -3185 -3399 -3527 -3384 -3125 -3020 -3185 -3363 -3389 -3179 -3060 -3098 -3294 -3352 -3231 -3067 -3050 -3231 -3371 -3347 -3227 -3110 -3121 -3193 -3300 -3409 -3433 -3369 -3301 -3215 -3270 -3432 -3469 -3320 -3093 -3029 -3179 -3366 -3342 -3164 -3025 -3151 -3376 -3443 -3329 -3124 -3128 -3298 -3417 -3352 -3208 -3095 -3152 -3264 -3278 -3227 -3146 -3082 -3037 -3016 -3050 -3134 -3212 -3047 -2899 -2906 -3094 -3321 -3320 -3170 -3028 -3161 -3370 -3458 -3276 -3079 -3080 -3261 -3431 -3310 -3105 -3026 -3175 -3344 -3398 -3356 -3284 -3251 -3246 -3317 -3342 -3421 -3451 -3373 -3276 -3258 -3448 -3580 -3557 -3348 -3207 -3274 -3415 -3396 -3199 -2968 -3006 -3245 -3438 -3345 -3198 -3091 -3219 -3308 -3306 -3162 -3013 -2974 -3059 -3076 -3049 -3073 -3052 -2986 -2937 -3007 -3152 -3268 -3231 -3016 -2855 -2926 -3143 -3259 -3152 -3006 -3083 -3332 -3461 -3359 -3078 -2982 -3106 -3315 -3354 -3220 -3071 -3119 -3267 -3362 -3379 -3376 -3361 -3342 -3396 -3431 -3515 -3563 -3511 -3340 -3223 -3316 -3502 -3532 -3354 -3148 -3142 -3307 -3394 -3268 -3056 -3023 -3185 -3423 -3427 -3220 -3063 -3081 -3236 -3321 -3255 -3127 -3078 -3113 -3153 -3221 -3234 -3207 -3144 -2976 -2915 -2998 -3170 -3256 -3102 -2952 -3028 -3229 -3351 -3275 -3106 -3075 -3278 -3476 -3397 -3116 -2915 -3004 -3277 -3441 -3329 -3251 -3187 -3300 -3373 -3330 -3268 -3247 -3262 -3244 -3206 -3243 -3369 -3429 -3317 -3179 -3137 -3293 -3397 -3280 -3061 -3008 -3164 -3341 -3304 -3101 -2974 -3145 -3428 -3553 -3380 -3186 -3102 -3173 -3276 -3290 -3208 -3175 -3168 -3190 -3166 -3229 -3288 -3293 -3195 -3085 -3107 -3240 -3361 -3270 -3119 -3151 -3373 -3615 -3564 -3334 -3215 -3319 -3508 -3582 -3360 -3096 -3042 -3193 -3325 -3318 -3207 -3135 -3186 -3255 -3273 -3222 -3262 -3281 -3191 -3117 -3108 -3248 -3316 -3263 -3082 -3006 -3140 -3334 -3298 -3031 -2913 -2998 -3232 -3300 -3113 -2873 -2913 -3124 -3331 -3283 -3168 -3085 -3138 -3285 -3338 -3346 -3304 -3299 -3285 -3197 -3216 -3279 -3380 -3324 -3277 -3283 -3415 -3566 -3525 -3336 -3267 -3383 -3584 -3629 -3397 -3193 -3199 -3418 -3556 -3495 -3265 -3191 -3269 -3394 -3405 -3290 -3200 -3154 -3161 -3199 -3217 -3276 -3346 -3292 -3145 -3085 -3152 -3252 -3203 -3016 -2883 -3013 -3220 -3243 -3004 -2819 -2836 -3066 -3266 -3192 -2961 -2906 -3060 -3284 -3345 -3248 -3158 -3213 -3302 -3314 -3271 -3279 -3316 -3285 -3234 -3213 -3261 -3313 -3349 -3289 -3267 -3365 -3502 -3511 -3340 -3160 -3266 -3515 -3690 -3607 -3364 -3256 -3338 -3527 -3507 -3353 -3181 -3189 -3268 -3348 -3317 -3298 -3269 -3261 -3242 -3230 -3279 -3357 -3301 -3227 -3150 -3158 -3274 -3253 -3139 -3019 -3105 -3350 -3457 -3310 -3016 -2874 -3029 -3249 -3301 -3190 -3097 -3195 -3355 -3451 -3378 -3307 -3275 -3305 -3323 -3242 -3206 -3220 -3228 -3180 -3191 -3253 -3390 -3430 -3309 -3209 -3256 -3411 -3486 -3369 -3108 -3064 -3245 -3504 -3571 -3377 -3207 -3218 -3323 -3396 -3256 -3103 -3044 -3089 -3146 -3182 -3167 -3196 -3253 -3258 -3228 -3283 -3361 -3364 -3260 -3178 -3204 -3359 -3403 -3334 -3123 -3093 -3290 -3488 -3471 -3250 -3064 -3095 -3307 -3405 -3340 -3240 -3225 -3355 -3457 -3444 -3336 -3313 -3333 -3358 -3348 -3342 -3367 -3317 -3236 -3144 -3143 -3291 -3361 -3253 -3067 -3047 -3186 -3359 -3334 -3156 -3000 -3083 -3311 -3427 -3283 -3051 -2983 -3028 -3176 -3143 -3110 -3045 -3089 -3132 -3175 -3128 -3148 -3172 -3186 -3195 -3220 -3295 -3315 -3253 -3185 -3203 -3371 -3531 -3506 -3270 -3118 -3200 -3419 -3522 -3378 -3201 -3180 -3332 -3522 -3528 -3451 -3370 -3374 -3516 -3468 -3446 -3406 -3373 -3397 -3362 -3361 -3387 -3403 -3315 -3221 -3187 -3279 -3424 -3326 -3108 -2958 -3040 -3269 -3335 -3193 -3020 -2990 -3144 -3320 -3269 -3112 -2954 -2963 -3084 -3120 -3059 -3007 -3030 -3074 -3108 -3123 -3097 -3109 -3126 -3130 -3183 -3277 -3333 -3256 -3074 -2993 -3091 -3341 -3450 -3365 -3202 -3154 -3306 -3456 -3437 -3279 -3195 -3246 -3432 -3490 -3422 -3282 -3295 -3359 -3428 -3427 -3414 -3399 -3331 -3277 -3273 -3240 -3256 -3143 -3047 -3015 -3182 -3395 -3439 -3333 -3148 -3122 -3279 -3439 -3353 -3162 -3056 -3102 -3273 -3294 -3174 -3043 -3060 -3190 -3262 -3241 -3129 -3060 -3091 -3125 -3150 -3206 -3249 -3219 -3181 -3235 -3370 -3516 -3517 -3338 -3125 -3099 -3238 -3393 -3348 -3195 -3072 -3157 -3338 -3410 -3271 -3109 -3077 -3228 -3366 -3346 -3233 -3120 -3172 -3249 -3281 -3301 -3286 -3220 -3172 -3199 -3225 -3236 -3156 -3025 -2960 -3069 -3274 -3380 -3342 -3138 -3044 -3158 -3327 -3336 -3245 -3097 -3134 -3289 -3389 -3350 -3195 -3120 -3215 -3346 -3396 -3330 -3266 -3235 -3249 -3316 -3406 -3481 -3444 -3367 -3299 -3337 -3485 -3521 -3406 -3236 -3118 -3242 -3432 -3461 -3321 -3126 -3109 -3256 -3345 -3281 -3131 -3043 -3111 -3276 -3309 -3256 -3150 -3136 -3249 -3299 -3284 -3167 -3040 -2955 -2964 -3051 -3140 -3178 -3113 -2997 -2998 -3139 -3330 -3365 -3207 -3025 -3033 -3239 -3357 -3358 -3248 -3251 -3351 -3564 -3569 -3458 -3304 -3279 -3366 -3419 -3453 -3338 -3265 -3246 -3299 -3458 -3567 -3598 -3536 -3384 -3348 -3455 -3533 -3480 -3321 -3208 -3246 -3411 -3537 -3425 -3224 -3194 -3254 -3377 -3379 -3237 -3060 -3079 -3184 -3290 -3208 -3094
+-396 -602 -516 -219 -151 -360 -718 -880 -669 -440 -481 -749 -909 -874 -678 -642 -660 -835 -1028 -1083 -1081 -1035 -979 -993 -1151 -1217 -1132 -935 -749 -803 -988 -1052 -852 -642 -632 -862 -1190 -1263 -1066 -879 -985 -1179 -1344 -1274 -1092 -1043 -1161 -1381 -1582 -1603 -1580 -1540 -1501 -1547 -1703 -1810 -1775 -1569 -1459 -1627 -1923 -2044 -1933 -1755 -1823 -2172 -2508 -2469 -2187 -1963 -2082 -2384 -2509 -2363 -2170 -2148 -2358 -2570 -2623 -2481 -2354 -2303 -2301 -2323 -2358 -2347 -2250 -2159 -2131 -2250 -2430 -2507 -2394 -2186 -2224 -2479 -2714 -2614 -2336 -2130 -2223 -2569 -2782 -2672 -2424 -2377 -2605 -2826 -2887 -2722 -2560 -2543 -2660 -2807 -2927 -2948 -2915 -2891 -2957 -3195 -3392 -3400 -3269 -3170 -3258 -3499 -3614 -3469 -3184 -3119 -3333 -3639 -3748 -3533 -3351 -3356 -3537 -3672 -3583 -3358 -3246 -3236 -3342 -3470 -3502 -3496 -3464 -3457 -3454 -3549 -3695 -3646 -3407 -3207 -3242 -3426 -3572 -3401 -3142 -3081 -3362 -3760 -3884 -3663 -3426 -3471 -3718 -3901 -3797 -3524 -3427 -3556 -3850 -3985 -4034 -3980 -3950 -4032 -4135 -4239 -4258 -4173 -4077 -4024 -4090 -4283 -4348 -4232 -4116 -4144 -4417 -4632 -4598 -4339 -4054 -4111 -4320 -4409 -4266 -4007 -3916 -4087 -4316 -4428 -4369 -4234 -4148 -4142 -4256 -4303 -4294 -4193 -4114 -4051 -4177 -4331 -4363 -4193 -3998 -4016 -4258 -4496 -4420 -4147 -3954 -4104 -4437 -4605 -4472 -4284 -4307 -4611 -4890 -4933 -4789 -4662 -4686 -4802 -4905 -4874 -4826 -4772 -4685 -4706 -4781 -4899 -4921 -4819 -4727 -4802 -5013 -5161 -5039 -4785 -4596 -4769 -5057 -5171 -5011 -4737 -4700 -4902 -5113 -5085 -4822 -4582 -4517 -4584 -4687 -4666 -4576 -4445 -4444 -4575 -4698 -4798 -4828 -4761 -4673 -4746 -4910 -5021 -4859 -4650 -4610 -4826 -5163 -5278 -5098 -4934 -4945 -5156 -5346 -5237 -5021 -4875 -4943 -5185 -5313 -5274 -5208 -5214 -5322 -5451 -5500 -5566 -5499 -5334 -5254 -5306 -5436 -5433 -5309 -5095 -5139 -5352 -5597 -5581 -5309 -5026 -5020 -5226 -5342 -5176 -4848 -4716 -4878 -5139 -5233 -5173 -5068 -5058 -5148 -5243 -5286 -5264 -5160 -5060 -5052 -5094 -5210 -5241 -5181 -5079 -5133 -5410 -5620 -5560 -5305 -5056 -5157 -5439 -5615 -5467 -5254 -5241 -5538 -5858 -5915 -5777 -5618 -5660 -5785 -5881 -5850 -5750 -5577 -5540 -5512 -5598 -5642 -5621 -5536 -5468 -5485 -5658 -5760 -5678 -5392 -5175 -5285 -5573 -5697 -5531 -5291 -5233 -5457 -5747 -5734 -5543 -5319 -5293 -5408 -5432 -5395 -5283 -5179 -5208 -5319 -5474 -5561 -5584 -5526 -5486 -5603 -5761 -5871 -5730 -5478 -5414 -5651 -5980 -6056 -5868 -5629 -5717 -6006 -6204 -6110 -5795 -5635 -5701 -5933 -6063 -5984 -5842 -5819 -5874 -5949 -5999 -5961 -5935 -5861 -5732 -5734 -5814 -5839 -5729 -5492 -5439 -5646 -5898 -5954 -5732 -5434 -5422 -5708 -5906 -5839 -5526 -5293 -5434 -5649 -5783 -5688 -5498 -5436 -5606 -5746 -5828 -5744 -5666 -5640 -5693 -5825 -5893 -5878 -5785 -5760 -5891 -6174 -6413 -6395 -6161 -5998 -6041 -6260 -6344 -6155 -5799 -5670 -5810 -6106 -6214 -6124 -5946 -5989 -6121 -6204 -6220 -6100 -5999 -5916 -5891 -5964 -6009 -5983 -5922 -5843 -5856 -6027 -6185 -6058 -5724 -5436 -5422 -5679 -5797 -5646 -5354 -5301 -5603 -5975 -6082 -5917 -5723 -5781 -6010 -6118 -6057 -5854 -5752 -5818 -5943 -6021 -6081 -6080 -6048 -6070 -6137 -6212 -6287 -6190 -5977 -5877 -5992 -6277 -6411 -6315 -6112 -6086 -6301 -6545 -6600 -6348 -6152 -6131 -6224 -6358 -6257 -6074 -5941 -5975 -6096 -6161 -6107 -6029 -5931 -5857 -5908 -5975 -5946 -5796 -5579 -5559 -5767 -6057 -6052 -5837 -5628 -5740 -6025 -6252 -6148 -5850 -5775 -5869 -6085 -6125 -5964 -5798 -5803 -5974 -6139 -6172 -6122 -6099 -6082 -6138 -6159 -6232 -6257 -6202 -6107 -6137 -6329 -6604 -6654 -6468 -6253 -6246 -6458 -6644 -6504 -6169 -5910 -5993 -6160 -6295 -6136 -5913 -5882 -6082 -6241 -6279 -6099 -5921 -5898 -5953 -6034 -6028 -5970 -5863 -5843 -5964 -6119 -6233 -6152 -5934 -5751 -5815 -5991 -6058 -5900 -5659 -5622 -5838 -6147 -6279 -6161 -6061 -6106 -6297 -6438 -6403 -6286 -6144 -6157 -6221 -6324 -6359 -6359 -6330 -6328 -6364 -6518 -6595 -6479 -6237 -6063 -6176 -6425 -6502 -6316 -6052 -5989 -6243 -6518 -6528 -6274 -6020 -6060 -6228 -6304 -6144 -5911 -5800 -5892 -6041 -6097 -6023 -5958 -5940 -6007 -6142 -6209 -6210 -5999 -5791 -5765 -5870 -6097 -6159 -6023 -5807 -5857 -6134 -6402 -6398 -6191 -6043 -6115 -6348 -6479 -6428 -6185 -6151 -6333 -6544 -6660 -6598 -6501 -6473 -6481 -6546 -6512 -6419 -6257 -6054 -6081 -6260 -6464 -6467 -6287 -6143 -6207 -6455 -6617 -6485 -6169 -5989 -6087 -6331 -6332 -6150 -5921 -5885 -6073 -6240 -6234 -6038 -5884 -5833 -5887 -5951 -5977 -5944 -5860 -5835 -5906 -6171 -6378 -6346 -6164 -6031 -6132 -6371 -6511 -6369 -6097 -5962 -6200 -6461 -6549 -6419 -6230 -6265 -6488 -6627 -6583 -6401 -6240 -6268 -6404 -6521 -6502 -6480 -6418 -6488 -6534 -6614 -6682 -6529 -6318 -6175 -6256 -6475 -6562 -6342 -6018 -5917 -6156 -6355 -6427 -6219 -5939 -6016 -6220 -6320 -6170 -5920 -5757 -5889 -6059 -6175 -6146 -6034 -6053 -6138 -6263 -6404 -6444 -6315 -6165 -6132 -6238 -6414 -6424 -6248 -6009 -6049 -6336 -6597 -6603 -6438 -6263 -6387 -6648 -6677 -6532 -6361 -6282 -6413 -6625 -6725 -6707 -6616 -6607 -6680 -6736 -6737 -6595 -6385 -6173 -6114 -6204 -6351 -6351 -6104 -5926 -5979 -6304 -6551 -6464 -6213 -6013 -6105 -6352 -6414 -6218 -5951 -5955 -6189 -6410 -6466 -6258 -6116 -6119 -6209 -6282 -6216 -6140 -6037 -6016 -6079 -6301 -6469 -6509 -6443 -6349 -6442 -6693 -6863 -6751 -6464 -6326 -6433 -6675 -6757 -6576 -6379 -6352 -6559 -6743 -6709 -6499 -6350 -6308 -6460 -6555 -6492 -6315 -6207 -6210 -6312 -6477 -6536 -6396 -6145 -6051 -6166 -6363 -6446 -6237 -5939 -5835 -6019 -6269 -6268 -6118 -5919 -6008 -6260 -6413 -6324 -6090 -6019 -6059 -6240 -6281 -6228 -6178 -6168 -6272 -6419 -6516 -6561 -6532 -6414 -6372 -6492 -6654 -6723 -6553 -6325 -6315 -6589 -6876 -6886 -6631 -6403 -6443 -6721 -6784 -6575 -6246 -6124 -6309 -6532 -6587 -6458 -6310 -6350 -6472 -6623 -6631 -6484 -6317 -6172 -6123 -6221 -6283 -6257 -6054 -5903 -5932 -6175 -6367 -6313 -6065 -5929 -5998 -6216 -6278 -6196 -5976 -5978 -6173 -6433 -6505 -6430 -6317 -6335 -6464 -6547 -6587 -6485 -6356 -6288 -6338 -6518 -6632 -6590 -6408 -6267 -6429 -6746 -6921 -6800 -6498 -6323 -6451 -6702 -6742 -6489 -6246 -6281 -6518 -6737 -6679 -6401 -6269 -6329 -6474 -6501 -6390 -6234 -6133 -6084 -6152 -6224 -6266 -6233 -6110 -6018 -6135 -6279 -6396 -6299 -6062 -5880 -5976 -6222 -6352 -6231 -6071 -6149 -6445 -6680 -6650 -6443 -6289 -6363 -6543 -6617 -6513 -6291 -6218 -6297 -6482 -6621 -6636 -6526 -6433 -6442 -6639 -6837 -6851 -6612 -6359 -6324 -6592 -6820 -6837 -6664 -6433 -6496 -6707 -6724 -6467 -6140 -5998 -6082 -6243 -6221 -6099 -5993 -6010 -6173 -6287 -6366 -6277 -6227 -6147 -6099 -6190 -6337 -6371 -6231 -6036 -6053 -6269 -6549 -6519 -6247 -6027 -6100 -6311 -6457 -6283 -5985 -5963 -6281 -6598 -6659 -6536 -6406 -6520 -6712 -6929 -6866 -6711 -6553 -6486 -6565 -6612 -6676 -6598 -6435 -6332 -6366 -6570 -6727 -6647 -6409 -6205 -6255 -6488 -6542 -6369 -6110 -6097 -6301 -6490 -6499 -6307 -6123 -6192 -6339 -6392 -6272 -6100 -5980 -6006 -6015 -6145 -6170 -6120 -6053 -6028 -6181 -6442 -6545 -6418 -6158 -6063 -6211 -6435 -6516 -6306 -6138 -6216 -6575 -6800 -6743 -6534 -6405 -6552 -6755 -6807 -6634 -6428 -6360 -6466 -6568 -6630 -6579 -6538 -6452 -6453 -6524 -6620 -6657 -6481 -6257 -6142 -6273 -6435 -6514 -6341 -6147 -6191 -6412 -6590 -6433 -6120 -5974 -6036 -6291 -6283 -6069 -5821 -5830 -5983 -6169 -6223 -6134 -6094 -6066 -6186 -6291 -6411 -6350 -6198 -6082 -6106 -6307 -6554 -6565 -6409 -6252 -6323 -6591 -6753 -6587 -6318 -6202 -6451 -6644 -6678 -6529 -6321 -6412 -6593 -6797 -6729 -6597 -6471 -6436 -6503 -6543 -6527 -6434 -6289 -6133 -6170 -6364 -6567 -6533 -6280 -6138 -6175 -6363 -6387 -6206 -5891 -5871 -6091 -6323 -6319 -6035 -5915 -5984 -6252 -6392 -6279 -6101 -5964 -5971 -6070 -6197 -6251 -6244 -6223 -6216 -6437 -6660 -6841 -6686 -6450 -6291 -6339 -6519 -6619 -6399 -6126 -6165 -6486 -6729 -6719 -6485 -6332 -6443 -6733 -6872 -6660 -6401 -6325 -6468 -6649 -6662 -6597 -6460 -6401 -6415 -6444 -6498 -6413 -6242 -5995 -5889 -5955 -6124 -6148 -6012 -5898 -5979 -6289 -6447 -6422 -6192 -6036 -6209 -6506 -6576 -6396 -6126 -6014 -6176 -6375 -6394 -6327 -6198 -6139 -6227 -6396 -6500 -6484 -6343 -6199 -6222 -6475 -6701 -6737 -6549 -6441 -6557 -6831 -7014 -6812 -6545 -6437 -6555 -6753 -6668 -6384 -6102 -6087 -6348 -6513 -6464 -6331 -6243 -6285 -6358 -6434 -6421 -6309 -6173 -6015 -6037 -6179 -6335 -6382 -6178 -6007 -6076 -6290 -6431 -6277 -5955 -5778 -5995 -6286 -6361 -6109 -5851 -6003 -6349 -6631 -6585 -6346 -6233 -6278 -6460 -6554 -6520 -6406 -6346 -6369 -6529 -6729 -6864 -6801 -6648 -6469 -6567 -6706 -6702 -6536 -6317 -6305 -6533 -6724 -6671 -6436 -6293 -6393 -6623 -6720 -6539 -6283 -6123 -6202 -6294 -6343 -6293 -6236 -6209 -6203 -6297 -6443 -6454 -6281 -6046 -5919 -5955 -6179 -6257 -6064 -5849 -5906 -6199 -6484 -6458 -6200 -6006 -6250 -6634 -6706 -6489 -6140 -6100 -6327 -6591 -6602 -6472 -6368 -6454 -6598 -6692 -6715 -6634 -6566 -6448 -6420 -6479 -6661 -6705 -6590 -6405 -6502 -6678 -6837 -6761 -6464 -6363 -6428 -6619 -6683 -6367 -6057 -6010 -6206 -6420 -6492 -6343 -6186 -6190 -6286 -6363 -6390 -6257 -6087 -5933 -5932 -6135 -6260 -6278 -6100 -6006 -6091 -6390 -6507 -6390 -6127 -6054 -6308 -6550 -6556 -6335 -6129 -6243 -6593 -6835 -6778 -6543 -6465 -6557 -6661 -6645 -6533 -6420 -6428 -6417 -6473 -6572 -6654 -6660 -6576 -6455 -6492 -6711 -6815 -6666 -6422 -6310 -6486 -6734 -6688 -6387 -6050 -6064 -6377 -6514 -6419 -6085 -5878 -5936 -6153 -6216 -6145 -6017 -5968 -6149 -6294 -6416 -6452 -6361 -6285 -6273 -6321 -6478 -6470 -6341 -6163 -6168 -6348 -6514 -6462 -6231 -6141 -6334 -6632 -6776 -6659 -6418 -6356 -6530 -6722 -6815 -6726 -6581 -6626 -6764 -6906 -6924 -6836 -6732 -6581 -6473 -6494 -6534 -6485 -6310 -6133 -6147 -6393 -6582 -6511 -6282 -6148 -6321 -6556 -6615 -6328 -5967 -5909 -6121 -6347 -6375 -6225 -6088 -6168 -6364 -6413 -6359 -6225 -6056 -6038 -6051 -6136 -6253 -6262 -6229 -6207 -6309 -6543 -6682 -6639 -6465 -6378 -6492 -6740 -6745 -6539 -6314 -6325 -6638 -6948 -6959 -6698 -6546 -6613 -6748 -6808 -6690 -6466 -6327 -6324 -6440 -6517 -6560 -6468 -6344 -6329 -6395 -6561 -6602 -6438 -6200 -6141 -6317 -6464 -6441 -6190 -5939 -5964 -6280 -6488 -6406 -6125 -5956 -6071 -6174 -6261 -6120 -5942 -5951 -6098 -6259 -6374 -6376 -6372 -6341 -6368 -6522 -6656 -6705 -6572 -6390 -6387 -6541 -6750 -6644 -6411 -6231 -6399 -6738 -6936 -6751 -6515 -6348 -6519 -6735 -6772 -6607 -6416 -6424 -6562 -6681 -6686 -6634 -6484 -6406 -6404 -6411 -6448 -6393 -6242 -6056 -5992 -6174 -6331 -6298 -6080 -5992 -6140 -6405 -6506 -6323 -5937 -5856 -6020 -6296 -6321 -6142 -6018 -6098 -6369 -6523 -6517 -6357 -6263 -6324 -6377 -6486 -6538 -6475 -6395 -6345 -6438 -6669 -6811 -6757 -6549 -6450 -6607 -6788 -6773 -6515 -6241 -6182 -6455 -6680 -6690 -6493 -6379 -6473 -6638 -6742 -6604 -6457 -6317 -6289 -6285 -6348 -6327 -6216 -6104 -5999 -6105 -6269 -6311 -6180 -5975 -5918 -6070 -6283 -6238 -6017 -5804 -5900 -6266 -6569 -6545 -6298 -6177 -6351 -6627 -6644 -6440 -6185 -6123 -6247 -6336 -6429 -6374 -6346 -6356 -6436 -6594 -6733 -6790 -6694 -6555 -6491 -6611 -6783 -6756 -6572 -6372 -6472 -6758 -6945 -6856 -6508 -6333 -6375 -6520 -6542 -6342 -6054 -5981 -6154 -6319 -6354 -6268 -6209 -6188 -6266 -6381 -6419 -6328 -6146 -6007 -6054 -6227 -6332 -6250 -6072 -5988 -6162 -6423 -6537 -6366 -6044 -5964 -6188 -6412 -6442 -6259 -6120 -6233 -6483 -6632 -6661 -6574 -6523 -6516 -6560 -6657 -6726 -6707 -6604 -6493 -6547 -6695 -6851 -6769 -6569 -6364 -6500 -6722 -6787 -6508 -6170 -6070 -6290 -6554 -6551 -6321 -6145 -6196 -6455 -6611 -6454 -6231 -6144 -6265 -6356 -6389 -6282 -6134 -6052 -6031 -6149 -6272 -6291 -6195 -6072 -6076 -6216 -6374 -6385 -6188 -5967 -6016 -6333 -6611 -6664 -6516 -6368 -6516 -6730 -6893 -6804 -6567 -6460 -6468 -6577 -6637 -6579 -6502 -6401 -6455 -6649 -6804 -6829 -6668 -6512 -6426 -6572 -6664 -6636 -6390 -6163 -6246 -6577 -6819 -6641 -6404 -6198 -6332 -6509 -6510 -6258 -5955 -5938 -6063 -6226 -6201 -6103 -6054 -6120 -6250 -6366 -6413 -6354 -6248 -6106 -6087 -6175 -6313 -6313 -6184 -6111 -6234 -6532 -6794 -6674 -6362 -6214 -6387 -6633 -6733 -6544 -6306 -6261 -6488
+304 331 133 -121 -278 -203 -68 -29 -85 -122 -45 30 -22 -166 -200 -8 181 297 268 333 422 468 442 307 286 338 345 254 151 132 200 238 146 -38 -135 -86 67 120 159 205 315 395 323 171 131 298 491 626 602 584 591 566 403 164 95 183 344 420 353 258 289 297 250 192 261 540 757 852 672 510 520 598 562 519 547 697 847 875 684 469 445 464 462 386 367 460 559 564 462 417 514 666 748 626 673 817 936 911 806 642 637 657 668 611 578 658 853 871 739 579 521 547 584 564 654 833 1019 1014 928 807 826 936 939 993 1047 1205 1271 1093 783 555 530 761 898 907 906 977 996 980 793 685 774 963 1083 1125 1088 1100 1064 995 834 793 876 1003 1006 941 855 878 944 852 752 645 792 996 1083 1075 976 1056 1158 1182 1108 942 957 1084 1190 1131 1062 1046 1075 1035 928 854 938 1125 1275 1263 1246 1244 1316 1233 1129 1088 1181 1463 1562 1451 1215 1044 1007 925 896 913 999 1181 1270 1172 1036 891 1024 1084 1176 1238 1334 1418 1455 1270 1111 1042 1129 1181 1139 1076 1088 1146 1091 928 749 760 926 1048 1069 1077 1222 1417 1507 1439 1333 1324 1419 1448 1382 1286 1289 1391 1341 1209 1032 998 1111 1173 1079 1099 1210 1349 1379 1231 1022 1034 1262 1454 1559 1553 1631 1673 1626 1418 1108 1058 1196 1287 1346 1209 1120 1046 1020 958 891 950 1196 1387 1423 1382 1332 1397 1343 1315 1244 1356 1530 1626 1568 1397 1293 1271 1300 1267 1205 1186 1209 1291 1287 1188 1200 1189 1300 1304 1308 1409 1498 1591 1559 1539 1518 1519 1453 1332 1231 1262 1371 1485 1428 1274 1125 1137 1112 1054 1011 1130 1373 1527 1471 1301 1183 1173 1298 1371 1400 1498 1635 1685 1577 1277 1153 1164 1269 1301 1240 1326 1398 1421 1289 1078 967 1141 1421 1533 1544 1547 1589 1708 1619 1529 1442 1477 1626 1677 1564 1489 1424 1382 1337 1249 1161 1198 1285 1353 1366 1397 1459 1437 1439 1330 1293 1394 1473 1527 1548 1594 1612 1619 1403 1208 1061 1131 1282 1386 1378 1326 1308 1304 1249 1193 1271 1466 1672 1753 1720 1660 1650 1547 1422 1313 1331 1533 1722 1738 1536 1433 1390 1424 1415 1380 1458 1586 1747 1755 1601 1469 1512 1609 1701 1706 1735 1757 1766 1703 1582 1403 1356 1377 1348 1348 1376 1451 1583 1617 1563 1535 1564 1617 1630 1590 1488 1612 1723 1740 1635 1420 1321 1316 1395 1455 1432 1545 1588 1536 1432 1372 1365 1416 1554 1689 1814 1926 1975 1834 1684 1521 1606 1683 1801 1767 1762 1713 1674 1530 1348 1249 1364 1588 1719 1761 1713 1686 1669 1637 1602 1645 1716 1832 1908 1878 1702 1738 1611 1577 1444 1386 1497 1544 1612 1521 1428 1482 1549 1555 1464 1424 1476 1637 1780 1820 1772 1716 1762 1740 1654 1617 1635 1718 1769 1756 1669 1630 1515 1446 1356 1390 1523 1696 1801 1838 1748 1678 1673 1641 1624 1740 1898 2035 2068 1876 1707 1497 1550 1614 1669 1692 1752 1735 1672 1511 1391 1298 1361 1409 1460 1556 1691 1781 1757 1739 1723 1713 1813 1821 1810 1804 1765 1764 1718 1572 1498 1462 1505 1559 1619 1619 1616 1728 1705 1689 1583 1630 1656 1758 1820 1949 2065 2139 2102 1937 1758 1686 1732 1720 1727 1691 1715 1659 1550 1350 1237 1243 1499 1766 1900 1921 1886 1808 1719 1599 1580 1688 1808 1923 1912 1827 1711 1571 1479 1400 1410 1426 1526 1605 1598 1556 1514 1517 1585 1564 1645 1745 1890 1964 2013 1928 1838 1719 1725 1744 1663 1672 1736 1744 1737 1666 1646 1613 1514 1551 1649 1721 1818 1925 1879 1833 1850 1771 1722 1658 1647 1768 1901 1887 1772 1565 1469 1421 1419 1465 1578 1746 1854 1791 1699 1581 1500 1487 1574 1700 1783 1870 1899 1828 1614 1502 1495 1542 1610 1674 1678 1694 1689 1672 1603 1522 1553 1694 1854 1952 1966 1896 1836 1781 1778 1721 1661 1666 1658 1712 1730 1712 1683 1623 1572 1546 1598 1614 1660 1710 1782 1839 1843 1754 1701 1637 1612 1655 1829 1885 1886 1865 1714 1519 1382 1348 1376 1448 1564 1657 1726 1727 1628 1565 1573 1634 1798 1943 2009 1968 1884 1859 1716 1638 1614 1643 1760 1880 1926 1826 1691 1498 1454 1473 1528 1569 1632 1723 1786 1790 1758 1820 1797 1858 1867 1864 1865 1912 1869 1780 1710 1652 1545 1475 1471 1486 1515 1604 1648 1631 1592 1496 1431 1458 1563 1720 1851 1970 2026 1982 1913 1815 1719 1693 1711 1847 1918 1909 1749 1574 1476 1484 1437 1499 1551 1728 1871 1901 1816 1724 1760 1773 1916 2092 2189 2283 2199 2102 1845 1711 1603 1624 1698 1680 1617 1641 1598 1567 1445 1408 1434 1565 1675 1759 1807 1874 1878 1944 1916 1813 1759 1722 1786 1808 1833 1724 1662 1576 1465 1364 1293 1346 1429 1537 1656 1834 1872 1823 1774 1677 1741 1861 2028 2028 2031 2006 1900 1774 1607 1484 1575 1698 1832 1858 1836 1725 1641 1500 1482 1536 1677 1866 1976 1974 1890 1796 1789 1744 1720 1717 1788 1863 1843 1698 1542 1430 1471 1488 1488 1544 1572 1750 1833 1853 1751 1694 1700 1722 1709 1679 1692 1758 1773 1762 1694 1613 1631 1658 1624 1600 1654 1804 1851 1805 1723 1606 1630 1697 1747 1779 1884 1962 2025 1913 1756 1585 1550 1599 1673 1743 1812 1847 1796 1699 1516 1513 1561 1721 1820 1898 1888 1867 1801 1633 1544 1526 1679 1833 1834 1795 1724 1687 1623 1564 1467 1462 1538 1746 1795 1748 1735 1746 1734 1733 1674 1757 1801 1916 1917 1910 1894 1921 1908 1836 1699 1678 1729 1813 1788 1728 1741 1699 1764 1639 1512 1548 1684 1873 2052 2040 1949 1915 1835 1755 1672 1696 1822 1986 1993 1845 1705 1618 1526 1468 1459 1567 1695 1805 1760 1603 1473 1507 1665 1713 1829 1898 2071 2172 2107 1933 1823 1741 1763 1793 1729 1736 1775 1765 1662 1536 1532 1563 1708 1736 1771 1837 1957 2011 1994 1927 1873 1972 2001 2018 1888 1919 1989 2017 1881 1660 1455 1414 1423 1460 1470 1524 1632 1666 1650 1573 1522 1597 1804 1919 1992 2056 2067 2014 1786 1550 1446 1507 1710 1790 1698 1625 1626 1606 1489 1319 1288 1459 1728 1916 1924 1919 1882 1903 1878 1836 1876 2013 2121 2119 1957 1777 1726 1707 1617 1528 1527 1632 1781 1815 1726 1673 1697 1833 1852 1794 1688 1797 2005 2084 2018 1925 1833 1756 1685 1641 1575 1674 1723 1770 1676 1559 1547 1568 1539 1477 1569 1829 1949 1990 1832 1687 1646 1685 1697 1701 1740 1877 2047 1953 1729 1526 1465 1541 1657 1681 1724 1803 1888 1805 1653 1504 1593 1780 1875 1856 1810 1839 1869 1775 1612 1510 1608 1770 1867 1782 1654 1655 1763 1803 1632 1530 1468 1603 1728 1723 1631 1654 1755 1776 1679 1598 1572 1678 1799 1799 1750 1724 1736 1642 1513 1419 1476 1691 1800 1883 1842 1851 1848 1761 1631 1495 1570 1778 1921 1883 1820 1696 1692 1629 1576 1548 1686 1853 1883 1830 1660 1525 1547 1523 1509 1585 1723 1936 1952 1805 1646 1619 1725 1769 1683 1551 1615 1718 1730 1607 1426 1424 1449 1553 1514 1432 1512 1714 1825 1726 1662 1654 1769 1806 1741 1690 1776 1992 2079 1989 1756 1585 1578 1680 1559 1472 1533 1741 1844 1738 1553 1460 1501 1744 1873 1949 2047 2109 2128 1991 1745 1684 1747 1861 1913 1838 1802 1714 1619 1368 1226 1217 1445 1609 1675 1637 1617 1735 1752 1728 1661 1725 1933 2048 2051 1902 1790 1743 1765 1612 1491 1475 1585 1691 1624 1534 1455 1524 1564 1517 1490 1552 1837 2043 2013 2001 2059 2095 2168 1908 1701 1645 1767 1932 1990 1816 1617 1564 1558 1518 1422 1499 1679 1919 1942 1785 1596 1633 1689 1718 1781 1903 2065 2202 2067 1836 1641 1585 1617 1668 1599 1600 1702 1760 1671 1485 1389 1460 1652 1801 1824 1834 1913 1981 1926 1775 1659 1720 1858 1890 1852 1837 1867 1890 1828 1697 1650 1672 1787 1729 1623 1610 1699 1844 1827 1712 1581 1619 1798 1843 1844 1858 1937 2003 1870 1702 1519 1560 1732 1794 1756 1781 1803 1793 1662 1498 1423 1566 1784 1892 1823 1712 1730 1776 1688 1593 1567 1754 2021 2070 1924 1692 1662 1712 1725 1584 1596 1723 1895 1992 1912 1731 1712 1791 1778 1782 1768 1931 2059 2022 1846 1755 1763 1885 1828 1722 1631 1705 1802 1870 1781 1656 1716 1704 1738 1659 1633 1744 1964 2015 1922 1761 1640 1679 1648 1595 1662 1709 1834 1799 1678 1504 1442 1454 1535 1560 1623 1849 2017 1971 1839 1642 1656 1805 1952 2005 1996 2007 2049 1943 1662 1486 1469 1604 1700 1699 1679 1767 1823 1800 1692 1653 1767 1989 2011 1967 1899 1936 1970 1965 1832 1684 1694 1812 1817 1747 1549 1537 1571 1561 1428 1282 1292 1489 1781 1815 1837 1858 1981 1990 1873 1781 1788 1909 2014 1914 1779 1691 1636 1605 1432 1339 1400 1657 1859 1867 1726 1651 1643 1659 1670 1709 1893 2127 2288 2244 2034 1875 1837 1809 1785 1764 1802 1869 1851 1696 1482 1419 1487 1561 1597 1626 1771 1872 1939 1815 1751 1762 1854 1978 1929 1832 1788 1927 1962 1803 1614 1516 1545 1637 1546 1525 1605 1713 1769 1705 1557 1526 1647 1791 1850 1852 1892 2106 2132 2028 1810 1640 1679 1828 1900 1833 1827 1914 1873 1725 1520 1405 1504 1738 1902 1887 1853 1833 1809 1757 1653 1679 1869 1971 1972 1903 1821 1729 1715 1643 1572 1568 1742 1836 1819 1653 1542 1572 1586 1573 1576 1577 1711 1799 1863 1907 1863 1922 1945 1844 1804 1777 1868 1901 1842 1711 1661 1752 1762 1674 1554 1606 1762 1980 1998 1911 1760 1764 1781 1739 1687 1725 1939 2132 2133 1944 1757 1668 1623 1615 1636 1640 1749 1838 1723 1542 1380 1441 1623 1700 1780 1819 1934 1976 1930 1697 1557 1506 1698 1745 1710 1693 1655 1667 1659 1554 1452 1578 1677 1776 1778 1820 1829 1913 1876 1790 1772 1811 1917 1921 1866 1838 1876 1906 1817 1623 1526 1528 1588 1650 1694 1765 1900 1982 1925 1751 1667 1731 1901 1962 2030 2022 1923 1831 1619 1477 1425 1511 1652 1726 1604 1553 1505 1514 1464 1403 1466 1652 1924 2055 1954 1776 1698 1704 1674 1679 1645 1745 1867 1805 1659 1518 1406 1420 1480 1463 1518 1632 1749 1763 1736 1692 1715 1846 1906 1903 1933 1945 1991 2005 1837 1721 1632 1595 1568 1522 1460 1486 1631 1719 1699 1587 1495 1502 1568 1645 1755 1898 1968 1960 1842 1689 1626 1667 1749 1791 1860 1900 1896 1753 1497 1312 1301 1414 1555 1674 1730 1815 1850 1765 1695 1630 1730 1890 2031 2066 2064 1980 1885 1787 1661 1591 1673 1760 1822 1789 1721 1665 1647 1601 1472 1441 1498 1642 1717 1726 1741 1749 1884 1928 1872 1773 1785 1837 1873 1872 1777 1727 1672 1570 1489 1422 1470 1528 1603 1692 1687 1665 1677 1601 1550 1604 1725 1887 2045 2058 1988 1934 1800 1791 1720 1737 1834 1882 1907 1848 1612 1491 1421 1452 1575 1723 1849 1878 1885 1831 1754 1759 1802 1839 1882 1934 1963 1920 1859 1750 1556 1547 1557 1609 1589 1564 1604 1636 1612 1598 1609 1588 1602 1664 1700 1755 1826 1881 1840 1780 1704 1624 1591 1603 1611 1698 1748 1788 1764 1610 1512 1501 1538 1697 1802 1900 1978 2057
+-68 -201 -150 -72 79 175 192 236 297 273 322 304 242 288 360 442 351 317 323 340 376 453 555 607 725 729 619 460 267 297 439 656 704 819 868 876 839 808 718 711 769 836 821 739 720 806 858 862 855 875 1021 1169 1244 1117 961 858 948 894 905 934 957 1061 1207 1308 1329 1283 1263 1171 1108 992 960 1008 1125 1167 1242 1304 1310 1311 1412 1507 1600 1632 1554 1482 1383 1328 1213 1146 1188 1316 1543 1696 1789 1740 1645 1565 1489 1432 1464 1578 1606 1608 1623 1609 1684 1804 1913 1907 1898 1874 1877 1948 1790 1600 1452 1413 1555 1726 1773 1826 1902 1953 2091 2009 1964 1972 1968 2001 1935 1878 1868 1931 2092 2121 2144 2041 2007 2055 2056 2011 2026 1910 1900 1871 1867 1927 2019 2176 2232 2347 2405 2409 2330 2276 2213 2111 2154 2217 2315 2415 2400 2309 2188 2129 2218 2306 2396 2328 2252 2240 2258 2294 2273 2209 2305 2465 2649 2721 2698 2592 2528 2502 2449 2355 2264 2391 2500 2568 2540 2439 2466 2481 2598 2639 2496 2431 2456 2527 2581 2551 2583 2637 2671 2725 2752 2828 2904 2890 2871 2709 2525 2497 2549 2502 2556 2618 2682 2860 2950 2955 2806 2714 2612 2641 2763 2849 2785 2740 2692 2791 2770 2874 2913 2960 3034 3119 3067 2843 2640 2537 2539 2635 2645 2741 2875 3068 3152 3184 3048 2951 2959 3058 3006 3026 2867 2809 2882 2915 2974 3025 3120 3190 3229 3178 3124 3053 3041 3030 2926 2785 2794 2933 3184 3316 3300 3264 3297 3374 3340 3224 3099 2993 3015 3110 3175 3090 3093 3125 3182 3258 3235 3268 3322 3444 3501 3376 3112 2971 2956 3043 3172 3316 3378 3437 3387 3370 3331 3169 3123 3107 3228 3284 3337 3299 3296 3305 3307 3348 3448 3478 3619 3589 3484 3303 3204 3252 3339 3353 3315 3246 3353 3546 3613 3544 3447 3365 3394 3461 3453 3356 3343 3461 3598 3688 3636 3506 3503 3562 3583 3437 3385 3334 3362 3459 3428 3332 3266 3326 3499 3588 3658 3691 3640 3570 3432 3335 3263 3396 3501 3630 3664 3618 3584 3669 3591 3502 3404 3414 3429 3473 3457 3379 3263 3228 3375 3606 3749 3855 3814 3790 3720 3696 3570 3414 3370 3428 3544 3616 3586 3620 3650 3718 3708 3591 3525 3485 3544 3552 3495 3414 3365 3495 3592 3711 3708 3737 3834 3898 3901 3827 3678 3526 3454 3366 3299 3259 3378 3603 3820 3807 3686 3604 3649 3642 3647 3569 3519 3630 3719 3747 3641 3586 3585 3775 3903 3950 4002 3872 3766 3648 3475 3377 3343 3416 3565 3734 3774 3786 3728 3780 3844 3832 3808 3726 3695 3675 3734 3722 3666 3651 3667 3716 3783 3830 3766 3744 3662 3634 3581 3523 3556 3521 3616 3645 3680 3738 3905 4018 4005 3834 3721 3655 3695 3775 3785 3749 3772 3769 3821 3763 3665 3602 3705 3805 3819 3761 3674 3637 3642 3665 3700 3693 3884 4012 4155 4041 3869 3707 3597 3609 3672 3718 3730 3732 3755 3765 3768 3755 3743 3758 3809 3827 3815 3815 3737 3692 3649 3607 3675 3815 3905 4018 3955 3942 3831 3809 3783 3683 3590 3512 3457 3617 3750 3878 3888 3927 3930 3979 3872 3801 3764 3776 3784 3785 3749 3620 3608 3675 3834 3950 3968 4012 4048 4041 3959 3804 3604 3501 3590 3718 3845 3936 4014 3998 3993 3904 3894 3867 3867 3852 3794 3694 3594 3568 3600 3688 3728 3826 3892 4101 4166 4047 3884 3759 3714 3696 3739 3715 3735 3712 3758 3840 3911 3897 3941 3859 3844 3792 3694 3664 3641 3740 3797 3860 3837 3775 3870 4003 4125 4136 4014 3849 3805 3809 3758 3708 3661 3710 3858 4018 4001 3944 3894 3834 3732 3774 3797 3859 3955 3982 3915 3783 3757 3860 4004 4071 4025 4007 4039 4009 3897 3767 3581 3554 3742 3842 3943 3973 3951 3981 3939 3933 3854 3792 3813 3975 4009 3984 3916 3916 3998 4074 4096 4033 3939 3865 3904 3929 3861 3748 3642 3662 3717 3823 3904 3963 4083 4101 4080 4012 3956 3841 3835 3793 3810 3798 3920 4079 4126 4081 3938 3741 3783 3841 3942 3826 3798 3732 3702 3700 3718 3775 3839 4039 4261 4320 4206 4093 4027 3975 3875 3770 3735 3771 3887 4010 4002 3914 3873 3999 4092 4183 4056 3965 3820 3830 3809 3826 3863 3807 3996 4118 4155 4209 4206 4232 4194 4045 3833 3729 3681 3779 3842 3816 3825 3885 4036 4184 4123 4006 3949 3937 3977 4018 4013 3884 3832 3838 3877 3903 3947 4028 4216 4300 4235 4028 3816 3735 3813 3876 3851 3902 3944 4048 4159 4102 4101 3946 3958 4069 4133 4124 4004 3911 3912 3870 3905 3882 3943 4136 4274 4199 4046 3959 4003 3966 3973 3887 3872 3898 4057 4148 4149 4009 4022 4020 3996 3987 3853 3822 3891 3943 4024 3931 3961 4019 4104 4113 4068 3986 4016 4073 4166 4099 3925 3784 3726 3951 4143 4209 4180 4164 4134 4040 4052 3939 3866 3880 3952 4048 4088 4118 4092 4077 4150 4151 4223 4209 4238 4203 4055 3902 3765 3723 3805 3974 4016 4074 4152 4194 4210 4129 3984 3903 3861 3956 3880 3877 3878 3933 4112 4161 4140 4091 4095 4194 4267 4106 3923 3750 3782 3805 3871 3814 3762 3852 4025 4222 4273 4282 4240 4088 3999 3919 3830 3919 3976 4089 4105 4155 4097 4095 4127 4103 4062 4013 4048 4035 3940 3816 3706 3632 3678 3866 4047 4211 4351 4288 4223 4144 4041 3864 3881 3940 3994 4042 4041 3965 3980 4035 4092 4015 3980 3894 3947 4031 3993 3899 3850 3814 3923 4068 4186 4114 4150 4157 4252 4246 4177 4047 3979 3884 3849 3842 3830 4002 4172 4158 4054 3928 3947 3994 4036 4005 4013 3982 3996 4042 4054 4053 4053 4125 4140 4228 4201 4083 3989 3911 3802 3667 3710 3761 3949 4079 4126 4078 3911 3819 3870 3974 4008 3982 3940 3907 3949 3938 3982 3935 3948 3999 4062 4136 4087 3935 3761 3707 3728 3724 3713 3805 3964 4055 4127 4097 4113 4206 4134 4015 3909 3814 3854 3909 3999 3999 3971 4001 4114 4145 4099 4089 4064 4097 4118 4010 3879 3779 3754 3838 3921 3945 4109 4234 4381 4406 4222 3964 3824 3804 3857 3939 3967 3973 3897 3936 4009 4017 4051 4100 4213 4210 4139 4069 3948 3851 3758 3815 3875 3924 4045 4141 4251 4274 4157 4071 4002 4009 3967 3929 3872 3812 3835 4000 4098 4126 4162 4146 4202 4181 4096 4030 3968 3983 3944 3835 3728 3806 3916 4140 4137 4091 4052 4145 4172 4061 3843 3711 3818 3940 4122 4171 4133 4070 4067 4020 4043 4011 3987 4081 4104 3990 3880 3785 3763 3858 4018 4062 4102 4139 4222 4156 4071 3963 3925 3947 3998 4104 4112 4136 4133 4105 4100 4062 3956 3935 3972 3959 3987 3803 3792 3831 3965 4078 4066 4000 4006 4201 4257 4255 4085 3894 3839 3926 3949 3914 3836 3928 4049 4197 4206 4053 3945 3807 3818 3790 3787 3835 3982 4037 4110 4028 3979 4010 4187 4329 4333 4241 4161 4050 3960 3800 3699 3692 3830 3975 4114 4146 4103 4165 4179 4119 3994 3946 3942 4047 4098 4055 3925 3918 4017 4227 4330 4326 4178 4138 4069 4033 3965 3880 3694 3712 3840 3897 4038 4010 4097 4172 4242 4221 4152 4011 4007 4028 4014 3965 3955 3962 4075 4113 4067 3960 3977 4059 4155 4114 4004 3846 3789 3739 3769 3818 3897 4121 4314 4372 4245 4091 4035 4038 4063 3962 3848 3861 3990 4081 4014 3924 3771 3822 3976 4161 4208 4105 3977 3941 3857 3802 3784 3888 3959 4113 4156 4090 4106 4064 4080 4048 3912 3839 3836 3922 3967 3982 3969 3975 3975 4014 4069 4073 3962 3980 3988 4048 4034 3973 3941 3952 3989 4012 4033 4081 4191 4204 4216 4066 3838 3748 3774 3924 4051 4049 3992 4069 4189 4169 4098 3914 3848 3940 4011 4019 3906 3835 3805 3837 3861 3937 3990 4162 4242 4185 4025 3822 3785 3822 3841 3819 3874 3913 4084 4139 4178 4118 4097 4061 3988 3923 3863 3826 3801 3860 3857 3836 3885 4081 4131 4202 4181 4145 4087 4147 4100 4012 3883 3771 3750 3857 3975 4137 4237 4174 4071 4041 4008 3943 3847 3723 3772 3870 3907 3856 3880 3832 3971 4104 4170 4181 4190 4195 4144 3916 3665 3561 3653 3780 3898 4005 4028 3990 4034 3997 3880 3867 3903 3966 3980 3865 3853 3923 4015 4031 4039 4014 4061 4188 4279 4213 4002 3888 3813 3797 3872 3856 3824 3816 3891 4019 4090 4103 4169 4094 4020 3964 3939 3995 4009 4071 4103 4102 4114 4066 3972 3960 4000 4013 3949 3889 3867 3815 3855 3768 3762 3899 4023 4193 4301 4201 4112 3953 3887 3911 3888 3992 4116 4182 4110 3966 3913 3952 4048 4064 3943 3900 3916 3964 3951 3872 3757 3762 3976 4147 4312 4275 4227 4178 4148 4126 3998 3927 3840 3897 3944 3961 3992 4023 4110 4144 4081 4113 4003 3932 3948 3928 3944 3964 3915 3909 3921 4002 4108 4228 4245 4289 4303 4235 4057 3900 3743 3698 3805 3871 4054 4168 4292 4329 4154 4039 4010 4144 4190 4160 3992 3937 3908 3995 4040 4024 3978 4112 4251 4399 4339 4141 4006 3924 3885 3827 3817 3868 3966 4109 4149 4125 4091 4101 4220 4166 4133 3976 3902 3887 3963 3964 3942 3940 3987 4067 4086 4097 4129 4187 4207 4141 3980 3896 3864 3914 3937 4040 4076 4131 4221 4258 4237 4050 3904 3861 3889 4056 4132 4032 3970 3911 3995 4071 4123 4098 4161 4232 4236 4171 4010 3899 3919 3975 4035 4047 4063 4088 4180 4200 4105 3919 3861 3888 4006 4026 3983 3915 3929 4038 4052 4101 4107 4183 4223 4209 4080 3946 3979 3991 3980 3923 3840 3897 4063 4187 4266 4155 4066 4047 4059 4028 3962 3910 3977 4146 4304 4381 4337 4238 4227 4256 4201 4119 4079 4106 4097 4064 3908 3801 3804 3875 4085 4273 4375 4322 4285 4240 4176 4034 3840 3852 3936 4077 4164 4187 4165 4145 4183 4162 4100 4060 4047 4066 4004 3827 3704 3717 3892 4077 4147 4134 4204 4275 4374 4388 4180 3991 3911 3936 3947 3866 3904 3918 4058 4149 4221 4099 4027 4038 4037 3990 3935 3836 3903 4012 4062 4011 3956 4017 4161 4263 4379 4341 4254 4080 3964 3870 3772 3765 3820 3923 4032 4069 4032 4034 4002 4034 4000 3991 4043 4110 4141 4044 3905 3825 3882 4009 4240 4300 4233 4145 4107 4047 3975 3876 3783 3757 3911 4040 4028 4035 4025 4098 4138 4125 4071 3958 4027 4112 4173 4101 4060 4027 4129 4170 4159 4094 4028 4071 4099 4106 4035 3981 3939 3857 3892 3862 4008 4196 4364 4359 4253 4070 4008 3989 4057 3988 3971 4018 4127 4238 4177 4070 3971 3990 4068 4198 4147 4116 3981 3930 3861 3913 3965 4051 4145 4279 4365 4374 4241 4165 4128 4070 4060 3969 3922 3876 3999 4087 4197 4166 4131 4193 4244 4275 4208 4099 4011 3917 3921 3910 3920 3991 4056 4088 4156 4167 4225 4249 4235 4100 3857 3751 3766 3829 4032 4013 4003 4116 4205 4231 4218 4119 4083 4105 4201 4061 3965 3811 3759 3789 3884 3979 4062 4245 4324 4389 4297 4054 3918 3834 3957 4027 4107 4077 4111 4133 4154 4182 4173 4150 4093 4128 4044 4053 4032 3937 3884 3882 3932 4062 4138 4261 4268 4310 4200 4148 4142 4084 4118 3998 3939 3963 4058 4167 4230 4165 4040 3975 4016 3983 3925 3904 3976 4061 4096 3991 3956 3932 4028 4204 4286 4255 4206 4213 4219 4048 3901 3751 3761 3902 4057 4132 4205 4040 3995 3940 3918 3914 3938 3989 4069 4071 4066 4017 4032 4072 4148 4170 4202 4159 4211 4099 4045 3877 3737 3742 3850 3938
+-122 -64 -190 -328 -378 -258 -152 -127 -239 -392 -463 -463 -491 -530 -551 -441 -381 -472 -754 -1014 -1120 -987 -796 -749 -854 -978 -1039 -1000 -991 -1073 -1164 -1137 -1006 -937 -956 -1083 -1197 -1263 -1281 -1237 -1236 -1240 -1270 -1312 -1397 -1543 -1684 -1686 -1602 -1504 -1469 -1592 -1689 -1626 -1554 -1469 -1504 -1608 -1653 -1664 -1633 -1666 -1762 -1860 -1852 -1782 -1773 -1850 -2004 -2065 -2010 -1970 -2049 -2130 -2127 -2080 -2010 -2123 -2341 -2461 -2362 -2188 -2063 -2115 -2224 -2346 -2319 -2317 -2364 -2479 -2491 -2424 -2392 -2462 -2644 -2825 -2841 -2766 -2665 -2612 -2610 -2589 -2573 -2642 -2744 -2903 -2909 -2784 -2607 -2528 -2644 -2810 -2941 -2924 -2814 -2767 -2782 -2882 -2927 -2963 -2975 -3064 -3105 -3125 -3000 -2934 -3005 -3086 -3144 -3136 -3100 -3109 -3221 -3305 -3261 -3163 -3161 -3271 -3452 -3427 -3317 -3155 -3190 -3351 -3495 -3534 -3471 -3420 -3454 -3468 -3426 -3389 -3413 -3522 -3634 -3642 -3591 -3561 -3623 -3641 -3670 -3625 -3598 -3667 -3776 -3821 -3714 -3538 -3436 -3506 -3631 -3765 -3803 -3791 -3760 -3758 -3730 -3709 -3722 -3854 -4017 -4101 -4027 -3908 -3797 -3832 -3946 -3988 -3940 -3864 -3852 -3936 -4038 -4042 -3962 -3898 -4020 -4148 -4236 -4156 -4047 -4004 -4123 -4278 -4386 -4282 -4252 -4254 -4255 -4205 -4136 -4142 -4306 -4459 -4449 -4239 -4083 -4114 -4287 -4438 -4425 -4338 -4313 -4382 -4404 -4349 -4233 -4176 -4253 -4453 -4533 -4512 -4481 -4450 -4439 -4389 -4280 -4259 -4331 -4547 -4615 -4577 -4390 -4284 -4315 -4460 -4565 -4590 -4587 -4553 -4604 -4640 -4619 -4554 -4546 -4599 -4692 -4727 -4741 -4687 -4704 -4747 -4816 -4845 -4829 -4781 -4769 -4791 -4735 -4662 -4608 -4727 -4930 -5009 -4910 -4744 -4629 -4759 -4931 -5011 -4958 -4902 -4900 -4921 -4893 -4787 -4746 -4839 -5008 -5119 -5020 -4828 -4747 -4813 -4876 -4854 -4748 -4760 -4902 -5068 -5025 -4830 -4652 -4707 -4897 -5058 -5082 -5016 -4998 -5043 -5092 -4974 -4915 -4929 -5063 -5174 -5124 -4980 -4946 -5030 -5168 -5245 -5155 -5073 -5040 -5090 -5116 -5086 -5033 -5065 -5151 -5349 -5429 -5416 -5308 -5219 -5238 -5292 -5360 -5330 -5305 -5297 -5237 -5204 -5171 -5129 -5183 -5278 -5404 -5335 -5247 -5133 -5093 -5165 -5214 -5250 -5320 -5404 -5518 -5479 -5317 -5206 -5154 -5271 -5418 -5459 -5407 -5358 -5315 -5352 -5326 -5279 -5300 -5451 -5614 -5590 -5390 -5177 -5199 -5340 -5477 -5505 -5457 -5427 -5540 -5599 -5623 -5529 -5478 -5524 -5634 -5629 -5532 -5439 -5410 -5422 -5461 -5470 -5443 -5525 -5607 -5640 -5562 -5452 -5368 -5439 -5577 -5598 -5562 -5445 -5417 -5486 -5564 -5614 -5591 -5604 -5693 -5743 -5706 -5591 -5424 -5390 -5489 -5593 -5639 -5609 -5556 -5573 -5549 -5512 -5479 -5548 -5736 -5925 -5983 -5817 -5574 -5483 -5557 -5728 -5821 -5799 -5773 -5744 -5715 -5698 -5663 -5676 -5741 -5854 -5849 -5735 -5671 -5671 -5712 -5737 -5746 -5745 -5772 -5903 -5911 -5867 -5705 -5635 -5591 -5692 -5785 -5753 -5699 -5650 -5706 -5771 -5833 -5857 -5844 -5834 -5847 -5758 -5610 -5553 -5558 -5646 -5761 -5755 -5747 -5720 -5739 -5745 -5725 -5634 -5612 -5696 -5824 -5961 -5938 -5797 -5673 -5702 -5869 -6001 -6038 -6017 -5985 -5945 -5842 -5710 -5598 -5677 -5849 -5983 -5925 -5769 -5711 -5767 -5921 -5942 -5889 -5799 -5881 -5986 -6029 -5915 -5778 -5730 -5832 -5951 -6022 -5963 -5895 -5819 -5748 -5690 -5662 -5655 -5707 -5772 -5738 -5678 -5625 -5652 -5789 -5900 -5952 -5954 -5891 -5830 -5841 -5825 -5855 -5872 -5892 -5940 -5962 -5953 -5864 -5772 -5734 -5798 -5862 -5917 -5894 -5847 -5844 -5830 -5794 -5797 -5856 -5976 -6162 -6173 -6083 -5991 -5905 -6040 -6127 -6112 -5990 -5886 -5900 -5929 -5877 -5790 -5701 -5731 -5879 -5977 -5985 -5928 -5907 -5878 -5886 -5811 -5849 -5983 -6090 -6128 -6015 -5846 -5770 -5876 -6016 -6037 -5956 -5907 -5891 -5866 -5823 -5773 -5747 -5856 -5984 -6068 -6024 -5937 -5895 -5906 -5949 -6014 -6027 -6026 -6040 -6054 -6041 -5972 -5934 -5936 -6024 -6160 -6168 -6109 -5923 -5845 -5892 -6023 -6111 -6075 -5951 -5907 -5951 -6046 -6048 -6002 -6017 -6057 -6093 -6084 -6035 -5978 -5997 -5949 -5931 -5875 -5906 -6075 -6175 -6155 -5936 -5763 -5853 -6022 -6139 -6128 -6015 -5940 -5991 -6000 -5948 -5931 -5992 -6092 -6257 -6133 -6004 -5939 -5992 -6153 -6236 -6217 -6119 -6134 -6198 -6200 -6111 -5983 -5975 -6069 -6173 -6232 -6149 -6004 -5982 -5980 -6059 -6188 -6206 -6202 -6158 -6054 -5948 -5927 -5962 -6044 -6166 -6162 -6045 -5958 -5952 -6055 -6151 -6138 -6079 -5989 -6048 -6130 -6125 -5967 -5806 -5750 -5885 -6039 -6105 -6051 -5960 -6016 -6069 -6090 -6017 -6014 -6132 -6265 -6244 -6093 -5884 -5888 -6071 -6263 -6261 -6143 -6029 -6082 -6199 -6239 -6117 -5989 -6060 -6197 -6248 -6150 -6059 -6021 -6073 -6179 -6190 -6188 -6224 -6317 -6314 -6189 -6041 -5961 -6089 -6225 -6274 -6157 -6017 -5947 -6020 -6077 -6104 -6084 -6090 -6068 -6094 -6028 -5920 -5851 -5926 -6077 -6198 -6211 -6141 -6062 -6096 -6111 -6098 -6068 -6052 -6142 -6253 -6224 -6067 -5882 -5851 -6025 -6218 -6251 -6207 -6048 -6007 -6027 -6078 -6093 -6044 -6201 -6305 -6313 -6243 -6096 -6039 -6140 -6269 -6279 -6208 -6172 -6235 -6275 -6171 -6017 -5875 -5928 -6092 -6217 -6140 -6052 -5979 -6045 -6110 -6176 -6111 -6110 -6167 -6215 -6164 -6055 -5976 -6019 -6135 -6245 -6208 -6141 -6055 -6058 -6102 -6055 -6001 -5953 -6060 -6246 -6271 -6135 -5899 -5919 -6039 -6239 -6345 -6268 -6135 -6076 -6053 -6063 -6047 -6067 -6199 -6335 -6432 -6354 -6158 -6038 -6148 -6277 -6278 -6183 -6076 -6056 -6121 -6103 -6026 -5928 -6014 -6229 -6346 -6339 -6181 -6132 -6154 -6171 -6095 -6054 -6110 -6219 -6258 -6234 -6078 -6014 -6080 -6268 -6349 -6209 -6054 -5957 -5979 -6055 -6089 -6075 -6012 -6139 -6246 -6322 -6226 -6144 -6097 -6176 -6261 -6319 -6325 -6308 -6220 -6216 -6169 -6147 -6115 -6187 -6338 -6401 -6348 -6128 -5956 -6003 -6110 -6302 -6328 -6254 -6209 -6245 -6320 -6260 -6138 -6094 -6201 -6344 -6350 -6223 -6090 -6038 -6081 -6085 -6085 -6073 -6168 -6272 -6308 -6130 -5960 -5915 -6092 -6281 -6284 -6174 -6032 -6011 -6093 -6167 -6137 -6104 -6193 -6304 -6350 -6264 -6150 -6148 -6202 -6249 -6271 -6210 -6176 -6173 -6242 -6237 -6223 -6154 -6219 -6349 -6405 -6441 -6312 -6191 -6066 -6129 -6200 -6312 -6358 -6309 -6212 -6121 -6135 -6158 -6218 -6243 -6314 -6288 -6249 -6171 -6142 -6124 -6175 -6179 -6102 -6134 -6240 -6271 -6163 -5957 -5885 -5932 -6213 -6362 -6345 -6207 -6120 -6103 -6176 -6196 -6149 -6134 -6183 -6251 -6217 -6080 -5980 -6027 -6189 -6349 -6288 -6173 -6134 -6186 -6215 -6210 -6122 -6105 -6174 -6290 -6329 -6350 -6232 -6243 -6285 -6346 -6401 -6349 -6316 -6296 -6227 -6116 -6085 -6124 -6191 -6268 -6293 -6206 -6141 -6124 -6164 -6261 -6270 -6270 -6247 -6278 -6278 -6243 -6169 -6053 -6067 -6180 -6337 -6360 -6312 -6203 -6121 -6115 -6173 -6101 -6080 -6200 -6272 -6250 -6148 -5985 -5978 -6112 -6269 -6366 -6267 -6197 -6189 -6238 -6249 -6208 -6169 -6168 -6284 -6405 -6360 -6270 -6205 -6206 -6264 -6251 -6259 -6222 -6246 -6159 -6084 -6020 -6032 -6171 -6362 -6417 -6303 -6199 -6169 -6293 -6448 -6432 -6368 -6222 -6240 -6231 -6243 -6174 -6104 -6109 -6181 -6255 -6255 -6112 -6032 -6037 -6088 -6159 -6199 -6180 -6258 -6408 -6449 -6402 -6216 -6172 -6251 -6415 -6496 -6382 -6234 -6203 -6270 -6317 -6262 -6193 -6147 -6281 -6333 -6291 -6167 -6086 -6135 -6290 -6314 -6305 -6301 -6415 -6490 -6498 -6287 -6152 -6171 -6379 -6518 -6432 -6207 -5999 -5956 -6104 -6198 -6279 -6239 -6188 -6186 -6204 -6173 -6148 -6240 -6314 -6395 -6350 -6235 -6134 -6198 -6251 -6261 -6263 -6221 -6267 -6342 -6384 -6287 -6126 -6085 -6173 -6348 -6408 -6313 -6227 -6134 -6165 -6238 -6273 -6286 -6338 -6450 -6519 -6407 -6283 -6212 -6216 -6336 -6372 -6270 -6161 -6173 -6276 -6365 -6268 -6149 -6161 -6296 -6478 -6494 -6324 -6187 -6150 -6231 -6268 -6259 -6265 -6274 -6334 -6316 -6174 -6051 -6079 -6251 -6371 -6293 -6125 -6023 -6108 -6264 -6383 -6415 -6349 -6349 -6358 -6360 -6252 -6128 -6090 -6176 -6300 -6378 -6296 -6203 -6152 -6195 -6233 -6243 -6226 -6301 -6333 -6394 -6322 -6183 -6105 -6183 -6358 -6539 -6549 -6463 -6353 -6311 -6319 -6314 -6234 -6235 -6313 -6378 -6380 -6268 -6148 -6123 -6158 -6200 -6220 -6276 -6358 -6436 -6423 -6300 -6153 -6172 -6360 -6487 -6429 -6232 -6086 -6117 -6248 -6300 -6239 -6127 -6138 -6243 -6275 -6182 -6043 -5990 -6136 -6344 -6374 -6295 -6203 -6194 -6286 -6346 -6358 -6302 -6355 -6465 -6481 -6396 -6242 -6136 -6172 -6287 -6358 -6365 -6324 -6244 -6173 -6109 -6059 -6088 -6230 -6349 -6461 -6452 -6344 -6265 -6295 -6361 -6416 -6438 -6357 -6365 -6361 -6303 -6173 -6001 -5962 -6079 -6321 -6439 -6355 -6137 -6007 -6121 -6295 -6382 -6332 -6267 -6265 -6317 -6344 -6314 -6326 -6380 -6499 -6510 -6415 -6239 -6188 -6223 -6256 -6177 -6126 -6127 -6229 -6355 -6380 -6285 -6222 -6227 -6353 -6419 -6405 -6397 -6369 -6343 -6270 -6126 -6112 -6251 -6415 -6514 -6418 -6249 -6104 -6067 -6150 -6201 -6194 -6194 -6224 -6245 -6260 -6159 -6125 -6148 -6245 -6410 -6484 -6470 -6344 -6209 -6088 -6105 -6124 -6227 -6290 -6340 -6303 -6259 -6216 -6150 -6160 -6296 -6397 -6401 -6295 -6187 -6188 -6281 -6341 -6320 -6256 -6245 -6299 -6337 -6324 -6320 -6284 -6307 -6360 -6299 -6273 -6268 -6313 -6315 -6265 -6177 -6204 -6325 -6476 -6489 -6339 -6156 -6116 -6233 -6357 -6440 -6384 -6315 -6224 -6180 -6159 -6136 -6121 -6169 -6233 -6301 -6283 -6240 -6159 -6126 -6163 -6213 -6294 -6397 -6477 -6452 -6334 -6176 -6017 -5989 -6070 -6187 -6237 -6221 -6167 -6164 -6199 -6208 -6247 -6293 -6332 -6434 -6408 -6333 -6209 -6178 -6288 -6339 -6342 -6298 -6297 -6310 -6350 -6317 -6237 -6162 -6161 -6276 -6294 -6234 -6143 -6057 -6132 -6251 -6350 -6396 -6375 -6356 -6327 -6252 -6197 -6221 -6327 -6400 -6382 -6276 -6124 -6056 -6115 -6191 -6209 -6172 -6161 -6238 -6306 -6265 -6156 -6058 -6007 -6132 -6340 -6433 -6396 -6317 -6233 -6241 -6266 -6250 -6229 -6250 -6289 -6330 -6303 -6228 -6143 -6188 -6263 -6339 -6310 -6196 -6205 -6273 -6313 -6243 -6147 -6146 -6249 -6421 -6473 -6335 -6271 -6238 -6387 -6447 -6411 -6361 -6354 -6303 -6280 -6188 -6123 -6183 -6345 -6382 -6300 -6080 -6001 -6099 -6316 -6406 -6373 -6307 -6315 -6380 -6344 -6300 -6184 -6212 -6377 -6464 -6525 -6480 -6363 -6308 -6279 -6283 -6227 -6247 -6325 -6413 -6428 -6352 -6197 -6146 -6245 -6339 -6457 -6441 -6373 -6330 -6355 -6396 -6384 -6335 -6302 -6357 -6490 -6484 -6427 -6320 -6235 -6245 -6265 -6222 -6207 -6221 -6289 -6286 -6207 -6111 -6152 -6330 -6542 -6553 -6357 -6153 -6149 -6348 -6541 -6504 -6387 -6245 -6285 -6324 -6348 -6230 -6200 -6301 -6478 -6499 -6328 -6138 -6139 -6160 -6232 -6273 -6295 -6377 -6503 -6535 -6438 -6279 -6204 -6304 -6429 -6470 -6440 -6341 -6319 -6265 -6285 -6231 -6235 -6321 -6411 -6427 -6372 -6223 -6182 -6306 -6383 -6383 -6331 -6282 -6320 -6397 -6440 -6394 -6250 -6213 -6255 -6396 -6439 -6346 -6210 -6120 -6191 -6280 -6319 -6285 -6249 -6245 -6267 -6258 -6208 -6207 -6338 -6419 -6414 -6246 -6041 -6014 -6085 -6207 -6230 -6207 -6290 -6442 -6538 -6460 -6230 -6126 -6181 -6374 -6499 -6415 -6236 -6162 -6183 -6208 -6161 -6167 -6300 -6534 -6606 -6510 -6263 -6104 -6119 -6284 -6331 -6281 -6212 -6172 -6250 -6313 -6227 -6162 -6157 -6234 -6410 -6447 -6319 -6183 -6135 -6163 -6253 -6241 -6203 -6198 -6236 -6254 -6273 -6207 -6194 -6232 -6375 -6403 -6321 -6176 -6117 -6203 -6308 -6380 -6351 -6317 -6330 -6343 -6338 -6236 -6125 -6204 -6368 -6454 -6399 -6243 -6195 -6231 -6249 -6208 -6131 -6145 -6281 -6464 -6452 -6246 -6051 -6126 -6378 -6534 -6578 -6472 -6398 -6395 -6376 -6302 -6199 -6186 -6214 -6351 -6368 -6257 -6132 -6074 -6161 -6196 -6250 -6281 -6403 -6480 -6534 -6389 -6218 -6204 -6294 -6450 -6531 -6434 -6260 -6166 -6201 -6324 -6365 -6340 -6285 -6257 -6223 -6206 -6120 -6042 -6095 -6256 -6394 -6465 -6374 -6339 -6340 -6454 -6489 -6391 -6344 -6339 -6435 -6476 -6387 -6192 -6114 -6219 -6365 -6454 -6382 -6308 -6218 -6178 -6129 -6123 -6147 -6257 -6420 -6488 -6445 -6325 -6299 -6337 -6422 -6427 -6352 -6345 -6356 -6394 -6337 -6125 -6029 -6042 -6195 -6358 -6434 -6387 -6269 -6195 -6222 -6202 -6269 -6315 -6416 -6446 -6420 -6301 -6259 -6306 -6430 -6509 -6480 -6348 -6243 -6188 -6303 -6361 -6331 -6286 -6255 -6329 -6374 -6380 -6268 -6221 -6233 -6326 -6424 -6403 -6316 -6274 -6256 -6256 -6215 -6220 -6308 -6418 -6471 -6354 -6135 -6044 -6131 -6302 -6360 -6287 -6219 -6237 -6287 -6312 -6203 -6111 -6092 -6266 -6392 -6412 -6273 -6184 -6123 -6119 -6077 -6081 -6150 -6287 -6435 -6481 -6378 -6249 -6198 -6242 -6348 -6387 -6351 -6211 -6080 -6105 -6129
+4 -92 -228 -266 -321 -293 -239 -177 -140 -183 -286 -358 -382 -403 -377 -350 -338 -360 -419 -447 -503 -517 -435 -357 -338 -368 -426 -492 -505 -518 -547 -527 -541 -601 -644 -719 -716 -716 -630 -541 -552 -556 -606 -690 -782 -866 -871 -755 -644 -566 -556 -684 -773 -836 -897 -857 -804 -745 -703 -781 -862 -979 -1012 -1011 -989 -923 -880 -801 -798 -846 -950 -973 -1034 -984 -922 -911 -978 -1024 -1081 -1104 -1087 -1093 -1075 -1049 -1055 -1141 -1178 -1192 -1184 -1185 -1142 -1113 -1085 -1133 -1156 -1298 -1333 -1293 -1197 -1092 -1082 -1182 -1270 -1338 -1342 -1390 -1360 -1333 -1248 -1211 -1247 -1316 -1433 -1483 -1400 -1320 -1230 -1243 -1286 -1378 -1449 -1490 -1473 -1450 -1394 -1376 -1407 -1451 -1478 -1544 -1584 -1560 -1522 -1470 -1422 -1464 -1559 -1611 -1662 -1647 -1562 -1456 -1422 -1431 -1529 -1627 -1706 -1670 -1620 -1562 -1542 -1558 -1592 -1591 -1681 -1766 -1834 -1819 -1697 -1566 -1529 -1548 -1631 -1650 -1655 -1684 -1741 -1752 -1686 -1706 -1721 -1789 -1822 -1837 -1783 -1716 -1675 -1742 -1807 -1880 -1926 -1924 -1857 -1813 -1787 -1863 -1914 -1956 -1932 -1855 -1791 -1758 -1724 -1755 -1795 -1895 -2058 -2176 -2184 -2096 -2000 -1919 -1951 -2035 -2143 -2146 -2108 -2022 -1889 -1827 -1836 -1880 -1952 -2083 -2145 -2144 -2122 -2068 -2001 -1978 -2015 -2101 -2168 -2154 -2126 -2100 -2104 -2120 -2140 -2146 -2128 -2153 -2196 -2177 -2102 -2048 -1979 -2005 -2042 -2097 -2072 -2053 -2043 -2098 -2145 -2269 -2365 -2431 -2459 -2340 -2255 -2219 -2227 -2259 -2263 -2299 -2274 -2249 -2244 -2182 -2105 -2103 -2206 -2331 -2448 -2423 -2337 -2246 -2182 -2188 -2248 -2355 -2448 -2493 -2478 -2415 -2327 -2274 -2301 -2316 -2340 -2417 -2443 -2353 -2268 -2160 -2108 -2121 -2205 -2252 -2339 -2389 -2417 -2422 -2406 -2444 -2458 -2475 -2485 -2421 -2349 -2331 -2268 -2282 -2282 -2345 -2401 -2398 -2399 -2322 -2259 -2245 -2314 -2417 -2476 -2478 -2391 -2318 -2310 -2339 -2411 -2512 -2573 -2613 -2600 -2519 -2416 -2354 -2324 -2330 -2366 -2437 -2478 -2474 -2437 -2368 -2309 -2361 -2487 -2637 -2672 -2646 -2546 -2481 -2491 -2482 -2476 -2482 -2532 -2583 -2617 -2526 -2413 -2335 -2309 -2418 -2554 -2617 -2597 -2511 -2400 -2429 -2406 -2442 -2492 -2568 -2624 -2658 -2604 -2541 -2512 -2548 -2603 -2643 -2668 -2650 -2527 -2459 -2355 -2348 -2387 -2482 -2564 -2609 -2571 -2545 -2585 -2628 -2650 -2642 -2638 -2590 -2573 -2560 -2526 -2496 -2512 -2594 -2685 -2732 -2675 -2525 -2424 -2408 -2462 -2543 -2634 -2673 -2707 -2650 -2627 -2548 -2514 -2535 -2606 -2747 -2881 -2924 -2833 -2721 -2583 -2524 -2582 -2594 -2689 -2732 -2734 -2711 -2630 -2581 -2540 -2621 -2714 -2790 -2831 -2808 -2767 -2729 -2657 -2647 -2713 -2782 -2824 -2774 -2647 -2622 -2679 -2760 -2778 -2717 -2665 -2604 -2605 -2674 -2625 -2613 -2689 -2828 -2892 -2885 -2795 -2661 -2608 -2689 -2756 -2851 -2872 -2863 -2799 -2693 -2604 -2570 -2620 -2715 -2829 -2912 -2884 -2843 -2727 -2601 -2531 -2545 -2672 -2803 -2906 -2909 -2841 -2770 -2732 -2734 -2758 -2794 -2840 -2897 -2894 -2852 -2747 -2694 -2658 -2699 -2818 -2851 -2896 -2818 -2741 -2726 -2753 -2867 -2940 -2929 -2899 -2849 -2841 -2850 -2849 -2811 -2810 -2811 -2835 -2811 -2818 -2799 -2781 -2826 -2879 -2900 -2888 -2843 -2786 -2683 -2613 -2646 -2806 -2907 -2956 -2949 -2846 -2827 -2790 -2741 -2763 -2805 -2875 -2991 -2951 -2807 -2622 -2531 -2598 -2720 -2813 -2888 -2910 -2891 -2869 -2835 -2779 -2749 -2790 -2877 -2896 -2876 -2820 -2751 -2730 -2726 -2802 -2846 -2951 -2942 -2914 -2874 -2781 -2757 -2772 -2827 -2825 -2809 -2776 -2788 -2759 -2826 -2816 -2861 -2872 -2916 -2965 -2932 -2904 -2804 -2771 -2770 -2832 -2890 -2892 -2762 -2698 -2675 -2686 -2788 -2877 -2929 -2940 -2953 -2932 -2827 -2692 -2664 -2708 -2861 -2903 -2935 -2883 -2810 -2777 -2755 -2773 -2830 -2901 -2952 -2918 -2794 -2664 -2637 -2687 -2736 -2808 -2905 -2933 -2942 -2851 -2790 -2755 -2783 -2923 -2996 -3010 -2933 -2840 -2801 -2800 -2745 -2736 -2743 -2805 -2883 -2992 -2993 -2943 -2852 -2822 -2790 -2815 -2846 -2830 -2840 -2804 -2805 -2911 -2951 -2968 -2981 -2933 -2908 -2881 -2819 -2819 -2845 -2896 -2922 -2901 -2783 -2717 -2729 -2775 -2881 -2978 -3048 -3124 -3107 -2974 -2814 -2736 -2767 -2867 -2943 -2952 -2947 -2885 -2820 -2770 -2690 -2689 -2832 -2994 -3094 -3069 -2931 -2759 -2695 -2723 -2783 -2822 -2892 -2907 -2951 -2981 -2974 -2918 -2885 -2834 -2798 -2812 -2828 -2831 -2806 -2784 -2780 -2799 -2837 -2889 -2875 -2830 -2804 -2827 -2919 -2967 -3025 -3035 -2991 -2900 -2813 -2733 -2736 -2798 -2840 -2913 -2940 -2907 -2865 -2817 -2786 -2798 -2886 -3006 -3061 -3032 -2914 -2863 -2854 -2824 -2894 -2943 -3025 -3055 -3065 -2962 -2826 -2741 -2719 -2813 -2878 -2968 -2984 -2967 -2892 -2816 -2784 -2821 -2914 -2985 -3021 -3005 -2944 -2924 -2925 -2904 -2890 -2847 -2866 -2925 -2915 -2878 -2810 -2753 -2847 -2938 -2965 -2934 -2876 -2791 -2810 -2849 -2964 -3002 -2996 -3051 -3005 -2951 -2894 -2876 -2906 -2936 -3039 -3020 -2975 -2885 -2764 -2704 -2733 -2849 -2957 -3054 -3074 -3050 -2974 -2888 -2863 -2892 -2957 -3041 -3061 -3048 -3008 -2921 -2837 -2811 -2854 -2937 -3025 -3046 -3027 -2925 -2808 -2777 -2779 -2799 -2836 -2907 -2945 -2997 -2996 -2926 -2892 -2930 -2968 -3077 -3094 -3039 -2899 -2798 -2766 -2782 -2855 -2887 -2900 -2888 -2861 -2790 -2795 -2804 -2871 -2957 -3003 -3024 -3027 -2955 -2907 -2892 -2925 -2967 -2998 -3008 -2962 -2889 -2808 -2856 -2883 -2974 -3018 -2985 -2928 -2825 -2806 -2752 -2756 -2806 -2914 -3057 -3163 -3084 -2981 -2854 -2875 -2939 -3051 -3106 -3069 -3022 -2970 -2853 -2783 -2724 -2735 -2802 -2957 -3027 -3062 -2974 -2920 -2853 -2874 -2858 -2941 -3013 -3098 -3035 -2986 -2910 -2880 -2907 -2940 -2996 -2980 -2976 -2948 -2879 -2821 -2800 -2837 -2885 -2868 -2843 -2821 -2833 -2887 -2931 -3004 -3061 -3083 -3060 -2976 -2869 -2801 -2816 -2866 -2909 -2965 -2956 -2899 -2828 -2753 -2692 -2745 -2860 -3029 -3107 -3107 -2986 -2867 -2729 -2707 -2714 -2808 -2957 -3053 -3051 -3007 -2937 -2905 -2893 -2888 -2897 -2922 -2939 -2929 -2872 -2784 -2752 -2758 -2887 -2994 -3064 -3071 -3079 -3016 -2992 -2929 -2934 -2987 -2995 -2979 -2885 -2770 -2749 -2792 -2890 -2883 -2881 -2876 -2939 -2947 -2917 -2847 -2852 -2900 -2985 -3006 -2914 -2844 -2764 -2782 -2829 -2914 -2995 -3022 -3044 -2964 -2827 -2733 -2679 -2705 -2777 -2833 -2862 -2867 -2824 -2740 -2707 -2772 -2888 -3055 -3116 -3060 -3027 -2906 -2850 -2836 -2813 -2816 -2889 -2913 -2919 -2943 -2820 -2760 -2725 -2774 -2926 -2986 -2981 -2973 -2892 -2851 -2883 -2923 -2965 -3018 -3027 -3051 -3050 -3041 -2951 -2912 -2896 -2958 -3014 -2976 -2876 -2797 -2783 -2805 -2890 -2883 -2919 -2911 -2937 -2937 -2925 -2912 -2988 -3032 -3101 -3122 -3062 -2957 -2866 -2815 -2809 -2823 -2914 -3015 -2973 -2920 -2780 -2743 -2798 -2858 -2893 -2915 -2942 -3022 -3015 -2970 -2913 -2889 -2937 -3056 -3186 -3199 -3083 -2945 -2814 -2815 -2856 -2895 -2974 -2985 -2930 -2921 -2893 -2877 -2874 -2907 -2952 -3009 -3066 -3057 -3005 -2949 -2911 -2939 -2991 -3015 -3006 -2989 -2967 -2965 -2950 -2933 -2966 -2938 -2932 -2910 -2887 -2863 -2850 -2878 -2939 -2982 -3043 -3052 -3040 -2943 -2929 -2978 -3063 -3112 -3060 -3023 -2923 -2869 -2833 -2802 -2796 -2872 -2981 -3110 -3163 -3115 -2973 -2866 -2787 -2840 -2904 -3033 -3109 -3074 -2970 -2865 -2744 -2792 -2862 -3035 -3065 -3102 -3018 -2974 -2892 -2825 -2805 -2825 -2883 -2945 -2964 -2964 -2952 -2954 -2960 -2970 -2960 -2980 -2955 -2926 -2925 -2870 -2910 -2930 -2971 -2965 -2948 -2937 -2865 -2838 -2843 -2924 -3056 -3100 -3085 -3005 -2895 -2869 -2855 -2884 -2956 -3032 -3060 -3035 -3025 -2902 -2868 -2855 -2922 -2986 -2997 -3043 -3023 -2956 -2866 -2784 -2774 -2844 -2986 -3118 -3119 -3039 -2968 -2885 -2887 -2905 -2933 -2976 -2952 -2946 -2877 -2776 -2771 -2823 -2904 -2947 -2999 -2977 -2974 -2909 -2859 -2859 -2852 -2930 -2974 -2988 -2936 -2902 -2900 -2917 -2978 -3012 -3028 -3012 -2989 -2982 -2896 -2844 -2817 -2871 -2943 -3032 -3033 -2957 -2860 -2837 -2931 -3071 -3181 -3175 -3164 -3110 -3038 -2992 -2926 -2866 -2849 -2953 -3068 -3102 -3031 -2904 -2791 -2879 -3011 -3129 -3168 -3111 -3011 -2924 -2849 -2813 -2794 -2873 -2963 -3080 -3134 -3134 -3046 -2989 -2936 -2921 -2984 -3024 -3039 -2980 -2891 -2814 -2836 -2917 -2949 -2975 -2991 -3009 -3014 -3037 -3007 -2985 -2963 -3029 -3068 -3117 -3083 -2940 -2829 -2817 -2915 -3049 -3104 -3117 -3089 -3015 -2980 -3003 -2968 -2997 -3003 -2993 -3014 -2984 -2884 -2803 -2798 -2914 -3054 -3198 -3203 -3116 -3020 -2859 -2885 -2971 -3092 -3120 -3121 -3011 -2934 -2895 -2898 -2903 -2908 -2953 -3073 -3169 -3141 -3038 -2886 -2779 -2834 -2969 -3064 -3054 -3031 -3053 -3065 -3023 -2983 -2940 -2963 -2994 -3092 -3097 -3051 -2920 -2828 -2823 -2893 -2985 -3059 -3040 -3003 -2921 -2940 -2998 -3003 -3004 -2994 -2993 -2977 -2985 -2911 -2900 -2941 -3012 -3038 -3025 -2995 -2927 -2810 -2773 -2818 -2889 -3080 -3123 -3058 -2922 -2819 -2785 -2803 -2890 -2949 -3038 -3106 -3126 -3094 -2909 -2788 -2779 -2861 -2966 -3000 -2973 -2954 -2955 -2970 -2968 -2946 -2962 -3002 -3051 -3076 -3046 -2956 -2885 -2879 -2894 -2930 -2980 -3008 -3005 -2936 -2872 -2876 -2913 -2993 -3066 -3020 -2890 -2839 -2820 -2858 -2866 -2893 -2885 -2938 -2945 -2936 -2887 -2816 -2850 -2893 -2981 -3008 -2998 -2857 -2742 -2683 -2695 -2802 -2847 -2932 -2954 -2965 -2978 -2897 -2825 -2802 -2848 -2987 -3061 -3043 -2954 -2868 -2812 -2829 -2870 -2902 -2982 -3016 -3053 -2986 -2895 -2824 -2832 -2935 -2967 -3024 -2999 -2984 -2980 -2945 -2944 -2911 -2999 -3118 -3172 -3144 -2993 -2872 -2807 -2847 -2912 -2927 -2904 -2950 -2977 -2955 -2938 -2910 -2929 -2985 -3006 -3011 -2957 -2932 -2916 -2907 -2910 -2987 -3051 -3062 -3034 -2935 -2864 -2817 -2854 -2921 -2941 -2954 -2925 -2908 -2883 -2883 -2870 -2917 -2954 -3040 -3119 -3109 -3091 -3032 -2978 -2960 -2990 -3072 -3100 -3054 -2986 -2894 -2855 -2848 -2885 -2947 -3028 -3109 -3166 -3085 -2956 -2890 -2923 -3011 -3073 -3126 -3096 -3029 -2997 -2938 -2950 -2970 -3046 -3111 -3131 -3072 -2983 -2980 -2926 -2915 -2925 -2891 -2937 -3002 -3019 -2985 -2951 -2988 -3078 -3219 -3253 -3214 -3115 -3070 -3000 -2997 -3006 -2996 -2975 -2983 -2974 -2956 -2948 -2948 -2978 -3045 -3119 -3152 -3146 -3078 -2976 -2947 -2913 -2975 -3031 -3088 -3123 -3121 -3016 -2936 -2895 -2877 -2963 -3072 -3143 -3138 -3057 -2884 -2780 -2760 -2819 -2921 -3072 -3092 -3143 -3084 -3105 -3079 -3082 -3052 -3021 -3004 -2994 -2998 -2997 -2894 -2871 -2908 -3011 -3069 -3054 -3002 -2953 -2960 -3007 -3048 -3095 -3084 -3084 -3048 -3018 -2952 -2928 -2981 -3060 -3130 -3126 -3089 -3030 -2931 -2904 -2915 -2966 -3005 -3076 -3074 -3021 -2910 -2879 -2859 -2946 -3016 -3069 -3130 -3113 -3071 -2999 -2911 -2839 -2861 -2905 -3009 -3012 -2979 -2907 -2802 -2772 -2863 -2968 -2980 -3014 -2967 -2971 -2901 -2894 -2889 -2897 -2954 -3057 -3094 -3100 -3028 -2946 -2950 -2984 -3059 -3096 -3074 -3014 -2941 -2878 -2820 -2810 -2905 -3018 -3120 -3190 -3143 -3083 -3038 -3021 -3005 -3024 -3052 -3072 -3023 -2983 -2904 -2875 -2908 -2987 -3031 -3072 -3009 -2947 -2890 -2825 -2867 -2931 -3018 -3046 -3060 -3022 -2914 -2880 -2895 -2934 -3060 -3142 -3181 -3147 -3066 -2961 -2875 -2835 -2865 -2909 -2995 -3001 -2996 -2929 -2891 -2879 -2920 -3033 -3105 -3104 -3117 -3080 -3016 -2945 -2933 -2962 -3031 -3125 -3134 -3115 -3034 -2965 -2967 -2958 -2963 -3031 -3021 -3008 -3003 -2950 -2915 -2952 -3010 -3039 -3096 -3072 -3041 -3001 -2965 -2979 -3019 -3077 -3121 -3121 -3085 -2980 -2891 -2840 -2864 -3000 -3072 -3106 -3059 -2979 -2873 -2817 -2832 -2951 -3047 -3125 -3167 -3100 -2983 -2902 -2877 -2929 -3026 -3137 -3177 -3140 -3073 -2982 -2924 -2881 -2864 -2933 -3019 -3056 -3095 -3040 -2977 -2923 -2940 -2999 -3077 -3158 -3157 -3088 -3027 -2943 -2923 -2908 -2948 -2960 -2956 -2996 -3009 -3051 -3021 -2984 -3013 -3021 -3028 -2963 -2897 -2836 -2818 -2945 -3027 -3105 -3112 -3151 -3139 -3019 -2975 -2937 -2959 -3080 -3161 -3181 -3096 -2974 -2847 -2785 -2846 -2963 -3115 -3203 -3196 -3146 -3014 -3005 -3026 -3080 -3136 -3141 -3118 -3108 -3041 -2975 -2917 -2877 -2920 -3034 -3140 -3133 -3126 -3023 -2924 -2895 -2904 -2985 -2998 -2959 -2936 -2883 -2944 -2981 -3028 -3033 -3043 -3058 -3119 -3055 -2945 -2839 -2840 -2892 -2960 -2969 -2931 -2843 -2837 -2812 -2824 -2874 -2961 -3042 -3101 -3092 -3023 -2985 -2894 -2872 -2869 -2944 -3042 -3079 -3018 -2898 -2801 -2786
+118 -60 -31 72 118 43 -79 -85 62 163 192 101 46 125 198 204 139 162 255 378 396 284 201 222 362 455 440 331 268 356 493 563 462 389 344 412 446 463 436 410 483 570 554 480 447 560 674 732 690 650 684 815 871 737 560 443 547 739 832 754 661 677 786 885 899 832 799 866 909 898 799 746 819 940 973 912 853 949 1126 1221 1135 939 841 870 962 994 893 817 865 1004 1100 1135 1143 1149 1220 1230 1123 1037 1085 1173 1192 1116 991 965 1095 1265 1347 1265 1174 1169 1286 1358 1309 1164 1062 1105 1219 1311 1277 1234 1211 1338 1404 1419 1367 1349 1422 1462 1399 1240 1125 1209 1353 1412 1396 1291 1262 1433 1585 1585 1507 1407 1423 1491 1523 1447 1361 1392 1465 1542 1510 1454 1514 1640 1675 1564 1408 1361 1527 1728 1777 1623 1457 1508 1648 1748 1694 1522 1460 1560 1750 1788 1671 1570 1574 1645 1706 1653 1580 1599 1676 1742 1655 1559 1546 1649 1807 1816 1738 1680 1704 1819 1899 1840 1664 1547 1577 1653 1704 1711 1706 1767 1812 1807 1748 1688 1745 1868 1931 1875 1760 1760 1855 1948 1910 1773 1678 1782 1990 2115 2009 1821 1717 1776 1871 1883 1775 1677 1753 1942 2022 1998 1925 1922 2025 2087 2012 1904 1894 2006 2123 2072 1871 1783 1808 1941 2005 1943 1828 1817 1963 2073 2006 1886 1786 1855 1972 2022 2040 2070 2172 2239 2187 2057 1930 1930 2055 2132 2062 1887 1835 1936 2073 2118 2025 1906 1948 2113 2253 2264 2128 2039 2021 2107 2143 2112 2110 2158 2265 2308 2226 2124 2106 2204 2255 2154 1951 1876 2003 2177 2257 2168 2039 2087 2247 2370 2372 2257 2203 2260 2339 2327 2228 2146 2176 2276 2280 2211 2162 2212 2299 2315 2233 2071 2085 2283 2450 2455 2281 2134 2186 2341 2463 2415 2324 2290 2358 2431 2415 2289 2221 2311 2382 2347 2216 2144 2205 2362 2381 2256 2134 2174 2397 2613 2597 2430 2225 2249 2398 2494 2458 2296 2263 2359 2412 2401 2300 2259 2311 2403 2405 2337 2268 2389 2487 2465 2353 2226 2290 2456 2567 2540 2351 2274 2422 2584 2601 2484 2322 2303 2416 2476 2437 2342 2313 2395 2472 2446 2375 2393 2550 2725 2727 2567 2395 2366 2476 2536 2466 2301 2223 2357 2536 2655 2552 2419 2369 2400 2494 2537 2473 2409 2456 2475 2396 2297 2303 2462 2607 2620 2538 2472 2528 2613 2649 2476 2293 2232 2386 2554 2584 2449 2355 2434 2612 2713 2641 2524 2500 2656 2718 2673 2514 2433 2503 2572 2519 2406 2329 2490 2694 2804 2710 2481 2393 2479 2623 2618 2519 2437 2510 2604 2658 2569 2453 2477 2546 2622 2645 2626 2683 2719 2713 2543 2350 2276 2400 2557 2652 2558 2476 2500 2626 2707 2641 2516 2470 2538 2720 2754 2614 2497 2429 2512 2557 2553 2484 2476 2600 2681 2645 2518 2452 2501 2620 2648 2531 2419 2436 2582 2711 2662 2540 2437 2535 2665 2745 2670 2600 2607 2660 2684 2557 2445 2431 2555 2612 2567 2515 2567 2723 2841 2759 2573 2412 2517 2743 2832 2749 2541 2467 2562 2740 2746 2662 2593 2638 2744 2732 2612 2568 2557 2657 2674 2610 2503 2536 2699 2796 2770 2666 2555 2635 2768 2839 2729 2561 2509 2599 2679 2689 2595 2563 2620 2734 2739 2682 2600 2604 2659 2664 2624 2486 2536 2664 2799 2785 2645 2543 2629 2817 2856 2727 2508 2473 2576 2732 2749 2617 2495 2509 2616 2698 2713 2688 2735 2812 2783 2664 2529 2554 2736 2861 2801 2658 2596 2655 2807 2837 2702 2579 2579 2709 2828 2748 2607 2512 2566 2652 2674 2619 2620 2745 2849 2833 2713 2599 2585 2684 2757 2695 2592 2565 2662 2804 2794 2627 2487 2482 2627 2745 2754 2634 2601 2647 2700 2717 2654 2597 2669 2762 2804 2720 2649 2673 2742 2763 2681 2502 2514 2682 2890 2887 2690 2511 2490 2666 2756 2763 2647 2657 2758 2882 2866 2679 2611 2643 2720 2759 2692 2628 2661 2763 2766 2632 2488 2503 2682 2833 2843 2765 2650 2693 2738 2772 2618 2531 2516 2644 2765 2804 2750 2708 2756 2773 2688 2594 2555 2604 2710 2725 2634 2511 2530 2698 2800 2778 2669 2691 2786 2916 2967 2791 2570 2447 2491 2581 2602 2563 2580 2669 2748 2750 2666 2650 2696 2774 2784 2691 2600 2614 2739 2767 2644 2505 2464 2624 2827 2891 2767 2650 2604 2669 2700 2636 2488 2461 2545 2676 2707 2635 2639 2763 2900 2854 2699 2574 2676 2833 2902 2759 2544 2429 2507 2670 2693 2603 2532 2600 2760 2847 2792 2677 2631 2682 2723 2739 2685 2674 2758 2798 2698 2585 2555 2676 2820 2847 2720 2551 2508 2648 2769 2727 2614 2499 2565 2697 2770 2691 2601 2623 2710 2812 2822 2758 2772 2836 2858 2754 2563 2461 2553 2727 2784 2651 2554 2506 2694 2867 2875 2759 2670 2696 2833 2870 2807 2706 2681 2760 2814 2802 2766 2780 2869 2916 2795 2672 2591 2675 2753 2730 2570 2473 2568 2786 2976 2868 2708 2624 2723 2862 2955 2855 2730 2733 2766 2744 2668 2559 2557 2700 2810 2797 2687 2653 2714 2810 2746 2606 2499 2563 2763 2933 2863 2729 2655 2743 2884 2907 2826 2754 2760 2801 2770 2692 2600 2597 2677 2722 2718 2634 2711 2878 2951 2866 2716 2614 2708 2855 2888 2783 2672 2672 2792 2875 2836 2741 2687 2722 2784 2819 2743 2706 2726 2797 2766 2679 2607 2696 2865 2990 2941 2804 2710 2769 2863 2806 2694 2613 2623 2797 2926 2920 2773 2646 2647 2726 2796 2775 2760 2783 2828 2824 2748 2662 2685 2809 2896 2822 2691 2646 2728 2828 2784 2632 2484 2513 2742 2895 2844 2707 2668 2727 2835 2817 2708 2643 2744 2840 2878 2766 2669 2706 2812 2834 2680 2491 2505 2678 2872 2882 2691 2578 2574 2752 2820 2769 2693 2714 2794 2896 2883 2801 2737 2716 2745 2732 2702 2691 2770 2844 2804 2658 2550 2589 2728 2814 2764 2645 2575 2647 2765 2836 2763 2710 2749 2878 2969 2920 2792 2723 2700 2707 2646 2540 2491 2581 2718 2729 2623 2565 2593 2829 2957 2894 2679 2545 2576 2773 2860 2755 2642 2588 2760 2891 2912 2811 2728 2746 2781 2721 2611 2546 2592 2645 2674 2580 2519 2656 2868 2962 2924 2806 2777 2879 2995 2937 2789 2617 2605 2682 2743 2703 2649 2703 2800 2853 2802 2637 2593 2703 2829 2832 2699 2606 2644 2800 2868 2774 2640 2599 2769 2936 2979 2849 2657 2618 2655 2718 2686 2622 2590 2644 2698 2722 2696 2682 2743 2814 2788 2693 2689 2820 2933 2951 2799 2615 2560 2698 2849 2835 2746 2653 2707 2821 2844 2736 2638 2649 2756 2814 2804 2698 2720 2801 2872 2773 2595 2533 2689 2882 2961 2857 2701 2674 2769 2841 2768 2632 2560 2669 2824 2867 2765 2687 2711 2798 2874 2858 2799 2828 2868 2884 2760 2625 2569 2690 2826 2878 2811 2742 2802 2954 3020 2931 2733 2595 2650 2780 2823 2760 2717 2734 2825 2872 2810 2731 2766 2870 2920 2860 2717 2628 2713 2798 2782 2644 2574 2709 2957 3081 2992 2800 2691 2759 2858 2855 2749 2653 2692 2800 2829 2769 2651 2699 2816 2905 2844 2763 2764 2878 2943 2886 2678 2629 2717 2890 2983 2893 2774 2781 2888 3001 2955 2817 2710 2737 2775 2801 2762 2726 2788 2834 2826 2756 2689 2736 2899 2977 2882 2739 2676 2776 2890 2895 2747 2573 2618 2799 2960 2916 2734 2586 2615 2690 2723 2659 2624 2668 2790 2853 2751 2641 2670 2812 2937 2888 2694 2580 2682 2809 2890 2792 2675 2667 2774 2884 2850 2712 2651 2656 2734 2769 2732 2735 2774 2821 2780 2711 2684 2794 2932 2953 2808 2658 2658 2827 2953 2933 2738 2577 2644 2836 2944 2844 2641 2555 2657 2787 2821 2734 2659 2721 2841 2866 2791 2720 2761 2852 2855 2756 2653 2630 2764 2927 2932 2791 2642 2659 2766 2857 2843 2792 2740 2791 2775 2670 2594 2608 2737 2869 2853 2784 2808 2909 3023 2978 2770 2590 2652 2832 2948 2854 2643 2528 2629 2813 2870 2804 2680 2720 2842 2973 2900 2752 2620 2671 2746 2760 2705 2709 2754 2883 2918 2829 2763 2774 2873 2923 2810 2649 2558 2619 2755 2808 2745 2671 2673 2790 2896 2895 2877 2880 2909 2900 2814 2653 2614 2742 2856 2875 2767 2675 2751 2924 2978 2816 2628 2582 2748 2949 2916 2747 2546 2583 2772 2877 2815 2694 2723 2821 2902 2878 2758 2702 2735 2799 2785 2681 2634 2710 2865 2882 2773 2647 2672 2823 2931 2927 2781 2707 2773 2861 2870 2794 2691 2727 2801 2852 2802 2761 2809 2908 2949 2862 2717 2671 2808 2983 3018 2869 2695 2649 2805 2923 2892 2747 2628 2704 2907 3035 2972 2780 2664 2694 2761 2765 2742 2708 2801 2904 2869 2763 2715 2797 2940 2981 2834 2675 2632 2790 2851 2773 2596 2505 2610 2811 2903 2818 2698 2728 2874 2930 2914 2741 2736 2809 2832 2753 2651 2690 2820 2973 2962 2837 2714 2757 2935 2970 2833 2632 2572 2642 2808 2831 2772 2732 2801 2925 2961 2927 2890 2909 2944 2926 2773 2649 2636 2733 2836 2818 2693 2606 2658 2845 2940 2858 2667 2615 2695 2831 2885 2807 2729 2752 2863 2872 2823 2765 2768 2864 2925 2863 2739 2702 2768 2808 2749 2592 2551 2671 2885 2974 2834 2699 2650 2777 2922 2891 2777 2707 2787 2885 2944 2848 2725 2751 2801 2795 2702 2591 2623 2773 2854 2803 2655 2590 2688 2874 2948 2839 2722 2729 2871 2942 2890 2768 2665 2697 2816 2841 2785 2734 2766 2813 2781 2695 2640 2685 2818 2924 2875 2757 2729 2814 2896 2882 2752 2676 2724 2907 3018 2933 2758 2704 2758 2858 2860 2767 2691 2770 2885 2880 2759 2652 2703 2844 2901 2823 2695 2687 2892 3036 3040 2861 2662 2680 2789 2883 2814 2667 2610 2673 2817 2818 2761 2731 2797 2912 2910 2842 2778 2782 2825 2788 2652 2579 2653 2852 2985 2965 2792 2707 2784 2903 2947 2859 2672 2665 2761 2856 2837 2753 2718 2829 2953 3009 2931 2876 2913 2963 2933 2825 2665 2680 2793 2899 2864 2720 2643 2756 2889 2978 2915 2789 2730 2793 2874 2833 2753 2726 2773 2861 2814 2748 2723 2789 2880 2844 2733 2649 2686 2844 2887 2761 2623 2586 2735 2929 2998 2855 2735 2744 2889 2985 2973 2887 2830 2855 2885 2795 2724 2725 2802 2890 2886 2746 2678 2745 2871 2949 2835 2744 2694 2841 2968 2965 2796 2646 2675 2816 2934 2947 2863 2790 2825 2827 2813 2737 2728 2814 2828 2814 2671 2614 2661 2823 2862 2763 2687 2742 2945 3066 3038 2889 2743 2699 2813 2818 2745 2651 2659 2739 2856 2821 2778 2777 2883 2883 2822 2695 2650 2748 2876 2902 2746 2607 2574 2739 2917 2962 2901 2845 2905 2955 2908 2766 2610 2534 2576 2671 2723 2718 2768 2814 2807 2750 2670 2718 2850 2996 2961 2779 2638 2643 2757 2845 2749 2617 2622 2737 2907 2953 2822 2693 2697 2749 2784 2731 2666 2699 2801 2854 2818 2710 2689 2813 2944 2980 2837 2735 2761 2922 2992 2847 2624 2537 2634 2773 2812 2752 2704 2738 2836 2854 2786 2683 2708 2768 2790 2772 2721 2741 2842 2854 2788 2639 2602 2719 2834 2888 2761 2590 2567 2673 2781 2799 2719 2682 2747 2830 2864 2757 2710 2705 2816 2848 2817 2746 2723 2855 2948 2877 2707 2612 2668 2862 2898 2837 2675 2628 2744 2911 2933 2827 2698 2719 2809 2890 2875 2833 2811 2867 2848 2783 2708 2747 2840 2885 2779 2663 2620 2748 2837 2836 2665 2550 2677 2900 2977
+-221 -258 -150 15 155 100 -21 -29 44 172 187 115 13 7 9 40 -11 -107 -99 -49 -7 -8 -110 -82 24 121 138 43 -75 -110 -43 -43 -146 -217 -60 120 285 228 101 -50 38 92 86 -29 -67 19 68 35 -171 -301 -195 89 310 365 214 155 185 212 179 58 -13 44 210 228 155 11 11 62 193 230 218 170 143 112 69 -72 -89 4 163 201 139 24 -45 39 175 217 207 176 158 171 151 39 -75 -63 0 87 23 -33 -38 69 227 301 172 97 125 223 235 89 -32 -40 161 306 355 219 62 81 150 215 152 128 179 293 263 123 -14 -74 46 180 229 172 162 212 290 222 73 -34 31 190 311 222 75 -40 -7 112 172 158 170 281 345 315 194 22 54 195 291 234 45 -114 -91 92 213 188 179 229 285 353 244 93 24 128 291 329 222 75 110 190 258 262 195 224 303 334 151 -45 -110 11 222 319 267 190 232 305 371 267 168 193 274 356 292 137 15 34 102 165 136 223 263 367 353 199 71 68 190 364 366 264 180 151 185 211 210 191 297 427 498 443 265 156 166 250 290 212 72 116 221 371 378 321 293 382 456 368 176 65 139 358 499 418 294 125 155 235 218 172 219 398 485 478 294 108 35 102 162 157 153 210 377 401 286 116 91 245 421 470 328 157 151 221 232 147 102 152 278 374 362 247 196 263 347 407 304 252 225 268 302 274 247 245 334 452 459 394 246 288 350 493 465 360 244 175 179 185 202 266 389 505 588 507 315 230 250 264 234 164 182 346 465 432 275 162 233 462 536 404 193 98 151 289 276 215 204 335 429 422 246 160 246 483 595 527 336 296 378 429 331 193 131 195 369 470 445 383 334 423 521 464 341 310 298 303 261 196 197 353 468 517 421 311 265 369 464 435 310 244 234 312 325 192 100 125 394 579 552 408 300 278 371 415 372 301 331 397 401 231 96 199 393 628 631 454 320 316 389 368 271 204 200 347 420 330 270 286 430 534 491 365 289 352 455 415 259 140 175 271 348 323 184 161 272 388 472 401 335 362 420 396 257 113 100 243 388 420 295 226 298 441 435 319 223 251 363 386 227 118 153 385 656 663 484 296 247 317 367 295 238 318 431 484 344 225 118 277 473 518 465 397 413 467 498 376 259 250 408 409 372 206 136 264 375 424 381 235 255 305 356 304 230 249 321 422 364 240 191 285 415 498 471 392 358 402 385 281 95 103 245 469 522 391 273 275 379 483 540 497 540 627 645 522 299 125 243 425 489 406 292 367 504 564 412 235 207 324 458 402 238 136 262 440 510 470 380 412 449 446 316 157 192 402 526 389 171 -20 18 166 301 315 283 352 459 533 440 312 252 328 425 472 379 212 229 271 393 406 351 317 387 407 351 165 125 221 426 516 412 245 195 322 447 483 403 388 434 526 478 267 159 160 280 402 347 236 244 330 427 358 227 192 316 541 535 308 120 82 179 278 319 302 366 462 462 360 221 189 310 457 449 287 86 148 279 337 365 312 316 422 481 454 284 160 219 350 440 444 263 152 153 263 296 288 315 418 520 533 375 201 101 176 238 224 150 117 215 343 375 302 225 307 468 467 339 117 13 117 237 235 200 199 319 425 406 257 167 268 429 562 461 271 126 192 266 281 186 247 354 475 479 393 332 370 466 565 468 329 221 209 256 263 229 289 344 429 439 295 226 193 307 415 375 304 216 220 264 231 217 180 291 433 520 429 255 154 177 298 327 266 206 237 261 242 144 83 181 370 577 555 378 240 238 330 382 273 152 242 364 472 428 340 350 388 513 479 347 257 274 351 280 215 191 290 465 541 387 220 156 277 444 520 449 355 331 347 302 150 71 76 232 397 411 314 247 282 353 374 276 195 182 281 299 237 171 215 411 547 572 432 252 188 257 373 334 304 256 302 294 203 141 213 370 529 561 403 311 336 378 400 254 142 179 316 450 407 258 159 212 403 456 416 380 357 361 393 290 224 177 259 338 311 249 193 267 373 437 372 316 322 370 404 345 206 164 316 441 481 336 209 183 293 393 409 362 374 409 444 302 92 -27 144 387 548 491 362 294 421 503 440 274 240 323 435 357 241 125 166 292 381 354 280 288 348 352 288 218 272 360 441 336 189 125 192 324 372 322 296 299 390 406 327 194 169 271 357 346 246 199 230 366 446 463 376 381 406 451 399 265 161 235 388 459 427 293 210 288 395 468 412 365 362 433 347 185 54 85 236 365 367 355 339 379 411 292 126 148 278 440 345 71 -96 19 240 409 376 230 268 444 513 413 213 148 223 375 391 222 88 102 263 452 437 369 363 433 506 416 311 200 278 363 445 352 242 185 186 282 331 376 448 533 513 443 275 157 232 302 334 295 259 297 389 470 418 330 286 385 487 418 230 -6 -16 135 341 394 307 258 291 345 322 276 213 363 540 578 438 180 143 198 348 372 269 244 344 481 484 344 230 294 450 524 408 251 240 328 379 396 338 381 500 576 535 354 270 342 494 589 458 294 146 174 313 345 318 283 394 507 487 344 188 172 273 388 417 367 347 376 420 410 302 236 341 537 629 522 292 133 95 189 180 162 120 225 382 420 368 306 341 470 590 557 413 340 353 397 352 259 245 347 432 398 253 106 166 346 487 488 345 212 179 177 188 181 236 389 537 556 446 348 333 390 451 379 244 152 112 187 175 185 164 273 421 582 508 385 303 349 415 466 392 305 365 427 435 335 232 244 408 541 532 414 275 265 346 330 273 217 302 442 509 403 219 191 279 463 514 441 354 339 340 271 193 164 219 341 351 261 130 109 309 476 509 408 312 353 379 373 280 209 263 389 520 429 297 172 184 326 471 475 434 400 384 376 310 223 276 393 595 641 560 437 384 411 411 334 229 244 391 487 415 298 240 325 494 560 484 402 438 529 494 386 291 354 496 564 442 189 93 236 434 511 445 286 286 379 425 320 215 138 257 428 421 388 322 364 510 588 524 424 389 398 377 291 181 136 247 423 516 473 364 300 393 517 564 526 506 462 473 421 283 213 245 432 533 554 485 470 474 476 379 230 184 324 487 461 278 76 144 365 553 572 452 419 499 584 559 359 246 304 392 380 251 138 217 386 457 384 292 291 429 492 474 354 320 401 555 569 448 360 326 352 405 414 388 511 571 552 393 164 14 113 280 409 410 357 352 458 492 477 382 375 407 456 478 337 104 112 246 416 444 295 256 311 399 460 376 290 338 475 496 391 167 209 350 500 512 376 258 373 529 576 405 277 291 365 445 321 216 185 353 463 492 439 409 540 620 534 325 206 264 445 526 391 211 187 280 379 378 316 334 473 616 595 382 162 107 253 413 480 352 301 314 342 330 285 283 408 612 614 451 271 135 148 306 314 285 264 394 551 621 551 412 443 526 562 459 250 223 287 426 400 309 225 312 456 519 377 300 409 658 825 700 384 192 182 330 452 385 340 389 534 559 440 260 212 321 419 458 322 198 229 341 412 323 307 407 580 671 564 378 225 263 413 429 361 251 241 310 368 307 271 314 514 582 568 442 321 372 472 507 425 337 414 501 580 448 274 268 438 614 596 402 244 248 290 351 343 342 408 517 515 360 234 232 426 588 568 407 306 245 324 375 320 311 365 462 515 415 225 165 253 375 473 424 380 362 385 350 262 190 234 403 662 697 565 420 367 393 412 337 246 195 251 404 416 261 186 269 439 493 402 294 275 357 413 338 297 304 356 418 298 139 141 361 561 661 505 291 184 264 298 229 139 188 347 447 380 237 147 281 491 574 521 422 351 360 357 260 183 185 321 464 442 388 269 267 308 322 335 294 308 359 384 263 149 143 269 379 458 456 373 360 402 391 302 222 199 261 364 317 149 31 107 349 511 489 354 231 269 348 324 221 184 239 404 402 302 168 161 334 415 437 309 241 260 324 282 209 220 358 549 551 383 193 150 282 425 466 492 485 515 521 351 176 60 194 326 452 436 430 417 502 586 484 345 225 260 353 392 291 181 161 267 369 447 373 317 353 409 458 393 313 275 298 270 199 87 147 269 422 488 434 386 461 479 453 330 227 286 397 434 306 185 119 253 398 478 470 492 565 615 484 245 72 181 375 540 473 317 253 338 454 503 397 357 355 439 434 347 204 145 251 354 387 356 351 346 409 460 415 433 536 594 548 384 192 122 171 269 315 295 212 292 409 467 403 317 315 433 463 415 292 231 354 537 536 389 269 256 331 410 296 166 175 330 477 485 313 151 113 210 318 332 375 447 572 556 405 285 287 381 419 354 229 202 275 313 253 177 162 314 470 538 414 232 137 221 372 410 336 239 306 436 484 422 342 362 487 502 401 218 89 162 327 389 351 217 209 329 430 374 337 353 479 595 539 388 201 166 251 310 289 274 298 421 438 330 198 199 339 463 436 290 164 177 295 327 246 223 271 446 604 510 266 136 211 368 451 418 269 243 271 253 215 226 331 473 615 621 455 299 253 352 412 369 241 264 341 409 420 394 363 436 550 522 388 281 299 411 500 449 356 339 321 347 269 136 137 354 588 653 430 219 216 351 399
+41 73 91 79 46 37 8 -63 -101 -207 -230 -198 -178 -109 -71 -60 -69 -106 -199 -284 -374 -419 -413 -351 -253 -243 -274 -361 -420 -446 -394 -310 -326 -346 -356 -344 -307 -294 -320 -390 -465 -465 -479 -462 -491 -485 -492 -500 -438 -402 -365 -379 -364 -421 -412 -449 -444 -451 -469 -460 -446 -388 -348 -374 -467 -520 -549 -559 -543 -565 -597 -568 -542 -517 -495 -533 -621 -661 -704 -691 -720 -732 -744 -693 -650 -607 -594 -652 -794 -837 -842 -744 -674 -667 -736 -819 -917 -878 -818 -777 -812 -845 -825 -768 -682 -673 -680 -749 -748 -746 -750 -791 -851 -917 -867 -800 -721 -732 -815 -874 -913 -840 -829 -827 -842 -873 -876 -879 -904 -942 -984 -968 -971 -971 -934 -924 -964 -944 -980 -1008 -1019 -1011 -1052 -1091 -1125 -1113 -1081 -1050 -1071 -1118 -1130 -1077 -1000 -915 -933 -1052 -1135 -1220 -1157 -1082 -1067 -1119 -1176 -1149 -1090 -1057 -1059 -1088 -1077 -1045 -1001 -980 -1073 -1142 -1169 -1152 -1118 -1141 -1202 -1252 -1290 -1279 -1187 -1144 -1071 -1059 -1046 -1100 -1113 -1169 -1200 -1253 -1291 -1318 -1302 -1310 -1330 -1366 -1389 -1357 -1288 -1252 -1315 -1417 -1482 -1422 -1330 -1302 -1335 -1415 -1489 -1461 -1381 -1316 -1257 -1246 -1271 -1256 -1268 -1270 -1350 -1449 -1527 -1546 -1531 -1444 -1414 -1398 -1358 -1236 -1155 -1130 -1178 -1249 -1301 -1311 -1287 -1298 -1327 -1367 -1355 -1391 -1347 -1326 -1256 -1278 -1337 -1405 -1462 -1470 -1419 -1440 -1459 -1501 -1497 -1476 -1469 -1524 -1591 -1570 -1444 -1350 -1369 -1400 -1449 -1497 -1471 -1447 -1536 -1686 -1737 -1760 -1719 -1618 -1532 -1448 -1415 -1435 -1475 -1498 -1515 -1560 -1593 -1602 -1556 -1482 -1401 -1391 -1468 -1504 -1521 -1440 -1404 -1433 -1487 -1539 -1561 -1500 -1448 -1423 -1448 -1522 -1551 -1567 -1537 -1515 -1486 -1504 -1551 -1514 -1444 -1380 -1418 -1485 -1552 -1576 -1591 -1621 -1719 -1777 -1764 -1698 -1576 -1553 -1544 -1505 -1491 -1520 -1560 -1655 -1674 -1674 -1646 -1651 -1667 -1635 -1647 -1709 -1770 -1841 -1805 -1657 -1560 -1564 -1582 -1541 -1507 -1493 -1603 -1776 -1874 -1804 -1624 -1458 -1421 -1500 -1600 -1576 -1541 -1470 -1509 -1633 -1695 -1715 -1737 -1680 -1677 -1665 -1659 -1661 -1648 -1626 -1674 -1682 -1698 -1677 -1635 -1644 -1610 -1635 -1682 -1707 -1707 -1753 -1721 -1744 -1807 -1833 -1850 -1832 -1792 -1733 -1698 -1731 -1770 -1741 -1753 -1780 -1810 -1798 -1705 -1576 -1518 -1543 -1602 -1628 -1597 -1556 -1631 -1733 -1870 -1844 -1731 -1582 -1575 -1651 -1759 -1797 -1785 -1739 -1726 -1767 -1747 -1735 -1697 -1622 -1607 -1557 -1557 -1607 -1670 -1770 -1808 -1867 -1869 -1891 -1868 -1821 -1742 -1765 -1834 -1920 -1925 -1843 -1730 -1686 -1751 -1864 -1891 -1823 -1742 -1741 -1800 -1903 -1847 -1763 -1738 -1780 -1852 -1833 -1718 -1653 -1671 -1754 -1850 -1867 -1796 -1779 -1769 -1812 -1826 -1826 -1786 -1733 -1739 -1696 -1704 -1743 -1732 -1732 -1663 -1675 -1714 -1781 -1854 -1825 -1779 -1722 -1718 -1781 -1817 -1796 -1782 -1824 -1888 -1945 -1954 -1915 -1857 -1899 -1982 -2052 -2078 -1963 -1858 -1750 -1757 -1831 -1903 -1945 -1907 -1929 -1961 -2011 -2038 -1961 -1948 -1945 -1956 -1972 -1947 -1921 -1870 -1860 -1831 -1834 -1837 -1855 -1837 -1849 -1823 -1840 -1900 -1912 -1822 -1707 -1665 -1715 -1769 -1793 -1749 -1696 -1766 -1907 -2018 -1968 -1854 -1803 -1843 -1961 -1995 -1935 -1827 -1777 -1802 -1861 -1876 -1842 -1845 -1871 -1918 -1972 -1973 -1992 -1989 -1940 -1911 -1861 -1918 -1930 -1942 -1935 -1862 -1856 -1896 -1977 -2014 -2019 -2002 -2033 -2019 -1990 -1839 -1721 -1640 -1672 -1737 -1865 -1923 -1923 -1945 -1968 -2055 -2054 -1996 -1842 -1769 -1774 -1832 -1847 -1801 -1750 -1752 -1840 -1888 -1859 -1770 -1755 -1854 -2034 -2141 -2110 -2039 -1943 -1862 -1811 -1805 -1807 -1862 -1894 -1947 -1915 -1980 -2031 -2105 -2082 -2012 -1938 -1894 -1937 -1921 -1891 -1842 -1852 -1947 -2015 -2019 -1996 -2025 -2066 -2119 -2042 -1899 -1779 -1753 -1810 -1909 -1980 -1972 -1869 -1841 -1814 -1834 -1834 -1839 -1848 -1841 -1907 -2018 -2043 -2019 -1962 -1886 -1863 -1849 -1824 -1776 -1776 -1871 -1974 -2086 -2091 -2067 -1991 -1907 -1904 -1890 -1912 -1983 -2034 -1990 -1887 -1922 -2007 -2075 -2051 -1954 -1939 -1920 -1990 -2063 -2060 -2016 -2016 -2095 -2102 -2004 -1854 -1743 -1750 -1833 -1945 -1947 -1954 -1986 -2020 -2063 -2060 -2032 -1946 -1845 -1776 -1751 -1824 -1862 -1933 -1904 -1914 -1941 -1969 -1954 -1897 -1853 -1818 -1889 -1941 -1955 -1951 -1960 -1984 -2025 -2052 -2039 -2009 -1926 -1896 -1924 -1997 -2046 -2111 -2065 -2020 -1978 -1975 -2066 -2070 -2000 -1937 -1972 -2094 -2159 -2195 -2140 -2083 -2058 -2052 -2014 -1935 -1903 -1886 -1941 -2017 -2060 -2100 -2078 -2030 -1926 -1860 -1842 -1880 -1920 -1925 -1890 -1887 -1933 -2004 -2019 -2022 -1934 -1892 -1902 -1890 -1863 -1842 -1865 -1953 -2035 -2068 -2011 -1933 -1918 -1978 -2044 -2090 -2034 -1987 -1959 -1961 -2035 -2026 -2025 -2004 -2001 -2053 -2102 -2154 -2112 -2088 -2101 -2117 -2170 -2177 -2117 -2061 -1972 -1920 -1954 -1964 -2050 -2105 -2122 -2061 -2081 -2078 -2069 -1999 -1917 -1864 -1883 -1970 -2040 -2068 -2039 -1948 -1938 -1982 -1979 -1959 -1972 -1994 -2040 -2009 -1932 -1879 -1849 -1901 -2009 -2021 -2000 -1947 -1927 -1990 -2045 -2080 -2068 -2000 -1944 -1914 -1906 -1939 -1967 -1996 -2010 -2028 -2059 -2130 -2148 -2130 -2150 -2138 -2128 -2139 -2084 -1997 -1870 -1772 -1823 -1920 -2034 -2076 -2014 -1954 -1979 -2054 -2120 -2095 -2017 -1963 -2014 -2071 -2057 -1979 -1883 -1884 -1936 -1959 -1966 -1908 -1926 -1981 -2022 -2005 -1947 -1869 -1835 -1854 -1897 -1937 -1957 -1979 -1978 -2020 -2046 -2096 -2160 -2160 -2125 -2095 -2060 -2085 -2118 -2071 -2010 -1919 -1875 -1872 -1893 -1872 -1914 -1994 -2117 -2221 -2228 -2189 -2130 -2015 -1919 -1928 -1958 -2013 -1979 -1926 -1908 -1958 -2038 -2100 -2080 -2029 -1959 -1923 -1936 -1887 -1843 -1809 -1811 -1835 -1874 -1884 -1862 -1910 -1925 -1989 -2017 -2067 -2094 -2114 -2063 -2010 -1997 -2007 -1961 -1863 -1806 -1843 -1913 -2016 -2067 -2070 -2099 -2150 -2192 -2178 -2117 -2065 -2045 -2026 -2017 -1951 -1962 -2066 -2153 -2211 -2208 -2127 -2079 -2028 -1981 -1917 -1926 -1970 -2056 -2050 -1985 -1922 -1925 -1980 -2033 -2018 -1923 -1887 -1926 -2010 -2031 -2023 -1966 -1933 -1910 -1886 -1850 -1798 -1836 -1849 -1940 -1984 -2045 -2071 -2038 -1966 -1909 -1938 -1991 -2001 -2051 -2026 -2014 -2014 -2066 -2105 -2099 -2049 -2038 -2016 -2014 -2073 -2091 -2110 -2084 -2090 -2107 -2127 -2085 -2059 -2011 -1999 -2039 -2036 -1998 -2005 -2082 -2207 -2282 -2239 -2059 -1921 -1838 -1898 -1953 -1943 -1923 -1908 -1997 -2080 -2072 -2013 -1960 -1897 -1918 -1955 -1958 -1947 -1972 -2006 -2038 -2052 -2062 -2049 -1987 -1934 -1874 -1862 -1955 -2029 -2032 -1999 -1935 -1924 -1961 -1966 -1966 -1964 -1990 -2049 -2125 -2188 -2129 -2117 -2109 -2131 -2203 -2130 -2031 -1895 -1832 -1879 -1948 -1995 -1980 -1995 -2009 -2073 -2136 -2110 -2016 -1911 -1883 -1892 -1995 -2052 -2067 -2076 -2064 -2062 -2042 -2004 -1897 -1803 -1770 -1811 -1924 -2013 -2039 -1990 -1926 -1933 -1989 -2026 -2012 -1966 -1932 -1945 -2048 -2089 -2101 -2035 -2006 -2005 -2052 -2054 -2039 -2035 -2073 -2081 -2144 -2086 -2034 -1972 -1973 -2015 -2074 -2097 -2088 -2040 -2039 -2062 -2118 -2165 -2175 -2135 -2063 -1948 -1916 -1929 -1927 -1960 -1937 -1955 -1939 -1995 -2040 -2041 -2027 -2012 -1984 -2013 -1967 -1904 -1834 -1812 -1868 -1916 -1952 -1942 -1879 -1885 -1973 -2050 -2138 -2061 -2021 -1965 -1978 -1982 -1990 -1951 -1895 -1944 -1967 -2016 -2067 -2127 -2171 -2220 -2215 -2198 -2146 -2068 -1996 -1941 -1918 -1940 -1969 -1985 -1999 -2013 -2036 -2109 -2159 -2134 -2072 -2035 -1988 -2017 -2033 -2052 -1989 -1910 -1850 -1864 -1878 -1881 -1900 -1926 -1941 -1978 -1996 -1936 -1869 -1836 -1892 -1926 -1964 -1934 -1854 -1831 -1832 -1912 -1955 -1983 -1986 -1963 -1951 -1964 -2026 -2067 -2073 -2029 -1958 -1880 -1865 -1936 -1976 -1996 -2017 -2074 -2170 -2261 -2281 -2180 -2039 -2000 -2028 -2051 -2049 -1958 -1932 -1938 -2023 -2082 -2061 -2062 -2050 -2092 -2131 -2139 -2095 -1949 -1913 -1937 -2020 -2003 -1954 -1887 -1841 -1882 -1951 -1991 -1949 -1902 -1921 -2011 -2105 -2103 -1987 -1860 -1752 -1740 -1825 -1924 -1950 -1991 -2047 -2083 -2162 -2229 -2241 -2113 -1989 -1890 -1868 -1921 -1984 -1982 -1953 -1985 -2085 -2190 -2204 -2065 -1930 -1917 -2004 -2099 -2126 -2054 -1999 -2004 -2041 -2102 -2092 -2059 -2096 -2086 -2080 -2019 -1952 -1908 -1883 -1915 -1973 -1994 -1955 -1850 -1770 -1746 -1839 -2015 -2136 -2135 -2089 -2069 -2077 -2147 -2156 -2037 -1906 -1831 -1850 -1993 -2052 -2055 -2008 -1948 -1963 -2045 -2045 -1958 -1843 -1910 -1999 -2137 -2224 -2198 -2099 -2072 -2103 -2171 -2138 -2016 -1918 -1942 -2051 -2186 -2248 -2198 -2120 -2030 -1989 -1935 -1902 -1891 -1928 -2020 -2020 -2043 -2012 -2037 -2041 -2067 -2047 -2052 -2048 -2018 -1904 -1829 -1852 -1932 -2069 -2062 -1964 -1887 -1861 -1933 -2009 -1999 -1888 -1884 -1968 -2062 -2136 -2071 -1966 -1967 -1967 -1983 -1997 -1895 -1919 -2001 -2150 -2222 -2223 -2168 -2079 -2029 -2003 -1992 -1976 -1986 -1989 -1984 -2026 -2080 -2143 -2156 -2136 -1998 -1898 -1887 -1932 -2024 -2024 -1985 -1970 -1956 -1970 -1971 -1912 -1816 -1758 -1825 -1896 -1901 -1889 -1858 -1910 -2053 -2099 -2030 -1902 -1808 -1771 -1810 -1845 -1852 -1817 -1835 -1878 -1944 -1943 -1872 -1829 -1830 -1940 -2051 -2087 -2091 -2068 -2021 -2008 -1989 -1965 -1957 -1984 -1967 -1917 -1906 -1970 -2046 -2128 -2097 -2035 -1959 -2004 -2069 -2068 -1963 -1854 -1810 -1904 -2053 -2079 -2067 -2037 -2034 -2087 -2097 -2015 -1926 -1884 -1902 -1910 -1943 -1883 -1829 -1841 -1865 -1895 -1890 -1950 -1944 -1997 -1973 -2019 -2012 -1993 -1955 -1904 -1805 -1787 -1811 -1880 -1902 -1916 -1972 -2061 -2200 -2217 -2120 -2005 -1952 -1972 -2055 -2066 -2012 -1924 -1860 -1907 -1994 -2086 -2098 -2110 -2083 -2079 -2114 -2116 -2070 -1995 -1974 -1986 -2004 -2003 -1969 -1982 -1987 -2035 -2070 -2112 -2024 -1983 -1993 -1989 -2013 -1995 -1967 -1903 -1864 -1853 -1881 -1965 -2010 -2047 -2059 -2016 -2007 -2044 -2068 -2024 -1992 -1993 -2049 -2109 -2082 -1984 -1958 -1948 -2021 -2094 -2065 -1995 -1977 -2062 -2160 -2189 -2114 -1991 -1915 -1900 -1929 -1994 -2045 -2144 -2155 -2172 -2151 -2114 -2099 -2065 -2027 -1970 -1956 -1970 -1943 -1902 -1860 -1848 -1911 -1932 -1979 -1926 -1909 -1944 -2033 -2113 -2135 -2061 -1996 -1924 -1900 -1891 -1930 -1953 -1922 -1924 -1959 -1993 -2053 -2036 -2014 -2041 -2082 -2081 -2028 -1941 -1834 -1825 -1951 -2056 -2118 -2102 -2111 -2168 -2224 -2242 -2177 -2103 -2024 -1981 -1951 -1967 -2013 -2037 -2080 -2108 -2085 -2077 -2080 -2085 -2091 -2023 -2058 -2092 -2153 -2121 -2010 -1905 -1834 -1836 -1908 -1930 -1952 -1951 -1992 -2084 -2099 -2068 -2002 -1863 -1773 -1772 -1841 -1885 -1910 -1895 -1944 -1942 -1961 -1972 -1928 -1941 -1997 -2014 -2063 -2045 -1999 -2004 -2065 -2104 -2127 -2073 -1997 -1914 -1886 -1890 -1931 -1998 -2082 -2143 -2173 -2166 -2130 -2136 -2125 -2052 -1985 -1988 -2004 -2052 -2036 -1977 -1954 -1997 -2086 -2107 -2035 -1917 -1822 -1825 -1890 -1972 -2012 -2006 -1999 -1962 -1952 -1968 -1951 -1948 -1902 -1925 -1929 -1996 -2063 -2073 -2052 -2006 -1931 -1900 -1864 -1859 -1835 -1889 -1928 -1988 -2067 -2110 -2136 -2107 -2069 -2048 -1978 -1962 -1938 -1935 -2021 -2101 -2171 -2135 -2088 -2049 -2019 -2018 -2041 -2027 -2025 -2041 -2073 -2168 -2116 -2043 -1972 -1976 -1990 -2029 -1974 -1898 -1875 -1867 -1934 -2043 -2121 -2160 -2140 -2094 -2045 -1974 -1983 -2013 -2044 -1997 -1954 -1958 -1975 -1950 -1912 -1913 -1920 -1984 -2029 -2079 -2056 -2028 -2061 -2098 -2094 -2057 -2037 -1988 -2004 -2017 -2064 -2116 -2161 -2185 -2234 -2231 -2191 -2140 -2104 -2039 -1978 -2010 -2019 -2045 -2065 -2095 -2093 -2129 -2134 -2123 -2081 -2079 -2085 -2123 -2131 -2157 -2073 -1986 -1900 -1916 -2001 -2089 -2097 -2033 -1961 -1959 -2070 -2101 -2076 -2002 -1971 -2002 -1989 -1989 -1941 -1893 -1938 -2046 -2169 -2222 -2251 -2217 -2202 -2203 -2174 -2173 -2061 -1998 -1941 -1903 -2000 -2113 -2234 -2289 -2228 -2192 -2181 -2192 -2159 -2136 -2079 -2081 -2087 -2120 -2068 -2068 -2027 -1997 -2054 -2113 -2156 -2119 -2112 -2100 -2115 -2122 -2103 -2004 -1910 -1888 -1943 -2098 -2103 -2086 -1998 -1986 -2032 -2073 -2061 -2010 -1951 -1923 -1946 -1934 -1918 -1890 -1895 -1945 -1976 -2057 -2124 -2131 -2125 -2069 -2117 -2179 -2231 -2137 -1986 -1831 -1812
+-61 -15 21 0 30 85 126 147 103 107 134 179 160 70 -36 -26 42 65 104 69 145 315 406 420 304 185 156 223 256 171 74 13 108 252 360 333 271 197 191 210 212 245 277 332 327 239 90 -7 39 123 177 230 258 346 429 430 361 237 143 150 146 167 161 226 300 392 446 338 273 285 373 389 309 250 233 316 403 407 333 323 410 533 591 501 389 335 428 478 488 391 320 351 399 465 428 387 350 367 369 322 309 381 536 612 549 425 326 313 360 390 359 316 348 410 450 399 331 283 335 434 454 437 421 437 526 519 448 367 311 367 419 390 339 394 497 638 697 622 520 474 516 559 533 439 398 437 482 538 540 517 567 630 636 601 560 572 565 500 385 317 340 462 599 651 617 554 508 532 529 473 406 388 426 470 431 378 344 397 503 513 505 488 495 519 527 485 442 439 531 589 539 432 373 450 609 700 664 568 508 524 552 567 520 518 579 639 587 522 478 551 647 696 651 579 603 680 731 668 550 468 504 598 642 618 554 543 544 497 470 406 420 490 560 604 627 628 671 666 594 496 436 480 548 573 545 497 541 599 675 653 562 458 446 524 607 669 643 646 688 672 633 617 631 737 840 849 741 607 570 576 656 660 586 567 612 677 686 651 583 608 730 794 763 694 640 626 598 515 451 473 555 640 718 688 685 775 823 722 569 411 406 534 695 730 681 678 699 741 737 647 566 623 727 783 796 769 809 854 845 722 580 519 597 746 852 888 824 785 781 781 757 726 703 759 794 777 704 634 662 731 765 803 804 770 739 693 622 579 605 671 732 765 719 693 717 779 804 723 566 541 611 762 848 869 841 797 749 679 554 485 520 629 796 866 886 899 944 987 929 813 715 720 806 847 825 769 735 771 804 784 752 792 859 939 970 921 853 853 869 852 784 731 750 814 872 835 732 715 809 929 946 856 720 661 681 709 693 630 618 654 739 817 827 851 884 899 842 730 629 615 680 787 795 779 774 804 855 859 780 687 713 825 894 905 867 825 827 822 822 805 769 826 856 880 892 890 906 929 897 780 701 651 685 774 804 775 730 713 767 801 788 745 695 718 782 779 746 747 779 824 782 709 654 692 771 803 725 650 623 739 845 893 853 808 818 869 894 821 689 642 691 821 848 829 862 894 960 950 866 783 781 799 800 756 709 757 881 967 930 779 655 668 747 847 837 813 754 745 735 669 629 686 795 884 906 844 815 808 839 811 740 655 629 694 750 751 707 683 700 785 827 829 832 870 924 978 958 852 732 711 710 762 796 851 892 944 962 880 784 769 798 823 819 751 745 858 954 975 879 740 681 720 782 835 859 893 949 901 801 652 626 693 841 864 815 727 729 771 815 752 707 748 803 851 854 775 721 730 802 812 820 795 815 869 958 950 926 902 874 860 824 791 821 962 1042 1046 922 769 711 711 826 878 909 924 1003 1093 1109 1006 821 689 678 730 766 770 828 898 941 933 827 763 783 868 897 849 782 730 783 793 740 649 639 707 830 905 854 812 843 874 893 903 836 892 921 896 793 727 700 820 914 908 832 796 858 990 1040 1011 892 777 765 783 789 835 894 982 1050 1057 976 882 799 773 794 780 750 806 857 908 857 774 710 700 784 854 847 754 766 828 940 942 848 726 694 740 740 732 696 737 807 852 802 753 746 814 901 871 759 693 754 872 924 884 743 663 735 833 914 862 842 894 924 876 807 779 848 892 877 807 708 653 694 733 739 731 804 893 960 944 811 719 673 694 686 693 649 685 751 859 891 852 800 788 742 698 655 611 670 784 856 814 725 690 717 809 849 840 786 813 872 920 882 786 698 689 735 753 778 858 927 1006 990 877 790 776 843 868 850 762 730 767 779 746 688 686 769 920 990 909 824 756 756 792 761 730 692 762 814 879 834 805 784 748 699 622 582 647 809 930 955 851 786 742 768 796 776 801 855 944 972 941 866 822 868 927 932 881 852 899 934 908 785 665 675 794 932 987 951 926 1004 1017 982 841 729 698 761 886 903 877 865 870 834 789 776 799 862 897 870 778 716 744 765 760 681 611 672 813 986 1083 1063 1019 983 921 851 729 686 724 800 874 883 823 776 817 910 976 958 926 925 966 1021 933 807 731 812 953 1004 941 865 820 892 969 926 832 755 787 816 866 865 894 933 914 841 726 648 731 898 966 930 837 792 842 854 774 686 693 770 882 953 897 886 869 889 857 737 686 691 812 947 1006 942 901 847 855 823 765 696 665 776 849 958 958 929 924 982 988 982 888 792 723 721 724 747 824 843 847 824 805 844 906 977 922 779 657 620 682 758 812 754 738 783 813 792 725 658 716 838 932 930 815 749 710 728 656 577 536 668 818 926 913 883 897 919 908 791 654 587 639 799 894 974 1004 989 986 891 797 692 719 745 779 739 727 753 821 850 808 705 673 728 802 891 847 762 699 718 773 765 728 739 745 745 741 658 580 596 687 771 799 810 778 802 811 755 688 671 734 829 898 860 811 809 856 881 865 747 677 689 811 900 978 988 946 903 792 612 544 593 750 904 970 960 921 958 966 880 716 614 598 710 795 801 779 734 730 792 768 739 746 794 843 838 757 646 587 668 714 726 717 761 847 904 882 817 680 690 777 853 807 713 669 678 777 827 823 771 832 927 972 1000 968 965 987 907 715 584 523 661 848 983 991 968 928 945 885 832 725 731 783 871 908 852 838 814 812 742 713 670 727 792 811 772 731 741 844 905 839 740 690 755 868 917 831 732 681 742 793 832 833 801 849 901 895 829 787 777 811 792 804 790 853 955 1068 1045 936 854 844 882 919 919 864 851 859 811 749 672 701 805 924 956 933 924 919 925 845 719 646 673 790 832 782 704 708 799 943 984 908 808 769 802 844 839 789 721 744 736 725 719 773 891 1011 1073 1028 939 852 858 850 832 799 807 886 939 953 904 825 811 841 841 792 770 818 896 962 906 849 797 803 825 823 792 799 909 956 911 767 640 639 752 855 835 758 696 769 878 911 835 742 734 760 776 795 778 825 894 946 890 759 686 732 843 911 876 808 772 796 800 804 820 887 992 1055 1027 902 764 727 707 712 691 700 777 870 984 976 897 820 817 822 753 706 646 739 869 921 853 706 595 626 715 793 783 724 765 823 842 797 672 608 600 641 647 664 717 829 958 973 857 726 712 774 829 790 729 686 744 804 813 783 813 887 955 978 934 849 816 811 750 721 749 814 988 1034 1015 926 854 873 891 851 759 695 728 833 942 902 775 694 634 654 650 690 762 887 1007 1006 937 832 724 728 753 766 732 746 802 877 925 844 754 677 705 817 904 905 895 944 970 967 932 872 872 872 865 835 840 865 962 989 943 883 880 979 1063 1027 912 793 702 768 828 862 831 836 908 1022 1065 1035 941 879 825 755 697 681 739 878 968 985 902 807 802 868 888 916 918 924 956 935 836 758 725 730 792 806 813 895 1001 1058 1078 1035 998 963 949 873 767 739 819 960 1061 1053 925 863 850 938 1001 968 905 892 883 866 860 785 816 876 946 937 892 860 874 929 900 827 778 798 879 986 1005 909 818 773 740 736 698 689 759 867 954 953 867 814 818 854 897 872 851 888 944 935 891 843 869 937 980 888 753 752 858 1011 1080 982 813 718 731 784 818 842 921 992 1051 1008 901 827 840 872 885 799 733 731 778 777 701 648 692 803 908 920 870 851 813 807 727 665 597 601 674 796 822 846 826 871 858 796 707 675 750 857 924 885 815 781 823 842 843 771 711 770 877 981 978 910 836 783 778 752 755 802 901 986 981 867 785 782 836 849 779 728 727 799 835 773 634 556 628 795 873 861 765 713 752 767 740 677 668 726 787 791 752 765 807 860 787 651 590 604 752 908 954 964 909 870 848 772 683 641 706 796 902 907 943 957 982 962 851 766 776 857 958 993 895 786 748 778 792 772 721 740 820 937 958 872 805 783 841 900 888 842 802 812 811 784 749 772 884 986 967 896 856 879 907 871 743 629 626 735 872 961 1000 1030 1086 1084 1013 842 753 813 924 971 921 859 850 910 944 883 779 782 902 1044 1113 1072 968 910 906 889 835 719 671 740 863 973 1000 934 911 938 915 868 833 808 832 807 795 711 703 765 847 888 830 768 771 869 935 908 819 803 846 901 916 854 880 909 994 950 813 716 717 846 999 1041 987 958 974 991 938 773 714 752 874 979 982 914 892 905 929 916 848 799 802 807 845 841 873 872 870 796 663 576 646 797 908 900 831 756 776 840 809 720 615 611 694 772 822 830 804 889 960 974 901 833 814 856 877 809 682 621 690 797 884 878 889 956 1030 1013 927 789 762 765 846 897 902 876 855 863 841 808 786 820 826 795 747 739 816 898 902 783 646 566 661 792 870 888 842 783 776 734 675 617 651 729 826 858 817 755 757 806 816 776 741 777 848 897 873 777 727 717 783 819 763 758 782 892 949 931 877 829 818 819 842 849 895 982 1003 932 741 660 675 776 805 768 703 795 939 1038 986 878 764 733 766 818 801 792 791 852 854 811 737 772 859 938 934 898 819 806 790 771 722 703 732 845 931 986 927 904
+-177 -149 -168 -177 -179 -194 -229 -232 -236 -170 -73 -56 -133 -247 -351 -309 -239 -249 -322 -404 -356 -200 -99 -101 -231 -366 -365 -267 -236 -350 -556 -680 -672 -500 -343 -319 -395 -456 -498 -511 -475 -520 -565 -590 -583 -581 -635 -667 -694 -725 -701 -656 -630 -607 -630 -647 -712 -753 -736 -725 -740 -804 -857 -876 -778 -724 -725 -791 -760 -695 -608 -587 -728 -944 -1013 -989 -859 -781 -829 -868 -798 -718 -692 -748 -923 -1054 -1027 -962 -913 -946 -980 -991 -960 -878 -842 -865 -927 -985 -1066 -1125 -1115 -1042 -960 -976 -1018 -1090 -1132 -1123 -1103 -1136 -1220 -1260 -1227 -1163 -1126 -1175 -1247 -1235 -1177 -1077 -1056 -1160 -1280 -1319 -1221 -1160 -1165 -1218 -1210 -1200 -1168 -1251 -1372 -1508 -1504 -1381 -1226 -1160 -1143 -1171 -1178 -1201 -1264 -1331 -1397 -1420 -1400 -1316 -1243 -1198 -1129 -1153 -1203 -1291 -1320 -1346 -1380 -1490 -1633 -1655 -1554 -1367 -1205 -1211 -1333 -1460 -1489 -1449 -1457 -1483 -1553 -1578 -1534 -1475 -1449 -1509 -1586 -1561 -1528 -1504 -1537 -1630 -1715 -1719 -1689 -1649 -1612 -1533 -1485 -1494 -1552 -1638 -1690 -1663 -1562 -1480 -1461 -1471 -1492 -1525 -1588 -1695 -1773 -1776 -1691 -1583 -1566 -1597 -1578 -1485 -1384 -1425 -1592 -1744 -1783 -1687 -1548 -1545 -1640 -1726 -1651 -1514 -1425 -1513 -1651 -1788 -1836 -1808 -1830 -1829 -1818 -1795 -1790 -1768 -1719 -1693 -1669 -1707 -1763 -1764 -1743 -1739 -1726 -1781 -1840 -1860 -1792 -1708 -1675 -1804 -1926 -1991 -1932 -1840 -1755 -1734 -1736 -1739 -1726 -1755 -1865 -1955 -1993 -1876 -1699 -1633 -1653 -1774 -1828 -1813 -1746 -1750 -1853 -1953 -1981 -1912 -1832 -1826 -1880 -1910 -1847 -1818 -1846 -1893 -1969 -2006 -2023 -2016 -1953 -1878 -1818 -1804 -1897 -1998 -1977 -1888 -1826 -1886 -2030 -2118 -2076 -1918 -1848 -1898 -2003 -2024 -1965 -1880 -1867 -2023 -2111 -2076 -1978 -1885 -1869 -1933 -1924 -1855 -1828 -1881 -1986 -2099 -2088 -2003 -1901 -1851 -1885 -1978 -2049 -2071 -2037 -2000 -1974 -2008 -2043 -2036 -2062 -2048 -2015 -1998 -2002 -2030 -2038 -2031 -2071 -2112 -2196 -2179 -2110 -1972 -1937 -1987 -2089 -2216 -2205 -2131 -2084 -2133 -2272 -2289 -2229 -2077 -1988 -2033 -2083 -2118 -2120 -2088 -2092 -2215 -2259 -2226 -2158 -2099 -2101 -2070 -2066 -2022 -2040 -2061 -2064 -2074 -2085 -2096 -2174 -2228 -2205 -2154 -2146 -2165 -2147 -2058 -1970 -1981 -2059 -2186 -2226 -2115 -2038 -2004 -2098 -2206 -2227 -2198 -2177 -2221 -2296 -2314 -2204 -2083 -2004 -2093 -2237 -2334 -2333 -2289 -2259 -2283 -2331 -2343 -2276 -2210 -2153 -2156 -2175 -2208 -2265 -2329 -2335 -2299 -2284 -2284 -2281 -2331 -2288 -2167 -2115 -2152 -2224 -2297 -2219 -2155 -2126 -2194 -2268 -2294 -2221 -2152 -2117 -2160 -2205 -2189 -2201 -2255 -2309 -2351 -2310 -2245 -2156 -2134 -2211 -2307 -2354 -2326 -2287 -2258 -2252 -2259 -2208 -2181 -2169 -2230 -2316 -2401 -2459 -2447 -2416 -2310 -2261 -2247 -2258 -2294 -2282 -2222 -2185 -2249 -2383 -2451 -2426 -2271 -2134 -2106 -2161 -2270 -2242 -2175 -2150 -2234 -2375 -2422 -2352 -2299 -2278 -2344 -2356 -2315 -2204 -2135 -2164 -2245 -2305 -2305 -2320 -2317 -2336 -2281 -2169 -2054 -2063 -2174 -2320 -2407 -2448 -2439 -2434 -2338 -2299 -2313 -2327 -2376 -2399 -2324 -2244 -2253 -2316 -2375 -2396 -2290 -2181 -2206 -2263 -2370 -2401 -2366 -2327 -2355 -2438 -2486 -2427 -2318 -2218 -2235 -2326 -2424 -2418 -2372 -2332 -2365 -2427 -2437 -2383 -2274 -2206 -2195 -2231 -2287 -2279 -2284 -2310 -2345 -2381 -2417 -2438 -2479 -2434 -2316 -2246 -2227 -2291 -2395 -2435 -2436 -2434 -2458 -2452 -2362 -2260 -2286 -2382 -2518 -2536 -2429 -2311 -2283 -2391 -2522 -2546 -2453 -2365 -2379 -2477 -2500 -2533 -2504 -2490 -2519 -2507 -2467 -2381 -2287 -2272 -2291 -2357 -2477 -2496 -2485 -2450 -2341 -2326 -2350 -2386 -2399 -2332 -2264 -2251 -2326 -2438 -2506 -2490 -2444 -2387 -2383 -2426 -2444 -2358 -2262 -2208 -2285 -2448 -2527 -2507 -2416 -2388 -2432 -2461 -2468 -2434 -2411 -2481 -2537 -2543 -2453 -2400 -2388 -2504 -2562 -2562 -2537 -2508 -2528 -2535 -2471 -2464 -2483 -2509 -2518 -2491 -2449 -2423 -2410 -2386 -2301 -2319 -2438 -2611 -2702 -2647 -2478 -2349 -2371 -2464 -2543 -2467 -2362 -2325 -2354 -2463 -2526 -2503 -2413 -2411 -2400 -2444 -2452 -2421 -2374 -2377 -2450 -2503 -2549 -2531 -2482 -2457 -2444 -2450 -2491 -2509 -2520 -2485 -2437 -2407 -2367 -2410 -2493 -2551 -2516 -2457 -2420 -2430 -2375 -2373 -2384 -2479 -2600 -2681 -2647 -2550 -2462 -2532 -2543 -2521 -2398 -2279 -2344 -2484 -2590 -2549 -2360 -2249 -2288 -2438 -2551 -2532 -2438 -2381 -2421 -2463 -2508 -2488 -2476 -2442 -2401 -2406 -2400 -2429 -2469 -2489 -2532 -2520 -2489 -2509 -2493 -2382 -2296 -2290 -2354 -2472 -2498 -2438 -2402 -2476 -2565 -2647 -2635 -2566 -2485 -2444 -2472 -2454 -2413 -2375 -2399 -2542 -2652 -2672 -2566 -2417 -2338 -2346 -2372 -2373 -2328 -2341 -2394 -2503 -2578 -2529 -2451 -2389 -2388 -2439 -2502 -2534 -2535 -2492 -2420 -2371 -2320 -2364 -2405 -2373 -2318 -2262 -2312 -2424 -2524 -2519 -2465 -2417 -2445 -2496 -2489 -2390 -2272 -2291 -2449 -2604 -2661 -2592 -2495 -2491 -2561 -2607 -2566 -2422 -2335 -2306 -2357 -2403 -2465 -2519 -2590 -2671 -2701 -2624 -2527 -2394 -2384 -2391 -2416 -2452 -2496 -2552 -2532 -2526 -2489 -2469 -2442 -2428 -2346 -2268 -2262 -2396 -2510 -2529 -2498 -2423 -2424 -2508 -2554 -2508 -2353 -2276 -2342 -2518 -2586 -2554 -2460 -2408 -2441 -2498 -2501 -2428 -2359 -2385 -2481 -2574 -2628 -2601 -2540 -2484 -2443 -2457 -2490 -2587 -2607 -2601 -2574 -2586 -2635 -2677 -2652 -2583 -2472 -2394 -2374 -2381 -2359 -2391 -2428 -2539 -2662 -2711 -2624 -2502 -2444 -2468 -2542 -2536 -2472 -2380 -2349 -2475 -2583 -2637 -2541 -2430 -2427 -2496 -2532 -2456 -2304 -2263 -2348 -2549 -2676 -2628 -2484 -2380 -2391 -2488 -2546 -2585 -2558 -2556 -2522 -2515 -2504 -2536 -2554 -2498 -2409 -2366 -2414 -2544 -2657 -2661 -2588 -2551 -2592 -2610 -2523 -2394 -2304 -2351 -2487 -2631 -2645 -2611 -2619 -2664 -2706 -2675 -2551 -2405 -2357 -2433 -2497 -2565 -2513 -2447 -2488 -2561 -2676 -2689 -2618 -2479 -2418 -2398 -2420 -2457 -2400 -2347 -2376 -2519 -2638 -2693 -2651 -2509 -2416 -2425 -2497 -2610 -2627 -2572 -2444 -2373 -2390 -2484 -2529 -2528 -2426 -2443 -2485 -2683 -2708 -2639 -2536 -2490 -2548 -2638 -2563 -2425 -2325 -2384 -2558 -2648 -2647 -2580 -2468 -2507 -2529 -2490 -2426 -2369 -2403 -2448 -2478 -2445 -2396 -2381 -2429 -2529 -2556 -2586 -2545 -2484 -2399 -2313 -2351 -2438 -2499 -2550 -2515 -2475 -2514 -2585 -2557 -2487 -2349 -2321 -2349 -2493 -2577 -2518 -2461 -2419 -2471 -2587 -2645 -2627 -2540 -2458 -2477 -2517 -2552 -2549 -2508 -2507 -2565 -2600 -2571 -2524 -2464 -2451 -2475 -2507 -2506 -2467 -2429 -2366 -2352 -2378 -2455 -2534 -2564 -2549 -2480 -2422 -2426 -2455 -2509 -2520 -2477 -2468 -2474 -2438 -2379 -2289 -2332 -2468 -2561 -2575 -2480 -2416 -2412 -2462 -2507 -2446 -2358 -2363 -2471 -2598 -2680 -2657 -2597 -2565 -2565 -2601 -2571 -2504 -2433 -2382 -2340 -2354 -2437 -2512 -2605 -2648 -2614 -2545 -2504 -2518 -2522 -2494 -2457 -2451 -2515 -2620 -2653 -2590 -2477 -2440 -2518 -2654 -2682 -2606 -2459 -2382 -2422 -2449 -2505 -2422 -2375 -2398 -2458 -2499 -2455 -2418 -2530 -2682 -2757 -2673 -2552 -2413 -2355 -2383 -2423 -2422 -2359 -2367 -2424 -2472 -2532 -2602 -2563 -2559 -2509 -2459 -2430 -2459 -2477 -2491 -2491 -2540 -2679 -2848 -2886 -2763 -2512 -2394 -2369 -2471 -2545 -2512 -2469 -2450 -2616 -2717 -2722 -2581 -2433 -2373 -2440 -2560 -2579 -2561 -2534 -2560 -2621 -2618 -2597 -2557 -2494 -2489 -2473 -2443 -2379 -2393 -2428 -2480 -2521 -2510 -2460 -2457 -2413 -2442 -2417 -2541 -2649 -2705 -2633 -2555 -2529 -2559 -2644 -2623 -2510 -2404 -2402 -2499 -2594 -2580 -2490 -2482 -2600 -2739 -2743 -2588 -2349 -2239 -2276 -2451 -2621 -2675 -2642 -2638 -2642 -2651 -2605 -2507 -2409 -2407 -2437 -2470 -2511 -2511 -2505 -2502 -2511 -2508 -2473 -2434 -2408 -2402 -2389 -2429 -2502 -2579 -2556 -2534 -2462 -2523 -2581 -2593 -2569 -2480 -2469 -2511 -2557 -2530 -2465 -2382 -2395 -2473 -2530 -2501 -2433 -2433 -2542 -2655 -2682 -2566 -2499 -2480 -2548 -2560 -2514 -2419 -2333 -2391 -2465 -2568 -2639 -2706 -2687 -2619 -2480 -2315 -2268 -2317 -2401 -2416 -2441 -2452 -2494 -2590 -2617 -2556 -2476 -2447 -2466 -2461 -2427 -2342 -2305 -2351 -2455 -2570 -2541 -2472 -2383 -2399 -2468 -2514 -2498 -2472 -2462 -2493 -2515 -2494 -2443 -2427 -2417 -2515 -2540 -2525 -2500 -2507 -2587 -2623 -2614 -2530 -2500 -2519 -2523 -2539 -2512 -2447 -2504 -2540 -2573 -2584 -2648 -2694 -2726 -2624 -2465 -2357 -2379 -2500 -2616 -2603 -2497 -2440 -2517 -2648 -2657 -2574 -2459 -2401 -2417 -2447 -2436 -2418 -2406 -2459 -2515 -2535 -2510 -2507 -2465 -2426 -2412 -2395 -2452 -2469 -2495 -2482 -2418 -2417 -2467 -2501 -2532 -2529 -2511 -2495 -2515 -2579 -2519 -2482 -2483 -2539 -2565 -2569 -2510 -2447 -2456 -2539 -2623 -2628 -2574 -2508 -2481 -2557 -2632 -2616 -2540 -2447 -2475 -2582 -2616 -2582 -2457 -2362 -2372 -2473 -2540 -2546 -2478 -2407 -2384 -2379 -2462 -2511 -2599 -2600 -2605 -2558 -2526 -2506 -2450 -2394 -2318 -2295 -2406 -2570 -2627 -2614 -2491 -2427 -2449 -2510 -2500 -2434 -2385 -2388 -2467 -2531 -2533 -2549 -2553 -2637 -2727 -2722 -2599 -2503 -2446 -2497 -2563 -2584 -2461 -2359 -2321 -2361 -2458 -2539 -2558 -2577 -2523 -2497 -2513 -2510 -2528 -2522 -2528 -2532 -2548 -2574 -2521 -2409 -2297 -2319 -2464 -2625 -2671 -2590 -2462 -2298 -2322 -2401 -2395 -2361 -2288 -2330 -2465 -2596 -2662 -2617 -2549 -2532 -2531 -2532 -2489 -2390 -2368 -2416 -2525 -2550 -2548 -2528 -2491 -2514 -2479 -2457 -2365 -2334 -2375 -2421 -2503 -2575 -2595 -2569 -2584 -2539 -2537 -2542 -2536 -2576 -2519 -2437 -2401 -2429 -2442 -2486 -2469 -2437 -2444 -2464 -2490 -2392 -2286 -2280 -2427 -2645 -2717 -2612 -2406 -2264 -2284 -2394 -2506 -2514 -2466 -2445 -2521 -2556 -2541 -2457 -2389 -2385 -2401 -2368 -2382 -2406 -2463 -2493 -2524 -2549 -2577 -2589 -2580 -2468 -2408 -2371 -2458 -2557 -2577 -2564 -2560 -2576 -2628 -2606 -2537 -2455 -2418 -2496 -2521 -2545 -2506 -2465 -2485 -2575 -2628 -2632 -2531 -2439 -2376 -2459 -2479 -2458 -2389 -2390 -2466 -2588 -2621 -2576 -2422 -2310 -2311 -2396 -2488 -2533 -2508 -2462 -2452 -2426 -2396 -2432 -2472 -2459 -2439 -2419 -2500 -2602 -2682 -2691 -2573 -2514 -2524 -2594 -2620 -2452 -2302 -2304 -2447 -2647 -2722 -2685 -2611 -2580 -2587 -2580 -2484 -2417 -2437 -2530 -2643 -2643 -2574 -2527 -2537 -2599 -2619 -2574 -2496 -2434 -2394 -2412 -2447 -2478 -2519 -2516 -2499 -2482 -2495 -2508 -2522 -2468 -2411 -2410 -2470 -2592 -2653 -2593 -2452 -2363 -2417 -2518 -2573 -2562 -2450 -2401 -2450 -2544 -2608 -2561 -2442 -2436 -2495 -2549 -2527 -2424 -2354 -2398 -2543 -2674 -2729 -2703 -2643 -2589 -2519 -2470 -2380 -2413 -2461 -2487 -2494 -2492 -2532 -2562 -2580 -2539 -2470 -2480 -2504 -2490 -2460 -2363 -2372 -2465 -2564 -2580 -2480 -2411 -2442 -2588 -2699 -2650 -2501 -2427 -2411 -2538 -2550 -2447 -2338 -2279 -2350 -2526 -2583 -2509 -2427 -2382 -2472 -2585 -2647 -2610 -2544 -2501 -2507 -2538 -2514 -2446 -2429 -2430 -2502 -2589 -2684 -2675 -2636 -2527 -2432 -2397 -2411 -2514 -2577 -2556 -2517 -2522 -2579 -2603 -2585 -2500 -2438 -2489 -2590 -2571 -2494 -2392 -2408 -2518 -2644 -2610 -2477 -2334 -2283 -2367 -2484 -2461 -2374 -2354 -2458 -2525 -2573 -2522 -2438 -2464 -2511 -2557 -2545 -2488 -2443 -2369 -2408 -2461 -2525 -2596 -2567 -2461 -2374 -2380 -2452 -2576 -2635 -2556 -2488 -2515 -2589 -2691 -2686 -2516 -2398 -2389 -2506 -2648 -2680 -2598 -2530 -2528 -2564 -2568 -2482 -2326 -2236 -2287 -2446 -2530 -2501 -2478 -2492 -2594 -2677 -2612 -2505 -2355 -2335 -2377 -2420 -2420 -2460 -2453 -2493 -2531 -2494 -2497 -2457 -2383 -2335 -2349 -2374 -2424 -2445 -2467 -2455 -2504 -2647 -2746 -2737 -2575 -2390 -2336 -2429 -2582 -2666 -2604 -2490 -2468 -2484 -2549 -2560 -2490 -2431 -2467 -2540 -2598 -2608 -2560 -2540 -2543 -2570 -2553 -2497 -2426 -2400 -2435 -2516 -2566 -2653 -2683 -2689 -2644 -2543 -2436 -2358 -2361 -2341 -2378 -2417 -2501 -2551 -2550 -2531 -2438 -2456 -2492 -2529 -2499 -2391 -2332 -2411 -2568 -2638 -2580 -2482 -2435 -2525 -2619 -2608 -2468 -2345 -2316 -2439 -2564 -2610 -2568 -2521 -2495 -2505 -2503 -2496 -2492 -2536 -2539 -2531 -2541 -2588 -2621 -2682 -2644 -2581 -2548 -2565 -2559 -2524 -2490 -2506 -2530 -2585 -2579 -2549
+-4 -75 -110 -130 -162 -251 -312 -373 -316 -233 -179 -192 -336 -418 -414 -316 -269 -332 -442 -602 -657 -661 -617 -631 -738 -826 -805 -726 -617 -558 -566 -579 -666 -702 -717 -762 -800 -860 -852 -797 -735 -711 -804 -897 -943 -904 -814 -811 -839 -890 -853 -762 -682 -742 -870 -1011 -1078 -1031 -925 -862 -821 -799 -786 -802 -864 -967 -1058 -1181 -1157 -1054 -973 -956 -1070 -1194 -1256 -1220 -1179 -1121 -1172 -1273 -1379 -1407 -1406 -1374 -1409 -1458 -1464 -1424 -1357 -1308 -1335 -1316 -1345 -1380 -1402 -1476 -1571 -1613 -1573 -1453 -1378 -1359 -1463 -1569 -1624 -1620 -1549 -1450 -1378 -1371 -1360 -1357 -1399 -1432 -1456 -1534 -1615 -1606 -1594 -1524 -1477 -1459 -1504 -1532 -1565 -1603 -1597 -1673 -1707 -1690 -1610 -1549 -1632 -1788 -1894 -1967 -1908 -1788 -1722 -1782 -1873 -1847 -1794 -1764 -1773 -1802 -1836 -1848 -1826 -1838 -1871 -1934 -1953 -1897 -1892 -1854 -1984 -2061 -2050 -1925 -1810 -1727 -1792 -1895 -1990 -2065 -2051 -1929 -1779 -1680 -1619 -1668 -1754 -1803 -1859 -1872 -1943 -1995 -2010 -1962 -1932 -1983 -2059 -2168 -2131 -2088 -1992 -1996 -1980 -1945 -1880 -1799 -1785 -1926 -2090 -2225 -2295 -2305 -2253 -2178 -2156 -2168 -2214 -2250 -2261 -2189 -2206 -2212 -2246 -2266 -2269 -2242 -2255 -2283 -2262 -2190 -2148 -2151 -2186 -2229 -2228 -2187 -2113 -2099 -2207 -2293 -2330 -2268 -2116 -1976 -1948 -2035 -2173 -2258 -2245 -2178 -2170 -2179 -2147 -2109 -1998 -2014 -2105 -2259 -2302 -2261 -2214 -2223 -2292 -2336 -2311 -2235 -2204 -2250 -2319 -2408 -2489 -2493 -2457 -2366 -2304 -2291 -2385 -2441 -2481 -2491 -2477 -2516 -2580 -2567 -2465 -2359 -2353 -2396 -2426 -2420 -2412 -2395 -2463 -2582 -2612 -2534 -2469 -2346 -2362 -2349 -2393 -2366 -2307 -2229 -2195 -2268 -2361 -2464 -2525 -2532 -2536 -2521 -2480 -2363 -2288 -2219 -2257 -2373 -2471 -2456 -2376 -2322 -2389 -2458 -2525 -2516 -2452 -2448 -2494 -2603 -2658 -2685 -2703 -2619 -2593 -2598 -2566 -2671 -2697 -2647 -2643 -2691 -2764 -2764 -2683 -2538 -2504 -2610 -2783 -2830 -2787 -2745 -2752 -2804 -2841 -2739 -2644 -2572 -2581 -2685 -2710 -2695 -2705 -2701 -2671 -2623 -2564 -2535 -2545 -2591 -2676 -2694 -2697 -2657 -2599 -2585 -2594 -2654 -2769 -2799 -2738 -2657 -2585 -2620 -2670 -2768 -2823 -2804 -2804 -2843 -2914 -2985 -2936 -2858 -2767 -2736 -2777 -2837 -2842 -2854 -2871 -2926 -2969 -3004 -2915 -2759 -2708 -2823 -2990 -3097 -3079 -2954 -2836 -2790 -2734 -2678 -2680 -2702 -2741 -2799 -2841 -2846 -2894 -2899 -2893 -2815 -2754 -2724 -2742 -2754 -2773 -2808 -2823 -2835 -2865 -2796 -2761 -2726 -2831 -2970 -2988 -2876 -2700 -2658 -2720 -2846 -2920 -2998 -2976 -2991 -3027 -3093 -3066 -2991 -2947 -2907 -2910 -2917 -2860 -2826 -2879 -2954 -3016 -2974 -2917 -2871 -2890 -2991 -3037 -3086 -3182 -3169 -3094 -2897 -2765 -2750 -2794 -2890 -2882 -2814 -2726 -2772 -2839 -2936 -2877 -2832 -2838 -2853 -2968 -2973 -2862 -2850 -2831 -2848 -2845 -2765 -2700 -2700 -2785 -2935 -2990 -2998 -2888 -2841 -2766 -2804 -2864 -2974 -2982 -3025 -2989 -2976 -2990 -2991 -3008 -3022 -3061 -3048 -3102 -3100 -3086 -3080 -3084 -3059 -3026 -3023 -2967 -2908 -2935 -2961 -3070 -3124 -3107 -3026 -2944 -2930 -2990 -3042 -2968 -2874 -2833 -2902 -2934 -2936 -2829 -2757 -2761 -2874 -2923 -2911 -2876 -2869 -2873 -2902 -2883 -2773 -2742 -2734 -2807 -2813 -2833 -2916 -2986 -3052 -2983 -2930 -2935 -3014 -3113 -3123 -3034 -2944 -2950 -2973 -2967 -2885 -2865 -2940 -3128 -3220 -3201 -3097 -3048 -3048 -3114 -3193 -3134 -3037 -2996 -3058 -3135 -3199 -3205 -3133 -2996 -2931 -2881 -2855 -2901 -2912 -2964 -3040 -3128 -3156 -3065 -3018 -2954 -2987 -3029 -3031 -3019 -3006 -2968 -2944 -2930 -2963 -2994 -3055 -3041 -2980 -2939 -2996 -3107 -3195 -3126 -3034 -3067 -3122 -3191 -3185 -3124 -3095 -3146 -3200 -3248 -3165 -3070 -3095 -3233 -3286 -3291 -3193 -3067 -3077 -3163 -3233 -3287 -3275 -3300 -3252 -3259 -3264 -3306 -3305 -3256 -3073 -2896 -2861 -2970 -3131 -3256 -3284 -3315 -3288 -3230 -3111 -3020 -2959 -2972 -3051 -3170 -3176 -3161 -3071 -3032 -3063 -3139 -3214 -3167 -3091 -3041 -3081 -3228 -3280 -3263 -3140 -3116 -3125 -3199 -3263 -3260 -3205 -3271 -3342 -3351 -3266 -3215 -3177 -3223 -3281 -3270 -3276 -3172 -3179 -3176 -3208 -3290 -3381 -3441 -3387 -3285 -3183 -3143 -3173 -3208 -3150 -3041 -3000 -3118 -3235 -3333 -3281 -3235 -3156 -3109 -3016 -2933 -2936 -2961 -3104 -3171 -3241 -3174 -3073 -2947 -2923 -2975 -3021 -3090 -3113 -3116 -3118 -3224 -3349 -3376 -3282 -3187 -3155 -3142 -3152 -3138 -3111 -3110 -3160 -3240 -3271 -3220 -3177 -3220 -3288 -3348 -3405 -3470 -3458 -3364 -3312 -3293 -3332 -3354 -3296 -3183 -3104 -3105 -3150 -3201 -3177 -3135 -3135 -3219 -3267 -3251 -3126 -2992 -2983 -3000 -3024 -3026 -2991 -3023 -3147 -3272 -3373 -3315 -3189 -3039 -2923 -2862 -2927 -3001 -3079 -3110 -3086 -3070 -3123 -3131 -3192 -3187 -3192 -3193 -3208 -3250 -3225 -3206 -3162 -3209 -3260 -3265 -3271 -3206 -3200 -3206 -3341 -3458 -3459 -3370 -3284 -3251 -3222 -3233 -3204 -3145 -3081 -3120 -3183 -3234 -3201 -3173 -3167 -3262 -3323 -3328 -3227 -3165 -3102 -3126 -3165 -3195 -3103 -3064 -3010 -2976 -3053 -3096 -3147 -3112 -3027 -2974 -3003 -3134 -3259 -3327 -3279 -3196 -3145 -3145 -3201 -3184 -3114 -3117 -3148 -3226 -3275 -3248 -3188 -3154 -3228 -3258 -3298 -3237 -3197 -3198 -3315 -3447 -3508 -3436 -3322 -3209 -3206 -3206 -3210 -3252 -3253 -3280 -3278 -3254 -3168 -3158 -3151 -3163 -3208 -3254 -3239 -3258 -3252 -3203 -3199 -3226 -3310 -3324 -3209 -3078 -2972 -3033 -3160 -3192 -3129 -3019 -3018 -3150 -3306 -3337 -3328 -3257 -3224 -3267 -3287 -3243 -3220 -3224 -3303 -3374 -3425 -3432 -3372 -3337 -3282 -3306 -3375 -3370 -3321 -3261 -3234 -3297 -3406 -3481 -3434 -3318 -3263 -3288 -3343 -3344 -3348 -3302 -3305 -3329 -3310 -3255 -3223 -3209 -3213 -3247 -3314 -3278 -3209 -3150 -3083 -3108 -3199 -3218 -3193 -3109 -3050 -3089 -3206 -3264 -3246 -3163 -3145 -3158 -3310 -3307 -3319 -3276 -3269 -3301 -3269 -3207 -3146 -3157 -3207 -3279 -3354 -3411 -3371 -3304 -3225 -3238 -3363 -3506 -3532 -3448 -3296 -3305 -3435 -3515 -3526 -3376 -3213 -3161 -3240 -3317 -3239 -3179 -3173 -3254 -3326 -3342 -3280 -3180 -3199 -3240 -3311 -3285 -3210 -3097 -3013 -3007 -3105 -3161 -3188 -3149 -3085 -3079 -3153 -3224 -3230 -3158 -3061 -3050 -3113 -3187 -3181 -3130 -3078 -3133 -3196 -3307 -3250 -3201 -3181 -3180 -3330 -3393 -3353 -3235 -3114 -3140 -3244 -3391 -3463 -3422 -3312 -3264 -3311 -3404 -3422 -3338 -3263 -3209 -3223 -3212 -3194 -3204 -3236 -3304 -3337 -3303 -3208 -3154 -3149 -3180 -3237 -3279 -3313 -3292 -3214 -3100 -3020 -3054 -3120 -3154 -3104 -3053 -3011 -3110 -3193 -3183 -3149 -3133 -3192 -3242 -3275 -3201 -3060 -3062 -3107 -3157 -3190 -3154 -3131 -3145 -3204 -3329 -3399 -3391 -3372 -3336 -3359 -3369 -3415 -3386 -3335 -3295 -3238 -3185 -3188 -3150 -3150 -3162 -3224 -3265 -3335 -3393 -3400 -3422 -3381 -3346 -3315 -3238 -3137 -3127 -3149 -3255 -3349 -3383 -3312 -3182 -3093 -3032 -3064 -3108 -3077 -3064 -3079 -3107 -3122 -3146 -3216 -3270 -3335 -3408 -3422 -3398 -3313 -3271 -3237 -3250 -3239 -3308 -3297 -3258 -3168 -3154 -3208 -3305 -3354 -3342 -3271 -3272 -3333 -3428 -3487 -3511 -3443 -3387 -3362 -3333 -3319 -3296 -3331 -3389 -3474 -3474 -3383 -3248 -3190 -3192 -3224 -3239 -3187 -3098 -3047 -3126 -3237 -3313 -3328 -3187 -3133 -3099 -3149 -3196 -3243 -3227 -3195 -3180 -3178 -3198 -3210 -3216 -3221 -3267 -3256 -3253 -3190 -3150 -3122 -3149 -3237 -3334 -3417 -3348 -3253 -3229 -3326 -3413 -3526 -3523 -3421 -3359 -3360 -3409 -3408 -3363 -3293 -3234 -3268 -3301 -3283 -3262 -3249 -3321 -3353 -3396 -3343 -3287 -3164 -3171 -3212 -3293 -3353 -3303 -3193 -3119 -3122 -3185 -3191 -3054 -3006 -2952 -2959 -3069 -3174 -3281 -3297 -3275 -3235 -3200 -3192 -3139 -3107 -3042 -3054 -3107 -3155 -3152 -3123 -3107 -3219 -3332 -3398 -3353 -3160 -3064 -3104 -3251 -3381 -3394 -3350 -3313 -3339 -3435 -3379 -3340 -3246 -3256 -3317 -3360 -3363 -3343 -3270 -3224 -3276 -3280 -3251 -3215 -3159 -3140 -3156 -3232 -3293 -3316 -3315 -3300 -3215 -3169 -3122 -3100 -3075 -3069 -3046 -3063 -3081 -3141 -3190 -3207 -3205 -3110 -3101 -3074 -3010 -2982 -3004 -3075 -3184 -3268 -3230 -3203 -3196 -3230 -3287 -3239 -3164 -3137 -3183 -3293 -3382 -3380 -3339 -3300 -3315 -3267 -3174 -3074 -3055 -3093 -3182 -3208 -3228 -3261 -3279 -3284 -3279 -3275 -3343 -3426 -3370 -3297 -3120 -3046 -3068 -3125 -3138 -3072 -3032 -2988 -2986 -3017 -3053 -3087 -3174 -3243 -3239 -3197 -3104 -3065 -3062 -3106 -3120 -3092 -3066 -3080 -3127 -3183 -3278 -3327 -3254 -3184 -3120 -3061 -3033 -3082 -3190 -3272 -3305 -3314 -3288 -3287 -3307 -3354 -3352 -3283 -3228 -3173 -3198 -3229 -3292 -3262 -3274 -3297 -3293 -3251 -3156 -3103 -3153 -3276 -3398 -3360 -3252 -3129 -3124 -3177 -3219 -3173 -3062 -3012 -3005 -3010 -3044 -3078 -3113 -3210 -3214 -3165 -3146 -3122 -3150 -3157 -3155 -3109 -3164 -3219 -3201 -3209 -3142 -3156 -3250 -3280 -3228 -3083 -3027 -3143 -3337 -3503 -3521 -3335 -3233 -3211 -3252 -3281 -3285 -3256 -3274 -3330 -3383 -3415 -3345 -3350 -3353 -3382 -3399 -3315 -3169 -3117 -3140 -3169 -3186 -3218 -3181 -3134 -3150 -3114 -3123 -3129 -3157 -3131 -3084 -3061 -3088 -3159 -3258 -3229 -3204 -3107 -3104 -3151 -3144 -3084 -3021 -2970 -3070 -3162 -3195 -3070 -2918 -2890 -3018 -3212 -3325 -3306 -3292 -3329 -3428 -3519 -3459 -3347 -3247 -3215 -3301 -3299 -3269 -3193 -3182 -3245 -3271 -3275 -3262 -3269 -3317 -3391 -3409 -3344 -3285 -3217 -3233 -3227 -3265 -3307 -3327 -3331 -3250 -3137 -3126 -3160 -3216 -3190 -3136 -3059 -3027 -3071 -3117 -3036 -2985 -3021 -3106 -3218 -3214 -3147 -3074 -3079 -3173 -3259 -3199 -3098 -3036 -3018 -3076 -3120 -3204 -3259 -3283 -3296 -3291 -3245 -3241 -3243 -3272 -3242 -3290 -3287 -3271 -3245 -3201 -3172 -3212 -3311 -3315 -3302 -3278 -3234 -3240 -3294 -3323 -3290 -3226 -3151 -3131 -3211 -3286 -3281 -3182 -3043 -2929 -2953 -3062 -3120 -3135 -3111 -3122 -3181 -3227 -3192 -3110 -3086 -3106 -3152 -3147 -3058 -2960 -2953 -3048 -3143 -3209 -3238 -3217 -3181 -3166 -3197 -3257 -3285 -3279 -3244 -3147 -3075 -3099 -3199 -3282 -3249 -3231 -3259 -3279 -3304 -3244 -3174 -3201 -3330 -3392 -3417 -3287 -3133 -3095 -3139 -3220 -3271 -3235 -3192 -3125 -3144 -3212 -3219 -3218 -3136 -3056 -2994 -3030 -3087 -3171 -3160 -3184 -3141 -3183 -3198 -3180 -3115 -3072 -3102 -3151 -3144 -3117 -3064 -3053 -3101 -3184 -3274 -3246 -3210 -3073 -3043 -3124 -3208 -3332 -3378 -3317 -3275 -3275 -3330 -3394 -3339 -3224 -3219 -3286 -3287 -3264 -3179 -3165 -3259 -3431 -3522 -3500 -3366 -3291 -3246 -3296 -3319 -3346 -3262 -3175 -3112 -3083 -3110 -3101 -3135 -3153 -3154 -3182 -3231 -3234 -3225 -3158 -3116 -3123 -3093 -3083 -3011 -2965 -2975 -3083 -3162 -3227 -3205 -3156 -3098 -3081 -3147 -3196 -3163 -3129 -3043 -3117 -3257 -3420 -3466 -3445 -3351 -3304 -3294 -3308 -3256 -3192 -3213 -3305 -3454 -3544 -3472 -3331 -3327 -3362 -3430 -3432 -3391 -3290 -3276 -3254 -3283 -3318 -3297 -3247 -3211 -3148 -3179 -3174 -3206 -3218 -3214 -3229 -3309 -3325 -3281 -3216 -3136 -3175 -3231 -3238 -3169 -3031 -2949 -3019 -3170 -3280 -3306 -3214 -3095 -3050 -3104 -3171 -3234 -3227 -3215 -3267 -3400 -3467 -3484 -3339 -3217 -3148 -3159 -3203 -3218 -3242 -3250 -3333 -3413 -3439 -3411 -3293 -3187 -3151 -3235 -3315 -3360 -3378 -3372 -3328 -3285 -3336 -3381 -3359 -3248 -3127 -3093 -3133 -3129 -3093 -3056 -3075 -3174 -3271 -3301 -3250 -3149 -3132 -3238 -3350 -3303 -3162 -3026 -2980 -3028 -3114 -3183 -3170 -3101 -3036 -3084 -3148 -3232 -3311 -3332 -3266 -3276 -3283 -3280 -3194 -3103 -3031 -3086 -3221 -3339 -3373 -3364 -3320 -3345 -3409 -3445 -3372 -3253 -3113 -3113 -3219 -3319 -3300 -3267 -3145 -3125 -3153 -3195 -3211 -3197 -3168 -3200 -3263 -3262 -3169 -3075 -3050 -3084 -3175 -3147 -3066 -3035 -3044 -3070 -3101 -3157 -3155 -3160 -3073 -3036 -3032 -3084 -3142 -3162 -3142 -3126 -3177 -3266 -3314 -3353 -3287 -3228 -3214 -3227 -3210 -3122 -3110 -3157 -3303 -3417 -3387 -3300 -3202 -3190 -3244 -3321 -3312 -3271 -3198 -3190 -3243 -3366 -3432 -3398 -3307 -3196 -3135 -3116 -3085 -3079 -3071 -3123 -3212 -3232 -3235 -3189 -3133 -3186 -3191 -3210 -3183 -3134 -3073 -3014 -3042 -3055 -3102 -3090 -3043 -2964 -2964 -3016 -3178 -3243 -3251 -3247 -3236 -3269 -3259 -3196 -3139 -3150 -3240 -3285 -3224 -3118 -3085
+318 451 584 707 784 798 731 630 536 515 538 603 689 800 924 1078 1241 1337 1317 1312 1265 1345 1435 1521 1594 1654 1704 1773 1834 1826 1755 1710 1756 1851 1932 1973 1955 2004 2102 2204 2290 2322 2357 2374 2450 2517 2575 2670 2695 2743 2785 2791 2804 2855 2895 2934 2958 2947 2997 3065 3118 3148 3196 3258 3342 3373 3316 3243 3140 3134 3260 3434 3583 3672 3774 3830 3905 3891 3830 3735 3733 3781 3881 3961 4062 4139 4237 4276 4277 4202 4134 4018 4001 4083 4173 4325 4423 4507 4559 4568 4613 4643 4680 4698 4715 4733 4739 4746 4764 4779 4772 4764 4791 4841 4808 4828 4847 4871 4999 5078 5116 5091 5053 5042 5139 5203 5173 5127 5067 5078 5188 5322 5472 5579 5578 5609 5557 5444 5346 5303 5326 5401 5547 5653 5714 5711 5683 5673 5614 5589 5559 5506 5511 5560 5705 5852 6014 6082 6036 5932 5853 5785 5800 5835 5828 5854 5935 5990 6004 5960 5872 5847 5890 5959 5998 5960 5958 5980 6073 6157 6244 6253 6225 6213 6232 6288 6265 6259 6263 6250 6307 6351 6359 6365 6327 6320 6295 6298 6320 6314 6332 6372 6457 6567 6632 6589 6480 6339 6244 6260 6341 6424 6517 6611 6708 6805 6901 6923 6823 6688 6577 6533 6515 6515 6549 6593 6688 6788 6853 6810 6735 6685 6703 6776 6851 6916 6918 6915 6944 7001 7035 7054 7072 7059 7034 7035 7012 7015 7000 6999 6964 6967 6960 7001 6981 6966 7018 7032 7136 7239 7279 7314 7338 7354 7398 7420 7389 7282 7239 7233 7287 7367 7457 7480 7514 7527 7586 7559 7471 7392 7329 7343 7374 7454 7541 7606 7676 7712 7746 7685 7645 7633 7641 7701 7785 7860 7899 7918 7941 7878 7870 7838 7800 7765 7700 7705 7697 7765 7844 7890 7906 7942 8011 8105 8189 8208 8169 8187 8233 8241 8263 8244 8187 8212 8245 8259 8263 8207 8177 8164 8198 8249 8279 8303 8328 8376 8403 8425 8407 8340 8343 8370 8446 8566 8640 8692 8671 8650 8592 8520 8431 8393 8349 8408 8488 8651 8766 8794 8773 8723 8667 8647 8628 8611 8580 8548 8563 8681 8798 8879 8892 8925 8948 8986 8976 8939 8840 8812 8788 8824 8833 8830 8771 8766 8797 8863 8895 8862 8777 8739 8752 8827 8905 9014 9078 9078 9038 9036 8985 8971 8924 8877 8954 8996 9054 9088 9040 8943 8893 8850 8866 8853 8820 8878 8958 9108 9241 9310 9241 9065 8994 8887 8898 8905 8957 8992 9092 9138 9204 9218 9184 9108 9004 8982 8952 8916 8901 8919 8945 9030 9114 9153 9125 9038 8950 8911 8938 8925 8959 8963 8967 9000 9071 9195 9213 9220 9163 9071 9035 8964 8955 8948 8941 9018 9059 9098 9005 8931 8821 8833 8886 8982 9097 9094 9174 9221 9210 9246 9165 9030 8896 8771 8780 8859 8946 9080 9156 9210 9204 9142 9017 8854 8787 8769 8790 8906 8973 9014 9053 9100 9134 9127 9117 9010 8950 8882 8913 8965 9093 9154 9191 9206 9211 9168 9143 9056 9009 8964 8953 8984 8989 9016 9012 9063 9088 9128 9095 9041 8981 8951 8999 9109 9220 9306 9306 9284 9258 9236 9139 9050 8977 8943 8971 9066 9165 9211 9200 9191 9167 9134 9060 9011 9023 9054 9203 9381 9535 9554 9530 9453 9330 9243 9190 9163 9133 9153 9209 9311 9459 9484 9469 9428 9369 9323 9284 9225 9155 9112 9173 9307 9402 9489 9455 9412 9445 9496 9526 9555 9502 9492 9482 9528 9566 9593 9579 9535 9481 9471 9450 9433 9401 9418 9464 9583 9697 9701 9698 9612 9579 9593 9581 9636 9746 9802 9903 9960 10009 9993 9887 9733 9596 9469 9430 9494 9557 9565 9619 9718 9807 9869 9869 9780 9733 9689 9743 9772 9820 9841 9877 9952 10018 10009 9941 9861 9827 9850 9884 9870 9905 9852 9845 9836 9869 9902 9930 9900 9894 9831 9808 9840 9891 9913 9931 9970 9987 10017 10066 10016 9983 9950 9941 9956 9985 9921 9891 9901 9920 9873 9845 9746 9665 9613 9701 9805 9874 9952 9982 10004 10028 10069 10060 10011 9928 9901 9927 9936 9990 10017 10012 10005 9977 9945 9806 9713 9664 9642 9688 9746 9828 9887 9884 9876 9899 9951 9975 10021 9944 9852 9745 9716 9695 9712 9730 9732 9789 9879 9893 9846 9789 9719 9719 9726 9738 9707 9688 9658 9702 9764 9784 9765 9705 9670 9663 9705 9757 9848 9862 9866 9867 9814 9726 9636 9582 9604 9610 9657 9676 9692 9676 9639 9608 9551 9542 9495 9468 9395 9424 9524 9683 9781 9786 9738 9684 9671 9658 9673 9572 9537 9482 9496 9547 9577 9579 9634 9664 9729 9719 9611 9472 9388 9351 9458 9570 9649 9698 9676 9687 9660 9657 9639 9596 9547 9510 9520 9558 9660 9702 9694 9705 9641 9598 9540 9507 9480 9475 9497 9628 9678 9676 9571 9496 9434 9495 9555 9640 9668 9721 9770 9882 9953 9956 9859 9758 9622 9575 9553 9463 9464 9510 9622 9760 9792 9802 9775 9735 9699 9687 9650 9612 9603 9633 9732 9801 9905 9998 10002 9944 9817 9691 9661 9647 9694 9710 9736 9791 9894 9936 9920 9836 9750 9721 9752 9772 9783 9804 9850 9987 10066 10115 10012 9912 9804 9835 9901 9980 10066 10121 10145 10172 10153 10083 9981 9861 9828 9808 9807 9825 9866 9962 10052 10069 10091 10085 10053 10025 9994 9967 10032 10112 10224 10268 10305 10244 10251 10216 10132 10022 9866 9798 9828 9935 10020 10089 10147 10190 10284 10307 10268 10129 10070 10040 10074 10094 10169 10207 10260 10308 10346 10327 10223 10140 10064 10039 10074 10109 10132 10147 10156 10128 10081 10062 10006 9985 9992 10002 10075 10200 10272 10316 10328 10239 10164 10132 10120 10057 10021 10027 10135 10247 10340 10307 10192 10082 9989 9974 9906 9850 9787 9794 9920 10061 10156 10254 10299 10327 10297 10287 10192 10101 10025 9963 10016 10026 10022 10000 9985 9956 9920 9889 9864 9859 9799 9767 9807 9884 9999 10112 10113 10032 9961 9936 9931 9937 9940 9907 9911 9959 10006 10000 9933 9846 9797 9799 9772 9786 9764 9768 9842 9951 10011 9969 9882 9772 9664 9720 9728 9768 9804 9831 9846 9886 9869 9911 9904 9872 9815 9706 9603 9552 9566 9619 9664 9728 9773 9823 9781 9694 9592 9570 9604 9657 9696 9676 9677 9747 9890 10012 10025 9938 9835 9753 9701 9658 9601 9560 9621 9670 9752 9778 9702 9649 9611 9632 9682 9718 9734 9700 9730 9727 9705 9705 9700 9651 9608 9576 9585 9659 9739 9793 9861 9859 9848 9810 9792 9743 9668 9602 9598 9652 9731 9739 9754 9751 9844 9886 9916 9794 9679 9622 9617 9768 9846 9901 9953 9999 10008 10032 9963 9870 9764 9702 9661 9628 9616 9653 9747 9862 9942 9983 9949 9840 9760 9740 9781 9856 9963 10046 10060 10063 10019 10007 9985 9998 9933 9910 9876 9940 9979 10001 9994 10005 9999 10044 10030 9997 9905 9926 9977 10121 10203 10206 10158 10121 10096 10079 10058 10033 9953 9977 10014 10126 10209 10258 10299 10267 10236 10148 10038 9927 9845 9793 9864 9928 10069 10176 10283 10303 10307 10303 10243 10216 10124 10082 10077 10132 10266 10384 10384 10348 10243 10178 10159 10097 10030 9981 10033 10089 10171 10241 10212 10143 10122 10178 10236 10199 10193 10162 10226 10310 10368 10382 10346 10228 10134 10057 10045 10040 10049 10069 10104 10215 10220 10273 10258 10195 10094 10043 9976 9988 10020 10059 10097 10148 10278 10359 10355 10315 10202 10138 10099 10075 10066 10023 10026 10069 10184 10219 10171 10018 9898 9809 9795 9793 9858 9906 9997 10081 10160 10231 10252 10216 10163 10107 10067 10012 9989 10004 9972 9999 9996 10020 9970 9882 9815 9758 9777 9849 9958 9987 9994 9963 9997 9971 10007 9984 9955 9876 9902 9973 9999 10047 10007 9990 9957 9931 9841 9750 9646 9594 9640 9699 9787 9815 9847 9930 9925 9956 9921 9803 9695 9611 9587 9658 9720 9841 9903 9937 9978 9958 9905 9818 9764 9674 9660 9635 9659 9666 9615 9587 9628 9691 9711 9719 9672 9628 9664 9752 9817 9884 9893 9860 9807 9786 9754 9732 9651 9621 9639 9667 9697 9659 9617 9601 9635 9662 9692 9637 9577 9555 9584 9706 9835 9917 9931 9881 9827 9800 9769 9704 9698 9659 9631 9676 9747 9819 9841 9873 9875 9869 9806 9731 9657 9598 9617 9708 9835 9928 9936 9887 9829 9825 9865 9881 9839 9791 9717 9774 9815 9950 9975 9965 9958 9946 9917 9866 9810 9748 9733 9768 9822 9854 9869 9835 9871 9941 10011 10077 10069 10036 10020 10060 10088 10133 10160 10080 10030 9956 9904 9936 9915 9916 9964 10011 10070 10122 10137 10141 10073 10043 10017 9995 9964 9946 9926 9995 10120 10272 10314 10295 10203 10115 10027 10031 10033 10019 10069 10131 10191 10261 10299 10261 10208 10141 10111 10071 10037 9998 9993 10049 10163 10253 10360 10340 10294 10230 10235 10235 10263 10271 10267 10243 10249 10260 10259 10215 10140 10073 10032 9998 9973 10008 10036 10083 10181 10234 10304 10281 10208 10186 10119 10164 10205 10246 10278 10307 10378 10412 10383 10264 10109 9968 9937 9970 10017 10115 10193 10274 10295 10352 10323 10210 10111 10031 9940 9939 9956 10041 10120 10230 10312 10342 10356 10299 10178 10054 9985 9920 9929 10001 10008 9986 9989 10006 10031 10008 9939 9874 9842 9867 9952 10047 10071 10078 10131 10184 10183 10161 10047 9964 9926 9945 10018 10024 10067 10043 9971 9927 9829 9686 9571 9527 9516 9648 9808 9951 10060 10117 10100 10032 9949 9871 9766 9683 9664 9696 9770 9865 9895 9902 9859 9805 9759 9729 9623 9559 9504 9575 9698 9870 9918 9889 9834 9763 9734 9758 9735 9690 9677 9734 9802 9864 9909 9896 9875 9848 9804 9738 9642 9530 9482 9546 9632 9689 9725 9693 9635 9574 9562 9574 9611 9692 9746 9808 9869 9947 10004 9965 9874 9733 9628 9601 9626 9616 9661 9733 9834 9880 9874 9760 9642 9517 9535 9589 9640 9665 9744 9848 9996 10093 10157 10095 9965 9816 9724 9690 9682 9696 9738 9792 9839 9877 9861 9848 9844 9835 9831 9835 9836 9797 9795 9812 9854 9941 9954 10002 9980 9924 9882 9885 9985 10112 10154 10099 10119 10078 10069 9989 9924 9821 9847 9869 9952 10000 9983 10009 10058 10121 10170 10107 10008 9929 9903 9989 10069 10172 10227 10258 10257 10246 10195 10101 10042 9963 9940 9929 9963 10013 10074 10132 10187 10217 10217 10202 10184 10111 10095 10130 10198 10274 10310 10228 10205 10232 10281 10330 10320 10230 10175 10177 10206 10239 10266 10263 10247 10310 10282 10288 10204 10137 10157 10186 10232 10247 10203 10176 10168 10228 10272 10298 10272 10269 10254 10257 10326 10334 10392 10409 10306 10267 10160 10083 10039 10023 10018 10071 10137 10231 10287 10334 10276 10264 10288 10292 10276 10215 10147 10141 10212 10302 10336 10300 10230 10215 10255 10223 10170 10071 9983 9986 10012 10066 10066 10076 10114 10137 10150 10188 10142 10047 10009 10008 10019 10058 10085 10109 10125 10114 10080 10087 10105 10059 10005 9977 9968 10031 10068 10071 10030 9904 9835 9826 9852 9839 9825 9836 9938 10061 10165 10143 10057 9976 9941 9959 9952 9884 9851 9861 9885 9935 9950 9894 9817 9748 9688 9662 9626 9651 9673 9737 9800 9901 10000 10031 10077 10011 9946 9857 9754 9745 9712 9705 9721 9804 9888 9949 9953 9853 9771 9727 9701 9659 9653 9600 9600 9694 9837 9887 9839 9759 9701 9696 9690 9723 9742 9762 9837 9910 9915 9827 9740 9668 9602 9625 9634 9617 9654 9706 9779 9777 9789 9752 9703 9635 9557 9525 9595 9709 9880 9980 10018 10057 10075 10081 10009 9906 9777 9693 9646 9661 9638 9656 9685 9761 9856 9900 9873 9747 9690 9695 9736 9848 9915 9929 9957 10055 10067 10025 9977 9870 9811 9767 9782 9814 9834 9883 9949 9995 9987 9977 9903 9834 9784 9786 9866 10015 10130 10169 10161 10086 10050
+-67 -192 -278 -327 -329 -238 -142 -30 43 167 300 280 135 -77 -308 -347 -226 -96 1 115 139 212 274 241 140 50 -9 32 9 -16 -102 -159 -69 60 117 82 -51 -143 -140 -82 -47 7 -36 -78 -106 -133 -195 -214 -256 -269 -356 -371 -345 -311 -214 -96 -18 -91 -154 -276 -443 -537 -608 -479 -300 -110 22 2 -46 -159 -161 -211 -307 -426 -516 -493 -329 -117 -7 60 137 173 133 41 -163 -262 -263 -198 -96 -106 -110 -141 -61 12 96 93 -1 -87 -196 -303 -363 -347 -228 -100 -58 -67 -224 -358 -444 -444 -433 -410 -374 -342 -360 -375 -437 -466 -418 -391 -410 -514 -574 -526 -279 -56 33 -55 -272 -459 -578 -614 -575 -517 -356 -191 -36 -15 -2 -21 47 65 89 -16 -232 -382 -399 -283 -112 55 159 165 87 6 -100 -157 -246 -322 -414 -484 -464 -397 -268 -97 -42 -102 -217 -326 -440 -531 -581 -546 -423 -262 -210 -264 -411 -558 -550 -437 -330 -326 -400 -382 -329 -215 -186 -251 -339 -376 -341 -297 -310 -252 -142 -9 107 122 78 -15 -124 -182 -226 -279 -280 -271 -227 -232 -186 -57 102 197 150 -75 -299 -427 -406 -313 -248 -189 -79 60 139 31 -97 -269 -362 -443 -424 -479 -491 -448 -329 -159 -6 -25 -125 -287 -393 -406 -399 -315 -264 -280 -278 -319 -335 -356 -325 -304 -280 -280 -309 -282 -271 -202 -126 -111 -80 -77 -119 -187 -253 -263 -184 -25 116 74 66 31 64 49 -30 -252 -404 -427 -322 -128 29 142 168 155 78 -114 -336 -481 -595 -607 -504 -478 -418 -302 -195 -126 -141 -232 -383 -537 -586 -599 -594 -572 -477 -422 -354 -346 -370 -323 -267 -311 -346 -429 -405 -369 -244 -192 -168 -155 -123 -96 -167 -240 -360 -328 -191 -27 31 -13 -91 -169 -145 -242 -319 -403 -431 -344 -164 -19 24 -40 -103 -190 -342 -510 -706 -767 -749 -601 -464 -331 -335 -281 -326 -369 -424 -474 -557 -610 -673 -718 -689 -529 -345 -237 -177 -268 -366 -481 -499 -559 -548 -592 -503 -387 -213 -144 -162 -168 -133 -127 -177 -287 -474 -476 -335 -142 -26 -53 -238 -376 -437 -397 -366 -273 -153 -126 -64 -86 -206 -253 -313 -380 -444 -546 -625 -579 -518 -415 -276 -234 -219 -270 -425 -594 -697 -711 -582 -502 -492 -538 -528 -440 -257 -165 -191 -368 -508 -639 -698 -720 -673 -569 -340 -154 -21 -42 -167 -250 -323 -345 -327 -337 -336 -303 -255 -153 -94 -72 -77 -129 -213 -315 -351 -297 -175 -120 -121 -188 -337 -360 -374 -385 -380 -356 -271 -202 -199 -245 -354 -442 -389 -324 -313 -471 -633 -713 -659 -461 -318 -260 -248 -268 -336 -413 -541 -623 -623 -573 -533 -482 -419 -297 -90 88 104 17 -168 -403 -548 -609 -534 -416 -244 -97 -16 -79 -154 -171 -108 -41 -55 -151 -241 -275 -212 -170 -100 -126 -108 -117 -185 -294 -393 -421 -353 -274 -270 -382 -525 -574 -474 -387 -335 -382 -492 -529 -494 -424 -383 -314 -291 -314 -434 -578 -672 -778 -698 -572 -441 -318 -194 -104 -81 -142 -243 -302 -353 -416 -461 -449 -386 -222 -14 105 88 -5 -116 -203 -210 -270 -333 -402 -394 -280 -176 -164 -229 -240 -208 -209 -221 -328 -528 -673 -655 -544 -480 -368 -399 -404 -442 -415 -486 -567 -586 -587 -553 -535 -503 -530 -498 -456 -533 -593 -614 -587 -491 -395 -303 -245 -174 -190 -200 -375 -498 -570 -483 -356 -313 -352 -356 -267 -155 -61 -108 -329 -465 -498 -501 -499 -465 -418 -317 -196 -121 -133 -164 -192 -325 -414 -564 -685 -725 -714 -605 -468 -295 -151 -173 -272 -403 -549 -636 -636 -586 -543 -534 -587 -552 -500 -476 -445 -553 -628 -650 -658 -487 -421 -378 -394 -415 -404 -439 -543 -641 -563 -442 -285 -232 -294 -342 -344 -249 -207 -256 -375 -448 -458 -437 -339 -267 -141 13 91 32 -87 -197 -369 -418 -534 -631 -622 -599 -460 -344 -272 -181 -201 -259 -383 -567 -758 -885 -793 -631 -427 -364 -368 -447 -489 -551 -592 -652 -657 -615 -530 -521 -532 -568 -521 -424 -303 -227 -299 -361 -386 -285 -170 -98 -77 -143 -197 -247 -345 -300 -296 -229 -172 -154 -173 -179 -144 -57 -15 -79 -211 -367 -528 -618 -614 -553 -307 -123 17 -34 -200 -382 -454 -438 -476 -577 -680 -720 -613 -415 -228 -216 -226 -359 -400 -532 -651 -690 -623 -466 -282 -233 -281 -309 -326 -246 -150 -76 -158 -263 -369 -445 -409 -354 -206 -119 -89 -164 -274 -317 -280 -157 -113 -112 -105 -95 -67 -59 -157 -256 -299 -275 -263 -264 -352 -328 -237 -62 30 -61 -297 -491 -618 -597 -535 -449 -374 -228 -156 -132 -158 -260 -343 -443 -492 -545 -609 -599 -602 -529 -348 -216 -143 -45 -142 -246 -360 -473 -455 -389 -332 -349 -343 -312 -187 -26 48 21 -87 -181 -258 -281 -254 -259 -204 -129 -68 -66 -187 -348 -364 -278 -195 -118 -174 -252 -287 -286 -280 -343 -391 -467 -467 -490 -444 -388 -338 -279 -266 -338 -480 -622 -698 -683 -717 -689 -658 -560 -466 -341 -304 -264 -179 -195 -257 -416 -632 -748 -685 -560 -418 -353 -287 -217 -162 -108 -122 -237 -380 -451 -412 -302 -256 -190 -155 -99 -78 -132 -220 -313 -390 -343 -301 -312 -352 -387 -401 -401 -402 -397 -406 -402 -383 -392 -424 -426 -432 -416 -462 -561 -613 -625 -596 -610 -660 -661 -581 -498 -367 -334 -359 -394 -373 -409 -452 -578 -725 -659 -547 -291 -96 -13 -41 -119 -173 -239 -350 -446 -508 -411 -312 -149 -40 0 9 -54 -53 -119 -255 -391 -509 -505 -466 -361 -280 -243 -285 -346 -356 -356 -299 -297 -334 -481 -586 -602 -533 -451 -411 -481 -493 -486 -504 -538 -590 -591 -454 -292 -194 -226 -362 -489 -505 -407 -347 -364 -429 -430 -335 -113 0 -7 -56 -222 -423 -533 -623 -559 -390 -171 -45 36 63 -39 -95 -171 -254 -306 -375 -397 -444 -488 -406 -308 -130 44 20 -84 -271 -366 -439 -384 -353 -419 -488 -465 -345 -310 -253 -295 -352 -354 -410 -444 -552 -590 -495 -380 -200 -229 -313 -450 -520 -499 -453 -331 -258 -168 -114 -162 -266 -343 -379 -386 -330 -335 -335 -288 -121 35 155 150 126 68 -28 -210 -367 -441 -295 -145 -30 -53 -139 -155 -131 -41 -50 -168 -357 -501 -583 -609 -588 -466 -348 -161 -132 -250 -402 -542 -591 -570 -529 -523 -537 -596 -552 -479 -421 -340 -329 -408 -486 -572 -598 -514 -364 -246 -209 -213 -364 -423 -385 -307 -216 -153 -113 -29 -2 -11 -133 -194 -267 -235 -260 -382 -534 -560 -469 -286 -137 -122 -201 -245 -272 -263 -388 -486 -601 -584 -533 -437 -353 -307 -289 -249 -271 -422 -614 -782 -830 -842 -741 -616 -463 -305 -283 -301 -391 -464 -470 -435 -469 -514 -601 -583 -481 -399 -378 -437 -415 -353 -266 -248 -334 -418 -413 -320 -200 -233 -324 -404 -362 -314 -200 -226 -268 -340 -350 -307 -255 -207 -200 -282 -372 -484 -563 -614 -573 -469 -364 -254 -199 -219 -257 -398 -564 -705 -738 -731 -735 -681 -605 -482 -301 -223 -217 -370 -555 -657 -657 -607 -583 -635 -648 -609 -490 -369 -246 -236 -248 -279 -279 -292 -391 -444 -424 -317 -182 -201 -245 -254 -237 -191 -194 -241 -302 -357 -357 -307 -254 -211 -149 -173 -291 -406 -479 -441 -288 -145 -105 -189 -282 -374 -484 -553 -683 -692 -685 -615 -484 -432 -377 -273 -218 -218 -295 -415 -576 -631 -604 -472 -446 -383 -336 -305 -242 -187 -181 -213 -268 -341 -404 -448 -469 -416 -273 -70 114 169 123 34 -122 -262 -311 -327 -266 -198 -151 -171 -178 -135 -112 -79 -181 -300 -372 -385 -319 -255 -230 -274 -322 -376 -472 -570 -643 -612 -498 -316 -218 -296 -394 -482 -505 -466 -435 -464 -538 -601 -575 -512 -412 -270 -116 -98 -119 -234 -363 -504 -524 -448 -382 -324 -271 -112 -20 60 120 75 0 -141 -331 -498 -591 -534 -307 -60 62 -24 -187 -302 -323 -292 -317 -411 -458 -463 -427 -478 -470 -503 -483 -449 -390 -474 -569 -592 -519 -433 -359 -342 -440 -498 -587 -622 -633 -583 -509 -482 -478 -500 -499 -430 -360 -279 -379 -515 -599 -623 -574 -525 -443 -315 -127 24 48 -96 -382 -517 -625 -583 -524 -534 -512 -452 -300 -108 -52 -112 -222 -309 -380 -468 -510 -519 -453 -349 -263 -280 -356 -442 -463 -439 -429 -460 -526 -622 -679 -696 -695 -579 -478 -357 -386 -546 -663 -703 -590 -483 -453 -487 -553 -543 -540 -537 -591 -666 -679 -602 -460 -390 -354 -277 -164 -60 -111 -275 -518 -650 -547 -399 -272 -165 -80 -57 -41 -56 -162 -226 -302 -387 -387 -474 -518 -475 -384 -298 -267 -293 -346 -400 -493 -573 -637 -710 -683 -649 -610 -598 -511 -442 -339 -290 -415 -593 -742 -767 -705 -610 -581 -544 -498 -455 -412 -371 -426 -435 -415 -285 -181 -140 -173 -186 -203 -243 -301 -381 -416 -389 -301 -175 -111 -80 -90 -120 -191 -262 -310 -379 -355 -340 -335 -362 -307 -203 -145 -139 -179 -254 -345 -413 -522 -664 -787 -755 -570 -371 -230 -252 -302 -242 -203 -235 -374 -597 -724 -720 -608 -434 -344 -304 -265 -193 -252 -384 -470 -476 -409 -292 -228 -208 -255 -285 -309 -304 -267 -207 -172 -175 -233 -285 -233 -183 -115 -126 -240 -337 -367 -338 -250 -200 -187 -219 -194 -154 -144 -159 -217 -302 -392 -462 -541 -649 -725 -607 -366 -181 -45 -121 -296 -528 -582 -649 -679 -713 -726 -653 -563 -429 -342 -280 -273 -338 -446 -583 -714 -633 -545 -433 -347 -293 -208 -227 -265 -341 -350 -327 -243 -204 -266 -393 -458 -388 -204 -94 -124 -206 -275 -301 -317 -344 -329 -369 -255 -184 -196 -304 -505 -601 -580 -572 -508 -487 -461 -414 -370 -279 -305 -364 -535 -664 -802 -875 -865 -719 -509 -316 -270 -287 -377 -459 -554 -577 -627 -640 -602 -529 -451 -431 -322 -222 -71 14 -67 -323 -535 -590 -520 -369 -332 -334 -327 -274 -142 -96 -94 -140 -232 -224 -339 -373 -452 -419 -251 -166 -153 -344 -542 -679 -696 -583 -434 -353 -326 -348 -451 -539 -611 -649 -641 -683 -714 -671 -630 -482 -343 -276 -327 -421 -514 -557 -661 -703 -729 -652 -452 -249 -167 -196 -219 -231 -152 -108 -253 -431 -612 -577 -459 -299 -160 -86 19 66 44 -97 -229 -369 -470 -476 -413 -377 -326 -327 -314 -325 -385 -407 -436 -492 -519 -522 -452 -346 -264 -276 -378 -529 -617 -612 -560 -488 -451 -471 -418 -349 -331 -436 -530 -637 -620 -512 -480 -489 -519 -473 -275 -95 9 -14 -166 -283 -343 -443 -469 -522 -552 -481 -362 -177 -56 46 69 5 -140 -271 -440 -459 -365 -261 -173 -52 33 -7 -45 -175 -292 -336 -351 -352 -387 -434 -440 -305 -202 -168 -230 -409 -506 -467 -351 -354 -370 -455 -504 -511 -528 -550 -530 -499 -434 -386 -413 -455 -462 -399 -312 -151 -166 -233 -324 -385 -430 -443 -418 -332 -251 -136 -61 -2 29 58 2 -120 -307 -412 -400 -270 -151 -11 23 43 48 -9 -189 -400 -530 -560 -513 -450 -455 -468 -451 -309 -248 -221 -252 -386 -478 -542 -620 -638 -690 -666 -567 -477 -410 -436 -438 -423 -409 -401 -392 -428 -427 -451 -389 -339 -271 -228 -255 -318 -387 -411 -392
+-217 -297 -348 -362 -360 -370 -393 -400 -380 -373 -388 -416 -458 -518 -579 -615 -626 -651 -678 -713 -728 -706 -678 -680 -751 -859 -957 -1018 -1018 -1020 -1050 -1064 -1045 -1007 -1003 -1032 -1110 -1175 -1169 -1181 -1188 -1184 -1198 -1210 -1239 -1259 -1320 -1404 -1463 -1521 -1537 -1578 -1554 -1534 -1480 -1471 -1532 -1618 -1678 -1715 -1719 -1751 -1764 -1751 -1706 -1687 -1679 -1762 -1799 -1848 -1850 -1847 -1912 -2005 -2103 -2161 -2114 -2099 -2057 -2051 -1994 -2000 -2008 -2062 -2143 -2182 -2172 -2185 -2163 -2192 -2148 -2145 -2125 -2136 -2186 -2247 -2320 -2401 -2424 -2450 -2462 -2435 -2421 -2440 -2462 -2485 -2539 -2557 -2567 -2570 -2570 -2581 -2594 -2598 -2635 -2674 -2705 -2719 -2744 -2767 -2785 -2814 -2835 -2829 -2790 -2728 -2737 -2788 -2832 -2888 -2871 -2887 -2925 -2945 -2953 -2932 -2878 -2845 -2836 -2857 -2867 -2864 -2915 -2983 -3075 -3129 -3108 -3051 -2979 -2944 -2924 -2939 -2969 -3046 -3095 -3171 -3181 -3189 -3234 -3239 -3276 -3270 -3256 -3230 -3245 -3262 -3272 -3259 -3245 -3295 -3357 -3369 -3341 -3300 -3270 -3319 -3363 -3379 -3366 -3369 -3368 -3405 -3451 -3462 -3428 -3404 -3384 -3400 -3393 -3441 -3471 -3489 -3520 -3519 -3514 -3466 -3422 -3389 -3397 -3447 -3528 -3579 -3652 -3655 -3636 -3619 -3616 -3636 -3614 -3581 -3572 -3588 -3645 -3729 -3773 -3781 -3762 -3715 -3694 -3714 -3715 -3699 -3683 -3655 -3696 -3727 -3767 -3767 -3763 -3794 -3850 -3870 -3883 -3820 -3757 -3738 -3759 -3814 -3876 -3929 -3940 -3938 -3957 -3928 -3867 -3858 -3867 -3881 -3915 -3960 -3996 -3999 -4028 -3998 -3977 -3965 -3983 -4016 -4040 -4032 -4042 -4081 -4141 -4177 -4107 -4038 -3971 -3979 -4004 -4051 -4084 -4074 -4134 -4176 -4177 -4179 -4124 -4108 -4106 -4132 -4130 -4100 -4123 -4143 -4200 -4234 -4274 -4309 -4317 -4320 -4287 -4251 -4207 -4206 -4210 -4248 -4290 -4364 -4440 -4489 -4495 -4460 -4425 -4368 -4322 -4312 -4308 -4349 -4407 -4454 -4487 -4471 -4425 -4395 -4336 -4336 -4365 -4412 -4442 -4470 -4495 -4495 -4468 -4463 -4436 -4420 -4404 -4428 -4498 -4504 -4494 -4506 -4528 -4565 -4579 -4560 -4509 -4478 -4480 -4506 -4546 -4568 -4583 -4625 -4716 -4766 -4776 -4747 -4709 -4718 -4713 -4671 -4634 -4621 -4660 -4712 -4761 -4823 -4831 -4815 -4757 -4673 -4612 -4569 -4590 -4678 -4752 -4746 -4766 -4793 -4837 -4824 -4793 -4734 -4709 -4729 -4778 -4811 -4791 -4766 -4761 -4794 -4792 -4800 -4752 -4730 -4720 -4764 -4814 -4844 -4833 -4837 -4830 -4833 -4875 -4895 -4893 -4866 -4853 -4826 -4860 -4935 -4955 -4977 -4991 -4974 -4935 -4907 -4842 -4794 -4757 -4788 -4868 -4905 -4984 -5004 -4971 -4961 -4929 -4937 -4909 -4875 -4857 -4859 -4887 -4976 -5038 -5083 -5052 -5017 -4999 -4991 -4984 -4936 -4891 -4890 -4919 -4968 -4987 -4970 -4945 -4936 -4965 -4994 -5000 -4987 -4958 -4957 -4945 -4953 -4990 -5019 -5074 -5075 -5033 -4986 -4966 -4998 -4963 -4972 -4938 -4952 -4992 -5012 -4996 -4928 -4893 -4887 -4929 -4955 -4967 -4975 -5019 -5118 -5156 -5132 -5073 -5010 -4962 -4934 -4937 -4968 -5004 -5043 -5071 -5064 -5063 -5043 -5035 -5022 -4996 -5017 -4974 -4968 -4981 -4996 -4993 -5050 -5075 -5103 -5114 -5084 -5041 -5015 -4980 -4947 -4940 -4945 -4936 -4946 -4994 -5029 -5062 -5084 -5071 -5041 -4991 -4969 -4955 -4971 -5020 -5055 -5095 -5107 -5091 -5034 -5001 -5029 -5055 -5129 -5155 -5157 -5170 -5174 -5170 -5124 -5100 -5048 -5100 -5136 -5151 -5153 -5136 -5143 -5166 -5177 -5163 -5123 -5086 -5081 -5095 -5093 -5069 -5077 -5072 -5106 -5122 -5145 -5182 -5208 -5222 -5184 -5115 -5047 -5032 -5050 -5070 -5072 -5095 -5162 -5241 -5264 -5215 -5134 -5094 -5089 -5138 -5159 -5175 -5193 -5209 -5237 -5283 -5299 -5266 -5227 -5205 -5216 -5247 -5259 -5268 -5291 -5273 -5258 -5261 -5251 -5248 -5199 -5208 -5215 -5253 -5248 -5281 -5255 -5208 -5208 -5219 -5247 -5281 -5303 -5268 -5239 -5211 -5217 -5233 -5283 -5314 -5339 -5333 -5319 -5293 -5256 -5200 -5139 -5185 -5275 -5385 -5414 -5404 -5388 -5380 -5375 -5370 -5307 -5248 -5232 -5242 -5289 -5371 -5378 -5419 -5403 -5392 -5360 -5330 -5293 -5297 -5324 -5299 -5317 -5313 -5349 -5370 -5388 -5375 -5383 -5370 -5393 -5412 -5362 -5272 -5297 -5325 -5375 -5409 -5390 -5380 -5388 -5413 -5403 -5412 -5383 -5357 -5435 -5467 -5497 -5490 -5440 -5453 -5406 -5349 -5334 -5277 -5303 -5354 -5385 -5431 -5459 -5444 -5405 -5326 -5261 -5236 -5271 -5315 -5368 -5395 -5421 -5431 -5432 -5448 -5420 -5417 -5389 -5371 -5335 -5327 -5291 -5281 -5307 -5356 -5400 -5438 -5450 -5428 -5377 -5363 -5353 -5377 -5406 -5416 -5409 -5457 -5533 -5549 -5513 -5467 -5445 -5425 -5376 -5329 -5310 -5304 -5354 -5371 -5383 -5324 -5245 -5204 -5219 -5224 -5260 -5301 -5353 -5396 -5450 -5435 -5395 -5341 -5345 -5309 -5294 -5278 -5271 -5310 -5354 -5363 -5348 -5318 -5309 -5311 -5293 -5241 -5268 -5274 -5358 -5418 -5397 -5392 -5411 -5490 -5539 -5537 -5482 -5405 -5316 -5292 -5274 -5256 -5253 -5278 -5364 -5430 -5424 -5367 -5300 -5217 -5224 -5238 -5280 -5329 -5350 -5351 -5350 -5349 -5356 -5347 -5329 -5277 -5256 -5255 -5268 -5302 -5323 -5329 -5291 -5293 -5251 -5252 -5257 -5251 -5292 -5339 -5382 -5409 -5406 -5341 -5298 -5280 -5313 -5333 -5341 -5302 -5268 -5240 -5293 -5350 -5388 -5376 -5372 -5367 -5385 -5375 -5330 -5250 -5208 -5236 -5282 -5330 -5376 -5407 -5419 -5433 -5405 -5344 -5297 -5247 -5266 -5253 -5311 -5373 -5441 -5495 -5467 -5401 -5360 -5347 -5383 -5413 -5394 -5365 -5327 -5356 -5394 -5386 -5344 -5290 -5349 -5388 -5429 -5415 -5350 -5322 -5310 -5352 -5362 -5343 -5345 -5336 -5374 -5394 -5399 -5406 -5403 -5419 -5417 -5408 -5427 -5415 -5448 -5425 -5390 -5369 -5329 -5352 -5349 -5379 -5419 -5494 -5563 -5608 -5597 -5524 -5475 -5402 -5391 -5435 -5469 -5515 -5531 -5549 -5561 -5553 -5519 -5469 -5463 -5396 -5412 -5416 -5405 -5375 -5375 -5381 -5445 -5470 -5465 -5464 -5478 -5526 -5526 -5497 -5445 -5401 -5398 -5437 -5471 -5477 -5463 -5458 -5483 -5477 -5432 -5424 -5398 -5463 -5511 -5527 -5564 -5569 -5571 -5545 -5535 -5494 -5506 -5545 -5589 -5598 -5583 -5550 -5555 -5583 -5528 -5461 -5400 -5421 -5462 -5501 -5510 -5494 -5518 -5578 -5598 -5571 -5491 -5419 -5397 -5409 -5466 -5472 -5443 -5425 -5434 -5436 -5484 -5496 -5504 -5466 -5448 -5396 -5376 -5377 -5387 -5400 -5460 -5552 -5625 -5633 -5609 -5530 -5457 -5450 -5440 -5459 -5447 -5453 -5457 -5438 -5459 -5484 -5452 -5429 -5390 -5382 -5412 -5475 -5533 -5537 -5498 -5485 -5470 -5495 -5486 -5428 -5401 -5393 -5420 -5500 -5490 -5409 -5350 -5341 -5372 -5402 -5398 -5325 -5323 -5312 -5376 -5430 -5465 -5512 -5549 -5542 -5555 -5533 -5491 -5446 -5394 -5359 -5327 -5312 -5347 -5398 -5418 -5397 -5413 -5388 -5382 -5313 -5243 -5206 -5224 -5346 -5445 -5508 -5491 -5461 -5426 -5413 -5400 -5381 -5369 -5352 -5381 -5370 -5363 -5351 -5289 -5283 -5314 -5357 -5375 -5374 -5381 -5377 -5385 -5435 -5438 -5452 -5446 -5441 -5409 -5400 -5413 -5389 -5403 -5372 -5367 -5376 -5375 -5391 -5411 -5404 -5353 -5302 -5256 -5217 -5236 -5244 -5298 -5336 -5390 -5442 -5445 -5396 -5324 -5272 -5276 -5275 -5305 -5309 -5365 -5396 -5460 -5477 -5463 -5402 -5390 -5388 -5370 -5363 -5370 -5377 -5398 -5431 -5437 -5409 -5389 -5398 -5428 -5488 -5486 -5482 -5450 -5445 -5422 -5433 -5402 -5396 -5466 -5489 -5493 -5427 -5394 -5361 -5359 -5355 -5348 -5379 -5432 -5458 -5437 -5341 -5286 -5268 -5324 -5359 -5377 -5389 -5434 -5473 -5519 -5492 -5443 -5393 -5368 -5383 -5416 -5428 -5455 -5469 -5531 -5568 -5557 -5517 -5462 -5411 -5375 -5400 -5420 -5446 -5448 -5465 -5472 -5497 -5508 -5552 -5573 -5557 -5525 -5503 -5485 -5474 -5468 -5469 -5452 -5444 -5517 -5530 -5547 -5502 -5449 -5400 -5380 -5388 -5395 -5403 -5420 -5435 -5512 -5565 -5582 -5535 -5464 -5456 -5458 -5536 -5567 -5547 -5518 -5491 -5487 -5470 -5433 -5385 -5384 -5409 -5474 -5523 -5535 -5500 -5487 -5467 -5489 -5481 -5495 -5467 -5496 -5463 -5433 -5443 -5505 -5554 -5562 -5546 -5504 -5479 -5499 -5486 -5427 -5376 -5331 -5375 -5436 -5465 -5475 -5507 -5547 -5597 -5584 -5533 -5437 -5379 -5374 -5412 -5446 -5487 -5502 -5495 -5492 -5455 -5447 -5394 -5382 -5405 -5420 -5432 -5426 -5412 -5404 -5379 -5375 -5374 -5404 -5404 -5419 -5399 -5382 -5396 -5388 -5372 -5366 -5379 -5335 -5340 -5349 -5361 -5374 -5383 -5374 -5379 -5399 -5423 -5477 -5505 -5506 -5480 -5428 -5399 -5358 -5342 -5343 -5390 -5448 -5492 -5499 -5434 -5389 -5354 -5332 -5306 -5280 -5283 -5331 -5382 -5419 -5431 -5411 -5436 -5442 -5426 -5366 -5297 -5257 -5253 -5302 -5311 -5324 -5307 -5283 -5280 -5272 -5282 -5282 -5315 -5343 -5327 -5296 -5279 -5293 -5336 -5424 -5448 -5433 -5422 -5436 -5411 -5375 -5340 -5340 -5389 -5454 -5456 -5424 -5368 -5363 -5358 -5364 -5333 -5321 -5337 -5401 -5433 -5488 -5482 -5469 -5416 -5352 -5319 -5273 -5282 -5321 -5372 -5408 -5436 -5461 -5424 -5385 -5331 -5274 -5267 -5272 -5278 -5296 -5291 -5271 -5286 -5341 -5410 -5444 -5463 -5420 -5389 -5360 -5342 -5387 -5394 -5417 -5393 -5414 -5468 -5490 -5478 -5428 -5405 -5382 -5390 -5379 -5350 -5307 -5358 -5404 -5466 -5467 -5428 -5358 -5334 -5341 -5406 -5434 -5494 -5551 -5557 -5499 -5443 -5363 -5341 -5360 -5322 -5330 -5379 -5432 -5488 -5497 -5441 -5409 -5416 -5424 -5441 -5422 -5383 -5386 -5410 -5482 -5530 -5522 -5488 -5499 -5510 -5525 -5512 -5455 -5399 -5390 -5386 -5379 -5399 -5446 -5471 -5512 -5530 -5497 -5471 -5402 -5379 -5368 -5380 -5399 -5475 -5520 -5550 -5523 -5499 -5501 -5524 -5526 -5532 -5497 -5506 -5529 -5575 -5566 -5575 -5522 -5476 -5483 -5460 -5491 -5512 -5525 -5550 -5582 -5598 -5578 -5579 -5487 -5444 -5458 -5518 -5538 -5506 -5499 -5465 -5491 -5488 -5485 -5485 -5463 -5465 -5449 -5422 -5347 -5305 -5331 -5378 -5441 -5481 -5503 -5506 -5515 -5505 -5473 -5407 -5421 -5413 -5455 -5479 -5472 -5515 -5541 -5581 -5543 -5497 -5468 -5444 -5458 -5472 -5455 -5443 -5471 -5515 -5517 -5482 -5444 -5423 -5465 -5490 -5480 -5456 -5407 -5406 -5420 -5423 -5385 -5381 -5393 -5413 -5415 -5405 -5385 -5349 -5343 -5363 -5365 -5376 -5370 -5369 -5336 -5326 -5332 -5341 -5373 -5366 -5369 -5404 -5438 -5500 -5515 -5472 -5435 -5377 -5332 -5289 -5330 -5365 -5416 -5467 -5481 -5495 -5466 -5429 -5396 -5334 -5346 -5363 -5390 -5386 -5324 -5319 -5330 -5397 -5449 -5478 -5471 -5388 -5342 -5350 -5349 -5335 -5310 -5300 -5314 -5375 -5379 -5389 -5378 -5378 -5387 -5404 -5364 -5353 -5333 -5329 -5356 -5385 -5398 -5407 -5390 -5334 -5291 -5265 -5334 -5380 -5427 -5418 -5378 -5370 -5349 -5354 -5327 -5269 -5251 -5287 -5352 -5426 -5422 -5406 -5411 -5424 -5417 -5406 -5347 -5284 -5249 -5290 -5337 -5347 -5361 -5374 -5378 -5375 -5409 -5439 -5410 -5427 -5369 -5334 -5310 -5337 -5394 -5453 -5497 -5529 -5512 -5486 -5423 -5354 -5285 -5278 -5289 -5352 -5380 -5387 -5382 -5334 -5321 -5377 -5397 -5377 -5362 -5331 -5341 -5411 -5457 -5484 -5460 -5424 -5389 -5374 -5331 -5334 -5311 -5330 -5372 -5411 -5432 -5402 -5355 -5356 -5394 -5417 -5440 -5447 -5460 -5481 -5529 -5537 -5561 -5589 -5592 -5612 -5546 -5472 -5387 -5360 -5360 -5361 -5378 -5425 -5487 -5529 -5554 -5505 -5465 -5433 -5422 -5388 -5362 -5342 -5386 -5504 -5590 -5581 -5536 -5496 -5453 -5439 -5421 -5375 -5368 -5397 -5439 -5477 -5450 -5393 -5383 -5430 -5474 -5498 -5480 -5473 -5484 -5500 -5536 -5553 -5560 -5585 -5557 -5538 -5524 -5515 -5521 -5508 -5522 -5541 -5562 -5570 -5599 -5530 -5497 -5478 -5480 -5462 -5454 -5428 -5426 -5447 -5493 -5575 -5645 -5645 -5578 -5470 -5411 -5364 -5396 -5411 -5426 -5441 -5480 -5535 -5575 -5548 -5470 -5398 -5365 -5409 -5446 -5418 -5404 -5396 -5468 -5520 -5550 -5543 -5509 -5470 -5465 -5465 -5472 -5445 -5464 -5463 -5454 -5438 -5448 -5473 -5500 -5496 -5435 -5436 -5445 -5477 -5470 -5449 -5443 -5443 -5473 -5489 -5458 -5341 -5285 -5306 -5380 -5474 -5501 -5509 -5485 -5504 -5511 -5487 -5419 -5374 -5358 -5374 -5401 -5429 -5456 -5458 -5477 -5498 -5483 -5437 -5361 -5297 -5272 -5283 -5312 -5376 -5435 -5449 -5440 -5419 -5414 -5426 -5429 -5428 -5389 -5327 -5307 -5328 -5310 -5353 -5346 -5376 -5392 -5400 -5363 -5323 -5274 -5276 -5300 -5356 -5420 -5441 -5432 -5425 -5430 -5468 -5473 -5451 -5430 -5431 -5460 -5481 -5465 -5456 -5436 -5418 -5403 -5358 -5330 -5311 -5322 -5382 -5439 -5443 -5439 -5433 -5400 -5398 -5382 -5337 -5355 -5357 -5355 -5373 -5345 -5337 -5373 -5390 -5411 -5377 -5327 -5286 -5256 -5229 -5239 -5254 -5318 -5375 -5424 -5450 -5449 -5455 -5479 -5509 -5487 -5391 -5336 -5306 -5349 -5426 -5484 -5473 -5496 -5497 -5438 -5410 -5347 -5356 -5400 -5426 -5473 -5480 -5470 -5432 -5418 -5437 -5439 -5457 -5482 -5464 -5423 -5383 -5359 -5350 -5359 -5338 -5330
+-158 -188 -192 -168 -133 -147 -189 -221 -229 -261 -268 -270 -238 -223 -183 -194 -234 -316 -383 -443 -430 -365 -331 -310 -354 -468 -558 -632 -638 -588 -558 -531 -496 -477 -476 -478 -487 -527 -524 -551 -548 -523 -470 -458 -484 -499 -559 -627 -691 -755 -715 -709 -666 -625 -602 -636 -724 -777 -809 -795 -721 -698 -653 -672 -669 -704 -747 -799 -823 -831 -825 -795 -806 -858 -955 -1041 -1049 -1068 -1040 -1007 -922 -927 -895 -931 -955 -970 -962 -972 -962 -961 -914 -862 -864 -874 -959 -1039 -1111 -1170 -1154 -1104 -1075 -1034 -1048 -1100 -1133 -1162 -1170 -1129 -1064 -1046 -1023 -1046 -1073 -1105 -1126 -1151 -1148 -1169 -1183 -1207 -1198 -1204 -1201 -1190 -1196 -1205 -1248 -1268 -1223 -1178 -1114 -1121 -1177 -1230 -1272 -1300 -1289 -1227 -1185 -1169 -1212 -1225 -1296 -1354 -1379 -1374 -1339 -1297 -1252 -1221 -1213 -1235 -1252 -1314 -1333 -1379 -1375 -1343 -1337 -1324 -1336 -1356 -1408 -1442 -1465 -1430 -1370 -1317 -1266 -1268 -1318 -1353 -1365 -1371 -1328 -1353 -1342 -1303 -1269 -1306 -1328 -1374 -1409 -1450 -1429 -1399 -1343 -1341 -1311 -1350 -1391 -1436 -1449 -1485 -1483 -1447 -1402 -1365 -1334 -1361 -1421 -1478 -1547 -1537 -1507 -1479 -1458 -1477 -1455 -1420 -1484 -1542 -1604 -1647 -1616 -1558 -1486 -1446 -1446 -1497 -1552 -1580 -1573 -1490 -1476 -1440 -1429 -1410 -1434 -1453 -1515 -1551 -1593 -1565 -1526 -1497 -1450 -1456 -1515 -1594 -1612 -1623 -1638 -1591 -1519 -1467 -1440 -1437 -1497 -1568 -1653 -1661 -1647 -1594 -1567 -1569 -1616 -1650 -1672 -1671 -1707 -1722 -1748 -1774 -1698 -1653 -1614 -1606 -1617 -1669 -1747 -1742 -1750 -1706 -1659 -1659 -1618 -1669 -1704 -1764 -1779 -1752 -1735 -1670 -1646 -1654 -1662 -1705 -1735 -1754 -1731 -1725 -1688 -1682 -1661 -1672 -1685 -1736 -1820 -1901 -1909 -1860 -1837 -1738 -1685 -1683 -1686 -1764 -1851 -1908 -1920 -1845 -1777 -1742 -1709 -1746 -1792 -1851 -1885 -1922 -1936 -1919 -1837 -1832 -1814 -1827 -1853 -1889 -1930 -1912 -1910 -1876 -1863 -1867 -1855 -1869 -1883 -1898 -1907 -1905 -1912 -1891 -1879 -1865 -1900 -1948 -1982 -2024 -2020 -2055 -2019 -1987 -1925 -1891 -1908 -1950 -2002 -2079 -2072 -2022 -1932 -1849 -1836 -1822 -1875 -1938 -1996 -1975 -1985 -2015 -2049 -1987 -1977 -1952 -1979 -2018 -2078 -2117 -2112 -2057 -2026 -2003 -1939 -1962 -1955 -1985 -2019 -2062 -2055 -2028 -1974 -1984 -1983 -2040 -2152 -2200 -2193 -2143 -2073 -2034 -2053 -2114 -2108 -2108 -2105 -2094 -2079 -2065 -2025 -1974 -1910 -1909 -1944 -1964 -2051 -2059 -2077 -2067 -2032 -2011 -1967 -1945 -1970 -2027 -2080 -2142 -2143 -2143 -2081 -2035 -2010 -2030 -2078 -2093 -2089 -2094 -2068 -2096 -2079 -2058 -2045 -2011 -2046 -2109 -2159 -2186 -2155 -2129 -2095 -2085 -2103 -2123 -2167 -2182 -2183 -2163 -2124 -2091 -1999 -1981 -1956 -1979 -2026 -2076 -2084 -2038 -1989 -1933 -1929 -1923 -1982 -2038 -2116 -2157 -2153 -2100 -2028 -1996 -1953 -1943 -1972 -2029 -2050 -2064 -2048 -2018 -2014 -2000 -1986 -1985 -1988 -2039 -2075 -2116 -2133 -2119 -2060 -2037 -2005 -2005 -2068 -2099 -2100 -2103 -2069 -1998 -1947 -1931 -1942 -1999 -2060 -2085 -2104 -2108 -2102 -2071 -2024 -1987 -1969 -1983 -2031 -2063 -2073 -2070 -2060 -1999 -1962 -1940 -1937 -1987 -2088 -2131 -2176 -2157 -2101 -2012 -1985 -1960 -2017 -2099 -2139 -2139 -2096 -2054 -2013 -1973 -1984 -1981 -1987 -2018 -2077 -2114 -2089 -2072 -2021 -2014 -2015 -2056 -2100 -2148 -2181 -2181 -2159 -2105 -2078 -2042 -2024 -2024 -2053 -2125 -2195 -2201 -2122 -2107 -2055 -2041 -2059 -2079 -2127 -2170 -2194 -2205 -2178 -2144 -2081 -2055 -2052 -2106 -2153 -2190 -2175 -2173 -2115 -2069 -2057 -2054 -2065 -2038 -2076 -2079 -2124 -2128 -2118 -2067 -2012 -2003 -2056 -2129 -2214 -2263 -2248 -2193 -2135 -2067 -2043 -2074 -2134 -2217 -2247 -2232 -2188 -2141 -2077 -2053 -2089 -2133 -2231 -2273 -2279 -2265 -2252 -2238 -2248 -2197 -2163 -2138 -2147 -2187 -2251 -2247 -2248 -2157 -2116 -2079 -2095 -2112 -2169 -2231 -2209 -2206 -2166 -2147 -2147 -2144 -2148 -2201 -2192 -2192 -2211 -2170 -2101 -2112 -2084 -2084 -2133 -2151 -2205 -2233 -2269 -2251 -2255 -2201 -2167 -2205 -2236 -2319 -2388 -2375 -2353 -2255 -2187 -2154 -2108 -2143 -2186 -2207 -2251 -2273 -2273 -2248 -2163 -2128 -2129 -2181 -2218 -2295 -2314 -2300 -2268 -2223 -2192 -2147 -2165 -2181 -2204 -2205 -2206 -2163 -2097 -2074 -2059 -2065 -2077 -2138 -2166 -2186 -2194 -2171 -2171 -2174 -2172 -2162 -2211 -2299 -2335 -2333 -2293 -2255 -2197 -2113 -2077 -2094 -2131 -2168 -2181 -2183 -2151 -2085 -2059 -2061 -2048 -2085 -2122 -2178 -2246 -2309 -2329 -2308 -2240 -2185 -2118 -2118 -2153 -2183 -2222 -2207 -2147 -2076 -2002 -1973 -1988 -2069 -2099 -2193 -2185 -2197 -2196 -2163 -2154 -2191 -2246 -2271 -2268 -2238 -2217 -2152 -2101 -2061 -1992 -1980 -1984 -2033 -2113 -2141 -2138 -2108 -1992 -1963 -1974 -2029 -2127 -2192 -2239 -2233 -2185 -2155 -2108 -2069 -2030 -2055 -2087 -2123 -2141 -2146 -2132 -2094 -2110 -2085 -2087 -2094 -2115 -2184 -2239 -2278 -2282 -2235 -2160 -2106 -2080 -2114 -2163 -2187 -2199 -2173 -2110 -2079 -2084 -2070 -2084 -2111 -2146 -2190 -2221 -2205 -2113 -2029 -2004 -2025 -2063 -2143 -2208 -2194 -2185 -2136 -2075 -2046 -2040 -2061 -2098 -2148 -2219 -2264 -2285 -2251 -2201 -2167 -2138 -2173 -2226 -2247 -2284 -2283 -2289 -2280 -2247 -2159 -2087 -2159 -2203 -2283 -2325 -2262 -2206 -2115 -2095 -2106 -2140 -2173 -2210 -2237 -2250 -2260 -2247 -2216 -2208 -2188 -2178 -2202 -2181 -2234 -2225 -2205 -2185 -2145 -2107 -2074 -2070 -2103 -2222 -2305 -2375 -2374 -2310 -2247 -2177 -2210 -2282 -2359 -2413 -2417 -2396 -2342 -2274 -2237 -2213 -2223 -2190 -2232 -2276 -2279 -2277 -2255 -2228 -2221 -2234 -2278 -2321 -2365 -2444 -2450 -2411 -2339 -2271 -2229 -2238 -2272 -2306 -2323 -2315 -2303 -2285 -2218 -2208 -2176 -2240 -2287 -2338 -2425 -2442 -2436 -2373 -2333 -2292 -2291 -2288 -2329 -2370 -2380 -2346 -2327 -2318 -2228 -2188 -2178 -2220 -2257 -2313 -2333 -2324 -2331 -2337 -2314 -2300 -2252 -2251 -2276 -2322 -2391 -2392 -2345 -2271 -2209 -2173 -2225 -2284 -2329 -2339 -2343 -2304 -2281 -2241 -2233 -2232 -2299 -2377 -2451 -2467 -2436 -2362 -2293 -2267 -2234 -2244 -2226 -2234 -2266 -2261 -2264 -2245 -2162 -2102 -2078 -2097 -2166 -2249 -2335 -2340 -2266 -2245 -2210 -2233 -2238 -2237 -2247 -2239 -2240 -2259 -2238 -2171 -2107 -2086 -2086 -2120 -2159 -2194 -2227 -2208 -2234 -2241 -2236 -2263 -2267 -2303 -2393 -2444 -2442 -2383 -2286 -2180 -2135 -2118 -2157 -2180 -2174 -2165 -2188 -2137 -2128 -2068 -2029 -2047 -2077 -2196 -2274 -2337 -2307 -2300 -2245 -2177 -2126 -2139 -2156 -2165 -2222 -2197 -2161 -2123 -2016 -1974 -1984 -2045 -2122 -2178 -2230 -2225 -2189 -2207 -2192 -2201 -2198 -2244 -2254 -2285 -2306 -2258 -2217 -2159 -2118 -2113 -2121 -2159 -2223 -2239 -2233 -2191 -2176 -2119 -2132 -2134 -2152 -2183 -2202 -2262 -2302 -2280 -2209 -2146 -2108 -2088 -2124 -2177 -2258 -2304 -2295 -2251 -2185 -2108 -2085 -2139 -2151 -2224 -2249 -2240 -2213 -2174 -2157 -2136 -2140 -2161 -2174 -2243 -2253 -2277 -2268 -2255 -2208 -2173 -2136 -2120 -2191 -2245 -2316 -2295 -2257 -2196 -2116 -2074 -2101 -2203 -2305 -2355 -2338 -2242 -2186 -2130 -2141 -2159 -2169 -2215 -2277 -2289 -2284 -2253 -2218 -2215 -2199 -2216 -2222 -2259 -2297 -2335 -2358 -2362 -2323 -2276 -2195 -2155 -2136 -2199 -2259 -2293 -2290 -2240 -2188 -2172 -2166 -2212 -2272 -2307 -2347 -2380 -2352 -2317 -2271 -2230 -2196 -2211 -2294 -2329 -2346 -2278 -2221 -2151 -2133 -2129 -2131 -2179 -2245 -2295 -2359 -2386 -2406 -2354 -2307 -2290 -2282 -2345 -2377 -2389 -2413 -2372 -2345 -2269 -2209 -2155 -2178 -2232 -2319 -2384 -2379 -2297 -2221 -2159 -2184 -2246 -2302 -2330 -2370 -2317 -2281 -2255 -2248 -2246 -2243 -2218 -2211 -2202 -2235 -2234 -2212 -2188 -2131 -2124 -2114 -2125 -2180 -2254 -2315 -2354 -2333 -2276 -2186 -2147 -2158 -2221 -2267 -2342 -2359 -2325 -2279 -2203 -2201 -2163 -2185 -2199 -2225 -2268 -2278 -2270 -2227 -2184 -2150 -2131 -2166 -2199 -2243 -2265 -2248 -2232 -2154 -2096 -2068 -2101 -2099 -2149 -2173 -2174 -2159 -2115 -2079 -2072 -2053 -2083 -2145 -2211 -2274 -2279 -2238 -2217 -2152 -2122 -2097 -2131 -2160 -2202 -2235 -2213 -2145 -2086 -2040 -1999 -2033 -2063 -2150 -2186 -2213 -2208 -2174 -2196 -2176 -2159 -2132 -2123 -2149 -2149 -2199 -2194 -2159 -2086 -2026 -1985 -1998 -2038 -2089 -2145 -2160 -2139 -2121 -2095 -2080 -2086 -2158 -2210 -2260 -2272 -2278 -2212 -2135 -2085 -2051 -2062 -2140 -2147 -2165 -2167 -2160 -2111 -2056 -2003 -1981 -2013 -2106 -2169 -2228 -2223 -2190 -2119 -2055 -2054 -2070 -2095 -2144 -2162 -2172 -2177 -2202 -2166 -2111 -2066 -2014 -2048 -2103 -2134 -2190 -2175 -2138 -2091 -2069 -2109 -2125 -2193 -2230 -2264 -2282 -2234 -2193 -2134 -2159 -2153 -2192 -2235 -2244 -2212 -2161 -2133 -2097 -2069 -2068 -2053 -2038 -2067 -2107 -2181 -2207 -2201 -2134 -2065 -2041 -2090 -2135 -2217 -2294 -2305 -2247 -2179 -2096 -2028 -2031 -2025 -2081 -2201 -2239 -2245 -2205 -2140 -2120 -2145 -2167 -2220 -2260 -2308 -2314 -2286 -2263 -2271 -2220 -2205 -2234 -2240 -2273 -2297 -2280 -2269 -2229 -2191 -2153 -2177 -2207 -2221 -2245 -2269 -2298 -2280 -2212 -2162 -2126 -2088 -2121 -2228 -2289 -2342 -2302 -2218 -2178 -2161 -2177 -2226 -2242 -2304 -2337 -2332 -2293 -2266 -2237 -2186 -2212 -2196 -2217 -2258 -2280 -2306 -2334 -2332 -2307 -2287 -2215 -2199 -2236 -2327 -2414 -2426 -2395 -2278 -2214 -2163 -2194 -2276 -2314 -2356 -2354 -2303 -2212 -2152 -2138 -2160 -2234 -2274 -2331 -2315 -2294 -2273 -2234 -2199 -2211 -2203 -2231 -2266 -2274 -2295 -2294 -2291 -2247 -2188 -2171 -2150 -2194 -2231 -2257 -2264 -2264 -2257 -2185 -2125 -2123 -2130 -2174 -2211 -2236 -2261 -2235 -2195 -2164 -2158 -2125 -2186 -2224 -2277 -2289 -2265 -2216 -2151 -2112 -2091 -2101 -2142 -2171 -2201 -2173 -2166 -2179 -2169 -2173 -2145 -2146 -2176 -2234 -2308 -2333 -2280 -2248 -2188 -2134 -2082 -2108 -2148 -2213 -2263 -2254 -2199 -2118 -2075 -2052 -2053 -2130 -2165 -2203 -2222 -2141 -2126 -2094 -2083 -2121 -2152 -2181 -2159 -2126 -2153 -2140 -2134 -2084 -2022 -2010 -2069 -2102 -2174 -2202 -2196 -2174 -2160 -2127 -2122 -2109 -2108 -2169 -2224 -2241 -2247 -2197 -2127 -2098 -2072 -2131 -2160 -2183 -2205 -2210 -2203 -2172 -2135 -2095 -2055 -2048 -2105 -2179 -2242 -2240 -2195 -2139 -2089 -2055 -2092 -2120 -2107 -2103 -2111 -2109 -2072 -2060 -2040 -2022 -2018 -2070 -2131 -2146 -2190 -2174 -2142 -2119 -2094 -2121 -2158 -2199 -2288 -2303 -2307 -2227 -2134 -2061 -2022 -2050 -2120 -2176 -2207 -2208 -2148 -2096 -2121 -2126 -2129 -2160 -2183 -2188 -2268 -2295 -2319 -2270 -2229 -2176 -2119 -2117 -2135 -2117 -2116 -2119 -2110 -2135 -2099 -2046 -2047 -2104 -2171 -2241 -2307 -2313 -2301 -2276 -2258 -2233 -2250 -2265 -2334 -2333 -2328 -2233 -2176 -2111 -2061 -2083 -2126 -2208 -2272 -2295 -2284 -2244 -2205 -2197 -2174 -2206 -2236 -2291 -2344 -2372 -2346 -2327 -2304 -2247 -2203 -2194 -2172 -2203 -2250 -2326 -2341 -2279 -2191 -2133 -2153 -2193 -2260 -2323 -2368 -2364 -2299 -2276 -2234 -2261 -2300 -2325 -2354 -2351 -2314 -2274 -2228 -2224 -2227 -2254 -2260 -2257 -2213 -2192 -2216 -2272 -2253 -2243 -2184 -2117 -2131 -2166 -2283 -2371 -2392 -2366 -2279 -2207 -2141 -2159 -2196 -2233 -2285 -2296 -2332 -2307 -2259 -2195 -2167 -2177 -2265 -2338 -2352 -2336 -2274 -2302 -2286 -2282 -2291 -2264 -2238 -2256 -2262 -2267 -2255 -2236 -2187 -2175 -2150 -2180 -2205 -2258 -2276 -2245 -2269 -2240 -2205 -2126 -2112 -2136 -2187 -2242 -2244 -2223 -2095 -2006 -1972 -2048 -2123 -2188 -2267 -2269 -2264 -2243 -2221 -2204 -2200 -2212 -2236 -2242 -2253 -2255 -2217 -2216 -2208 -2198 -2150 -2084 -2056 -2061 -2123 -2202 -2263 -2277 -2239 -2163 -2136 -2154 -2216 -2279 -2321 -2301 -2210 -2142 -2126 -2051 -2081 -2088 -2131 -2152 -2151 -2101 -2077 -2031 -2039 -2048 -2072 -2123 -2186 -2228 -2230 -2228 -2234 -2211 -2158 -2130 -2144 -2183 -2213 -2235 -2236 -2196 -2142 -2101 -2064 -2050 -2101 -2116 -2168 -2207 -2218 -2216 -2192 -2159 -2160 -2167 -2172 -2231 -2251 -2271 -2294 -2232 -2170 -2125 -2099 -2131 -2153 -2164 -2138 -2099 -2078 -2068 -2088 -2116 -2116 -2137 -2163 -2194 -2239 -2260 -2282 -2251 -2186 -2123 -2071 -2116 -2163 -2220 -2222 -2233 -2194 -2095 -2049 -1998 -2046 -2136 -2190 -2264 -2292 -2283 -2209 -2171 -2177 -2202 -2224 -2282 -2277 -2254 -2214 -2170 -2123 -2088 -2047 -2030
+60 3 -91 -151 -192 -195 -196 -190 -169 -216 -240 -274 -312 -257 -54 30 17 -111 -234 -286 -342 -318 -388 -438 -554 -584 -562 -598 -491 -361 -348 -421 -499 -599 -582 -404 -155 -43 -89 -207 -325 -329 -316 -289 -281 -208 -212 -187 -251 -345 -369 -332 -348 -302 -352 -417 -455 -382 -320 -255 -227 -194 -139 -203 -238 -266 -318 -266 -244 -335 -426 -528 -551 -462 -407 -445 -511 -569 -610 -642 -674 -771 -734 -625 -434 -287 -265 -249 -387 -425 -492 -461 -519 -543 -569 -590 -591 -643 -671 -626 -619 -610 -691 -721 -676 -594 -436 -320 -222 -321 -374 -464 -459 -447 -405 -287 -278 -328 -487 -656 -744 -628 -478 -331 -398 -535 -674 -682 -606 -454 -385 -358 -389 -404 -446 -563 -664 -623 -630 -638 -755 -851 -875 -776 -622 -483 -521 -647 -778 -838 -863 -809 -708 -593 -454 -459 -563 -655 -689 -635 -549 -531 -530 -650 -660 -613 -537 -539 -498 -502 -476 -459 -450 -460 -420 -398 -397 -417 -470 -541 -568 -536 -500 -515 -525 -584 -596 -614 -647 -662 -639 -650 -557 -535 -591 -694 -829 -842 -770 -716 -613 -566 -495 -492 -543 -620 -696 -795 -749 -653 -644 -705 -777 -758 -689 -585 -546 -577 -663 -696 -720 -706 -665 -549 -475 -416 -451 -530 -613 -500 -344 -344 -393 -536 -706 -741 -708 -654 -629 -587 -505 -482 -471 -505 -554 -536 -464 -395 -378 -459 -533 -531 -456 -467 -565 -701 -831 -816 -744 -693 -638 -607 -609 -655 -746 -835 -856 -768 -673 -609 -624 -681 -657 -601 -593 -651 -692 -786 -807 -773 -731 -737 -738 -704 -632 -587 -540 -469 -421 -350 -322 -422 -539 -680 -715 -622 -490 -411 -479 -545 -581 -521 -556 -554 -664 -625 -619 -582 -654 -650 -574 -567 -526 -648 -769 -873 -822 -701 -642 -665 -783 -854 -906 -895 -905 -961 -901 -849 -770 -779 -793 -834 -799 -829 -765 -809 -853 -830 -764 -698 -718 -728 -761 -707 -635 -591 -600 -685 -688 -729 -793 -884 -954 -917 -807 -668 -643 -688 -644 -629 -587 -576 -707 -786 -878 -719 -630 -519 -559 -719 -817 -841 -891 -909 -934 -860 -832 -857 -926 -981 -1012 -907 -791 -731 -800 -852 -868 -846 -791 -764 -773 -823 -870 -990 -1113 -1136 -1049 -927 -837 -923 -1096 -1137 -1076 -880 -714 -597 -660 -730 -843 -876 -893 -886 -741 -669 -559 -558 -641 -749 -781 -783 -768 -794 -871 -918 -909 -791 -711 -678 -688 -717 -725 -824 -872 -907 -911 -851 -763 -829 -924 -1012 -1023 -948 -875 -862 -898 -970 -963 -1023 -1059 -1105 -1054 -996 -894 -827 -910 -945 -945 -906 -802 -785 -768 -714 -703 -637 -662 -740 -791 -727 -708 -710 -839 -893 -920 -775 -620 -551 -573 -696 -815 -829 -865 -832 -697 -575 -516 -533 -617 -817 -904 -840 -750 -705 -758 -910 -1001 -999 -978 -851 -753 -732 -722 -772 -835 -826 -870 -754 -705 -715 -889 -1012 -959 -835 -734 -743 -811 -929 -979 -1012 -988 -926 -833 -759 -650 -636 -697 -829 -864 -771 -702 -589 -653 -694 -754 -764 -696 -691 -731 -775 -815 -770 -746 -679 -608 -564 -500 -537 -603 -695 -701 -688 -663 -680 -738 -800 -778 -731 -790 -859 -919 -879 -790 -786 -877 -992 -1083 -1067 -995 -924 -893 -817 -738 -690 -768 -888 -1018 -1048 -934 -795 -789 -831 -825 -838 -786 -718 -769 -809 -807 -819 -817 -831 -808 -696 -627 -654 -694 -782 -792 -692 -606 -518 -518 -638 -696 -729 -789 -814 -866 -849 -888 -895 -962 -1010 -1017 -930 -823 -768 -794 -828 -864 -831 -779 -762 -869 -972 -1039 -922 -865 -830 -851 -815 -838 -916 -1069 -1158 -1178 -1029 -869 -766 -786 -817 -820 -750 -611 -678 -799 -854 -875 -868 -850 -838 -862 -878 -945 -975 -992 -944 -875 -631 -633 -720 -860 -908 -829 -742 -695 -703 -744 -790 -815 -882 -929 -964 -954 -880 -907 -1013 -1114 -1128 -1011 -923 -869 -935 -1029 -1028 -937 -772 -689 -705 -769 -901 -997 -1089 -1164 -1136 -1055 -1024 -950 -909 -923 -923 -901 -918 -893 -872 -887 -849 -816 -746 -715 -716 -680 -585 -557 -586 -636 -691 -664 -618 -714 -796 -896 -941 -892 -839 -830 -832 -842 -754 -710 -748 -823 -901 -870 -749 -637 -611 -654 -708 -819 -931 -981 -946 -869 -796 -833 -933 -1051 -1162 -1196 -1058 -964 -922 -926 -903 -863 -740 -617 -531 -527 -531 -561 -723 -817 -869 -812 -699 -648 -743 -869 -1026 -970 -833 -687 -626 -656 -715 -792 -776 -721 -688 -534 -431 -404 -454 -557 -660 -656 -645 -671 -725 -844 -927 -921 -862 -763 -739 -722 -739 -781 -790 -790 -780 -661 -587 -581 -684 -834 -960 -973 -942 -913 -882 -864 -869 -895 -918 -967 -982 -936 -833 -790 -799 -774 -805 -788 -730 -701 -645 -679 -654 -637 -625 -690 -762 -856 -762 -670 -626 -643 -744 -757 -678 -538 -491 -525 -659 -782 -821 -833 -791 -740 -701 -622 -668 -810 -926 -962 -937 -887 -870 -883 -1011 -1029 -1010 -1029 -1003 -923 -853 -813 -856 -941 -940 -798 -719 -660 -708 -874 -980 -976 -897 -804 -770 -817 -900 -974 -968 -928 -880 -767 -662 -654 -650 -750 -877 -852 -770 -618 -564 -577 -686 -780 -814 -859 -881 -958 -1061 -1092 -1090 -1063 -980 -915 -851 -834 -863 -929 -946 -900 -791 -802 -841 -917 -937 -956 -914 -973 -1089 -1131 -1114 -1063 -1105 -1100 -1141 -1125 -1078 -1023 -1003 -970 -887 -794 -707 -752 -871 -964 -1003 -961 -911 -916 -962 -986 -1014 -1009 -1001 -1014 -953 -914 -844 -765 -747 -753 -663 -653 -661 -752 -906 -911 -866 -768 -694 -735 -798 -868 -880 -930 -953 -1006 -1028 -960 -974 -990 -1036 -979 -892 -814 -801 -883 -917 -900 -848 -889 -968 -1011 -1048 -1057 -1010 -1005 -988 -957 -906 -880 -908 -960 -976 -933 -845 -699 -691 -696 -694 -647 -564 -530 -587 -644 -725 -721 -708 -662 -669 -731 -786 -892 -947 -985 -924 -779 -637 -589 -626 -747 -794 -761 -675 -614 -629 -702 -748 -814 -845 -862 -883 -860 -819 -878 -1012 -1137 -1171 -1034 -857 -809 -823 -890 -891 -750 -565 -482 -484 -534 -619 -683 -794 -894 -906 -830 -781 -740 -809 -920 -973 -914 -810 -762 -776 -732 -656 -620 -550 -561 -539 -544 -476 -481 -576 -639 -682 -679 -668 -691 -782 -859 -851 -857 -882 -817 -808 -780 -692 -646 -710 -751 -790 -787 -729 -709 -760 -764 -880 -946 -1034 -1048 -979 -866 -762 -676 -752 -926 -1021 -1042 -927 -867 -797 -863 -877 -886 -786 -696 -630 -609 -665 -710 -758 -863 -821 -740 -615 -573 -604 -793 -883 -894 -713 -633 -592 -616 -761 -867 -896 -892 -842 -753 -630 -623 -760 -919 -962 -935 -809 -756 -811 -968 -1033 -1000 -945 -920 -918 -953 -925 -901 -949 -966 -898 -825 -700 -631 -742 -933 -1051 -1098 -1009 -937 -859 -868 -854 -853 -876 -888 -883 -823 -756 -678 -685 -778 -786 -688 -696 -713 -738 -724 -728 -699 -733 -851 -924 -986 -946 -867 -883 -910 -891 -845 -733 -642 -617 -648 -739 -772 -798 -831 -856 -859 -848 -850 -937 -1030 -1207 -1198 -1133 -989 -902 -913 -966 -997 -1031 -990 -977 -929 -848 -842 -836 -875 -892 -802 -754 -671 -760 -928 -1076 -1019 -917 -785 -768 -803 -823 -786 -780 -724 -710 -629 -571 -524 -629 -773 -835 -816 -704 -601 -595 -675 -703 -719 -720 -795 -824 -956 -970 -956 -928 -903 -872 -851 -803 -849 -898 -952 -964 -868 -788 -770 -829 -915 -892 -909 -850 -864 -868 -892 -888 -832 -868 -804 -783 -710 -709 -702 -809 -808 -712 -589 -503 -518 -599 -681 -655 -548 -562 -563 -686 -704 -745 -772 -848 -864 -821 -754 -596 -522 -578 -645 -616 -565 -515 -571 -670 -739 -719 -664 -617 -646 -671 -771 -849 -901 -942 -901 -864 -778 -736 -765 -841 -776 -713 -644 -629 -631 -621 -567 -556 -662 -802 -903 -887 -858 -771 -753 -803 -816 -751 -746 -682 -748 -746 -646 -545 -452 -463 -566 -695 -591 -482 -429 -469 -554 -596 -600 -547 -534 -529 -563 -631 -746 -869 -911 -796 -632 -501 -493 -600 -772 -817 -802 -705 -678 -693 -697 -728 -814 -861 -839 -832 -714 -640 -703 -835 -945 -990 -842 -754 -730 -834 -919 -906 -787 -648 -538 -536 -507 -562 -632 -685 -723 -694 -527 -440 -468 -597 -770 -854 -790 -677 -643 -644 -669 -689 -707 -722 -776 -780 -702 -666 -657 -701 -733 -739 -702 -626 -681 -764 -865 -926 -933 -1008 -1029 -993 -890 -794 -845 -822 -941 -935 -817 -692 -693 -753 -842 -900 -916 -968 -990 -909 -788 -637 -544 -702 -828 -933 -913 -824 -710 -688 -717 -765 -746 -730 -634 -639 -633 -659 -742 -824 -854 -802 -678 -591 -546 -727 -765 -839 -795 -676 -577 -538 -556 -653 -791 -902 -912 -910 -806 -732 -739 -905 -1073 -1109 -964 -819 -708 -772 -894 -967 -921 -890 -853 -894 -928 -914 -922 -884 -876 -841 -733 -641 -641 -734 -851 -929 -915 -831 -726 -701 -641 -615 -613 -649 -704 -744 -687 -642 -595 -619 -678 -674 -589 -550 -596 -665 -644 -571 -510 -569 -626 -749 -784 -803 -750 -785 -869 -894 -894 -871 -830 -788 -819 -788 -739 -708 -715 -731 -670 -596 -603 -720 -859 -916 -914 -856 -695 -712 -721 -715 -761 -827 -880 -893 -833 -693 -626 -607 -598 -633 -544 -440 -453 -514 -641 -691 -677 -671 -666 -674 -725 -726 -696 -703 -679 -648 -608 -539 -489 -577 -693 -774 -679 -612 -552 -606 -645 -721 -641 -628 -685 -820 -872 -844 -773 -762 -771 -813 -786 -763 -835 -932 -935 -883 -785 -599 -593 -683 -778 -804 -815 -800 -825 -795 -762 -735 -683 -726 -705 -645 -549 -566 -693 -819 -814 -689 -530 -450 -479 -601 -632 -590 -490 -494 -437 -497 -550 -632 -790 -887 -914 -866 -772 -674 -760 -815 -864 -836 -844 -795 -786 -811 -821 -800 -788 -738 -702 -690 -739 -822 -899 -1030 -1034 -963 -857 -868 -930 -964 -999 -951 -877 -860 -793 -660 -569 -462 -507 -626 -695 -724 -672 -653 -718 -789 -880 -903 -921 -944 -967 -936 -848 -762 -788 -834 -884 -863 -829 -710 -727 -724 -747 -759 -731 -711 -728 -720 -758 -902 -1037 -1180 -1239 -1156 -939 -762 -795 -904 -1024 -1110 -1026 -940 -843 -796 -841 -900 -975 -1006 -965 -878 -790 -737 -824 -973 -1085 -1008 -827 -796 -788 -903 -987 -1021 -964 -855 -715 -646 -621 -657 -746 -890 -883 -713 -558 -455 -508 -717 -858 -935 -900 -780 -717 -666 -662 -679 -765 -862 -902 -913 -932 -890 -873 -850 -878 -774 -773 -706 -782 -811 -890 -919 -977 -1028 -1080 -1028 -956 -889 -914 -916 -969 -907 -799 -700 -667 -693 -724 -753 -712 -754 -777 -772 -667 -578 -577 -653 -870 -939 -879 -755 -652 -588 -655 -639 -623 -571 -557 -575 -475 -542 -565 -667 -731 -762 -657 -605 -668 -886 -1037 -1131 -1059 -937 -792 -660 -618 -624 -699 -794 -824 -723 -633 -532 -598 -770 -920 -973 -891 -816 -758 -813 -902 -933 -928 -935 -916 -931 -885 -824 -747 -768 -699 -604 -534 -460 -478 -613 -750 -780 -769 -695 -717 -717 -735 -715 -730 -746 -774 -839 -785 -716 -641 -599 -601 -619 -592 -624 -728 -802 -828 -705 -587 -548 -639 -725 -788 -889 -899 -937 -993 -979 -961 -935 -941 -980 -921 -836 -758 -710 -775 -804 -773 -656 -649 -742 -856 -944 -916 -816 -655 -615 -684 -721 -779 -861 -941 -989 -932 -813 -644 -558 -570 -581 -574 -534 -479 -561 -600 -672 -729 -704 -762 -809 -866 -885 -928 -1019 -1022 -1040 -926 -791 -770 -798 -856 -802 -722 -682
+-300 -298 -269 -177 -87 -140 -343 -419 -453 -338 -235 -105 -49 -17 -73 -57 -33 4 19 2 -6 -78 -141 -251 -207 -236 -278 -302 -356 -381 -335 -376 -457 -427 -321 -202 -100 -77 -175 -290 -209 -211 -206 -259 -335 -371 -320 -330 -412 -515 -657 -729 -654 -573 -484 -447 -529 -481 -520 -377 -281 -155 -132 -133 -167 -163 -190 -188 -275 -348 -416 -446 -394 -338 -372 -451 -588 -681 -620 -531 -489 -432 -415 -372 -289 -291 -347 -470 -485 -437 -384 -389 -527 -645 -829 -852 -771 -677 -649 -686 -769 -847 -838 -730 -570 -453 -345 -352 -427 -417 -456 -384 -363 -395 -392 -424 -442 -496 -582 -665 -679 -583 -474 -430 -500 -626 -677 -650 -513 -434 -440 -454 -442 -467 -554 -602 -701 -721 -827 -778 -743 -756 -769 -754 -743 -832 -811 -753 -699 -650 -709 -700 -687 -661 -604 -562 -574 -637 -698 -695 -624 -618 -687 -755 -807 -746 -580 -487 -531 -518 -579 -631 -665 -629 -614 -603 -607 -633 -652 -725 -791 -817 -816 -805 -753 -757 -770 -802 -925 -932 -881 -699 -554 -566 -706 -898 -948 -890 -740 -618 -570 -650 -705 -731 -707 -708 -760 -762 -748 -686 -633 -697 -698 -747 -765 -690 -644 -623 -694 -729 -794 -757 -768 -724 -721 -726 -751 -762 -764 -773 -777 -858 -943 -975 -892 -798 -736 -760 -881 -919 -887 -787 -732 -698 -791 -809 -737 -648 -634 -669 -724 -763 -718 -689 -634 -710 -772 -822 -816 -765 -700 -656 -693 -715 -785 -871 -910 -862 -796 -810 -778 -807 -818 -849 -937 -993 -1059 -1011 -868 -871 -943 -1057 -1132 -1099 -977 -819 -718 -796 -810 -881 -873 -884 -888 -794 -809 -755 -784 -780 -805 -845 -874 -894 -862 -854 -821 -822 -811 -841 -886 -845 -796 -726 -732 -732 -865 -885 -858 -841 -888 -981 -1006 -1034 -1026 -1003 -1117 -1233 -1270 -1180 -1072 -996 -990 -1019 -1071 -1045 -997 -948 -912 -921 -900 -905 -862 -953 -886 -882 -898 -944 -980 -974 -968 -910 -930 -1045 -1076 -1068 -899 -790 -781 -776 -911 -901 -872 -855 -879 -987 -973 -929 -865 -947 -1102 -1213 -1279 -1204 -1110 -1034 -1075 -1169 -1238 -1241 -1186 -1096 -1019 -1009 -1010 -1033 -1119 -1036 -1020 -982 -966 -979 -973 -941 -951 -982 -1046 -1123 -1105 -1021 -889 -910 -1004 -1107 -1110 -1065 -946 -916 -942 -1043 -1041 -1075 -1037 -1067 -1086 -1104 -1041 -952 -932 -962 -1075 -1145 -1166 -1136 -1080 -1072 -1014 -1062 -1136 -1145 -1113 -1072 -1046 -1084 -1099 -1160 -1026 -890 -817 -861 -934 -982 -942 -832 -803 -847 -900 -1017 -1032 -1015 -1014 -1043 -1083 -1073 -1053 -1071 -1140 -1229 -1219 -1079 -998 -890 -881 -959 -1012 -1087 -1115 -1022 -937 -928 -916 -1024 -1159 -1285 -1259 -1087 -966 -973 -1035 -1107 -1090 -1114 -987 -960 -928 -849 -727 -681 -693 -763 -861 -952 -900 -866 -903 -939 -1001 -998 -1048 -1092 -1070 -1032 -1051 -1021 -1043 -1045 -1032 -1014 -959 -973 -1035 -997 -975 -956 -1028 -1064 -1165 -1208 -1150 -1067 -1024 -1090 -1120 -1077 -1054 -906 -936 -992 -1021 -1004 -866 -771 -756 -867 -901 -963 -903 -834 -802 -856 -882 -970 -1039 -1049 -969 -878 -874 -866 -899 -994 -1029 -1028 -1087 -1130 -1048 -965 -913 -950 -1120 -1310 -1361 -1267 -1118 -1021 -1088 -1206 -1242 -1203 -1126 -1045 -1055 -1055 -1079 -1014 -978 -1016 -1047 -1090 -1040 -1010 -918 -890 -898 -960 -1011 -1030 -1036 -1025 -939 -930 -982 -961 -1050 -1014 -976 -956 -1001 -1044 -1094 -1059 -999 -1070 -1122 -1196 -1172 -1097 -980 -1037 -1194 -1330 -1374 -1278 -1186 -1131 -1118 -1156 -1178 -1119 -1100 -1109 -1115 -1164 -1151 -1066 -1047 -1041 -1004 -1048 -1095 -1204 -1153 -1133 -1058 -1011 -1045 -1173 -1187 -1139 -1123 -1133 -1090 -1092 -989 -942 -1064 -1138 -1218 -1284 -1189 -1089 -1028 -1091 -1209 -1334 -1327 -1305 -1269 -1203 -1211 -1205 -1181 -1204 -1172 -1085 -1083 -1032 -1094 -1028 -1063 -1051 -1038 -1081 -1144 -1124 -1109 -996 -1024 -1126 -1286 -1401 -1326 -1169 -1097 -1075 -1137 -1163 -1144 -1100 -1112 -1105 -1180 -1114 -1099 -1077 -1183 -1292 -1357 -1369 -1318 -1275 -1247 -1307 -1335 -1485 -1427 -1417 -1298 -1179 -1111 -1118 -1163 -1061 -936 -869 -895 -964 -1084 -1081 -998 -885 -861 -989 -1178 -1292 -1333 -1270 -1205 -1267 -1204 -1127 -1114 -1069 -1068 -1090 -1137 -999 -935 -886 -971 -1110 -1199 -1217 -1191 -1166 -1154 -1231 -1375 -1514 -1605 -1584 -1440 -1374 -1314 -1268 -1295 -1270 -1132 -1017 -1006 -920 -913 -827 -799 -913 -1015 -1155 -1234 -1155 -1063 -1005 -1084 -1251 -1312 -1312 -1285 -1235 -1136 -1098 -978 -953 -917 -979 -980 -962 -1000 -995 -1007 -1036 -1092 -1071 -1215 -1303 -1349 -1385 -1350 -1322 -1380 -1409 -1337 -1216 -1123 -1113 -1148 -1166 -1170 -969 -865 -814 -960 -1101 -1162 -1178 -1103 -1055 -1077 -1108 -1170 -1162 -1236 -1173 -1138 -1063 -1077 -1118 -1125 -1153 -1104 -1044 -1047 -1017 -1008 -1039 -1124 -1259 -1377 -1472 -1370 -1259 -1149 -1163 -1306 -1456 -1450 -1354 -1243 -1152 -1162 -1188 -1168 -1097 -1144 -1191 -1208 -1266 -1185 -1109 -1043 -1055 -1164 -1277 -1298 -1279 -1210 -1112 -1112 -1128 -1185 -1247 -1290 -1208 -1165 -1170 -1206 -1161 -1182 -1164 -1148 -1264 -1355 -1394 -1344 -1292 -1324 -1419 -1471 -1483 -1383 -1293 -1286 -1333 -1389 -1409 -1325 -1260 -1129 -1223 -1167 -1240 -1141 -1116 -1104 -1107 -1212 -1255 -1306 -1289 -1225 -1212 -1131 -1220 -1251 -1314 -1301 -1186 -1126 -1103 -1118 -1084 -1128 -1164 -1232 -1278 -1315 -1288 -1253 -1184 -1271 -1461 -1638 -1657 -1610 -1482 -1412 -1398 -1372 -1390 -1359 -1319 -1309 -1334 -1266 -1166 -1056 -1028 -1058 -1094 -1188 -1291 -1290 -1239 -1238 -1188 -1242 -1305 -1383 -1355 -1240 -1101 -1065 -1137 -1150 -1183 -1114 -1059 -1027 -1018 -1094 -1106 -1072 -1214 -1256 -1350 -1388 -1402 -1380 -1416 -1501 -1572 -1639 -1598 -1453 -1394 -1287 -1263 -1288 -1260 -1295 -1172 -1156 -1160 -1242 -1249 -1264 -1219 -1193 -1188 -1295 -1460 -1550 -1511 -1407 -1237 -1189 -1190 -1207 -1192 -1221 -1153 -1148 -1108 -1059 -981 -897 -980 -1160 -1296 -1381 -1376 -1336 -1345 -1347 -1462 -1556 -1584 -1601 -1488 -1339 -1277 -1217 -1191 -1212 -1139 -1066 -1060 -1047 -1084 -1045 -1020 -1001 -1141 -1253 -1412 -1353 -1290 -1235 -1254 -1393 -1529 -1510 -1330 -1108 -974 -957 -948 -1014 -986 -987 -979 -1001 -1002 -1014 -1002 -1139 -1229 -1387 -1431 -1515 -1469 -1394 -1393 -1348 -1301 -1279 -1254 -1189 -1101 -1091 -1095 -1125 -1078 -1010 -893 -861 -976 -1155 -1288 -1200 -1155 -1019 -1042 -1125 -1289 -1288 -1294 -1219 -1167 -1115 -977 -935 -924 -973 -1106 -1157 -1144 -1118 -1073 -1146 -1174 -1257 -1313 -1318 -1350 -1300 -1281 -1271 -1342 -1444 -1473 -1409 -1237 -1143 -1168 -1224 -1265 -1244 -1212 -1231 -1233 -1212 -1105 -1025 -1000 -1089 -1176 -1243 -1233 -1201 -1085 -961 -940 -1024 -1135 -1178 -1132 -1176 -1009 -999 -1065 -1073 -1208 -1206 -1208 -1225 -1261 -1268 -1268 -1216 -1157 -1153 -1294 -1388 -1411 -1382 -1307 -1335 -1364 -1367 -1252 -1200 -1126 -1196 -1332 -1383 -1380 -1265 -1145 -1155 -1147 -1282 -1282 -1291 -1167 -1149 -1119 -1111 -1133 -1228 -1184 -1120 -1093 -1069 -1056 -1098 -1133 -1160 -1087 -1164 -1233 -1242 -1169 -1149 -1243 -1371 -1482 -1577 -1492 -1311 -1183 -1241 -1324 -1390 -1371 -1303 -1220 -1154 -1146 -1127 -1113 -1066 -1219 -1300 -1402 -1430 -1343 -1280 -1189 -1153 -1246 -1342 -1435 -1386 -1281 -1177 -1052 -1080 -1061 -1108 -1076 -1019 -1002 -1034 -1102 -1151 -1162 -1151 -1199 -1322 -1362 -1374 -1318 -1297 -1387 -1402 -1345 -1267 -1227 -1180 -1175 -1204 -1174 -1178 -1125 -1040 -1069 -1029 -1087 -1244 -1266 -1245 -1192 -1171 -1238 -1310 -1318 -1287 -1203 -1044 -1047 -1070 -1149 -1173 -1075 -1051 -957 -921 -967 -972 -994 -1056 -1180 -1373 -1434 -1386 -1279 -1179 -1244 -1296 -1386 -1439 -1445 -1400 -1242 -1132 -1107 -1074 -1135 -1194 -1224 -1156 -1109 -1047 -1027 -1021 -1031 -1180 -1259 -1375 -1377 -1251 -1102 -1068 -1094 -1198 -1228 -1134 -1006 -868 -883 -1013 -1082 -1047 -979 -937 -959 -1021 -1082 -1186 -1224 -1292 -1322 -1274 -1287 -1276 -1244 -1235 -1262 -1274 -1278 -1236 -1198 -1131 -1100 -1085 -1169 -1131 -1121 -1018 -1003 -1100 -1247 -1358 -1279 -1126 -1012 -999 -1078 -1231 -1277 -1185 -1074 -971 -932 -914 -921 -993 -1059 -1163 -1133 -1096 -998 -902 -924 -980 -1113 -1255 -1335 -1312 -1194 -1079 -1056 -1102 -1252 -1329 -1317 -1323 -1275 -1244 -1237 -1290 -1325 -1271 -1203 -1257 -1256 -1217 -1120 -1059 -1082 -1148 -1226 -1181 -1074 -906 -905 -978 -1142 -1257 -1249 -1176 -1098 -1094 -1080 -1091 -1138 -1148 -1200 -1077 -1054 -999 -1004 -1032 -1093 -1079 -1059 -1115 -1170 -1203 -1216 -1184 -1185 -1243 -1283 -1374 -1304 -1316 -1388 -1422 -1428 -1326 -1103 -980 -1027 -1110 -1238 -1277 -1228 -1119 -1054 -993 -1025 -1122 -1182 -1257 -1292 -1216 -1147 -1145 -1189 -1177 -1198 -1219 -1241 -1202 -1250 -1206 -1122 -1110 -1167 -1330 -1410 -1338 -1189 -1116 -1214 -1259 -1375 -1400 -1326 -1263 -1290 -1290 -1337 -1264 -1310 -1326 -1363 -1367 -1307 -1220 -1144 -1164 -1202 -1272 -1297 -1316 -1217 -1116 -939 -942 -996 -1117 -1196 -1219 -1150 -1089 -1094 -1155 -1264 -1269 -1189 -1168 -1239 -1374 -1368 -1379 -1235 -1200 -1262 -1329 -1335 -1267 -1254 -1247 -1352 -1358 -1282 -1199 -1167 -1195 -1324 -1414 -1397 -1322 -1266 -1221 -1180 -1230 -1247 -1339 -1334 -1223 -1107 -1014 -1038 -1102 -1095 -1054 -1005 -1025 -1061 -1163 -1118 -1113 -1135 -1161 -1210 -1261 -1160 -1092 -1015 -1090 -1212 -1379 -1392 -1389 -1253 -1188 -1125 -1113 -1185 -1296 -1363 -1380 -1304 -1200 -1138 -1117 -1167 -1244 -1282 -1349 -1341 -1219 -1196 -1043 -1001 -1146 -1254 -1330 -1271 -1116 -995 -982 -1079 -1106 -1179 -1087 -1035 -1015 -1059 -1121 -1109 -1072 -1067 -1076 -1108 -1122 -1090 -1166 -1216 -1240 -1245 -1210 -1181 -1176 -1197 -1238 -1287 -1304 -1290 -1293 -1188 -1125 -1095 -1193 -1265 -1260 -1112 -951 -890 -995 -1162 -1249 -1241 -1131 -1065 -1024 -1069 -1138 -1183 -1153 -1162 -1183 -1085 -1045 -957 -947 -955 -1044 -1163 -1215 -1084 -975 -888 -903 -1045 -1222 -1420 -1445 -1367 -1245 -1189 -1233 -1320 -1304 -1319 -1292 -1276 -1216 -1152 -1009 -960 -930 -1034 -1172 -1223 -1109 -1009 -994 -1087 -1273 -1380 -1356 -1219 -1092 -1080 -1153 -1240 -1241 -1182 -1060 -1068 -975 -1010 -1028 -1054 -1091 -1108 -1062 -1098 -1140 -1249 -1354 -1414 -1465 -1489 -1540 -1503 -1425 -1361 -1400 -1376 -1379 -1328 -1140 -1005 -1006 -1103 -1204 -1279 -1181 -1066 -1007 -1013 -1174 -1303 -1409 -1415 -1404 -1316 -1279 -1211 -1136 -1172 -1276 -1294 -1249 -1147 -1083 -996 -973 -1006 -1074 -1214 -1311 -1312 -1259 -1160 -1178 -1309 -1492 -1611 -1585 -1495 -1450 -1440 -1435 -1405 -1375 -1345 -1351 -1414 -1347 -1289 -1203 -1178 -1261 -1279 -1323 -1258 -1250 -1213 -1126 -1225 -1327 -1399 -1440 -1375 -1264 -1187 -1126 -1205 -1300 -1245 -1220 -1152 -1121 -1148 -1201 -1210 -1180 -1089 -1148 -1174 -1274 -1281 -1293 -1390 -1364 -1413 -1400 -1343 -1298 -1334 -1425 -1486 -1513 -1384 -1252 -1210 -1197 -1272 -1364 -1410 -1360 -1245 -1104 -1092 -1121 -1261 -1400 -1345 -1301 -1278 -1218 -1242 -1194 -1138 -1076 -1123 -1209 -1266 -1267 -1090 -1029 -1032 -1163 -1296 -1362 -1284 -1208 -1159 -1166 -1305 -1375 -1441 -1449 -1423 -1363 -1296 -1212 -1207 -1226 -1277 -1326 -1325 -1270 -1180 -1106 -1109 -1116 -1229 -1381 -1425 -1308 -1207 -1103 -1111 -1239 -1324 -1284 -1200 -1049 -970 -1019 -1062 -1091 -1053 -1032 -1034 -1097 -1201 -1217 -1177 -1234 -1208 -1249 -1267 -1247 -1306 -1364 -1421 -1410 -1421 -1300 -1182 -1071 -1053 -1047 -1160 -1196 -1168 -1110 -1027 -978 -1053 -1232 -1328 -1303 -1245 -1110 -1100 -1132 -1208 -1204 -1169 -1149 -1133 -1077 -1012 -846 -766 -793 -897 -1014 -1070 -1047 -967 -901 -966 -1134 -1299 -1413 -1450 -1410 -1263 -1222 -1244 -1333 -1416 -1442 -1292 -1170 -1005 -952 -981 -926 -1017 -1104 -1219 -1242 -1227 -1151 -1126 -1173 -1307 -1429 -1415 -1281 -1121 -1100 -1149 -1182 -1218 -1118 -939 -813 -752 -799 -878 -932 -991 -961 -1003 -1045 -1132 -1270 -1365 -1427 -1390 -1388 -1397 -1385 -1356 -1325 -1357 -1383 -1324 -1266 -1098 -1020 -943
+-24 26 -100 -332 -473 -498 -362 -268 -268 -381 -432 -436 -447 -434 -419 -392 -344 -299 -389 -478 -557 -641 -607 -637 -653 -694 -700 -747 -838 -945 -1030 -911 -786 -673 -710 -849 -950 -954 -864 -811 -813 -847 -942 -947 -908 -963 -1092 -1124 -1147 -1030 -921 -899 -944 -1078 -1132 -1153 -1095 -1156 -1165 -1170 -1148 -1133 -1097 -1116 -1126 -1165 -1154 -1226 -1203 -1156 -1129 -1179 -1410 -1589 -1625 -1509 -1355 -1256 -1413 -1594 -1651 -1603 -1540 -1543 -1604 -1627 -1545 -1479 -1433 -1528 -1605 -1629 -1540 -1459 -1457 -1570 -1703 -1787 -1830 -1829 -1830 -1752 -1706 -1720 -1790 -1874 -1948 -1838 -1707 -1590 -1566 -1628 -1682 -1677 -1703 -1768 -1882 -1929 -1868 -1785 -1717 -1860 -2087 -2248 -2229 -2101 -1970 -1952 -2009 -2066 -2090 -2098 -2072 -2083 -1982 -1918 -1809 -1827 -1840 -1919 -1992 -2083 -2193 -2281 -2328 -2297 -2233 -2271 -2379 -2414 -2379 -2298 -2201 -2121 -2166 -2200 -2176 -2170 -2178 -2154 -2235 -2220 -2170 -2093 -2182 -2384 -2588 -2672 -2571 -2454 -2362 -2379 -2488 -2561 -2554 -2495 -2372 -2285 -2234 -2225 -2211 -2249 -2264 -2361 -2411 -2489 -2499 -2455 -2385 -2378 -2461 -2690 -2873 -2910 -2769 -2544 -2505 -2567 -2649 -2615 -2536 -2507 -2571 -2633 -2649 -2536 -2420 -2455 -2618 -2848 -2984 -2958 -2914 -2842 -2786 -2827 -2921 -2994 -2944 -2877 -2753 -2604 -2548 -2605 -2659 -2654 -2617 -2581 -2588 -2621 -2627 -2605 -2709 -2783 -2913 -2974 -2942 -2857 -2757 -2797 -2784 -2866 -2897 -2847 -2733 -2677 -2652 -2718 -2752 -2749 -2774 -2836 -2948 -3038 -3067 -2985 -2930 -2911 -3008 -3089 -3186 -3201 -3233 -3149 -3014 -2948 -2914 -3007 -3089 -3048 -2982 -2936 -2956 -2982 -2968 -2873 -2822 -2908 -3128 -3292 -3277 -3137 -3017 -3003 -3095 -3184 -3247 -3158 -3107 -2975 -2924 -2837 -2891 -2950 -3016 -3066 -3100 -3076 -3059 -3038 -2960 -2926 -3011 -3094 -3267 -3240 -3154 -3044 -3035 -3142 -3317 -3369 -3302 -3156 -3010 -2980 -2994 -3038 -3113 -3128 -3181 -3174 -3170 -3171 -3112 -3018 -3010 -3122 -3289 -3433 -3419 -3373 -3296 -3316 -3346 -3394 -3397 -3347 -3335 -3328 -3268 -3187 -3139 -3097 -3104 -3105 -3215 -3265 -3348 -3400 -3352 -3265 -3200 -3282 -3438 -3547 -3540 -3451 -3336 -3269 -3213 -3212 -3139 -3100 -3099 -3167 -3229 -3220 -3145 -3130 -3116 -3237 -3344 -3470 -3554 -3475 -3426 -3438 -3463 -3543 -3640 -3613 -3506 -3370 -3253 -3240 -3281 -3293 -3330 -3320 -3303 -3291 -3349 -3345 -3368 -3380 -3499 -3651 -3750 -3765 -3595 -3392 -3329 -3363 -3492 -3514 -3472 -3248 -3145 -3127 -3219 -3283 -3266 -3247 -3256 -3352 -3497 -3577 -3563 -3506 -3440 -3506 -3588 -3674 -3749 -3697 -3571 -3440 -3365 -3285 -3268 -3247 -3255 -3317 -3396 -3501 -3546 -3509 -3440 -3488 -3603 -3811 -3882 -3825 -3691 -3600 -3613 -3745 -3760 -3694 -3505 -3389 -3359 -3440 -3472 -3421 -3363 -3358 -3457 -3550 -3572 -3602 -3563 -3568 -3566 -3645 -3667 -3753 -3760 -3677 -3596 -3518 -3539 -3591 -3628 -3521 -3393 -3351 -3282 -3282 -3284 -3298 -3340 -3490 -3718 -3852 -3851 -3736 -3619 -3569 -3621 -3745 -3809 -3869 -3767 -3687 -3572 -3539 -3550 -3556 -3582 -3603 -3621 -3651 -3671 -3662 -3644 -3642 -3672 -3746 -3782 -3834 -3823 -3818 -3773 -3700 -3685 -3675 -3717 -3677 -3607 -3573 -3604 -3618 -3551 -3454 -3439 -3496 -3646 -3719 -3687 -3583 -3516 -3541 -3656 -3694 -3739 -3716 -3666 -3629 -3577 -3572 -3670 -3699 -3735 -3661 -3568 -3499 -3515 -3600 -3529 -3520 -3534 -3670 -3822 -3853 -3690 -3555 -3491 -3561 -3747 -3886 -3885 -3807 -3720 -3685 -3630 -3608 -3608 -3645 -3639 -3722 -3718 -3700 -3650 -3597 -3575 -3621 -3756 -3851 -3888 -3814 -3671 -3622 -3657 -3754 -3913 -3961 -3928 -3838 -3721 -3648 -3692 -3721 -3620 -3526 -3440 -3493 -3565 -3625 -3583 -3510 -3561 -3728 -3925 -3972 -3862 -3714 -3675 -3746 -3827 -3853 -3747 -3697 -3630 -3626 -3578 -3528 -3498 -3528 -3579 -3674 -3763 -3845 -3863 -3827 -3769 -3750 -3835 -4009 -4151 -4137 -4016 -3874 -3811 -3813 -3753 -3673 -3665 -3638 -3683 -3694 -3575 -3423 -3356 -3413 -3632 -3912 -4091 -4047 -3927 -3740 -3714 -3804 -3925 -3955 -3874 -3798 -3749 -3691 -3638 -3562 -3524 -3571 -3621 -3701 -3646 -3642 -3674 -3700 -3784 -3827 -3950 -4097 -4177 -4122 -3975 -3865 -3900 -4024 -4040 -3876 -3716 -3594 -3631 -3670 -3677 -3654 -3607 -3665 -3756 -3833 -3869 -3880 -3893 -3875 -3882 -3922 -3877 -3893 -3924 -3816 -3760 -3641 -3619 -3663 -3745 -3754 -3722 -3650 -3650 -3577 -3549 -3605 -3662 -3805 -3894 -4020 -4045 -3925 -3798 -3711 -3782 -3877 -3959 -3874 -3771 -3664 -3694 -3763 -3747 -3708 -3675 -3754 -3878 -3920 -3877 -3760 -3705 -3707 -3850 -3994 -4043 -4041 -4004 -3910 -3813 -3745 -3869 -3981 -4028 -3928 -3759 -3668 -3733 -3857 -3896 -3878 -3838 -3867 -3988 -4022 -3926 -3816 -3766 -3910 -4069 -4109 -3979 -3798 -3661 -3616 -3657 -3715 -3764 -3804 -3821 -3828 -3785 -3776 -3766 -3679 -3665 -3693 -3744 -3828 -3920 -3921 -3830 -3747 -3701 -3762 -3944 -4066 -4066 -3916 -3812 -3802 -3830 -3825 -3756 -3774 -3754 -3912 -4012 -4014 -3841 -3697 -3738 -3900 -4071 -4131 -4051 -3967 -3896 -3971 -3992 -3990 -3958 -3905 -3843 -3823 -3799 -3782 -3761 -3844 -3806 -3797 -3817 -3798 -3822 -3777 -3681 -3638 -3727 -3907 -4050 -4043 -3920 -3800 -3794 -3857 -3976 -3925 -3865 -3808 -3846 -3848 -3756 -3619 -3499 -3561 -3737 -3929 -3974 -3912 -3823 -3790 -3864 -3944 -4015 -4070 -4097 -4092 -3992 -3938 -3866 -3857 -3922 -3912 -3826 -3734 -3679 -3743 -3769 -3705 -3652 -3650 -3805 -3992 -4060 -4043 -3955 -3924 -4011 -4057 -4048 -3944 -3840 -3798 -3760 -3727 -3684 -3643 -3715 -3731 -3799 -3752 -3750 -3757 -3818 -3839 -3814 -3844 -3969 -4074 -4144 -4055 -3973 -3853 -3838 -3932 -3959 -3938 -3864 -3807 -3821 -3833 -3795 -3729 -3677 -3734 -3924 -4118 -4156 -3987 -3836 -3794 -3940 -4111 -4219 -4128 -3963 -3863 -3811 -3786 -3723 -3636 -3697 -3752 -3835 -3826 -3796 -3817 -3830 -3814 -3818 -3853 -3933 -4014 -3945 -3819 -3696 -3784 -3949 -4038 -3953 -3756 -3623 -3659 -3788 -3881 -3799 -3699 -3672 -3803 -3934 -4008 -3967 -3898 -3880 -3918 -3989 -3993 -3970 -3955 -3938 -3906 -3878 -3873 -3836 -3886 -3881 -3948 -3984 -4013 -3901 -3833 -3789 -3849 -3929 -3991 -4052 -4007 -3925 -3853 -3805 -3854 -3946 -4051 -4067 -4011 -3929 -3898 -3855 -3878 -3801 -3783 -3799 -3858 -3930 -3867 -3726 -3657 -3688 -3803 -3898 -3932 -3903 -3854 -3788 -3747 -3741 -3765 -3899 -3975 -3985 -3859 -3714 -3754 -3887 -3926 -3908 -3828 -3762 -3852 -3950 -3969 -3839 -3763 -3800 -3973 -4108 -4134 -4043 -3972 -3948 -4027 -4100 -4097 -4058 -3997 -3955 -3926 -3930 -3940 -3840 -3774 -3765 -3829 -3907 -3943 -3900 -3802 -3664 -3705 -3804 -3972 -4124 -4102 -4072 -3931 -3839 -3821 -3809 -3782 -3730 -3716 -3720 -3797 -3786 -3687 -3562 -3574 -3760 -3991 -4133 -4116 -3954 -3862 -3832 -3959 -4052 -4019 -3992 -4036 -4036 -3982 -3901 -3782 -3725 -3781 -3815 -3889 -3888 -3915 -3858 -3785 -3813 -3829 -3966 -4090 -4100 -3980 -3903 -3905 -3956 -4103 -4129 -4078 -3951 -3869 -3845 -3774 -3654 -3597 -3590 -3770 -3903 -4025 -4003 -3921 -3858 -3914 -3945 -4051 -4115 -4128 -4087 -4005 -3910 -3805 -3785 -3817 -3864 -3851 -3832 -3826 -3823 -3848 -3776 -3713 -3713 -3843 -4095 -4247 -4283 -4073 -3879 -3857 -3928 -4032 -4032 -3977 -3920 -3905 -3902 -3932 -3858 -3816 -3784 -3865 -3824 -3862 -3872 -3905 -3950 -4011 -4062 -4082 -4082 -4111 -4076 -3994 -3889 -3852 -3859 -3878 -3863 -3811 -3739 -3730 -3753 -3752 -3687 -3680 -3780 -3922 -4029 -4033 -3838 -3732 -3726 -3861 -4086 -4204 -4168 -4054 -3860 -3790 -3762 -3787 -3863 -3917 -3920 -3950 -3908 -3843 -3809 -3848 -3894 -3979 -4079 -4174 -4222 -4187 -4035 -3887 -3846 -3894 -4033 -4039 -3989 -3840 -3870 -3945 -4034 -3980 -3876 -3719 -3735 -3782 -3899 -3909 -3814 -3737 -3712 -3834 -3884 -3962 -3953 -3873 -3808 -3780 -3840 -3901 -3975 -3951 -3920 -3850 -3875 -3845 -3830 -3792 -3727 -3758 -3838 -3915 -3956 -3822 -3714 -3723 -3900 -4088 -4241 -4173 -4015 -3838 -3871 -3970 -4061 -4044 -4002 -3977 -4044 -4070 -3981 -3786 -3590 -3606 -3779 -3977 -4120 -4112 -4025 -3926 -3925 -3979 -4096 -4126 -4096 -4035 -3966 -3912 -3934 -3901 -3874 -3844 -3845 -3865 -3878 -3821 -3701 -3626 -3649 -3748 -3876 -3967 -3958 -3961 -3990 -4039 -4094 -4144 -4059 -4013 -3908 -3896 -3875 -3844 -3844 -3769 -3755 -3708 -3784 -3863 -3849 -3850 -3869 -3945 -4016 -4115 -4221 -4229 -4258 -4197 -4093 -3962 -3890 -3897 -3941 -3953 -3905 -3820 -3799 -3775 -3776 -3743 -3740 -3865 -4019 -4134 -4154 -4034 -3953 -3913 -3973 -4069 -4192 -4205 -4125 -3993 -3818 -3734 -3736 -3843 -3878 -3878 -3831 -3824 -3830 -3868 -3828 -3841 -3901 -4028 -4188 -4304 -4274 -4144 -4058 -4083 -4112 -4116 -4043 -3877 -3767 -3765 -3854 -3946 -3904 -3801 -3738 -3797 -3996 -4084 -4147 -4058 -3964 -4049 -4225 -4368 -4325 -4167 -4020 -3972 -3952 -3992 -3931 -3823 -3756 -3801 -3834 -3863 -3853 -3842 -3828 -3843 -3954 -4063 -4218 -4136 -3989 -3801 -3817 -3937 -4167 -4207 -4083 -3909 -3813 -3856 -3867 -3775 -3666 -3660 -3774 -3940 -4053 -3967 -3839 -3826 -3858 -3947 -4060 -4081 -4164 -4178 -4197 -4194 -4066 -3971 -3920 -3931 -3919 -3959 -3973 -3960 -3900 -3784 -3782 -3829 -3945 -4048 -4035 -3976 -3904 -3823 -3923 -4047 -4079 -3987 -3919 -3807 -3814 -3875 -3932 -3886 -3824 -3761 -3756 -3702 -3698 -3692 -3680 -3757 -3841 -3901 -3903 -3875 -3815 -3824 -3804 -3833 -3894 -3997 -4101 -4132 -4061 -3953 -3831 -3813 -3865 -3870 -3904 -3895 -3948 -4030 -4005 -3895 -3699 -3643 -3762 -3998 -4138 -4099 -3994 -3903 -3909 -3950 -4038 -3983 -4024 -4041 -4068 -3993 -3872 -3779 -3722 -3722 -3717 -3760 -3846 -3881 -3925 -3890 -3797 -3852 -3974 -4106 -4182 -4130 -4001 -3909 -3921 -3972 -3976 -3948 -3868 -3832 -3849 -3823 -3770 -3691 -3655 -3796 -3951 -3996 -3999 -3912 -3750 -3782 -3839 -4092 -4252 -4333 -4262 -4150 -4005 -3943 -3906 -3903 -3809 -3828 -3838 -3799 -3806 -3781 -3762 -3757 -3889 -4018 -4175 -4275 -4243 -4148 -4046 -4042 -4148 -4181 -4165 -4026 -3978 -3935 -3948 -3953 -3778 -3549 -3483 -3632 -3851 -3985 -3964 -3828 -3823 -3919 -4052 -4161 -4184 -4201 -4163 -4058 -3993 -3934 -3933 -3928 -3876 -3797 -3765 -3788 -3793 -3795 -3768 -3771 -3889 -4057 -4233 -4234 -4082 -3931 -3948 -4116 -4279 -4295 -4272 -4148 -4028 -3973 -3948 -3940 -3920 -3906 -3901 -3867 -3851 -3775 -3705 -3656 -3768 -3961 -4134 -4226 -4163 -4089 -3954 -3949 -3977 -3982 -3982 -3960 -3944 -3891 -3828 -3779 -3733 -3735 -3753 -3765 -3847 -3971 -4083 -4093 -3980 -3894 -3923 -4045 -4154 -4154 -4100 -3969 -3995 -4039 -4039 -3941 -3907 -3906 -3999 -3992 -3980 -3904 -3876 -3906 -3916 -3977 -4042 -4131 -4134 -4053 -3961 -3881 -3936 -4081 -4226 -4176 -4030 -3846 -3844 -3884 -3943 -3928 -3880 -3802 -3777 -3820 -3828 -3731 -3708 -3734 -3871 -4045 -4118 -4116 -3925 -3806 -3754 -3820 -3887 -3944 -3947 -3952 -3948 -3865 -3761 -3678 -3685 -3746 -3792 -3841 -3875 -3929 -3914 -3881 -3794 -3814 -3956 -4153 -4202 -4128 -3927 -3817 -3884 -4030 -4039 -3934 -3802 -3805 -3958 -4032 -3967 -3786 -3677 -3714 -3835 -3883 -3894 -3822 -3805 -3865 -3951 -3988 -4073 -4050 -4026 -3987 -3946 -3894 -3889 -3895 -3906 -3862 -3787 -3741 -3754 -3720 -3693 -3653 -3682 -3857 -4013 -4127 -4073 -3938 -3817 -3882 -4031 -4142 -4136 -4047 -3962 -3922 -3945 -3921 -3759 -3664 -3709 -3786 -3894 -3864 -3745 -3712 -3724 -3787 -3859 -3980 -4120 -4206 -4251 -4149 -4024 -3951 -3981 -4032 -4019 -3926 -3838 -3798 -3861 -3845 -3797 -3709 -3754 -3842 -3962 -4011 -3866 -3791 -3807 -3950 -4084 -4176 -4144 -4062 -3999 -4001 -3953 -3931 -3937 -3907 -3882 -3743 -3672 -3593 -3619 -3622 -3702 -3774 -3882 -4012 -4135 -4169 -4069 -4025 -3997 -4023 -4121 -4142 -4056 -3900 -3832 -3848 -3925 -3903 -3813 -3732 -3847 -3991 -4094 -4061 -3949 -3829 -3834 -3967 -4128 -4158 -4106 -4021 -3952 -3913 -3933 -3894 -3883 -3828 -3819 -3806 -3829 -3855 -3844 -3702 -3633 -3674 -3823 -3986 -4076 -3990 -3845 -3801 -3833 -4050 -4159 -4143 -4014 -3850 -3842 -3893 -3898 -3844 -3829 -3905 -3980 -4075 -4012 -3949 -3868 -3913 -4021 -4119 -4154 -4075 -3978 -3964 -3998 -4089 -4109 -4081 -4042 -3947 -3915 -3866 -3848 -3863 -3841 -3831 -3799 -3829 -3975 -4044 -3977 -3868 -3796 -3761 -3827 -3959 -3962 -3909 -3849 -3930 -3993 -3978 -3914 -3875 -3913 -3987 -4026 -3980 -3863 -3788 -3766 -3813 -3839 -3868 -3910 -3875 -3818 -3692 -3658 -3761 -3903 -4092 -4151 -4088 -4005 -3941 -3907 -3942 -3909 -3914 -3856 -3827 -3763 -3833
+-22 -67 -58 -113 -148 -253 -376 -440 -431 -426 -394 -386 -429 -436 -416 -385 -304 -339 -384 -440 -409 -304 -264 -254 -336 -394 -443 -431 -400 -409 -484 -630 -714 -743 -706 -620 -587 -616 -655 -654 -634 -546 -484 -472 -480 -490 -517 -558 -638 -702 -739 -701 -716 -737 -799 -867 -895 -879 -876 -870 -863 -809 -777 -747 -756 -779 -850 -839 -804 -765 -777 -792 -869 -912 -912 -940 -984 -1018 -1084 -1067 -963 -975 -1002 -1070 -1091 -1023 -925 -883 -882 -939 -956 -911 -875 -887 -982 -1099 -1200 -1188 -1165 -1146 -1196 -1228 -1240 -1227 -1196 -1168 -1159 -1155 -1128 -1075 -1072 -1095 -1158 -1229 -1253 -1251 -1225 -1222 -1267 -1326 -1407 -1386 -1349 -1299 -1315 -1374 -1428 -1485 -1410 -1284 -1244 -1257 -1330 -1390 -1365 -1319 -1268 -1251 -1310 -1371 -1370 -1367 -1421 -1497 -1589 -1665 -1618 -1529 -1455 -1454 -1511 -1549 -1516 -1402 -1276 -1303 -1387 -1514 -1496 -1421 -1305 -1358 -1468 -1591 -1667 -1647 -1602 -1640 -1689 -1774 -1767 -1699 -1662 -1644 -1676 -1672 -1614 -1538 -1487 -1501 -1586 -1621 -1689 -1702 -1698 -1724 -1713 -1741 -1728 -1726 -1806 -1890 -1914 -1960 -1936 -1910 -1911 -1896 -1877 -1816 -1708 -1672 -1645 -1624 -1644 -1602 -1599 -1639 -1670 -1666 -1758 -1855 -1976 -2059 -2058 -1989 -1915 -1891 -1873 -1902 -1888 -1852 -1807 -1749 -1726 -1675 -1696 -1719 -1808 -1832 -1811 -1801 -1902 -1950 -2021 -2029 -2021 -2024 -2091 -2163 -2158 -2175 -2131 -2102 -2078 -2018 -1907 -1815 -1705 -1714 -1754 -1790 -1806 -1864 -1860 -1877 -1982 -2045 -2167 -2238 -2271 -2206 -2194 -2196 -2212 -2219 -2128 -2019 -1928 -1932 -1930 -1939 -1900 -1789 -1768 -1789 -1876 -1994 -2037 -1998 -1994 -2091 -2239 -2372 -2375 -2306 -2218 -2194 -2267 -2228 -2119 -2004 -1918 -1924 -1918 -1901 -1798 -1779 -1838 -1970 -2065 -2076 -2097 -2078 -2127 -2175 -2259 -2325 -2411 -2416 -2360 -2225 -2145 -2157 -2194 -2133 -1981 -1839 -1823 -1905 -2003 -1997 -1959 -1935 -1949 -2071 -2134 -2238 -2251 -2278 -2305 -2350 -2352 -2337 -2241 -2212 -2209 -2227 -2176 -2122 -2013 -1912 -1931 -2015 -2118 -2163 -2152 -2143 -2160 -2279 -2357 -2376 -2334 -2282 -2278 -2326 -2419 -2462 -2443 -2353 -2272 -2213 -2184 -2142 -2124 -2050 -2061 -2093 -2221 -2291 -2326 -2285 -2305 -2376 -2416 -2416 -2407 -2399 -2377 -2361 -2315 -2214 -2152 -2137 -2150 -2217 -2230 -2240 -2215 -2240 -2224 -2199 -2195 -2247 -2346 -2433 -2433 -2416 -2321 -2304 -2361 -2451 -2455 -2428 -2397 -2352 -2346 -2320 -2257 -2225 -2195 -2219 -2225 -2241 -2263 -2347 -2417 -2492 -2531 -2518 -2510 -2526 -2578 -2561 -2482 -2432 -2423 -2420 -2376 -2309 -2247 -2220 -2258 -2370 -2409 -2406 -2364 -2386 -2431 -2554 -2545 -2530 -2449 -2466 -2514 -2610 -2607 -2560 -2457 -2354 -2300 -2307 -2363 -2338 -2334 -2269 -2268 -2307 -2326 -2351 -2310 -2329 -2427 -2571 -2686 -2722 -2599 -2526 -2514 -2564 -2626 -2640 -2593 -2502 -2414 -2391 -2381 -2325 -2244 -2189 -2244 -2387 -2471 -2483 -2478 -2515 -2576 -2755 -2833 -2818 -2708 -2594 -2571 -2659 -2687 -2638 -2531 -2415 -2303 -2302 -2339 -2358 -2372 -2389 -2388 -2355 -2385 -2436 -2541 -2615 -2590 -2607 -2679 -2800 -2833 -2769 -2666 -2571 -2546 -2585 -2562 -2499 -2425 -2360 -2354 -2365 -2391 -2331 -2338 -2382 -2524 -2604 -2677 -2735 -2749 -2747 -2778 -2788 -2774 -2744 -2645 -2589 -2571 -2539 -2449 -2349 -2317 -2319 -2361 -2379 -2415 -2432 -2437 -2524 -2550 -2552 -2606 -2659 -2716 -2749 -2705 -2660 -2655 -2615 -2636 -2651 -2551 -2455 -2374 -2332 -2318 -2314 -2348 -2371 -2433 -2475 -2466 -2474 -2569 -2655 -2752 -2810 -2792 -2790 -2715 -2614 -2531 -2519 -2546 -2626 -2550 -2443 -2306 -2278 -2347 -2464 -2505 -2500 -2477 -2504 -2547 -2625 -2693 -2700 -2692 -2698 -2687 -2698 -2712 -2733 -2685 -2609 -2555 -2493 -2426 -2397 -2330 -2299 -2338 -2402 -2422 -2425 -2419 -2446 -2466 -2554 -2687 -2760 -2750 -2645 -2588 -2535 -2600 -2623 -2571 -2419 -2329 -2297 -2423 -2525 -2517 -2391 -2325 -2342 -2475 -2574 -2616 -2585 -2578 -2642 -2704 -2739 -2728 -2716 -2691 -2728 -2733 -2671 -2649 -2618 -2596 -2552 -2526 -2479 -2507 -2522 -2495 -2518 -2583 -2594 -2622 -2571 -2533 -2588 -2677 -2767 -2803 -2767 -2677 -2618 -2577 -2591 -2593 -2543 -2528 -2497 -2490 -2495 -2532 -2547 -2565 -2556 -2557 -2588 -2647 -2699 -2688 -2696 -2675 -2692 -2672 -2661 -2638 -2609 -2576 -2579 -2622 -2604 -2587 -2584 -2524 -2525 -2554 -2680 -2744 -2760 -2685 -2612 -2646 -2673 -2718 -2680 -2675 -2687 -2724 -2721 -2686 -2644 -2633 -2625 -2601 -2576 -2542 -2539 -2597 -2636 -2643 -2578 -2599 -2687 -2774 -2805 -2751 -2708 -2731 -2778 -2810 -2793 -2677 -2562 -2478 -2505 -2516 -2570 -2556 -2586 -2619 -2660 -2697 -2718 -2708 -2697 -2690 -2780 -2832 -2812 -2747 -2671 -2643 -2703 -2773 -2714 -2661 -2596 -2612 -2638 -2667 -2678 -2576 -2541 -2542 -2577 -2679 -2750 -2776 -2754 -2731 -2735 -2791 -2779 -2785 -2737 -2693 -2641 -2649 -2620 -2529 -2450 -2397 -2446 -2518 -2540 -2561 -2563 -2590 -2651 -2719 -2774 -2749 -2786 -2722 -2773 -2779 -2836 -2825 -2787 -2749 -2681 -2649 -2666 -2635 -2572 -2472 -2486 -2533 -2622 -2694 -2670 -2666 -2664 -2710 -2784 -2892 -2890 -2859 -2748 -2699 -2707 -2719 -2751 -2773 -2752 -2705 -2639 -2568 -2530 -2540 -2527 -2524 -2557 -2569 -2605 -2605 -2659 -2672 -2731 -2755 -2772 -2771 -2755 -2726 -2716 -2708 -2674 -2698 -2671 -2634 -2598 -2568 -2592 -2641 -2601 -2600 -2552 -2545 -2538 -2601 -2710 -2779 -2768 -2724 -2675 -2708 -2768 -2828 -2821 -2769 -2761 -2736 -2747 -2723 -2658 -2607 -2630 -2679 -2693 -2629 -2559 -2586 -2627 -2766 -2811 -2816 -2770 -2742 -2729 -2739 -2764 -2755 -2773 -2791 -2713 -2700 -2680 -2691 -2684 -2656 -2604 -2590 -2626 -2636 -2632 -2593 -2535 -2552 -2609 -2696 -2746 -2778 -2745 -2695 -2677 -2738 -2815 -2880 -2861 -2785 -2669 -2654 -2669 -2676 -2616 -2552 -2557 -2630 -2696 -2687 -2689 -2670 -2748 -2825 -2883 -2846 -2779 -2719 -2749 -2799 -2841 -2813 -2738 -2638 -2573 -2605 -2699 -2726 -2690 -2616 -2563 -2603 -2657 -2741 -2718 -2660 -2614 -2629 -2732 -2760 -2822 -2804 -2845 -2841 -2821 -2794 -2756 -2772 -2758 -2693 -2649 -2591 -2603 -2604 -2581 -2566 -2636 -2753 -2866 -2908 -2860 -2775 -2692 -2790 -2796 -2806 -2771 -2737 -2740 -2746 -2738 -2720 -2642 -2621 -2621 -2654 -2686 -2693 -2684 -2683 -2671 -2725 -2748 -2827 -2767 -2754 -2714 -2714 -2739 -2746 -2702 -2691 -2702 -2735 -2716 -2631 -2619 -2587 -2641 -2704 -2719 -2654 -2631 -2652 -2676 -2757 -2767 -2784 -2776 -2721 -2679 -2666 -2643 -2671 -2688 -2717 -2702 -2707 -2702 -2668 -2659 -2634 -2666 -2698 -2695 -2664 -2697 -2762 -2857 -2881 -2872 -2780 -2738 -2766 -2810 -2764 -2690 -2617 -2611 -2663 -2678 -2694 -2637 -2633 -2661 -2714 -2776 -2744 -2710 -2650 -2663 -2691 -2697 -2695 -2692 -2639 -2616 -2659 -2697 -2707 -2700 -2617 -2552 -2577 -2652 -2709 -2660 -2582 -2525 -2562 -2680 -2802 -2832 -2815 -2727 -2720 -2786 -2883 -2899 -2814 -2679 -2618 -2635 -2721 -2783 -2746 -2682 -2629 -2677 -2754 -2790 -2736 -2682 -2714 -2774 -2838 -2787 -2731 -2662 -2711 -2805 -2913 -2874 -2806 -2687 -2652 -2686 -2750 -2715 -2666 -2557 -2520 -2555 -2608 -2642 -2653 -2641 -2733 -2774 -2848 -2848 -2863 -2838 -2834 -2844 -2806 -2776 -2705 -2639 -2635 -2639 -2665 -2712 -2682 -2638 -2533 -2520 -2529 -2571 -2676 -2701 -2702 -2690 -2756 -2835 -2870 -2910 -2950 -2876 -2869 -2814 -2749 -2731 -2675 -2654 -2596 -2566 -2564 -2602 -2615 -2596 -2581 -2572 -2616 -2676 -2725 -2783 -2793 -2804 -2855 -2921 -2930 -2917 -2820 -2745 -2646 -2629 -2595 -2603 -2585 -2553 -2525 -2495 -2486 -2548 -2617 -2714 -2753 -2743 -2742 -2786 -2850 -2884 -2867 -2842 -2819 -2821 -2830 -2752 -2690 -2620 -2642 -2655 -2664 -2590 -2468 -2480 -2572 -2696 -2731 -2774 -2667 -2658 -2725 -2802 -2908 -2884 -2846 -2853 -2849 -2821 -2742 -2655 -2523 -2446 -2419 -2426 -2458 -2418 -2417 -2437 -2495 -2584 -2673 -2691 -2770 -2742 -2765 -2832 -2840 -2817 -2767 -2713 -2643 -2635 -2599 -2609 -2576 -2529 -2544 -2541 -2591 -2586 -2581 -2520 -2547 -2643 -2725 -2801 -2816 -2800 -2780 -2764 -2735 -2793 -2790 -2813 -2762 -2690 -2572 -2566 -2587 -2576 -2554 -2453 -2445 -2539 -2599 -2723 -2714 -2685 -2696 -2767 -2824 -2827 -2782 -2700 -2726 -2743 -2772 -2666 -2530 -2414 -2453 -2552 -2617 -2588 -2584 -2546 -2540 -2574 -2627 -2690 -2724 -2739 -2738 -2685 -2680 -2702 -2782 -2816 -2782 -2723 -2653 -2629 -2601 -2599 -2571 -2553 -2578 -2603 -2663 -2700 -2724 -2717 -2755 -2811 -2893 -2855 -2846 -2777 -2778 -2774 -2775 -2758 -2674 -2639 -2631 -2726 -2667 -2619 -2525 -2519 -2538 -2635 -2701 -2748 -2744 -2739 -2698 -2733 -2774 -2841 -2886 -2830 -2786 -2685 -2632 -2642 -2656 -2614 -2553 -2488 -2512 -2507 -2490 -2496 -2565 -2628 -2681 -2757 -2778 -2795 -2828 -2887 -2853 -2844 -2782 -2762 -2700 -2681 -2649 -2575 -2597 -2589 -2533 -2492 -2403 -2452 -2524 -2606 -2679 -2645 -2601 -2676 -2817 -2888 -2927 -2861 -2803 -2755 -2761 -2767 -2717 -2622 -2542 -2458 -2459 -2470 -2441 -2425 -2374 -2452 -2579 -2692 -2722 -2678 -2628 -2663 -2784 -2885 -2888 -2880 -2829 -2767 -2704 -2653 -2645 -2616 -2597 -2584 -2505 -2456 -2392 -2392 -2413 -2422 -2501 -2607 -2789 -2878 -2930 -2875 -2857 -2912 -2912 -2911 -2867 -2754 -2659 -2566 -2526 -2524 -2485 -2446 -2391 -2414 -2454 -2508 -2533 -2565 -2627 -2690 -2726 -2723 -2725 -2710 -2728 -2786 -2832 -2814 -2778 -2680 -2590 -2534 -2533 -2496 -2465 -2414 -2348 -2313 -2363 -2425 -2505 -2569 -2621 -2702 -2770 -2852 -2924 -2920 -2905 -2866 -2786 -2691 -2684 -2650 -2642 -2614 -2591 -2512 -2471 -2495 -2497 -2539 -2506 -2508 -2593 -2689 -2768 -2845 -2797 -2737 -2725 -2760 -2837 -2821 -2743 -2606 -2524 -2515 -2543 -2536 -2470 -2398 -2410 -2469 -2517 -2536 -2494 -2506 -2534 -2663 -2743 -2735 -2673 -2633 -2673 -2726 -2813 -2816 -2711 -2617 -2517 -2462 -2473 -2486 -2552 -2541 -2451 -2431 -2433 -2528 -2605 -2691 -2710 -2747 -2761 -2839 -2858 -2847 -2733 -2685 -2707 -2678 -2631 -2539 -2459 -2483 -2554 -2629 -2600 -2514 -2496 -2508 -2543 -2663 -2697 -2733 -2704 -2651 -2717 -2724 -2764 -2774 -2745 -2721 -2676 -2680 -2636 -2562 -2507 -2483 -2454 -2494 -2508 -2501 -2503 -2515 -2541 -2582 -2681 -2738 -2740 -2735 -2712 -2774 -2825 -2798 -2720 -2618 -2580 -2599 -2624 -2622 -2591 -2527 -2551 -2595 -2643 -2619 -2634 -2681 -2698 -2758 -2753 -2748 -2788 -2852 -2877 -2826 -2724 -2649 -2699 -2783 -2727 -2577 -2461 -2453 -2463 -2564 -2594 -2553 -2548 -2568 -2621 -2666 -2748 -2791 -2839 -2823 -2794 -2760 -2740 -2701 -2704 -2634 -2575 -2517 -2502 -2453 -2481 -2517 -2585 -2654 -2720 -2706 -2703 -2662 -2698 -2726 -2756 -2848 -2861 -2793 -2757 -2733 -2801 -2754 -2727 -2617 -2519 -2492 -2509 -2532 -2523 -2451 -2457 -2529 -2616 -2743 -2794 -2793 -2781 -2761 -2841 -2843 -2862 -2779 -2715 -2638 -2622 -2627 -2623 -2504 -2405 -2330 -2378 -2432 -2475 -2485 -2465 -2514 -2635 -2811 -2836 -2758 -2668 -2704 -2774 -2863 -2879 -2786 -2655 -2582 -2549 -2529 -2495 -2491 -2469 -2458 -2437 -2504 -2574 -2638 -2672 -2663 -2657 -2697 -2765 -2816 -2851 -2834 -2837 -2820 -2737 -2724 -2682 -2613 -2575 -2562 -2537 -2523 -2476 -2376 -2330 -2365 -2452 -2589 -2674 -2679 -2627 -2640 -2687 -2781 -2788 -2748 -2733 -2700 -2646 -2621 -2581 -2477 -2450 -2430 -2389 -2397 -2442 -2459 -2454 -2513 -2554 -2601 -2680 -2699 -2742 -2702 -2717 -2708 -2745 -2749 -2776 -2762 -2744 -2692 -2633 -2585 -2562 -2541 -2588 -2574 -2511 -2454 -2461 -2473 -2516 -2540 -2583 -2646 -2708 -2739 -2739 -2702 -2730 -2760 -2789 -2788 -2705 -2602 -2601 -2574 -2596 -2565 -2519 -2415 -2364 -2448 -2570 -2657 -2727 -2688 -2614 -2620 -2613 -2651 -2674 -2670 -2670 -2710 -2717 -2738 -2715 -2658 -2633 -2677 -2665 -2604 -2545 -2500 -2466 -2538 -2626 -2723 -2703 -2696 -2672 -2649 -2681 -2737 -2782 -2808 -2768 -2710 -2669 -2670 -2685 -2712 -2738 -2724 -2691 -2669 -2639 -2623 -2617 -2559 -2555 -2609 -2718 -2769 -2723 -2658 -2635 -2654 -2723 -2792 -2779 -2696 -2624 -2604 -2656 -2668 -2617 -2562 -2555 -2577 -2680 -2666 -2654 -2631 -2638 -2677 -2753 -2817 -2806 -2740 -2709 -2711 -2771 -2782 -2805 -2758 -2725 -2673 -2672 -2703 -2679 -2670 -2666 -2636 -2642 -2664 -2691 -2729 -2735 -2753 -2694 -2711 -2770 -2782 -2796 -2755 -2702 -2663 -2631 -2579 -2565 -2543 -2562 -2640 -2695 -2630 -2566 -2538 -2568 -2674 -2732 -2674 -2661 -2634 -2631 -2663 -2684 -2739 -2711 -2757 -2691 -2664 -2657 -2681 -2642 -2552 -2503 -2499
+-194 -180 -126 -108 -112 -124 -227 -197 -178 -118 -61 54 79 150 145 94 8 -109 -96 -31 11 36 -69 -169 -253 -233 -123 -34 29 -1 -2 -72 -117 -125 -84 4 126 214 237 119 -10 -86 -112 -56 -13 128 112 2 -160 -258 -249 -169 -101 -36 21 53 29 106 55 -23 -74 -23 91 192 215 119 -53 -175 -201 -126 -50 8 -10 1 17 0 -15 -92 -101 -46 82 137 145 89 -22 -67 -49 44 95 145 106 61 -52 -74 -82 -46 -52 -77 -56 -81 -108 -106 -121 -154 -76 -11 139 143 142 27 -108 -126 -70 5 97 124 55 -39 -165 -178 -167 -81 36 87 89 29 -71 -163 -178 -152 -76 54 132 110 3 -110 -188 -101 -39 23 64 27 -28 -89 -118 -156 -181 -140 -72 -56 23 60 43 -24 -1 -29 -10 40 56 28 46 -30 -94 -107 -90 -27 12 -3 -50 -108 -103 -79 -83 -91 -29 42 70 72 -56 -182 -234 -173 -64 -8 -46 -134 -193 -218 -154 -86 -54 -66 -34 -17 1 -69 -197 -271 -245 -124 72 206 165 20 -105 -171 -170 -89 20 120 70 -21 -115 -165 -169 -79 -4 87 100 165 165 54 -57 -80 -90 0 33 5 -149 -251 -236 -212 -151 -127 -76 -74 -21 28 -3 -19 -33 -48 -20 -3 19 25 -17 -56 -116 -164 -82 -3 17 -11 -89 -181 -177 -153 -88 -24 58 102 149 132 86 -38 -116 -67 40 108 124 24 -126 -229 -273 -260 -154 -116 -55 7 31 -4 -95 -129 -112 -41 104 155 173 105 38 -96 -120 -156 -90 44 80 2 -174 -255 -249 -117 -10 49 63 62 65 67 -24 -68 -64 42 136 108 21 -106 -155 -97 -57 -14 13 -9 -46 -80 -114 -108 -62 23 58 90 51 58 57 -2 -60 -138 -86 -52 82 104 67 -16 -137 -207 -192 -95 44 86 82 15 -65 -68 -70 -40 13 61 56 31 -72 -157 -245 -217 -186 -53 17 86 17 -77 -182 -211 -157 -77 -65 -57 -83 -93 -80 -67 -91 -136 -62 42 142 93 -82 -194 -240 -209 -14 31 65 14 -28 -120 -141 -156 -58 50 104 114 -28 -135 -156 -232 -232 -165 -37 18 51 -22 -168 -234 -196 -90 18 53 -13 -159 -226 -248 -221 -205 -220 -201 -77 9 -14 -89 -160 -255 -231 -128 -36 -50 -56 -141 -153 -201 -190 -191 -120 -39 -31 -66 -63 -131 -178 -199 -177 -77 -20 46 -47 -157 -258 -278 -163 -61 30 10 -62 -137 -199 -216 -222 -193 -90 -55 -26 -85 -183 -280 -347 -308 -144 -41 7 6 -108 -234 -273 -270 -167 -45 51 33 -91 -242 -281 -252 -136 -12 43 -1 -28 -51 -109 -237 -260 -235 -154 -85 -84 -128 -209 -237 -182 -96 -78 -42 -1 32 -32 -74 -154 -193 -172 -153 -113 -119 -138 -195 -248 -268 -302 -234 -127 -72 -18 -62 -161 -164 -120 -79 -7 -2 -13 -27 -58 -107 -156 -214 -210 -175 -65 1 -78 -252 -388 -363 -313 -161 7 23 16 -12 -74 -123 -135 -141 -65 43 37 4 -118 -253 -378 -384 -314 -160 -48 26 -50 -214 -283 -251 -101 75 113 41 -35 -55 -63 -82 -115 -123 -42 -24 -30 -78 -164 -222 -196 -146 -151 -112 -114 -125 -112 -139 -98 -67 -15 -29 -5 -83 -70 -119 -182 -269 -307 -278 -230 -91 -25 -48 -141 -216 -203 -119 36 140 140 72 -4 -78 -140 -189 -164 -106 9 70 16 -131 -245 -234 -205 -68 -14 12 15 27 -53 -121 -163 -128 -69 -4 -13 -58 -96 -162 -198 -262 -291 -276 -199 -43 -59 -143 -214 -243 -177 -5 52 65 -11 -95 -142 -113 -79 0 -1 -30 -137 -210 -275 -281 -245 -157 -107 -63 32 14 -38 -98 -149 -130 -16 70 77 30 -83 -197 -218 -259 -178 -175 -158 -135 -62 -102 -157 -216 -287 -232 -137 18 74 19 -16 -107 -169 -223 -185 -118 -18 72 34 -57 -141 -219 -233 -260 -222 -199 -68 -46 -148 -224 -253 -198 -75 61 61 -34 -124 -109 -65 -103 -109 -161 -127 -127 -142 -231 -301 -354 -259 -144 10 33 -14 -144 -232 -268 -190 -69 17 63 16 -114 -166 -196 -173 -124 -47 12 0 -137 -213 -262 -367 -375 -338 -196 -73 -29 -39 -167 -189 -233 -169 -57 -3 -28 3 -13 -84 -130 -214 -279 -254 -183 -86 -78 -55 -118 -197 -233 -200 -67 5 75 36 -41 -111 -81 -44 -11 -37 -68 -63 -74 -122 -184 -287 -259 -229 -158 -74 -79 -108 -202 -209 -130 -30 77 120 10 -89 -170 -205 -196 -135 -50 -16 -26 -58 -252 -360 -427 -361 -242 -33 100 114 17 -112 -195 -144 -26 111 197 137 37 -61 -127 -159 -171 -174 -125 -51 20 -29 -75 -190 -230 -179 -127 -21 75 90 63 16 -15 -32 -61 -113 -110 -78 -40 -66 -148 -256 -313 -287 -208 -137 -96 -116 -98 -123 -209 -97 -48 107 77 -3 -170 -261 -228 -206 -167 -101 -103 -60 -81 -97 -211 -261 -190 -63 99 148 75 -43 -151 -218 -204 -117 13 41 94 -10 -162 -288 -308 -308 -260 -115 20 50 -5 -153 -260 -287 -211 -4 99 151 68 -46 -145 -194 -216 -178 -193 -188 -198 -220 -215 -211 -165 -147 -151 -79 -31 33 -5 -15 -20 -37 -8 -28 -34 -57 -112 -191 -236 -243 -181 -111 -88 -90 -95 -102 -141 -178 -251 -211 -99 40 79 -35 -168 -248 -225 -119 -19 17 1 -2 -120 -246 -361 -411 -324 -192 -22 44 59 -10 -98 -227 -241 -189 -46 74 138 53 -83 -221 -263 -236 -186 -145 -114 -148 -146 -191 -188 -231 -235 -170 -79 2 16 -96 -182 -216 -196 -41 76 52 -62 -150 -195 -132 -104 -54 -62 -137 -179 -210 -250 -190 -146 -125 -78 -30 45 12 -57 -184 -246 -183 -56 47 90 -34 -91 -192 -226 -290 -354 -387 -323 -183 -94 -67 -178 -261 -292 -247 -119 -42 83 27 -30 -138 -189 -233 -203 -125 -69 -45 -23 -56 -83 -166 -227 -163 -111 -45 53 44 -17 -102 -130 -115 -24 -16 37 12 -24 -52 -146 -175 -220 -229 -189 -144 -186 -249 -299 -307 -174 -48 70 45 -49 -137 -226 -242 -201 -125 -9 -10 -31 -164 -250 -283 -199 -156 -64 -24 -27 -69 -67 -111 -146 -212 -185 -93 41 104 54 -48 -180 -233 -220 -159 -124 -102 -135 -125 -145 -204 -253 -260 -212 -98 77 182 172 49 -52 -157 -218 -148 -60 -31 -67 -128 -170 -201 -156 -127 -84 -104 -107 -15 -11 -90 -112 -111 -11 76 150 79 -40 -153 -165 -186 -157 -82 -60 -103 -147 -231 -195 -192 -157 -46 37 60 51 -13 -136 -147 -146 0 132 174 148 5 -196 -272 -276 -188 -131 -84 -89 -106 -42 -41 -54 -109 -136 -62 68 172 181 88 -50 -150 -148 -150 -158 -131 -154 -81 -170 -217 -265 -245 -168 -107 -34 26 42 25 -27 -95 -136 -77 14 88 67 3 -74 -76 -32 0 41 -2 -61 -102 -132 -147 -86 -53 -21 99 114 107 31 -3 -100 -97 -8 144 170 132 6 -195 -314 -352 -230 -71 8 56 -12 -88 -145 -188 -217 -172 -72 96 127 89 -94 -227 -294 -192 -58 7 42 -29 -32 -24 -92 -125 -193 -157 -97 -27 -24 -52 -90 -88 -78 -94 -49 -23 65 130 109 -2 -59 -45 -12 29 17 -70 -99 -103 -85 -123 -110 -42 36 102 71 -41 -208 -228 -156 -14 113 195 90 15 -126 -194 -167 -116 -50 -5 -3 -23 -147 -206 -252 -229 -152 -35 51 117 110 24 -98 -230 -223 -90 81 206 107 -36 -150 -226 -212 -183 -123 -57 29 70 0 -92 -133 -144 -75 63 97 74 -15 -86 -68 -86 -15 70 74 9 -147 -162 -172 -96 -20 -13 -22 7 45 105 55 -41 -89 -57 34 121 117 17 -118 -173 -163 -112 -59 -43 -12 27 -5 -84 -163 -173 -161 -45 112 167 156 39 -58 -178 -217 -172 -61 24 63 1 -136 -272 -271 -236 -114 -2 48 106 101 79 13 -90 -136 -54 58 83 32 -108 -255 -265 -212 -94 -34 -51 -70 -98 -132 -189 -222 -171 -85 -13 -4 2 -48 -147 -161 -121 -57 2 1 -36 -160 -284 -356 -308 -203 -63 -25 -41 -87 -80 5 34 58 71 62 115 146 122 23 -134 -254 -273 -201 -70 -3 -11 5 -90 -148 -176 -124 -67 -2 48 15 -45 -86 -119 -185 -148 -145 -21 26 -21 -159 -294 -347 -261 -177 -111 -106 -88 -111 -59 -64 -125 -146 -96 2 70 64 -30 -114 -165 -121 -43 26 30 -32 -113 -176 -222 -205 -104 17 112 95 25 -69 -161 -121 -77 17 13 20 0 -58 -151 -236 -301 -188 -38 84 81 -27 -91 -99 -56 -74 -62 -114 -65 -72 -45 -95 -197 -259 -236 -223 -125 -34 86 63 -14 -144 -213 -241 -124 -7 20 -64 -103 -156 -135 -119 -183 -140 -160 -115 -89 -107 -197 -267 -263 -194 -80 16 -46 -110 -178 -167 -103 -8 55 53 50 14 -98 -189 -187 -151 -120 -128 -55 -24 -62 -96 -198 -257 -205 -58 55 113 4 -161 -243 -241 -154 -95 -61 -27 29 12 -97 -220 -346 -344 -223 -61 -25 -70 -134 -204 -198 -191 -113 -118 -69 -34 13 -13 -65 -74 -73 -103 -120 -119 -65 -59 -67 -146 -199 -203 -112 -18 37 -19 -129 -234 -242 -195 -166 -65 -56 -41 -54 -52 -38 -112 -145 -104 -56 -46 -98 -218 -324 -362 -316 -268 -130 -42 -5 -36 -100 -217 -233 -189 -67 29 47 82 3 -45 -137 -215 -233 -141 -76 34 2 -98 -221 -258 -241 -99 -27 76 79 0 -75 -113 -89 -22 46 65 39 0 -72 -144 -207 -205 -214 -150 -87 -106 -183 -253 -269 -250 -165 -82 -42 -32 -27 -33 -52 -11 -67 -99 -102 -104 -34 -92 -144 -272 -363 -339 -177 -14 47 58 17 -55 -83 -114 -82 35 88 127 111 6 -102 -167 -233 -241 -125 -30 54 37 -61 -165 -215 -162 -68 55 121 55 -32 -41 -101 -94 -117 -59 -24 45 11 -35 -190 -276 -304 -285 -126 7 6 -49 -144 -168 -69 22 118 129 82 74 -25 -93 -131 -106 -124 -76 -115 -159 -200 -272 -295 -278 -188 -45 80 70 58 -42 -66 -121 -96 -101 -77 -58 -20 -41 -77 -125 -161 -223 -162 -82 -11 10 -11 -132 -233 -274 -164 -2 137 171 100 -35 -143 -205 -200 -190 -137 -124 -87 -59 -125 -219 -307 -310 -207 -65 22 10 -110 -204 -232 -206 -107 -41 -44 -78 -90 -111 -181 -214 -247 -156 -70 -32 -46 -145 -191 -216 -181 -66 16 117 126 67 -89 -171 -195 -83 -19 33 10 -65 -142 -237 -270 -284 -267 -171 -86 -49 -52 -176 -285 -317 -243 -106 -1 56 69 15 -100 -131 -156 -188 -210 -144 -92 -28 -99 -156 -235 -295 -233 -163 -14 50 75 21 -77 -135 -106 29 107 115 49 -11 -46 -28 -42 -98 -109 -106 -105 -134 -193 -294 -335 -315 -251 -124 -45 39 -3 -40
+195 332 418 448 467 461 472 444 280 232 232 286 262 149 -28 -83 -111 -22 85 130 174 226 286 280 325 333 380 531 599 546 519 430 367 274 259 241 284 333 335 248 99 -22 17 148 208 388 491 551 596 662 676 657 710 801 909 925 802 569 378 261 328 423 484 536 537 526 580 483 413 546 664 840 903 876 781 721 749 750 819 780 762 736 635 522 375 306 325 406 429 511 529 550 567 549 606 717 774 865 958 885 775 680 677 663 708 693 744 690 668 635 562 537 558 669 835 900 887 820 772 779 893 944 1037 1022 1058 1024 928 849 719 661 691 764 803 796 748 718 664 699 794 863 1021 1022 953 923 818 814 858 932 943 909 939 970 945 792 718 693 775 837 880 814 758 755 796 890 978 956 977 1025 1107 1068 1033 938 890 916 1004 1079 1045 994 837 864 857 925 1007 1059 1040 1057 1042 957 966 1019 1047 1111 1119 1098 1084 983 877 825 855 991 1130 1149 1060 937 856 902 930 1025 1053 1087 1137 1108 1052 961 876 904 985 1074 1061 1047 1047 953 975 1016 1057 1120 1184 1251 1296 1363 1310 1201 1134 1141 1200 1299 1301 1246 1127 1052 1035 1071 1207 1206 1250 1253 1174 1187 1146 1097 1173 1237 1297 1347 1333 1237 1182 1096 1150 1244 1296 1200 1088 1010 937 919 926 924 995 1114 1262 1340 1233 1112 1060 1169 1323 1451 1436 1342 1240 1169 1091 1095 1067 1111 1164 1213 1271 1212 1184 1132 1118 1138 1215 1324 1412 1388 1293 1286 1359 1441 1537 1511 1508 1467 1443 1405 1270 1161 1081 1145 1236 1305 1298 1243 1194 1176 1179 1274 1343 1381 1386 1372 1338 1383 1351 1366 1372 1437 1383 1403 1282 1081 925 943 1052 1243 1304 1247 1205 1204 1177 1256 1248 1353 1447 1525 1551 1513 1397 1344 1295 1344 1432 1512 1512 1436 1310 1264 1211 1284 1373 1424 1383 1350 1358 1367 1299 1275 1309 1422 1492 1595 1577 1441 1363 1332 1329 1349 1348 1339 1286 1283 1238 1206 1142 1133 1194 1283 1388 1438 1426 1288 1211 1219 1293 1453 1512 1519 1541 1481 1491 1415 1400 1382 1467 1604 1583 1475 1273 1143 1102 1202 1304 1499 1525 1475 1398 1330 1357 1452 1525 1576 1630 1568 1545 1462 1477 1446 1403 1447 1470 1457 1418 1321 1213 1120 1130 1188 1322 1354 1321 1308 1378 1395 1355 1375 1398 1520 1635 1704 1626 1400 1269 1224 1288 1339 1396 1359 1301 1218 1176 1213 1251 1334 1443 1478 1505 1504 1461 1406 1314 1336 1511 1672 1776 1697 1575 1439 1419 1446 1480 1457 1404 1369 1328 1291 1246 1247 1294 1409 1511 1614 1608 1528 1461 1394 1354 1435 1503 1511 1447 1388 1317 1268 1180 1155 1196 1281 1350 1383 1315 1261 1141 1190 1305 1485 1593 1634 1573 1527 1459 1453 1515 1580 1609 1529 1495 1409 1424 1360 1339 1339 1377 1501 1591 1582 1476 1388 1375 1483 1579 1634 1627 1685 1646 1605 1484 1452 1404 1418 1523 1569 1506 1350 1245 1174 1194 1281 1418 1510 1494 1441 1369 1381 1418 1443 1469 1488 1498 1521 1485 1351 1213 1176 1282 1374 1463 1508 1421 1421 1461 1423 1437 1425 1436 1448 1455 1448 1481 1415 1341 1371 1409 1597 1683 1643 1571 1447 1421 1435 1537 1518 1509 1491 1538 1524 1474 1422 1352 1423 1512 1522 1568 1469 1350 1239 1249 1271 1378 1452 1503 1441 1361 1319 1363 1454 1483 1483 1524 1527 1507 1412 1302 1193 1224 1321 1471 1461 1452 1346 1305 1354 1444 1479 1479 1467 1463 1527 1609 1635 1611 1534 1552 1556 1708 1704 1553 1426 1345 1353 1366 1348 1323 1326 1368 1412 1433 1399 1345 1398 1536 1593 1617 1554 1521 1433 1412 1419 1451 1534 1596 1500 1360 1225 1171 1132 1140 1245 1266 1310 1353 1277 1270 1229 1395 1580 1732 1841 1774 1631 1501 1449 1460 1448 1436 1397 1349 1363 1349 1342 1254 1232 1225 1363 1520 1642 1640 1558 1548 1542 1666 1683 1707 1702 1685 1640 1508 1346 1275 1212 1253 1245 1243 1139 1126 1108 1189 1231 1393 1484 1606 1602 1527 1475 1532 1573 1582 1509 1440 1451 1489 1455 1285 1050 1004 1124 1298 1407 1347 1225 1173 1257 1359 1412 1509 1663 1765 1873 1882 1822 1681 1616 1606 1674 1653 1600 1437 1313 1176 1128 1189 1288 1435 1472 1504 1503 1501 1539 1575 1567 1596 1621 1714 1690 1631 1540 1407 1345 1379 1415 1424 1315 1219 1145 1201 1227 1228 1238 1298 1375 1507 1590 1532 1498 1494 1634 1749 1808 1749 1669 1532 1379 1288 1321 1365 1396 1457 1407 1366 1393 1393 1428 1543 1651 1727 1776 1771 1669 1545 1446 1478 1554 1685 1698 1570 1428 1352 1346 1330 1377 1362 1447 1546 1626 1593 1456 1417 1424 1570 1670 1726 1673 1559 1504 1436 1377 1262 1252 1376 1517 1592 1567 1452 1361 1367 1494 1599 1664 1697 1652 1616 1511 1427 1418 1532 1613 1615 1586 1494 1470 1408 1325 1303 1351 1477 1602 1657 1564 1475 1492 1574 1713 1842 1839 1750 1621 1570 1481 1412 1355 1341 1368 1414 1361 1300 1194 1142 1231 1395 1591 1699 1645 1555 1584 1539 1567 1563 1515 1522 1626 1650 1592 1420 1291 1241 1270 1354 1401 1465 1436 1390 1341 1349 1465 1655 1778 1877 1918 1897 1916 1875 1707 1546 1455 1531 1644 1597 1431 1213 1105 1213 1431 1487 1469 1460 1468 1493 1512 1542 1607 1695 1819 1851 1832 1669 1519 1388 1409 1410 1426 1375 1255 1094 1013 1020 1099 1192 1311 1413 1563 1731 1772 1725 1649 1649 1726 1848 1893 1839 1708 1523 1398 1254 1253 1268 1368 1429 1441 1393 1404 1421 1446 1447 1538 1690 1876 1948 1910 1807 1756 1744 1813 1798 1695 1595 1515 1417 1310 1213 1071 1136 1221 1375 1434 1415 1365 1382 1488 1615 1747 1779 1760 1716 1644 1556 1512 1524 1493 1486 1473 1406 1289 1176 1063 1064 1200 1319 1481 1542 1546 1518 1539 1636 1708 1748 1827 1808 1784 1781 1684 1583 1469 1380 1381 1466 1543 1526 1402 1308 1292 1368 1487 1494 1577 1565 1688 1707 1742 1638 1598 1651 1730 1722 1717 1614 1482 1341 1276 1252 1257 1300 1366 1348 1340 1332 1382 1476 1602 1662 1748 1758 1773 1749 1645 1523 1435 1477 1574 1584 1508 1456 1329 1360 1460 1498 1601 1572 1600 1618 1602 1566 1518 1496 1620 1746 1811 1805 1690 1548 1488 1492 1492 1522 1531 1545 1499 1413 1362 1325 1396 1503 1540 1606 1603 1601 1605 1548 1486 1459 1514 1559 1613 1567 1444 1347 1339 1338 1302 1405 1491 1540 1662 1676 1517 1456 1396 1519 1651 1702 1686 1629 1510 1507 1499 1517 1564 1572 1565 1572 1540 1459 1464 1498 1604 1624 1696 1718 1751 1703 1674 1646 1549 1546 1547 1521 1451 1391 1333 1288 1310 1350 1378 1442 1512 1553 1537 1514 1362 1385 1460 1623 1713 1666 1569 1501 1466 1501 1474 1444 1458 1500 1511 1430 1274 1127 1117 1313 1474 1705 1738 1743 1645 1627 1673 1738 1834 1778 1797 1716 1673 1646 1582 1459 1351 1368 1433 1469 1462 1437 1315 1373 1448 1568 1657 1727 1707 1719 1722 1759 1722 1711 1634 1689 1702 1656 1514 1349 1161 1103 1230 1339 1373 1338 1305 1283 1379 1481 1586 1649 1642 1721 1738 1679 1577 1473 1422 1418 1506 1639 1675 1620 1409 1268 1165 1180 1352 1407 1459 1503 1552 1615 1643 1638 1607 1655 1799 1944 1875 1753 1504 1389 1413 1424 1560 1538 1442 1365 1331 1293 1357 1389 1373 1456 1522 1615 1578 1495 1424 1372 1487 1631 1701 1658 1518 1420 1398 1475 1505 1477 1453 1446 1492 1456 1370 1286 1285 1354 1483 1647 1718 1717 1629 1559 1598 1633 1701 1724 1717 1647 1630 1614 1563 1404 1276 1256 1423 1565 1611 1516 1338 1266 1317 1449 1519 1548 1579 1590 1557 1507 1481 1481 1483 1573 1614 1634 1543 1375 1247 1220 1342 1464 1576 1550 1371 1300 1302 1399 1458 1483 1476 1517 1612 1638 1612 1477 1485 1538 1663 1738 1753 1625 1476 1319 1327 1430 1480 1517 1524 1483 1469 1511 1576 1510 1429 1448 1523 1700 1753 1686 1502 1388 1403 1551 1671 1661 1629 1535 1475 1420 1354 1299 1273 1350 1444 1458 1342 1146 1114 1169 1341 1487 1584 1643 1530 1467 1460 1442 1465 1545 1539 1489 1489 1460 1413 1340 1297 1335 1389 1494 1596 1640 1533 1404 1361 1447 1558 1706 1792 1744 1760 1683 1653 1576 1522 1551 1598 1696 1667 1546 1460 1355 1335 1422 1517 1590 1550 1534 1492 1499 1515 1519 1537 1586 1621 1631 1628 1457 1408 1387 1478 1555 1580 1620 1522 1500 1440 1371 1411 1472 1477 1533 1496 1515 1578 1541 1554 1552 1607 1670 1777 1780 1626 1465 1403 1484 1550 1595 1588 1570 1548 1546 1580 1557 1463 1543 1593 1728 1709 1611 1518 1436 1475 1561 1653 1684 1710 1659 1623 1571 1592 1526 1519 1531 1476 1496 1513 1466 1359 1308 1364 1528 1652 1705 1680 1604 1518 1488 1454 1517 1608 1692 1645 1669 1613 1597 1509 1514 1526 1638 1655 1685 1599 1439 1337 1375 1551 1670 1660 1644 1553 1529 1591 1562 1547 1518 1568 1632 1672 1679 1631 1530 1538 1554 1599 1622 1613 1487 1385 1299 1253 1311 1314 1377 1411 1431 1501 1592 1541 1540 1563 1598 1735 1785 1761 1626 1525 1411 1419 1438 1453 1534 1553 1576 1567 1526 1414 1379 1357 1470 1647 1740 1734 1614 1537 1530 1632 1756 1835 1869 1805 1721 1618 1519 1395 1354 1321 1388 1414 1366 1299 1244 1283 1413 1490 1576 1693 1696 1688 1639 1570 1615 1682 1702 1664 1599 1499 1415 1404 1308 1212 1243 1359 1404 1417 1348 1312 1355 1440 1589 1639 1651 1675 1738 1771 1746 1654 1548 1524 1618 1739 1763 1640 1479 1313 1261 1300 1404 1482 1490 1571 1663 1669 1662 1642 1708 1778 1910 1971 1947 1838 1747 1639 1626 1585 1627 1626 1543 1447 1303 1243 1265 1325 1376 1451 1507 1618 1749 1742 1648 1621 1696 1871 1954 1853 1606 1450 1363 1414 1475 1490 1465 1451 1463 1473 1426 1371 1401 1485 1663 1779 1844 1821 1765 1692 1711 1708 1780 1857 1871 1768 1668 1535 1431 1389 1359 1399 1443 1486 1609 1609 1604 1497 1430 1526 1709 1805 1838 1730 1608 1552 1545 1577 1559 1514 1569 1636 1609 1584 1464 1337 1399 1527 1681 1695 1556 1489 1540 1607 1678 1705 1684 1729 1760 1734 1589 1434 1396 1375 1457 1600 1632 1675 1606 1548 1575 1636 1738 1764 1782 1712 1619 1505 1460 1401 1411 1429 1528 1621 1687 1595 1483 1400 1361 1447 1542 1665 1628 1511 1452 1454 1488 1514 1546 1620 1704 1675 1649 1472 1274 1227 1306 1382 1499 1459 1391 1308 1321 1441 1498 1558 1675 1722 1788 1799 1727 1664 1557 1523 1541 1594 1628 1593 1435 1299 1218 1256 1420 1564 1596 1478 1497 1530 1614 1623 1665 1676 1702 1754 1780 1726 1630 1451 1354 1417 1475 1450 1342 1192 1133 1124 1206 1250 1300 1385 1571 1711 1748 1642 1511 1453 1516 1698 1837 1841 1735 1513 1369 1293 1302 1314 1385 1413 1407 1300 1251 1275 1327 1383 1537 1760 1910 1962 1907 1755 1619 1658 1750 1760 1680 1534 1420 1374 1337 1271 1223 1235 1287 1392 1463 1533 1514 1511 1575 1633 1712 1742 1727 1700 1619 1626 1581 1599 1545 1495 1449 1485 1436 1316
+-147 -133 -90 -51 -119 -155 -220 -231 -211 -113 -48 -55 -97 -114 -95 21 77 73 -35 -116 -186 -125 -29 -82 -186 -334 -351 -231 -102 -148 -260 -349 -335 -183 -96 -14 -63 -126 -85 -45 12 -37 -131 -169 -160 -29 -40 -124 -259 -314 -325 -196 -153 -159 -222 -203 -198 -127 -134 -181 -183 -157 -66 63 86 22 -71 -163 -167 -105 -56 -103 -268 -357 -335 -259 -226 -208 -325 -346 -240 -71 -39 -128 -271 -275 -132 -7 -25 -145 -305 -329 -204 -122 -186 -306 -427 -401 -207 -148 -188 -254 -286 -253 -91 -28 -110 -156 -214 -201 -147 -141 -167 -242 -292 -263 -290 -236 -288 -341 -396 -370 -333 -215 -194 -173 -274 -283 -265 -147 -63 -105 -202 -289 -285 -195 -103 -167 -330 -454 -409 -291 -235 -253 -437 -566 -458 -243 -100 -157 -285 -421 -396 -236 -71 -143 -316 -471 -451 -262 -136 -157 -266 -373 -369 -300 -201 -289 -409 -466 -394 -285 -267 -312 -375 -470 -422 -338 -233 -295 -405 -496 -493 -391 -312 -339 -421 -445 -371 -212 -144 -200 -340 -403 -383 -316 -333 -442 -518 -529 -479 -452 -395 -484 -569 -521 -477 -412 -365 -327 -345 -416 -418 -404 -381 -340 -345 -330 -394 -406 -362 -330 -369 -459 -486 -450 -443 -443 -508 -521 -443 -421 -398 -424 -419 -403 -342 -264 -215 -283 -307 -366 -369 -296 -293 -281 -317 -438 -456 -449 -368 -390 -513 -605 -587 -475 -368 -407 -528 -559 -473 -296 -237 -280 -415 -497 -474 -384 -281 -330 -436 -503 -533 -427 -331 -360 -451 -519 -500 -395 -301 -341 -487 -627 -613 -439 -305 -308 -382 -457 -385 -232 -217 -321 -424 -494 -455 -370 -370 -425 -528 -509 -483 -423 -381 -308 -338 -349 -431 -448 -342 -296 -298 -424 -483 -475 -354 -291 -383 -521 -629 -553 -388 -314 -335 -463 -502 -467 -382 -317 -383 -469 -556 -560 -464 -363 -386 -429 -501 -468 -365 -297 -374 -548 -665 -640 -518 -403 -396 -529 -629 -534 -359 -213 -204 -350 -412 -417 -270 -295 -315 -419 -451 -434 -358 -346 -381 -502 -572 -595 -460 -377 -437 -486 -564 -462 -340 -325 -368 -458 -443 -378 -255 -213 -307 -423 -469 -425 -377 -378 -437 -504 -471 -470 -463 -482 -567 -529 -501 -380 -368 -398 -432 -474 -471 -423 -413 -346 -355 -396 -458 -502 -473 -395 -379 -373 -440 -452 -415 -396 -422 -499 -546 -497 -427 -397 -459 -553 -612 -608 -459 -388 -438 -569 -638 -593 -437 -349 -378 -464 -502 -480 -324 -232 -303 -483 -632 -625 -516 -349 -348 -500 -640 -609 -523 -400 -431 -591 -648 -575 -400 -328 -381 -532 -565 -451 -249 -198 -212 -398 -552 -512 -473 -413 -450 -525 -575 -491 -405 -315 -342 -437 -535 -473 -389 -301 -357 -476 -564 -547 -399 -349 -354 -545 -611 -585 -508 -435 -442 -503 -525 -507 -434 -406 -405 -431 -483 -504 -464 -412 -358 -385 -472 -581 -601 -560 -440 -446 -491 -563 -629 -584 -576 -549 -547 -559 -471 -397 -364 -364 -392 -428 -367 -297 -292 -333 -454 -512 -526 -511 -492 -505 -561 -600 -559 -420 -359 -489 -613 -625 -497 -383 -321 -429 -525 -526 -453 -330 -393 -488 -533 -504 -410 -361 -526 -609 -682 -597 -532 -498 -511 -574 -650 -626 -550 -502 -514 -509 -498 -488 -423 -354 -411 -480 -510 -451 -447 -395 -544 -633 -637 -569 -506 -500 -525 -605 -612 -534 -483 -472 -451 -479 -524 -543 -521 -431 -437 -475 -561 -564 -533 -413 -375 -452 -484 -511 -490 -425 -428 -518 -625 -626 -562 -530 -546 -616 -711 -693 -563 -478 -405 -481 -565 -685 -629 -506 -386 -412 -555 -652 -621 -507 -324 -346 -471 -600 -588 -471 -418 -480 -616 -677 -675 -599 -542 -634 -714 -733 -617 -506 -394 -432 -483 -524 -529 -403 -361 -383 -547 -622 -553 -425 -403 -519 -681 -736 -685 -594 -603 -677 -738 -712 -609 -596 -599 -658 -651 -610 -512 -422 -409 -450 -474 -485 -557 -574 -566 -552 -512 -558 -629 -674 -656 -620 -643 -660 -674 -615 -545 -447 -437 -432 -510 -534 -537 -527 -513 -515 -534 -506 -511 -507 -592 -656 -685 -607 -526 -521 -637 -686 -669 -541 -407 -466 -527 -626 -510 -367 -324 -433 -619 -692 -620 -559 -587 -718 -812 -831 -690 -608 -543 -615 -636 -591 -549 -457 -445 -453 -573 -512 -528 -492 -460 -538 -728 -795 -733 -603 -517 -634 -741 -839 -730 -583 -498 -546 -669 -666 -529 -401 -333 -455 -598 -614 -515 -396 -358 -507 -653 -723 -696 -645 -606 -715 -774 -800 -699 -602 -571 -567 -619 -665 -580 -529 -506 -502 -520 -565 -594 -600 -615 -586 -674 -746 -814 -776 -729 -662 -636 -740 -731 -690 -520 -503 -560 -688 -735 -658 -521 -458 -549 -707 -775 -646 -508 -405 -491 -601 -676 -622 -552 -560 -675 -728 -683 -562 -485 -542 -627 -678 -585 -497 -438 -475 -537 -540 -536 -544 -573 -629 -679 -674 -645 -575 -562 -601 -602 -702 -701 -654 -604 -520 -556 -603 -617 -554 -548 -588 -693 -709 -636 -500 -527 -569 -676 -722 -640 -563 -507 -560 -644 -629 -604 -590 -619 -653 -673 -617 -542 -555 -592 -679 -705 -662 -629 -581 -589 -648 -743 -775 -735 -701 -592 -575 -640 -666 -674 -636 -595 -579 -611 -610 -628 -602 -570 -583 -646 -789 -825 -811 -660 -533 -591 -735 -842 -758 -595 -496 -601 -709 -717 -576 -433 -428 -641 -798 -771 -581 -472 -490 -632 -749 -700 -627 -576 -621 -694 -738 -699 -624 -547 -533 -624 -665 -711 -648 -552 -521 -674 -795 -837 -746 -580 -557 -643 -750 -855 -772 -685 -656 -631 -622 -603 -566 -597 -622 -611 -593 -617 -638 -626 -626 -647 -709 -835 -932 -896 -766 -660 -664 -730 -740 -690 -620 -591 -637 -616 -558 -483 -395 -419 -480 -573 -554 -581 -557 -641 -649 -699 -714 -753 -719 -687 -660 -694 -716 -634 -499 -434 -471 -559 -537 -462 -391 -404 -517 -684 -756 -610 -589 -598 -740 -797 -773 -677 -631 -645 -720 -684 -613 -533 -494 -549 -658 -724 -650 -556 -438 -517 -574 -657 -630 -556 -557 -666 -793 -822 -739 -615 -554 -661 -744 -767 -600 -444 -387 -502 -545 -534 -485 -462 -543 -616 -687 -729 -706 -604 -593 -611 -678 -664 -637 -548 -486 -480 -605 -663 -683 -567 -523 -560 -699 -791 -771 -628 -566 -555 -691 -758 -757 -665 -567 -497 -622 -739 -743 -654 -538 -482 -597 -668 -753 -695 -554 -508 -630 -748 -793 -677 -580 -580 -721 -811 -826 -632 -468 -448 -591 -712 -742 -592 -415 -425 -544 -669 -645 -540 -417 -455 -631 -746 -698 -590 -521 -588 -704 -752 -717 -559 -470 -495 -595 -625 -549 -515 -464 -515 -664 -745 -728 -675 -587 -481 -472 -531 -575 -539 -537 -537 -557 -569 -618 -596 -579 -574 -574 -565 -528 -521 -447 -482 -545 -562 -563 -528 -512 -532 -626 -625 -605 -551 -510 -492 -525 -521 -517 -457 -496 -465 -511 -549 -538 -516 -496 -502 -563 -656 -681 -643 -642 -593 -649 -768 -720 -647 -555 -502 -561 -611 -641 -554 -394 -390 -385 -534 -581 -539 -440 -436 -552 -651 -657 -582 -489 -568 -726 -817 -772 -598 -456 -479 -544 -590 -516 -368 -309 -353 -489 -559 -518 -393 -325 -372 -502 -599 -542 -548 -491 -564 -611 -702 -656 -624 -581 -556 -561 -595 -535 -486 -439 -427 -436 -502 -491 -508 -522 -481 -496 -579 -608 -605 -524 -549 -612 -670 -784 -733 -648 -550 -520 -520 -548 -517 -507 -512 -525 -581 -658 -639 -604 -535 -487 -502 -564 -642 -655 -510 -473 -446 -484 -583 -545 -483 -411 -452 -547 -543 -471 -411 -398 -508 -647 -674 -607 -562 -578 -639 -636 -664 -606 -578 -572 -598 -608 -543 -515 -462 -508 -529 -539 -469 -419 -371 -438 -576 -633 -606 -595 -612 -666 -715 -705 -617 -557 -562 -614 -602 -530 -413 -379 -425 -510 -485 -488 -490 -610 -652 -660 -550 -489 -478 -524 -574 -630 -561 -522 -527 -500 -513 -554 -588 -678 -622 -522 -452 -460 -505 -567 -598 -499 -484 -506 -524 -552 -602 -576 -575 -622 -618 -684 -657 -594 -495 -472 -547 -647 -620 -538 -460 -452 -571 -683 -651 -546 -480 -502 -592 -598 -529 -400 -300 -348 -465 -549 -533 -491 -442 -489 -603 -641 -648 -582 -575 -564 -594 -672 -623 -551 -437 -462 -566 -666 -656 -481 -298 -261 -455 -586 -633 -548 -441 -439 -480 -620 -599 -545 -573 -624 -688 -697 -646 -556 -581 -573 -615 -620 -584 -529 -506 -492 -440 -425 -427 -401 -407 -473 -438 -409 -439 -471 -464 -431 -475 -557 -592 -610 -550 -539 -483 -521 -558 -561 -500 -446 -412 -467 -526 -508 -498 -456 -436 -509 -535 -552 -478 -437 -474 -573 -629 -665 -507 -462 -517 -645 -611 -554 -425 -456 -552 -633 -594 -458 -422 -489 -659 -730 -682 -565 -543 -564 -609 -625 -547 -424 -397 -491 -551 -575 -456 -383 -394 -436 -570 -611 -532 -391 -314 -381 -559 -567 -595 -497 -432 -507 -634 -674 -617 -462 -423 -472 -520 -527 -431 -295 -296 -417 -492 -568 -538 -469 -461 -513 -549 -641 -668 -578 -487 -503 -563 -646 -678 -615 -502 -460 -535 -595 -655 -575 -482 -471 -544 -586 -570 -552 -518 -513 -559 -531 -553 -569 -523 -512 -529 -590 -704 -655 -593 -494 -467 -583 -683 -653 -498 -414 -476 -588 -669 -648 -487 -476 -537 -682 -719 -604 -463 -468 -546 -649 -688 -600 -490 -526 -634 -713 -741 -698 -614 -625 -696 -788 -771 -688 -556 -483 -513 -508 -549 -454 -475 -466 -555 -555 -500 -467 -529 -641 -736 -747 -683 -652 -652 -718 -686 -645 -564 -554 -574 -535 -527 -552 -507 -480 -448 -441 -473 -544 -576 -569 -547 -518 -586 -673 -709 -652 -616 -608 -676 -707 -718 -632 -575 -538 -565 -548 -512 -420 -408 -412 -466 -513 -565 -597 -570 -600 -660 -735 -773 -697 -605 -513 -506 -541 -532 -434 -379 -354 -440 -580 -629 -570 -422 -384 -434 -561 -634 -545 -454 -356 -448 -577 -660 -580 -413 -360 -470 -617 -694 -545 -361 -299 -474 -623 -636 -521 -428 -441 -568 -775 -729 -629 -573 -549 -666 -643 -619 -569 -523 -521 -567 -531 -538 -534 -516 -497 -467 -492 -625 -624 -604 -567 -483 -573 -606 -649 -621 -595 -553 -594 -646 -667 -529 -446 -352 -393 -489 -598 -524 -440 -324 -359 -466 -522 -492 -452 -484 -594 -651 -634 -549 -460 -550 -656 -714 -686 -589 -526 -488 -527 -554 -548 -522 -487 -471 -509 -543 -574 -502 -492 -487 -529 -597 -632 -611 -530 -515 -614 -711 -724 -653 -548 -525 -592 -622 -603 -451 -420 -450 -558 -599 -538 -505 -476 -592 -707 -702 -664 -552 -539 -586 -602 -523 -485 -357 -375 -501 -589 -585 -507 -454 -452 -536 -667 -689 -681 -554 -532 -589 -610 -650 -612 -621 -598 -586 -577 -556 -504 -521 -492 -592 -648 -696 -645 -608 -500 -544 -560 -650 -694 -641 -559 -499 -537 -602 -678 -618 -560 -520 -528 -565 -555 -490 -383 -356 -465 -641 -659 -599 -544 -517 -652 -803 -870 -780 -597 -496 -566 -632 -663 -525 -436 -401 -497 -626 -591 -577 -454 -438 -548 -712 -813 -824 -729 -660 -671 -701 -690 -652 -606 -600 -673 -705 -659 -511 -437 -451 -507 -541 -576 -567 -522 -536 -525 -564 -603 -663 -732 -769 -767 -728 -711 -635 -622 -551 -496 -496 -519 -529 -519 -485 -475 -583 -642 -633 -619 -593 -622 -708 -757 -795 -798 -762 -726 -653 -636 -621 -547 -496 -481 -467 -499
+72 81 3 -91 -141 -132 -52 -69 -105 -151 -162 -190 -192 -205 -164 -155 -175 -187 -206 -252 -267 -319 -265 -249 -169 -168 -154 -195 -212 -223 -180 -91 -80 -116 -156 -166 -158 -157 -265 -382 -383 -390 -283 -297 -362 -482 -575 -551 -462 -381 -370 -356 -391 -407 -413 -463 -495 -552 -534 -513 -435 -457 -502 -597 -621 -649 -602 -564 -561 -545 -595 -632 -678 -699 -670 -713 -672 -646 -632 -642 -694 -706 -696 -657 -547 -517 -547 -599 -668 -670 -636 -631 -643 -682 -722 -723 -698 -716 -745 -762 -721 -649 -581 -635 -761 -913 -986 -916 -824 -808 -789 -871 -881 -808 -750 -774 -825 -842 -818 -781 -751 -830 -925 -1041 -1126 -1088 -1035 -952 -941 -944 -992 -1052 -1081 -1082 -1015 -980 -958 -984 -970 -956 -935 -982 -1060 -1072 -932 -800 -716 -815 -916 -991 -949 -932 -938 -995 -1075 -1088 -1062 -1112 -1146 -1137 -1115 -926 -806 -816 -922 -1050 -1109 -1118 -1057 -995 -1008 -1062 -1162 -1190 -1166 -1132 -1125 -1078 -1069 -1089 -1109 -1187 -1250 -1349 -1428 -1429 -1351 -1189 -1134 -1130 -1175 -1281 -1286 -1226 -1185 -1149 -1150 -1202 -1216 -1207 -1209 -1247 -1263 -1241 -1204 -1096 -1037 -1045 -1150 -1235 -1203 -1196 -1194 -1176 -1194 -1177 -1170 -1182 -1235 -1321 -1311 -1223 -1164 -1197 -1223 -1283 -1320 -1302 -1290 -1262 -1232 -1238 -1236 -1300 -1364 -1350 -1304 -1324 -1300 -1355 -1384 -1388 -1412 -1440 -1517 -1498 -1435 -1296 -1266 -1334 -1437 -1571 -1533 -1428 -1362 -1338 -1333 -1330 -1295 -1235 -1246 -1354 -1421 -1353 -1234 -1162 -1212 -1368 -1502 -1512 -1497 -1416 -1394 -1401 -1335 -1322 -1269 -1253 -1320 -1404 -1378 -1343 -1247 -1253 -1347 -1406 -1502 -1497 -1430 -1387 -1329 -1317 -1358 -1366 -1368 -1429 -1483 -1545 -1581 -1613 -1574 -1540 -1522 -1529 -1541 -1477 -1388 -1293 -1246 -1308 -1412 -1486 -1500 -1479 -1427 -1439 -1494 -1522 -1520 -1470 -1449 -1489 -1518 -1494 -1351 -1241 -1208 -1343 -1504 -1628 -1606 -1510 -1460 -1451 -1439 -1455 -1456 -1470 -1475 -1428 -1377 -1366 -1375 -1395 -1398 -1471 -1600 -1661 -1651 -1584 -1467 -1435 -1440 -1476 -1534 -1566 -1532 -1602 -1645 -1660 -1596 -1577 -1535 -1618 -1696 -1679 -1603 -1499 -1442 -1440 -1476 -1467 -1488 -1466 -1463 -1477 -1508 -1536 -1559 -1503 -1485 -1528 -1581 -1550 -1490 -1410 -1396 -1467 -1568 -1582 -1532 -1434 -1377 -1405 -1484 -1445 -1479 -1427 -1470 -1506 -1478 -1408 -1389 -1407 -1445 -1526 -1588 -1570 -1601 -1569 -1557 -1544 -1573 -1629 -1670 -1702 -1740 -1719 -1683 -1627 -1525 -1512 -1489 -1534 -1668 -1656 -1595 -1483 -1444 -1459 -1535 -1587 -1625 -1598 -1598 -1599 -1603 -1564 -1532 -1464 -1467 -1574 -1629 -1634 -1616 -1503 -1448 -1530 -1620 -1631 -1524 -1386 -1331 -1381 -1468 -1521 -1468 -1435 -1461 -1549 -1613 -1633 -1604 -1584 -1561 -1642 -1647 -1672 -1575 -1529 -1532 -1519 -1598 -1682 -1747 -1747 -1693 -1673 -1644 -1636 -1595 -1562 -1499 -1532 -1596 -1659 -1676 -1550 -1470 -1488 -1581 -1684 -1741 -1779 -1707 -1701 -1656 -1552 -1472 -1451 -1433 -1558 -1673 -1690 -1636 -1551 -1505 -1542 -1572 -1653 -1610 -1559 -1513 -1513 -1503 -1501 -1489 -1475 -1517 -1605 -1685 -1744 -1742 -1732 -1699 -1681 -1715 -1767 -1774 -1697 -1609 -1519 -1504 -1550 -1627 -1654 -1663 -1612 -1595 -1651 -1666 -1658 -1619 -1602 -1671 -1710 -1664 -1612 -1545 -1593 -1638 -1741 -1784 -1718 -1703 -1690 -1675 -1610 -1582 -1493 -1496 -1576 -1613 -1672 -1567 -1466 -1418 -1455 -1584 -1695 -1669 -1639 -1609 -1695 -1695 -1621 -1533 -1536 -1580 -1649 -1760 -1734 -1688 -1587 -1571 -1590 -1679 -1787 -1821 -1807 -1734 -1670 -1688 -1743 -1775 -1767 -1724 -1698 -1706 -1706 -1687 -1613 -1583 -1638 -1803 -1830 -1860 -1735 -1705 -1646 -1756 -1786 -1774 -1745 -1640 -1569 -1575 -1566 -1605 -1572 -1625 -1675 -1728 -1708 -1680 -1575 -1495 -1472 -1501 -1606 -1629 -1637 -1551 -1563 -1574 -1625 -1625 -1716 -1762 -1835 -1847 -1852 -1736 -1625 -1553 -1571 -1622 -1782 -1814 -1795 -1738 -1623 -1630 -1755 -1900 -1918 -1830 -1767 -1719 -1787 -1796 -1741 -1558 -1572 -1700 -1833 -1905 -1838 -1782 -1746 -1754 -1804 -1794 -1754 -1656 -1619 -1563 -1564 -1513 -1526 -1573 -1585 -1622 -1692 -1657 -1671 -1658 -1654 -1643 -1676 -1709 -1678 -1664 -1622 -1617 -1597 -1693 -1734 -1782 -1787 -1808 -1797 -1744 -1672 -1636 -1590 -1660 -1761 -1782 -1717 -1631 -1554 -1597 -1746 -1851 -1906 -1827 -1800 -1766 -1751 -1742 -1649 -1607 -1600 -1719 -1823 -1893 -1788 -1684 -1637 -1719 -1771 -1789 -1791 -1733 -1707 -1674 -1667 -1613 -1539 -1571 -1596 -1647 -1687 -1690 -1675 -1645 -1638 -1681 -1742 -1771 -1778 -1730 -1652 -1681 -1695 -1767 -1783 -1818 -1763 -1772 -1803 -1824 -1710 -1662 -1638 -1701 -1786 -1836 -1750 -1642 -1618 -1591 -1711 -1840 -1908 -1851 -1810 -1835 -1858 -1863 -1771 -1721 -1735 -1873 -1957 -1935 -1806 -1656 -1584 -1595 -1641 -1729 -1763 -1739 -1699 -1638 -1660 -1664 -1712 -1727 -1774 -1760 -1835 -1846 -1813 -1699 -1579 -1567 -1685 -1791 -1812 -1799 -1756 -1727 -1741 -1788 -1833 -1799 -1765 -1706 -1673 -1695 -1727 -1772 -1763 -1787 -1867 -1980 -2000 -1978 -1890 -1819 -1853 -1909 -1894 -1807 -1702 -1713 -1756 -1850 -1828 -1807 -1765 -1853 -1959 -1977 -1884 -1729 -1595 -1615 -1640 -1690 -1679 -1649 -1626 -1566 -1590 -1625 -1674 -1686 -1736 -1829 -1870 -1858 -1837 -1703 -1660 -1645 -1704 -1775 -1783 -1728 -1686 -1645 -1688 -1699 -1742 -1768 -1782 -1803 -1737 -1713 -1661 -1639 -1664 -1754 -1829 -1897 -1906 -1934 -1899 -1904 -1927 -1988 -1987 -1925 -1817 -1744 -1738 -1742 -1722 -1638 -1613 -1711 -1783 -1806 -1707 -1656 -1588 -1629 -1726 -1776 -1757 -1736 -1717 -1725 -1748 -1737 -1679 -1708 -1732 -1750 -1811 -1784 -1749 -1671 -1647 -1603 -1607 -1735 -1773 -1749 -1669 -1606 -1605 -1711 -1717 -1795 -1755 -1763 -1826 -1879 -1903 -1849 -1757 -1758 -1801 -1918 -1952 -1853 -1746 -1650 -1722 -1811 -1841 -1833 -1800 -1796 -1811 -1863 -1838 -1758 -1721 -1756 -1805 -1838 -1793 -1745 -1671 -1680 -1741 -1791 -1778 -1799 -1753 -1805 -1768 -1798 -1784 -1745 -1747 -1776 -1819 -1817 -1807 -1733 -1657 -1643 -1717 -1757 -1871 -1872 -1789 -1713 -1675 -1713 -1757 -1760 -1703 -1702 -1744 -1817 -1907 -1868 -1876 -1862 -1936 -2042 -2059 -2009 -1852 -1736 -1696 -1721 -1752 -1766 -1747 -1718 -1766 -1781 -1770 -1712 -1708 -1751 -1816 -1802 -1789 -1732 -1679 -1689 -1707 -1737 -1778 -1806 -1832 -1840 -1829 -1779 -1697 -1670 -1649 -1619 -1682 -1688 -1632 -1549 -1506 -1441 -1568 -1758 -1867 -1829 -1831 -1788 -1806 -1841 -1858 -1821 -1782 -1754 -1785 -1783 -1779 -1762 -1728 -1778 -1857 -1996 -2011 -1956 -1806 -1718 -1736 -1733 -1752 -1718 -1726 -1714 -1741 -1777 -1772 -1684 -1684 -1785 -1897 -1860 -1787 -1705 -1654 -1616 -1595 -1631 -1670 -1685 -1733 -1743 -1721 -1676 -1715 -1711 -1760 -1729 -1737 -1727 -1734 -1667 -1616 -1574 -1642 -1763 -1833 -1814 -1769 -1727 -1749 -1822 -1928 -1919 -1870 -1836 -1881 -1982 -1963 -1874 -1782 -1750 -1820 -1888 -1979 -1901 -1808 -1666 -1696 -1710 -1803 -1877 -1907 -1849 -1826 -1787 -1747 -1712 -1713 -1721 -1821 -1842 -1864 -1827 -1773 -1713 -1650 -1723 -1808 -1880 -1855 -1776 -1671 -1562 -1530 -1560 -1614 -1656 -1654 -1715 -1760 -1791 -1776 -1796 -1830 -1920 -1975 -1903 -1788 -1718 -1743 -1771 -1825 -1812 -1745 -1747 -1812 -1906 -1911 -1842 -1749 -1753 -1800 -1856 -1824 -1753 -1673 -1645 -1727 -1737 -1839 -1877 -1951 -1947 -1935 -1874 -1837 -1788 -1783 -1773 -1776 -1761 -1765 -1740 -1663 -1655 -1620 -1687 -1777 -1852 -1838 -1829 -1728 -1665 -1639 -1634 -1662 -1699 -1706 -1730 -1810 -1754 -1684 -1650 -1716 -1860 -1934 -1972 -1855 -1795 -1766 -1833 -1853 -1847 -1813 -1789 -1789 -1909 -1866 -1834 -1776 -1755 -1764 -1830 -1885 -1827 -1734 -1647 -1642 -1741 -1836 -1914 -1888 -1869 -1884 -1896 -1915 -1878 -1849 -1778 -1801 -1873 -1805 -1718 -1606 -1554 -1560 -1608 -1723 -1817 -1778 -1690 -1612 -1594 -1660 -1723 -1776 -1777 -1755 -1797 -1821 -1840 -1829 -1732 -1696 -1779 -1893 -1997 -1903 -1851 -1755 -1753 -1795 -1841 -1824 -1858 -1854 -1896 -1923 -1904 -1820 -1824 -1841 -1874 -1956 -1972 -1936 -1823 -1730 -1764 -1854 -1902 -1895 -1778 -1744 -1764 -1781 -1795 -1738 -1710 -1720 -1764 -1810 -1801 -1746 -1683 -1677 -1719 -1740 -1796 -1809 -1696 -1611 -1605 -1718 -1743 -1834 -1842 -1840 -1865 -1922 -1936 -1854 -1771 -1752 -1768 -1868 -1930 -1830 -1765 -1716 -1771 -1860 -1940 -1945 -1925 -1955 -2008 -1943 -1867 -1734 -1672 -1759 -1889 -1920 -1943 -1853 -1805 -1848 -1892 -1945 -1985 -1955 -1907 -1852 -1811 -1754 -1718 -1699 -1634 -1642 -1704 -1776 -1817 -1812 -1749 -1787 -1778 -1800 -1831 -1829 -1803 -1707 -1708 -1762 -1808 -1814 -1789 -1812 -1892 -1992 -2049 -1977 -1878 -1799 -1835 -1907 -1959 -1834 -1723 -1589 -1642 -1744 -1862 -1945 -1937 -1946 -1979 -1978 -1965 -1904 -1847 -1840 -1906 -1993 -2009 -1908 -1809 -1726 -1768 -1825 -1921 -1937 -1890 -1831 -1792 -1766 -1740 -1697 -1679 -1685 -1720 -1777 -1847 -1841 -1755 -1707 -1720 -1778 -1925 -1878 -1842 -1740 -1750 -1838 -1865 -1784 -1684 -1657 -1725 -1869 -1966 -1894 -1783 -1728 -1790 -1880 -1932 -1886 -1807 -1817 -1804 -1843 -1884 -1901 -1916 -1861 -1860 -1877 -1881 -1888 -1872 -1889 -1910 -1940 -1964 -1914 -1855 -1807 -1778 -1795 -1839 -1844 -1839 -1783 -1717 -1691 -1599 -1632 -1644 -1709 -1824 -1866 -1860 -1839 -1701 -1687 -1654 -1713 -1821 -1887 -1834 -1837 -1756 -1784 -1804 -1811 -1788 -1788 -1830 -1892 -1860 -1774 -1605 -1563 -1657 -1799 -1925 -1931 -1953 -1950 -1986 -2057 -2063 -2011 -1950 -1928 -1935 -1909 -1868 -1865 -1822 -1829 -1862 -1894 -1929 -1936 -1860 -1782 -1732 -1736 -1732 -1746 -1805 -1699 -1673 -1668 -1697 -1734 -1751 -1804 -1857 -1917 -1931 -1867 -1746 -1664 -1616 -1713 -1815 -1867 -1815 -1749 -1680 -1704 -1729 -1740 -1762 -1845 -1910 -1920 -1895 -1788 -1669 -1594 -1692 -1811 -1930 -1953 -1904 -1874 -1901 -1930 -1994 -1976 -2030 -1996 -1976 -1937 -1913 -1859 -1822 -1756 -1770 -1798 -1875 -1954 -1964 -1878 -1805 -1786 -1849 -1923 -1903 -1868 -1756 -1730 -1725 -1802 -1824 -1823 -1803 -1851 -1927 -1928 -1861 -1774 -1744 -1802 -1901 -1932 -1889 -1785 -1709 -1742 -1729 -1792 -1800 -1810 -1872 -1954 -1879 -1845 -1770 -1798 -1908 -2018 -2036 -2039 -1954 -1894 -1905 -1875 -1898 -1945 -1968 -1957 -1954 -1925 -1904 -1895 -1861 -1834 -1787 -1843 -1865 -1942 -1872 -1804 -1756 -1715 -1813 -1891 -1937 -1980 -1940 -1854 -1804 -1815 -1802 -1821 -1780 -1789 -1762 -1731 -1709 -1628 -1611 -1641 -1719 -1795 -1824 -1816 -1781 -1780 -1858 -1861 -1856 -1849 -1857 -1894 -1857 -1797 -1746 -1755 -1843 -1994 -2074 -2112 -2036 -1938 -1862 -1853 -1863 -1881 -1872 -1847 -1809 -1758 -1803 -1841 -1827 -1807 -1852 -1948 -1975 -2011 -1848 -1712 -1635 -1585 -1678 -1806 -1834 -1784 -1757 -1759 -1793 -1827 -1820 -1807 -1757 -1782 -1801 -1815 -1777 -1666 -1551 -1505 -1653 -1751 -1781 -1801 -1721 -1733 -1785 -1864 -1855 -1839 -1794 -1877 -1931 -1937 -1907 -1783 -1819 -1903 -2013 -2012 -2002 -1936 -1901 -1866 -1869 -1890 -1880 -1836 -1831 -1770 -1746 -1755 -1743 -1804 -1840 -1920 -1915 -1982 -1935 -1812 -1740 -1749 -1777 -1921 -1909 -1839 -1740 -1657 -1669 -1741 -1759 -1746 -1778 -1767 -1790 -1800 -1782 -1670 -1600 -1624 -1672 -1853 -1899 -1843 -1800 -1810 -1824 -1845 -1846 -1830 -1850 -1888 -1879 -1893 -1876 -1837 -1843 -1892 -1916 -1907 -1875 -1866 -1879 -1878 -1916 -1898 -1907 -1904 -1914 -1930 -1922 -1874 -1846 -1841 -1805 -1850 -1944 -1915 -1923 -1842 -1765 -1807 -1868 -1975 -1973 -1883 -1739 -1719 -1699 -1699 -1694 -1683 -1665 -1752 -1839 -1814 -1737 -1672 -1622 -1666 -1799 -1922 -1936 -1871 -1776 -1787 -1836 -1849 -1853 -1832 -1888 -1929 -1983 -1958 -1927 -1867 -1903 -1893 -1924 -1942 -1938 -1877 -1782 -1737 -1709 -1756 -1823 -1855 -1893 -1937 -1975 -2048 -2072 -2009 -1901 -1844 -1880 -1975 -1925 -1804 -1698 -1638 -1737 -1885 -1873 -1850 -1762 -1745 -1808 -1806 -1769 -1714 -1667 -1698 -1757 -1835 -1782 -1726 -1723 -1779 -1911 -2046 -2073 -2017 -1902 -1830 -1879 -1914 -1855 -1815 -1766 -1790 -1908 -1934 -1920 -1899 -1866 -1933 -2021 -2072 -2083 -2038 -1960 -1877 -1835 -1798 -1835 -1913 -1899 -1918 -1896 -1904 -1952 -1940 -1906 -1879 -1882 -1981 -1895 -1860 -1732 -1684 -1684 -1773 -1804 -1765 -1762 -1768 -1784 -1775 -1725 -1664 -1707 -1808 -1876 -1870 -1788 -1733 -1732 -1767 -1872 -1924 -1933 -1967 -1872 -1837
+101 98 124 189 269 216 208 168 192 297 287 181 76 -10 30 157 173 101 -17 -50 50 200 258 186 177 174 315 400 345 239 174 277 407 464 429 363 259 284 314 293 263 190 173 217 271 285 206 208 265 380 543 623 644 581 540 477 523 519 510 525 527 563 591 561 550 545 543 528 536 583 606 590 520 434 478 591 656 700 670 630 584 587 609 611 575 512 494 569 610 602 564 564 616 685 750 762 654 580 547 614 715 724 661 593 542 578 693 710 703 684 769 835 901 835 752 654 711 836 924 956 913 839 860 899 946 886 786 764 805 900 857 731 574 553 680 860 953 924 907 935 1025 1052 920 827 800 895 958 987 859 770 760 856 947 964 897 839 818 813 791 810 826 886 961 987 978 934 951 1035 1082 1125 1141 1159 1095 1023 939 946 942 1040 1060 1073 1027 924 910 914 965 1001 1003 1038 1085 1155 1149 1074 971 899 934 1071 1165 1156 1048 999 1036 1085 1114 1082 1017 989 1063 1111 1141 1068 952 900 911 1054 1156 1144 1088 958 968 1032 1119 1168 1105 1059 1106 1212 1266 1273 1187 1152 1226 1334 1357 1258 1079 1070 1144 1280 1330 1250 1131 1075 1118 1177 1208 1155 1109 1149 1232 1261 1221 1134 1103 1161 1285 1405 1420 1381 1359 1326 1256 1205 1123 1170 1208 1215 1184 1125 1050 1095 1117 1129 1104 1112 1159 1257 1289 1216 1125 1106 1196 1313 1358 1350 1300 1317 1358 1384 1374 1312 1259 1322 1334 1366 1279 1189 1151 1221 1300 1355 1369 1343 1337 1406 1448 1487 1437 1342 1367 1393 1395 1388 1297 1300 1345 1465 1533 1508 1350 1253 1236 1235 1293 1290 1285 1310 1332 1373 1326 1283 1228 1230 1300 1372 1415 1347 1232 1158 1182 1295 1358 1436 1434 1429 1476 1508 1472 1436 1384 1413 1473 1521 1526 1446 1340 1320 1330 1339 1348 1403 1450 1439 1481 1459 1417 1437 1420 1438 1465 1482 1495 1516 1515 1499 1464 1482 1498 1543 1481 1412 1311 1274 1326 1386 1379 1320 1232 1228 1284 1401 1454 1468 1391 1413 1455 1556 1542 1474 1395 1369 1568 1726 1729 1579 1436 1421 1493 1600 1528 1430 1343 1388 1514 1532 1410 1313 1260 1385 1518 1618 1576 1551 1537 1552 1565 1547 1541 1509 1572 1678 1723 1678 1534 1414 1373 1424 1472 1435 1355 1328 1314 1329 1357 1388 1417 1474 1516 1521 1514 1520 1543 1612 1619 1549 1479 1459 1511 1509 1489 1472 1495 1509 1568 1545 1491 1432 1444 1462 1501 1485 1445 1441 1447 1500 1495 1525 1555 1593 1689 1757 1751 1708 1664 1566 1491 1504 1513 1531 1552 1596 1669 1692 1626 1536 1462 1438 1541 1657 1601 1510 1393 1333 1335 1420 1490 1502 1490 1545 1646 1667 1632 1505 1454 1510 1549 1667 1658 1573 1484 1491 1576 1640 1622 1495 1473 1537 1631 1594 1515 1406 1445 1561 1693 1727 1674 1614 1624 1716 1843 1848 1759 1692 1662 1680 1648 1644 1633 1611 1617 1609 1608 1544 1493 1491 1462 1462 1552 1575 1564 1570 1528 1478 1480 1516 1612 1659 1705 1663 1596 1580 1626 1559 1490 1407 1431 1558 1694 1653 1532 1419 1420 1536 1667 1651 1583 1503 1503 1549 1571 1488 1451 1506 1594 1710 1715 1667 1601 1596 1657 1753 1775 1723 1641 1562 1530 1593 1619 1634 1551 1552 1577 1616 1566 1490 1473 1514 1589 1650 1608 1508 1448 1478 1587 1680 1693 1682 1693 1706 1723 1665 1582 1512 1485 1557 1639 1651 1648 1529 1456 1470 1504 1558 1614 1596 1600 1599 1589 1531 1462 1484 1581 1757 1838 1831 1730 1633 1704 1705 1677 1621 1575 1589 1609 1536 1516 1459 1475 1566 1588 1590 1592 1589 1639 1627 1548 1468 1488 1597 1667 1678 1587 1544 1583 1685 1731 1696 1581 1465 1453 1531 1544 1518 1393 1373 1472 1642 1705 1657 1537 1503 1624 1751 1834 1752 1634 1559 1566 1625 1637 1580 1538 1643 1759 1792 1713 1570 1544 1567 1686 1778 1792 1714 1642 1633 1610 1652 1637 1640 1582 1579 1630 1618 1608 1510 1418 1423 1502 1574 1657 1645 1623 1586 1611 1637 1650 1617 1622 1653 1588 1557 1502 1519 1505 1550 1554 1521 1500 1498 1495 1525 1545 1593 1611 1673 1709 1707 1692 1722 1756 1833 1879 1875 1844 1795 1753 1682 1578 1512 1496 1546 1610 1654 1638 1570 1508 1540 1651 1741 1766 1753 1752 1809 1781 1737 1589 1558 1625 1754 1824 1753 1639 1477 1525 1545 1540 1528 1488 1494 1533 1601 1609 1545 1511 1571 1670 1738 1845 1750 1658 1638 1731 1815 1778 1682 1531 1521 1617 1701 1642 1587 1551 1616 1759 1796 1745 1623 1584 1624 1695 1687 1627 1570 1573 1616 1661 1646 1633 1627 1666 1724 1733 1708 1636 1625 1576 1562 1623 1701 1770 1819 1758 1660 1592 1558 1616 1590 1572 1513 1431 1446 1518 1560 1605 1622 1627 1714 1800 1842 1763 1633 1596 1662 1759 1814 1737 1610 1559 1648 1712 1701 1643 1578 1578 1645 1632 1611 1543 1534 1573 1687 1744 1745 1742 1711 1728 1797 1820 1830 1759 1699 1679 1653 1636 1607 1493 1412 1459 1538 1632 1644 1552 1509 1557 1585 1654 1682 1624 1629 1653 1698 1673 1641 1616 1620 1700 1751 1711 1702 1600 1605 1595 1587 1578 1561 1599 1690 1751 1733 1696 1685 1761 1851 1898 1820 1743 1677 1673 1697 1673 1655 1644 1688 1760 1732 1674 1612 1584 1640 1665 1629 1582 1624 1650 1746 1719 1649 1579 1635 1683 1761 1682 1517 1412 1389 1473 1516 1538 1507 1510 1607 1716 1778 1718 1672 1694 1787 1871 1859 1752 1611 1585 1658 1731 1717 1638 1554 1561 1614 1705 1695 1614 1618 1679 1769 1800 1770 1725 1662 1717 1817 1946 1958 1919 1818 1775 1739 1747 1693 1596 1513 1456 1499 1551 1551 1511 1490 1548 1651 1727 1814 1789 1728 1708 1679 1698 1715 1743 1747 1763 1712 1649 1640 1580 1578 1544 1515 1537 1570 1548 1537 1465 1506 1600 1725 1841 1791 1732 1682 1659 1727 1758 1759 1704 1691 1733 1730 1765 1661 1593 1555 1633 1785 1855 1737 1595 1519 1553 1692 1755 1722 1630 1643 1751 1828 1732 1598 1544 1594 1762 1787 1693 1516 1497 1574 1690 1714 1685 1649 1668 1746 1798 1797 1722 1663 1672 1706 1743 1667 1615 1540 1504 1551 1642 1658 1687 1711 1744 1770 1748 1706 1718 1736 1802 1862 1905 1858 1758 1719 1701 1715 1777 1820 1827 1728 1623 1529 1536 1529 1553 1579 1598 1657 1692 1742 1677 1620 1599 1697 1806 1858 1812 1714 1638 1624 1658 1701 1716 1662 1596 1543 1566 1631 1660 1668 1608 1542 1592 1657 1706 1678 1640 1651 1731 1861 1894 1826 1719 1691 1747 1834 1835 1718 1605 1569 1729 1802 1733 1598 1504 1579 1777 1890 1831 1675 1509 1445 1552 1627 1599 1569 1552 1627 1741 1752 1681 1549 1552 1647 1768 1743 1687 1574 1476 1543 1605 1609 1635 1667 1726 1721 1665 1612 1564 1540 1558 1548 1500 1511 1531 1605 1655 1695 1698 1783 1833 1863 1865 1830 1800 1769 1745 1763 1760 1685 1647 1575 1565 1542 1524 1538 1550 1619 1699 1680 1611 1513 1523 1665 1760 1841 1820 1738 1762 1766 1743 1709 1654 1637 1667 1689 1627 1526 1405 1359 1394 1496 1550 1546 1548 1592 1580 1646 1606 1568 1518 1591 1693 1791 1764 1694 1594 1626 1690 1728 1693 1574 1534 1564 1599 1594 1588 1513 1553 1660 1715 1767 1685 1635 1606 1664 1687 1719 1636 1588 1546 1590 1637 1682 1683 1681 1651 1698 1705 1689 1643 1591 1576 1593 1639 1632 1556 1508 1505 1507 1535 1572 1575 1614 1629 1637 1549 1549 1472 1596 1605 1643 1620 1651 1714 1719 1704 1641 1650 1722 1799 1871 1782 1632 1499 1522 1617 1653 1639 1581 1546 1588 1647 1643 1584 1540 1551 1653 1727 1671 1579 1526 1595 1715 1769 1753 1645 1579 1649 1746 1720 1621 1494 1425 1478 1497 1533 1517 1459 1475 1498 1551 1549 1565 1604 1622 1649 1622 1623 1662 1679 1743 1806 1829 1776 1738 1701 1671 1674 1678 1670 1638 1646 1563 1506 1473 1473 1518 1617 1729 1752 1714 1672 1644 1653 1703 1698 1718 1747 1737 1720 1671 1635 1667 1634 1649 1644 1623 1617 1588 1589 1537 1507 1470 1426 1444 1543 1626 1710 1674 1627 1599 1664 1730 1734 1706 1676 1695 1754 1770 1696 1614 1592 1682 1787 1778 1691 1632 1642 1710 1792 1766 1648 1532 1594 1708 1771 1763 1692 1655 1676 1812 1813 1765 1658 1595 1691 1868 1966 1888 1760 1660 1647 1699 1742 1694 1665 1642 1693 1684 1638 1519 1436 1457 1566 1705 1765 1736 1712 1654 1660 1662 1678 1727 1774 1772 1731 1666 1644 1628 1642 1637 1639 1665 1691 1723 1704 1659 1577 1604 1634 1662 1675 1632 1588 1646 1712 1804 1837 1860 1848 1820 1832 1816 1779 1687 1617 1644 1710 1775 1730 1650 1558 1590 1697 1766 1769 1716 1672 1614 1602 1519 1498 1467 1512 1643 1785 1837 1786 1640 1602 1654 1759 1809 1830 1693 1634 1657 1690 1657 1604 1552 1633 1710 1782 1769 1636 1529 1537 1647 1734 1695 1632 1619 1713 1774 1853 1728 1644 1664 1743 1845 1809 1687 1581 1533 1650 1733 1736 1668 1586 1571 1598 1641 1617 1571 1555 1582 1582 1580 1518 1509 1501 1593 1710 1766 1819 1770 1752 1759 1628 1666 1721 1820 1788 1698 1576 1531 1579 1660 1659 1648 1608 1657 1743 1746 1665 1579 1543 1580 1741 1820 1828 1758 1758 1782 1881 1872 1807 1707 1680 1731 1761 1747 1590 1409 1374 1500 1661 1757 1705 1572 1527 1618 1714 1775 1715 1648 1635 1715 1799 1787 1668 1606 1631 1737 1756 1682 1633 1521 1481 1532 1674 1689 1698 1660 1652 1660 1656 1653 1664 1702 1712 1792 1808 1746 1628 1628 1655 1711 1754 1764 1722 1716 1699 1702 1705 1710 1784 1832 1840 1813 1775 1728 1723 1699 1670 1692 1722 1787 1805 1749 1711 1624 1594 1566 1603 1610 1598 1621 1648 1682 1701 1720 1744 1768 1798 1822 1815 1775 1664 1582 1540 1570 1599 1585 1541 1520 1592 1695 1715 1688 1647 1684 1799 1844 1763 1671 1607 1711 1874 1938 1894 1710 1658 1722 1786 1830 1740 1628 1601 1631 1692 1627 1524 1442 1514 1693 1856 1838 1728 1649 1619 1713 1763 1757 1688 1655 1677 1753 1712 1649 1549 1548 1607 1632 1671 1631 1627 1589 1582 1573 1583 1608 1670 1700 1699 1685 1680 1738 1788 1747 1678 1609 1639 1686 1662 1668 1623 1594 1672 1737 1783 1756 1714 1620 1587 1625 1640 1629 1590 1535 1508 1554 1594 1640 1653 1656 1710 1791 1803 1714 1554 1440 1464 1625 1712 1721 1681 1625 1643 1672 1709 1657 1570 1517 1540 1607 1624 1542 1434 1353 1431 1588 1703 1707 1636 1661 1756 1820 1804 1696 1673 1700 1782 1827 1715 1621 1554 1595 1649 1632 1578 1543 1576 1620 1631 1552 1492 1517 1635 1679 1717 1690 1656 1671 1726 1810 1798 1773 1720 1681 1621 1577 1500 1471 1498 1508 1529 1500 1485 1492 1539 1578 1642 1615 1616 1601 1666 1690 1681 1602 1547 1634 1757 1769 1726 1612 1587 1642 1658 1621 1568 1563 1588 1726 1794 1718 1657 1641 1745 1866 1849 1818 1717 1680 1655 1659 1677 1611 1567 1587 1644 1711 1780 1727 1673 1656 1748 1875 1925 1841 1674 1580 1566 1701 1718 1701 1595 1577 1626 1662 1646 1592 1566 1568
+10 -73 -39 -63 -51 -71 -151 -181 -141 -3 88 231 191 178 177 282 387 348 269 142 126 247 281 326 247 245 401 557 636 568 476 412 454 509 522 454 394 435 579 652 623 606 591 654 810 822 711 561 499 528 565 556 516 499 577 680 688 620 535 552 622 712 684 593 537 525 561 556 499 539 642 800 839 768 739 685 810 867 853 804 768 765 856 883 862 858 904 1050 1127 1112 1032 1013 1034 1122 1076 1010 854 868 971 1099 1138 1100 1077 1078 1171 1223 1268 1130 1051 1010 1043 1062 1032 946 906 879 959 1025 997 1035 923 926 951 1014 1071 1008 951 904 974 1105 1126 1159 1088 1067 1107 1163 1193 1142 1101 1102 1192 1302 1314 1211 1181 1233 1364 1449 1466 1397 1338 1357 1409 1492 1472 1369 1296 1328 1427 1483 1508 1454 1451 1499 1443 1424 1277 1276 1279 1368 1359 1332 1285 1293 1350 1375 1318 1262 1251 1232 1216 1163 1058 1073 1189 1311 1336 1327 1393 1486 1589 1605 1577 1437 1354 1339 1366 1372 1343 1325 1375 1449 1527 1574 1588 1606 1633 1685 1775 1788 1716 1628 1572 1611 1690 1729 1795 1758 1789 1871 1946 1878 1758 1640 1656 1719 1589 1506 1404 1416 1592 1693 1608 1525 1570 1703 1797 1823 1738 1563 1492 1487 1530 1523 1521 1543 1553 1600 1649 1656 1703 1664 1663 1607 1590 1632 1612 1599 1572 1635 1710 1782 1806 1825 1851 1866 1909 1868 1851 1876 1906 1923 1815 1806 1790 1898 2015 1990 1936 1911 1923 1953 1914 1793 1729 1750 1811 1831 1773 1740 1772 1824 1870 1791 1759 1701 1777 1751 1779 1740 1686 1647 1647 1683 1781 1865 1898 1847 1731 1724 1811 1951 1973 1835 1715 1754 1922 2056 1963 1775 1754 1901 2089 2158 2104 2047 2067 2123 2155 2119 2024 2002 2043 2083 2046 2021 2045 2042 2097 2050 2001 1990 1956 1897 1869 1790 1802 1887 1946 1957 1951 1956 1987 2018 2005 2015 1918 1858 1726 1715 1756 1873 1852 1867 1825 1901 1928 1969 1866 1802 1746 1808 1886 1901 1866 1819 1845 2049 2159 2128 2080 2090 2233 2333 2306 2126 2004 1916 2017 2029 2076 2052 2016 2025 2123 2116 2175 2190 2197 2222 2199 2144 2121 1984 1884 1863 1987 2048 2137 2057 1977 1933 1977 2054 2074 2015 1912 1846 1830 1864 1811 1765 1736 1892 2050 2070 1964 1923 1966 2065 2123 2055 1921 1784 1794 1913 1969 2015 1993 1965 2101 2233 2377 2339 2255 2175 2127 2113 2119 2120 2140 2169 2117 2116 2128 2142 2156 2159 2173 2149 2148 2118 2060 2012 1999 2020 2050 2113 2162 2168 2099 1946 1874 1902 1969 2055 1971 1934 1862 1947 2054 2038 1952 1869 1941 2017 2081 1986 1935 1864 2020 2184 2201 2035 1938 1950 2069 2174 2219 2145 2128 2133 2155 2216 2202 2110 2113 2128 2176 2254 2297 2247 2176 2122 2172 2222 2236 2193 2163 2073 2097 2051 2045 1982 2008 2127 2224 2224 2218 2161 2128 2147 2099 2008 2018 1981 1962 1953 1895 1831 1925 2005 2070 2041 2065 2114 2109 2099 2040 1968 1943 2025 2105 2110 2181 2221 2257 2292 2334 2339 2333 2412 2337 2187 2129 2068 2092 2180 2168 2175 2206 2233 2316 2279 2247 2209 2213 2282 2263 2128 2082 2110 2170 2202 2162 2141 2148 2154 2218 2135 2049 1960 1961 1966 1967 1942 1867 1804 1876 2009 2078 2105 2044 2036 2103 2184 2262 2198 2081 1962 1991 2107 2129 2097 2139 2141 2273 2364 2307 2219 2211 2293 2337 2342 2200 2109 2085 2171 2302 2319 2282 2310 2329 2381 2339 2331 2283 2248 2196 2174 2084 2111 2093 2163 2156 2150 2076 2036 1993 2060 2094 2046 1987 1905 1863 1918 1958 1895 1868 1895 1998 2084 2105 2018 1981 1977 2126 2215 2152 2078 2012 2123 2237 2271 2181 2080 2056 2071 2153 2202 2190 2165 2123 2159 2168 2186 2220 2201 2239 2302 2341 2431 2360 2250 2176 2120 2213 2258 2236 2134 2085 2076 2123 2119 2059 2021 1976 2013 2053 2015 1997 1942 1951 1936 1945 1921 1875 2022 2094 2216 2194 2120 2048 2001 2072 2083 2089 2021 1930 1910 1958 2102 2176 2178 2135 2226 2301 2413 2340 2234 2170 2175 2240 2174 2070 2047 2129 2290 2375 2304 2287 2284 2408 2413 2293 2081 1995 2066 2179 2183 2119 2036 2059 2167 2193 2140 2023 2060 2163 2171 2059 1960 1866 1924 1982 2063 2050 1989 2098 2203 2314 2287 2204 2157 2147 2217 2247 2191 2094 2050 2076 2166 2265 2249 2232 2204 2296 2389 2438 2387 2267 2207 2197 2252 2301 2335 2330 2295 2361 2356 2374 2340 2317 2215 2231 2139 2118 2103 2112 2076 2132 2139 2177 2139 2133 2077 2078 2147 2131 2127 2040 1954 1978 2070 2133 2153 2115 2156 2249 2221 2164 2078 2027 2097 2211 2220 2166 2088 2089 2206 2305 2330 2255 2319 2401 2469 2450 2358 2251 2309 2391 2434 2384 2343 2380 2447 2511 2450 2280 2163 2209 2315 2385 2301 2162 2088 2220 2258 2222 2197 2128 2229 2225 2188 2092 2009 1979 2066 2025 2038 2019 1986 2030 2041 2081 2084 2110 2161 2217 2200 2174 2143 2054 2055 2143 2200 2223 2140 2059 2084 2233 2410 2335 2373 2224 2230 2298 2310 2270 2190 2214 2365 2431 2494 2439 2447 2466 2463 2388 2312 2307 2290 2243 2185 2037 1992 2020 2115 2187 2167 2202 2244 2305 2278 2224 2138 2133 2143 2125 2042 2019 2065 2105 2139 2082 2052 2173 2263 2280 2162 2068 2049 2127 2117 2118 2010 2001 2137 2184 2235 2217 2234 2389 2468 2503 2370 2271 2200 2214 2273 2319 2269 2227 2239 2234 2279 2323 2300 2274 2226 2244 2269 2314 2148 1952 1884 1988 2130 2261 2194 2037 2058 2185 2217 2122 2055 1989 2068 2165 2152 2058 2010 1999 2101 2087 2059 2017 2046 2123 2170 2072 2043 2033 2106 2210 2179 2178 2193 2240 2353 2381 2330 2316 2355 2390 2370 2332 2256 2236 2261 2308 2307 2302 2281 2245 2204 2223 2239 2262 2230 2147 2126 2101 2083 2037 1988 2061 2142 2225 2288 2135 2030 2008 2088 2078 2025 1939 1850 1931 2039 2080 2061 2012 2058 2123 2189 2181 2126 2035 1994 1962 2031 2070 2031 2076 2097 2214 2327 2441 2474 2402 2364 2435 2468 2450 2355 2243 2235 2323 2381 2405 2328 2232 2251 2386 2443 2376 2210 2134 2137 2212 2285 2223 2099 2100 2229 2315 2390 2307 2250 2169 2105 2163 2106 2036 1947 1914 1943 1950 1975 1984 1994 1974 2129 2149 2255 2260 2253 2166 2067 2049 2080 2090 2128 2126 2185 2204 2274 2360 2363 2338 2327 2251 2300 2272 2230 2229 2192 2207 2262 2346 2444 2424 2402 2373 2327 2354 2307 2177 2003 1973 2058 2190 2231 2176 2117 2085 2176 2247 2233 2163 2076 2142 2192 2074 2014 1925 2003 2070 2185 2153 2051 2040 2085 2181 2178 2112 2028 2051 2118 2252 2295 2295 2226 2227 2276 2355 2371 2317 2264 2323 2360 2353 2330 2279 2254 2317 2347 2343 2313 2263 2307 2287 2355 2312 2343 2284 2326 2338 2316 2321 2301 2313 2333 2309 2316 2211 2181 2113 2084 2144 2157 2167 2032 1996 1963 2009 2079 2187 2221 2236 2238 2230 2287 2249 2177 2142 2155 2143 2182 2258 2310 2383 2464 2482 2448 2409 2393 2436 2447 2375 2316 2267 2278 2353 2442 2474 2414 2427 2497 2582 2616 2530 2366 2290 2302 2294 2290 2177 2124 2195 2299 2334 2314 2184 2154 2233 2240 2219 2170 2159 2190 2149 2123 2023 1932 1986 2108 2177 2207 2186 2210 2279 2287 2266 2164 2085 2129 2237 2288 2240 2210 2263 2319 2392 2458 2489 2451 2465 2440 2378 2338 2245 2214 2241 2292 2344 2393 2401 2361 2327 2453 2528 2547 2444 2301 2260 2277 2310 2288 2224 2175 2228 2286 2290 2203 2107 2046 2016 2005 2017 2027 2002 1981 1957 2028 2132 2209 2228 2237 2240 2235 2324 2261 2158 2002 1985 2063 2252 2236 2237 2233 2219 2282 2294 2280 2270 2329 2404 2378 2265 2196 2257 2393 2503 2524 2413 2342 2382 2430 2419 2411 2349 2350 2331 2297 2238 2204 2159 2220 2241 2257 2256 2247 2213 2173 2150 2123 2112 2133 2045 2044 2020 2036 2059 2039 2081 2170 2205 2201 2144 2109 2161 2198 2220 2139 2044 2097 2267 2358 2360 2229 2198 2288 2422 2441 2306 2204 2231 2290 2243 2182 2131 2243 2444 2585 2583 2387 2242 2274 2394 2396 2364 2216 2073 2066 2113 2140 2115 2112 2143 2224 2230 2245 2135 2058 1944 1889 1923 2017 2012 2024 2031 2080 2139 2204 2213 2188 2252 2218 2227 2171 2072 2024 2010 2035 2073 2085 2120 2237 2297 2408 2399 2394 2435 2422 2415 2371 2328 2279 2326 2330 2346 2339 2374 2345 2358 2342 2348 2376 2304 2279 2211 2155 2143 2172 2123 2110 2081 2117 2235 2287 2252 2129 2060 2085 2091 2120 2015 1919 2022 2036 2104 2040 1997 2012 2127 2209 2180 2105 2096 2126 2166 2216 2133 2117 2177 2288 2349 2318 2224 2249 2301 2342 2388 2306 2268 2303 2373 2441 2400 2450 2496 2576 2577 2507 2405 2314 2260 2309 2330 2318 2227 2162 2177 2229 2378 2361 2267 2153 2091 2192 2265 2220 2077 1976 1971 2078 2166 2120 2049 2094 2212 2274 2277 2120 2061 2064 2161 2191 2115 2000 2060 2097 2161 2178 2183 2259 2324 2389 2370 2325 2323 2300 2328 2259 2247 2247 2266 2316 2304 2350 2381 2440 2411 2374 2361 2389 2349 2277 2216 2137 2142 2184 2182 2174 2157 2226 2244 2243 2225 2146 2124 2128 2205 2159 2155 2047 2027 2049 2115 2181 2276 2280 2212 2153 2090 2054 2048 2033 2017 2002 2078 2075 2154 2145 2237 2333 2376 2430 2355 2337 2313 2434 2405 2299 2207 2233 2319 2366 2329 2278 2272 2348 2458 2394 2236 2129 2146 2226 2222 2080 1992 2035 2166 2313 2293 2209 2165 2144 2228 2259 2144 2013 1897 1934 1986 2001 1962 1877 1943 2050 2174 2213 2172 2073 2053 2011 2053 2026 2085 2093 2158 2229 2236 2232 2287 2378 2437 2437 2353 2363 2356 2430 2408 2373 2334 2300 2394 2419 2419 2331 2324 2308 2369 2407 2335 2255 2225 2190 2227 2261 2308 2344 2324 2291 2217 2177 2166 2184 2112 2082 2068 2101 2114 2045 2013 2026 2072 2163 2169 2142 2072 2069 2082 2111 2094 1990 2012 2072 2207 2307 2346 2350 2269 2321 2343 2406 2399 2338 2290 2374 2373 2386 2334 2221 2263 2388 2552 2544 2433 2374 2403 2435 2368 2321 2225 2221 2308 2375 2294 2217 2197 2359 2449 2453 2334 2216 2175 2225 2238 2091 2021 1936 1982 2095 2054 2041 2023 2076 2194 2256 2234 2225 2158 2159 2141 2127 2134 2165 2148 2184 2169 2189 2241 2262 2286 2319 2369 2348 2320 2315 2330 2278 2269 2244 2230 2279 2413 2483 2480 2427 2306 2303 2383 2354 2331 2231 2162 2124 2164 2150 2188 2208 2217 2233 2248 2158 2115 1994 1961 1975 1985 2002 1993 1970 1971 2005 2005 2036 2071 2038 2030 2065 2020 2000 2013 1984 2078 2210 2244 2274 2219 2188 2213 2306 2321 2322 2226 2165 2148 2184 2217 2198 2188 2203 2269 2337 2325 2322 2260 2287 2238 2237 2210 2223 2269 2243 2221 2135 2002 2023 2119 2214 2190 2135 2023 1975 1935 1951 1931 1941 1933 1970 2035 2073 2043 2015 1994 2048 2072 2128 2155 2085 1942 1914 1952 2068 2144 2172 2154 2256 2331 2368 2354 2259 2212 2300 2289 2250 2230 2215 2319 2467 2499 2427 2372 2349 2377 2379 2285 2166 2169 2236 2276 2250 2220 2249 2333 2378
+-181 -196 -197 -73 -118 -157 -178 -114 -128 -94 -66 -144 -120 -136 -147 -95 -10 37 -58 -154 -140 -119 -53 -63 -153 -335 -477 -449 -495 -486 -548 -521 -551 -519 -514 -436 -316 -371 -358 -435 -444 -444 -322 -239 -343 -381 -450 -383 -207 -172 -173 -290 -385 -491 -415 -394 -413 -537 -645 -695 -571 -498 -385 -410 -486 -482 -417 -302 -203 -267 -408 -512 -446 -368 -396 -403 -453 -457 -392 -405 -429 -485 -516 -502 -508 -599 -720 -840 -802 -768 -752 -753 -807 -864 -748 -611 -505 -512 -646 -688 -707 -583 -469 -466 -607 -723 -761 -656 -478 -534 -555 -667 -751 -658 -610 -612 -714 -834 -864 -841 -678 -633 -578 -561 -637 -650 -590 -498 -426 -486 -526 -582 -609 -579 -547 -568 -661 -657 -720 -751 -750 -820 -844 -880 -920 -989 -964 -913 -942 -862 -802 -842 -901 -871 -813 -675 -682 -705 -877 -839 -781 -687 -686 -757 -790 -881 -813 -772 -737 -788 -875 -977 -1093 -1004 -929 -902 -933 -1008 -981 -889 -742 -660 -662 -708 -793 -779 -704 -658 -710 -768 -856 -894 -920 -854 -816 -795 -879 -982 -1006 -1044 -1050 -1031 -1082 -1206 -1237 -1257 -1210 -1067 -1044 -1099 -1061 -1011 -905 -814 -800 -831 -931 -1006 -1047 -1042 -1068 -1016 -1055 -1108 -1166 -1167 -1122 -1091 -1052 -1074 -1086 -1155 -1177 -1141 -1088 -1058 -982 -1031 -1051 -1018 -817 -666 -662 -795 -947 -1011 -925 -721 -777 -987 -1186 -1272 -1157 -1000 -961 -1075 -1213 -1222 -1108 -996 -985 -1113 -1278 -1326 -1225 -1110 -1047 -1048 -1124 -1105 -1100 -945 -964 -999 -1021 -1044 -1069 -1098 -1164 -1263 -1315 -1177 -1171 -1157 -1256 -1246 -1247 -1126 -1023 -1142 -1184 -1239 -1186 -1060 -978 -1004 -1107 -1110 -1111 -947 -826 -796 -946 -1042 -1062 -1045 -963 -1011 -1045 -1181 -1248 -1228 -1180 -1191 -1153 -1227 -1245 -1270 -1217 -1147 -1236 -1232 -1215 -1226 -1225 -1208 -1134 -1108 -1118 -1188 -1188 -1161 -1152 -1075 -1086 -1212 -1331 -1385 -1380 -1255 -1235 -1343 -1342 -1403 -1351 -1242 -1205 -1202 -1311 -1282 -1257 -1157 -1132 -1143 -1097 -1044 -995 -1025 -969 -938 -941 -943 -1003 -1147 -1221 -1300 -1225 -1204 -1194 -1319 -1370 -1325 -1250 -1138 -1185 -1223 -1322 -1355 -1280 -1104 -1169 -1249 -1350 -1392 -1291 -1123 -1033 -1080 -1228 -1272 -1287 -1229 -1204 -1290 -1407 -1531 -1616 -1512 -1452 -1433 -1422 -1445 -1411 -1306 -1209 -1176 -1196 -1238 -1262 -1268 -1241 -1189 -1219 -1218 -1189 -1207 -1205 -1162 -1140 -1139 -1044 -1139 -1170 -1341 -1443 -1415 -1396 -1357 -1407 -1477 -1474 -1412 -1251 -1179 -1145 -1251 -1338 -1253 -1149 -1058 -1169 -1284 -1417 -1369 -1315 -1233 -1199 -1281 -1372 -1429 -1418 -1342 -1261 -1417 -1515 -1568 -1574 -1477 -1376 -1374 -1428 -1443 -1396 -1251 -1174 -1117 -1173 -1218 -1255 -1224 -1208 -1174 -1196 -1193 -1255 -1287 -1238 -1269 -1232 -1260 -1296 -1341 -1436 -1413 -1388 -1284 -1343 -1298 -1371 -1367 -1317 -1257 -1144 -1155 -1266 -1251 -1262 -1240 -1151 -1193 -1260 -1305 -1388 -1329 -1316 -1238 -1341 -1391 -1470 -1501 -1496 -1489 -1421 -1422 -1485 -1503 -1522 -1423 -1307 -1213 -1265 -1279 -1352 -1153 -1064 -1057 -1127 -1282 -1418 -1320 -1160 -1104 -1139 -1229 -1348 -1319 -1219 -1122 -1193 -1298 -1409 -1438 -1372 -1278 -1330 -1365 -1396 -1347 -1286 -1114 -1123 -1160 -1192 -1153 -1162 -1244 -1309 -1352 -1356 -1287 -1280 -1385 -1511 -1560 -1471 -1296 -1280 -1393 -1551 -1669 -1519 -1390 -1325 -1459 -1600 -1585 -1401 -1222 -1107 -1126 -1283 -1363 -1216 -1092 -1050 -1131 -1298 -1410 -1469 -1346 -1216 -1265 -1333 -1432 -1424 -1367 -1259 -1314 -1352 -1438 -1435 -1405 -1344 -1297 -1313 -1257 -1268 -1243 -1227 -1162 -1099 -1071 -1061 -1190 -1288 -1362 -1362 -1336 -1391 -1441 -1565 -1581 -1577 -1480 -1460 -1453 -1481 -1508 -1496 -1507 -1449 -1462 -1438 -1440 -1463 -1423 -1347 -1208 -1187 -1201 -1260 -1289 -1177 -1166 -1128 -1183 -1284 -1448 -1479 -1446 -1361 -1296 -1357 -1466 -1512 -1412 -1252 -1105 -1197 -1291 -1308 -1231 -1087 -1045 -1065 -1153 -1272 -1217 -1090 -1037 -1132 -1241 -1338 -1341 -1369 -1356 -1378 -1402 -1447 -1485 -1540 -1524 -1414 -1395 -1391 -1372 -1411 -1412 -1375 -1408 -1345 -1320 -1263 -1212 -1257 -1238 -1253 -1235 -1190 -1200 -1348 -1406 -1420 -1309 -1243 -1284 -1447 -1528 -1452 -1284 -1191 -1120 -1253 -1322 -1354 -1185 -1067 -1136 -1215 -1359 -1391 -1218 -1121 -1061 -1163 -1299 -1331 -1227 -1123 -1082 -1168 -1357 -1493 -1547 -1498 -1453 -1497 -1557 -1567 -1528 -1487 -1430 -1423 -1383 -1358 -1401 -1379 -1340 -1379 -1320 -1368 -1370 -1355 -1384 -1309 -1243 -1170 -1168 -1176 -1235 -1394 -1436 -1404 -1402 -1397 -1528 -1627 -1617 -1522 -1384 -1260 -1293 -1407 -1393 -1248 -1118 -1075 -1076 -1282 -1301 -1295 -1249 -1163 -1202 -1268 -1342 -1364 -1330 -1300 -1344 -1403 -1547 -1589 -1671 -1618 -1551 -1593 -1592 -1615 -1613 -1544 -1392 -1267 -1270 -1277 -1373 -1375 -1296 -1296 -1275 -1310 -1396 -1438 -1428 -1340 -1251 -1316 -1469 -1570 -1471 -1480 -1444 -1512 -1476 -1532 -1490 -1430 -1459 -1361 -1315 -1216 -1150 -1194 -1261 -1210 -1165 -1097 -1121 -1245 -1346 -1350 -1338 -1222 -1217 -1335 -1504 -1561 -1487 -1362 -1309 -1432 -1588 -1706 -1709 -1551 -1408 -1376 -1511 -1606 -1574 -1388 -1225 -1242 -1324 -1456 -1501 -1333 -1260 -1225 -1371 -1490 -1515 -1401 -1301 -1317 -1387 -1492 -1472 -1441 -1461 -1475 -1549 -1491 -1439 -1376 -1342 -1381 -1346 -1201 -1145 -1064 -1151 -1235 -1200 -1173 -1121 -1124 -1269 -1354 -1423 -1338 -1290 -1216 -1382 -1428 -1587 -1566 -1426 -1408 -1440 -1630 -1642 -1616 -1520 -1406 -1327 -1356 -1305 -1332 -1254 -1225 -1208 -1217 -1297 -1467 -1557 -1530 -1458 -1367 -1415 -1575 -1640 -1555 -1421 -1390 -1365 -1435 -1508 -1578 -1462 -1418 -1384 -1444 -1480 -1473 -1361 -1222 -1124 -1138 -1116 -1196 -1183 -1171 -1178 -1231 -1290 -1407 -1425 -1455 -1454 -1469 -1435 -1396 -1365 -1438 -1481 -1406 -1428 -1353 -1436 -1495 -1575 -1572 -1468 -1387 -1312 -1313 -1338 -1387 -1347 -1280 -1137 -1210 -1350 -1496 -1533 -1480 -1346 -1392 -1489 -1623 -1657 -1522 -1373 -1270 -1375 -1477 -1463 -1448 -1352 -1195 -1191 -1263 -1311 -1271 -1189 -1048 -1056 -1170 -1225 -1255 -1192 -1133 -1215 -1295 -1431 -1488 -1481 -1462 -1445 -1475 -1511 -1470 -1400 -1431 -1418 -1507 -1392 -1346 -1320 -1308 -1358 -1330 -1327 -1223 -1197 -1227 -1327 -1448 -1426 -1347 -1314 -1382 -1558 -1713 -1691 -1561 -1397 -1393 -1525 -1609 -1579 -1389 -1226 -1215 -1332 -1484 -1448 -1278 -1171 -1136 -1221 -1271 -1284 -1160 -1108 -1111 -1086 -1209 -1357 -1363 -1325 -1359 -1395 -1423 -1480 -1552 -1526 -1423 -1387 -1321 -1333 -1328 -1353 -1403 -1351 -1358 -1297 -1413 -1513 -1452 -1432 -1355 -1317 -1363 -1399 -1442 -1461 -1500 -1484 -1529 -1630 -1644 -1703 -1696 -1689 -1639 -1551 -1537 -1517 -1534 -1528 -1427 -1314 -1272 -1343 -1445 -1518 -1418 -1315 -1251 -1244 -1384 -1415 -1385 -1289 -1178 -1255 -1410 -1607 -1684 -1569 -1433 -1475 -1499 -1710 -1700 -1683 -1411 -1261 -1342 -1407 -1476 -1367 -1265 -1257 -1378 -1510 -1550 -1500 -1346 -1374 -1422 -1574 -1567 -1492 -1436 -1478 -1595 -1683 -1601 -1618 -1627 -1738 -1815 -1742 -1589 -1486 -1465 -1485 -1507 -1510 -1315 -1179 -1201 -1399 -1451 -1463 -1374 -1243 -1305 -1367 -1570 -1551 -1398 -1228 -1223 -1387 -1515 -1611 -1550 -1404 -1349 -1496 -1591 -1604 -1493 -1434 -1328 -1266 -1310 -1346 -1388 -1329 -1312 -1275 -1347 -1454 -1526 -1500 -1452 -1393 -1429 -1516 -1660 -1636 -1656 -1626 -1619 -1647 -1673 -1668 -1640 -1622 -1581 -1511 -1456 -1382 -1394 -1408 -1395 -1322 -1301 -1273 -1334 -1451 -1430 -1400 -1337 -1332 -1391 -1469 -1507 -1518 -1507 -1452 -1422 -1463 -1609 -1665 -1585 -1424 -1327 -1338 -1370 -1408 -1329 -1180 -1120 -1054 -1267 -1405 -1457 -1407 -1339 -1391 -1459 -1597 -1645 -1592 -1470 -1427 -1433 -1532 -1614 -1640 -1563 -1405 -1456 -1586 -1632 -1586 -1495 -1397 -1277 -1324 -1273 -1271 -1234 -1235 -1246 -1318 -1358 -1351 -1410 -1454 -1478 -1486 -1431 -1435 -1388 -1447 -1374 -1319 -1321 -1212 -1285 -1349 -1357 -1430 -1443 -1345 -1170 -1197 -1237 -1372 -1319 -1179 -1040 -1110 -1262 -1423 -1451 -1374 -1277 -1313 -1528 -1716 -1751 -1577 -1393 -1394 -1469 -1607 -1634 -1532 -1434 -1358 -1434 -1526 -1579 -1513 -1406 -1332 -1320 -1402 -1429 -1362 -1277 -1235 -1305 -1393 -1410 -1443 -1499 -1552 -1590 -1543 -1467 -1390 -1417 -1519 -1490 -1410 -1303 -1237 -1289 -1389 -1430 -1386 -1270 -1182 -1241 -1293 -1366 -1307 -1244 -1246 -1284 -1394 -1537 -1606 -1561 -1533 -1551 -1609 -1701 -1738 -1688 -1625 -1473 -1470 -1522 -1587 -1620 -1585 -1482 -1387 -1435 -1415 -1474 -1614 -1452 -1366 -1300 -1278 -1458 -1543 -1516 -1437 -1382 -1448 -1616 -1729 -1683 -1560 -1466 -1430 -1497 -1587 -1522 -1399 -1323 -1302 -1317 -1359 -1398 -1356 -1267 -1219 -1267 -1303 -1310 -1283 -1309 -1346 -1415 -1422 -1470 -1480 -1555 -1656 -1703 -1651 -1520 -1508 -1585 -1685 -1625 -1532 -1278 -1289 -1378 -1539 -1632 -1513 -1388 -1283 -1363 -1525 -1586 -1453 -1319 -1240 -1312 -1508 -1591 -1598 -1451 -1387 -1507 -1685 -1742 -1665 -1519 -1359 -1327 -1295 -1362 -1352 -1290 -1202 -1231 -1249 -1298 -1301 -1329 -1323 -1390 -1329 -1332 -1327 -1326 -1325 -1335 -1372 -1375 -1448 -1491 -1562 -1633 -1644 -1607 -1549 -1502 -1498 -1553 -1520 -1464 -1277 -1228 -1224 -1316 -1407 -1439 -1353 -1335 -1332 -1438 -1545 -1596 -1525 -1335 -1309 -1411 -1467 -1522 -1575 -1424 -1318 -1380 -1404 -1574 -1520 -1453 -1290 -1161 -1241 -1264 -1279 -1247 -1101 -1037 -1082 -1227 -1320 -1351 -1232 -1208 -1187 -1347 -1444 -1473 -1437 -1321 -1356 -1403 -1526 -1517 -1500 -1390 -1409 -1397 -1398 -1414 -1367 -1305 -1296 -1272 -1279 -1179 -1295 -1324 -1435 -1408 -1372 -1376 -1405 -1433 -1469 -1494 -1461 -1364 -1375 -1504 -1458 -1606 -1553 -1461 -1351 -1323 -1359 -1456 -1404 -1272 -1127 -977 -1067 -1201 -1305 -1239 -1122 -1068 -1213 -1453 -1505 -1471 -1275 -1196 -1319 -1439 -1524 -1498 -1383 -1292 -1330 -1464 -1619 -1600 -1488 -1393 -1350 -1393 -1367 -1351 -1237 -1174 -1153 -1292 -1346 -1284 -1277 -1373 -1499 -1604 -1563 -1494 -1485 -1524 -1587 -1601 -1553 -1359 -1376 -1469 -1604 -1594 -1530 -1331 -1242 -1335 -1396 -1409 -1215 -1141 -1041 -1048 -1205 -1269 -1252 -1223 -1187 -1286 -1433 -1562 -1623 -1501 -1387 -1398 -1462 -1540 -1595 -1465 -1364 -1361 -1432 -1467 -1540 -1477 -1417 -1354 -1325 -1222 -1310 -1309 -1376 -1274 -1291 -1282 -1410 -1550 -1680 -1639 -1587 -1613 -1644 -1650 -1665 -1600 -1541 -1464 -1374 -1402 -1429 -1396 -1378 -1317 -1313 -1321 -1287 -1293 -1358 -1317 -1269 -1260 -1254 -1199 -1270 -1390 -1374 -1456 -1386 -1363 -1427 -1564 -1646 -1612 -1420 -1305 -1362 -1484 -1573 -1538 -1312 -1188 -1232 -1432 -1569 -1525 -1376 -1224 -1279 -1400 -1531 -1500 -1407 -1320 -1411 -1513 -1610 -1634 -1604 -1579 -1531 -1653 -1664 -1698 -1628 -1536 -1434 -1444 -1485 -1429 -1438 -1350 -1318 -1373 -1305 -1262 -1155 -1192 -1252 -1318 -1286 -1225 -1199 -1268 -1408 -1569 -1517 -1424 -1335 -1428 -1581 -1587 -1484 -1379 -1216 -1254 -1359 -1469 -1439 -1343 -1228 -1186 -1302 -1338 -1490 -1443 -1262 -1172 -1271 -1371 -1508 -1487 -1423 -1456 -1568 -1695 -1828 -1800 -1700 -1588 -1615 -1476 -1549 -1524 -1444 -1385 -1241 -1237 -1249 -1305 -1385 -1381 -1315 -1361 -1381 -1426 -1420 -1357 -1304 -1274 -1305 -1394 -1445 -1458 -1514 -1541 -1579 -1553 -1505 -1502 -1543 -1526 -1438 -1260 -1146 -1247 -1273 -1342 -1383 -1325 -1265 -1312 -1428 -1565 -1535 -1544 -1463 -1427 -1444 -1604 -1600 -1632 -1598 -1523 -1511 -1653 -1807 -1821 -1743 -1545 -1537 -1523 -1538 -1608 -1411 -1305 -1265 -1243 -1309 -1452 -1477 -1448 -1256 -1283 -1365 -1493 -1516 -1495 -1340 -1341 -1432 -1564 -1565 -1548 -1444 -1434 -1488 -1589 -1525 -1406 -1340 -1328 -1279 -1272 -1198 -1219 -1208 -1318 -1382 -1351 -1347 -1354 -1450 -1535 -1669 -1603 -1552 -1482 -1548 -1654 -1758 -1731 -1603 -1533 -1555 -1654 -1746 -1675 -1502 -1334 -1235 -1346 -1483 -1472 -1313 -1175 -1181 -1331 -1474 -1549 -1442 -1408 -1386 -1447 -1581 -1588 -1478 -1391 -1430 -1448 -1517 -1516 -1494 -1432 -1437 -1394 -1417 -1385 -1347 -1311 -1281 -1226 -1261 -1174 -1272 -1308 -1399 -1426 -1447 -1438 -1404 -1496 -1567 -1599 -1552 -1462 -1448 -1534 -1623 -1704 -1684 -1605 -1588 -1543 -1571 -1643 -1575 -1464 -1364 -1291 -1299 -1266 -1307 -1407 -1402 -1335 -1321
+15 -35 55 114 160 64 9 -65 -8 4 25 -10 -148 -286 -341 -269 -222 -263 -326 -408 -382 -169 -65 -73 -234 -259 -100 10 52 -69 -161 -100 61 106 -11 -104 -138 15 -17 -184 -262 -353 -186 -231 -380 -509 -450 -361 -215 -303 -411 -400 -243 -96 -108 -325 -335 -170 17 -29 -152 -228 -165 -25 -19 -166 -471 -480 -379 -382 -465 -618 -661 -561 -474 -516 -572 -610 -553 -421 -431 -430 -408 -331 -251 -327 -311 -223 -134 -108 -194 -338 -388 -330 -307 -354 -447 -559 -589 -558 -498 -607 -646 -632 -666 -672 -619 -591 -455 -444 -452 -478 -330 -267 -215 -370 -438 -415 -279 -269 -431 -622 -609 -480 -343 -472 -742 -899 -756 -526 -546 -794 -1020 -975 -686 -520 -585 -722 -744 -519 -298 -302 -511 -560 -492 -328 -377 -478 -537 -524 -440 -501 -598 -726 -763 -727 -715 -824 -961 -928 -813 -681 -684 -724 -707 -642 -530 -487 -509 -507 -540 -539 -488 -478 -472 -421 -557 -540 -653 -591 -561 -757 -822 -847 -789 -795 -856 -941 -919 -778 -671 -665 -765 -787 -691 -587 -517 -617 -696 -652 -498 -404 -576 -629 -638 -600 -537 -702 -900 -836 -726 -723 -778 -947 -949 -815 -709 -736 -906 -951 -875 -689 -674 -762 -873 -791 -634 -508 -507 -655 -670 -642 -525 -591 -731 -804 -744 -782 -800 -840 -942 -909 -909 -923 -969 -977 -992 -948 -893 -889 -889 -872 -852 -697 -657 -584 -613 -600 -617 -547 -500 -551 -693 -809 -780 -741 -769 -856 -965 -986 -911 -876 -974 -1126 -1138 -1005 -838 -789 -842 -942 -836 -622 -500 -568 -815 -852 -654 -479 -467 -660 -872 -849 -725 -641 -774 -931 -1036 -1025 -936 -1010 -1012 -1061 -1053 -953 -895 -820 -796 -780 -769 -769 -760 -717 -654 -624 -673 -695 -671 -636 -669 -758 -803 -957 -982 -973 -941 -1003 -1066 -1114 -1107 -1053 -898 -898 -929 -966 -895 -818 -735 -742 -789 -875 -802 -656 -556 -640 -793 -912 -795 -690 -704 -909 -1019 -1070 -967 -914 -957 -1065 -1097 -1108 -1015 -966 -1040 -1100 -1002 -959 -1001 -920 -895 -776 -741 -760 -863 -801 -725 -698 -704 -894 -1003 -950 -820 -859 -991 -1148 -1162 -1078 -944 -992 -1137 -1279 -1192 -1035 -965 -947 -1007 -1054 -956 -761 -654 -715 -810 -899 -831 -702 -640 -810 -991 -1068 -940 -804 -875 -1094 -1292 -1291 -1099 -1005 -1112 -1319 -1337 -1131 -935 -882 -930 -1040 -902 -769 -683 -756 -886 -894 -793 -746 -823 -918 -910 -852 -825 -939 -1048 -1124 -1135 -1068 -1113 -1238 -1307 -1198 -1047 -966 -1028 -1078 -1002 -848 -777 -758 -903 -904 -815 -814 -748 -799 -877 -877 -884 -934 -945 -1019 -1049 -1176 -1142 -1099 -1102 -1171 -1266 -1302 -1171 -1077 -987 -1089 -1123 -1107 -916 -836 -847 -962 -874 -822 -726 -762 -781 -927 -938 -912 -935 -1043 -1112 -1088 -1086 -1151 -1263 -1245 -1170 -1099 -1021 -1233 -1299 -1186 -942 -858 -987 -1135 -1130 -847 -654 -724 -894 -983 -928 -754 -813 -985 -1122 -1119 -974 -980 -1058 -1191 -1248 -1097 -1060 -1216 -1245 -1270 -1126 -1016 -1014 -1053 -1035 -944 -772 -788 -802 -829 -882 -837 -831 -852 -932 -954 -974 -1055 -1037 -1044 -1074 -1125 -1206 -1167 -1155 -1154 -1225 -1345 -1352 -1096 -924 -932 -1050 -1102 -947 -714 -692 -850 -1012 -1012 -762 -683 -786 -1088 -1164 -1038 -864 -977 -1176 -1261 -1293 -1170 -1119 -1241 -1290 -1266 -1084 -1062 -1094 -1149 -1030 -838 -810 -906 -1011 -924 -777 -708 -870 -989 -972 -844 -827 -954 -1164 -1228 -1129 -1015 -1083 -1229 -1304 -1246 -1104 -1019 -1046 -1094 -1102 -983 -895 -879 -904 -923 -903 -844 -806 -790 -712 -792 -895 -989 -965 -895 -903 -1102 -1272 -1252 -1081 -1026 -1105 -1214 -1262 -1131 -945 -949 -1037 -1139 -1019 -998 -938 -987 -950 -814 -768 -770 -938 -986 -849 -733 -854 -1058 -1243 -1090 -849 -947 -1123 -1358 -1337 -1029 -871 -1016 -1296 -1433 -1135 -859 -842 -1097 -1239 -1047 -773 -606 -730 -942 -964 -857 -742 -771 -917 -1027 -1053 -1016 -950 -914 -1051 -1183 -1242 -1257 -1210 -1096 -1088 -1207 -1237 -1282 -1046 -909 -922 -982 -978 -836 -708 -679 -765 -854 -859 -817 -871 -961 -963 -1022 -1015 -1080 -1225 -1280 -1257 -1126 -1192 -1269 -1328 -1141 -961 -897 -994 -1064 -1018 -810 -643 -779 -944 -982 -826 -630 -670 -894 -1066 -1029 -885 -880 -1040 -1264 -1293 -1182 -1091 -1115 -1246 -1224 -1186 -1027 -977 -985 -966 -886 -814 -843 -925 -829 -775 -805 -875 -967 -921 -810 -746 -912 -1026 -1130 -1046 -1007 -997 -1122 -1202 -1195 -1098 -1053 -1041 -1039 -1142 -1102 -1038 -909 -857 -941 -1005 -991 -917 -756 -703 -812 -897 -920 -864 -765 -829 -1030 -1147 -1097 -957 -891 -1063 -1196 -1245 -1060 -980 -1046 -1151 -1257 -1152 -979 -884 -999 -1005 -973 -782 -669 -751 -866 -906 -798 -804 -865 -985 -1051 -966 -938 -998 -1213 -1261 -1224 -1092 -1107 -1237 -1386 -1344 -1182 -1049 -1014 -1037 -1075 -995 -832 -750 -748 -833 -933 -943 -847 -824 -872 -927 -1088 -1035 -1038 -952 -1049 -1196 -1301 -1223 -1173 -1154 -1217 -1242 -1175 -1048 -941 -925 -911 -848 -789 -765 -806 -826 -847 -830 -783 -811 -958 -974 -940 -955 -1005 -1127 -1233 -1199 -1126 -1132 -1252 -1270 -1211 -1013 -948 -970 -1048 -1051 -911 -756 -789 -852 -950 -918 -793 -719 -794 -896 -943 -917 -921 -948 -1031 -1059 -1161 -1172 -1106 -1085 -1150 -1080 -1132 -1099 -1091 -1084 -1035 -1014 -881 -955 -897 -883 -877 -746 -728 -803 -867 -874 -860 -838 -903 -1016 -1148 -1182 -1023 -918 -1073 -1216 -1274 -1120 -961 -934 -1159 -1321 -1191 -963 -822 -881 -1047 -1071 -824 -582 -574 -771 -924 -956 -809 -688 -785 -1038 -1228 -1159 -1031 -1036 -1116 -1233 -1325 -1228 -1184 -1107 -1066 -1072 -1134 -1103 -990 -876 -858 -834 -836 -858 -840 -779 -784 -812 -923 -990 -984 -949 -931 -1005 -1099 -1172 -1189 -1189 -1216 -1140 -1132 -1194 -1223 -1104 -938 -833 -967 -1009 -978 -799 -683 -705 -928 -1001 -906 -702 -711 -833 -1046 -1063 -953 -857 -897 -1118 -1221 -1163 -1054 -1012 -1121 -1199 -1099 -1037 -972 -984 -964 -914 -860 -907 -993 -974 -742 -640 -661 -837 -933 -908 -766 -750 -984 -1171 -1238 -1128 -956 -1036 -1155 -1307 -1205 -1076 -942 -986 -1010 -1145 -985 -872 -853 -859 -961 -933 -870 -725 -658 -731 -809 -984 -916 -889 -872 -951 -1177 -1251 -1083 -940 -1008 -1166 -1327 -1169 -987 -910 -976 -1118 -1150 -925 -788 -788 -924 -928 -838 -667 -721 -866 -904 -879 -823 -832 -982 -1137 -1021 -907 -962 -1127 -1328 -1217 -1036 -934 -1081 -1344 -1281 -1050 -815 -820 -990 -1077 -971 -737 -644 -752 -898 -885 -846 -807 -791 -917 -964 -1009 -1029 -1038 -1070 -1032 -1144 -1179 -1235 -1200 -1081 -1030 -1080 -1151 -1037 -926 -809 -778 -858 -901 -825 -763 -749 -853 -869 -822 -809 -908 -959 -1046 -1037 -1012 -1139 -1220 -1232 -1138 -1109 -1200 -1380 -1333 -1084 -881 -903 -1083 -1146 -1008 -728 -656 -815 -1028 -1028 -790 -673 -782 -1014 -1133 -1056 -966 -976 -1210 -1302 -1193 -1104 -1152 -1228 -1235 -1136 -1069 -1009 -1151 -1172 -1077 -912 -841 -955 -1071 -1003 -793 -713 -781 -929 -1003 -928 -851 -930 -1042 -1205 -1172 -1151 -1127 -1113 -1240 -1238 -1263 -1184 -1129 -1092 -1124 -1214 -1224 -1080 -917 -840 -968 -1026 -1031 -852 -672 -699 -1006 -1178 -1086 -862 -832 -972 -1214 -1285 -1073 -962 -962 -1200 -1357 -1270 -1147 -1110 -1167 -1270 -1214 -1036 -975 -987 -971 -830 -731 -739 -879 -962 -867 -760 -767 -1015 -1115 -1128 -916 -889 -1117 -1324 -1365 -1258 -1152 -1164 -1339 -1449 -1283 -1091 -1065 -1052 -1138 -1093 -947 -759 -807 -823 -904 -910 -832 -849 -860 -870 -994 -1082 -1116 -1135 -1039 -1096 -1285 -1413 -1386 -1204 -1096 -1091 -1205 -1183 -1035 -897 -828 -859 -933 -910 -872 -843 -858 -827 -799 -847 -997 -1007 -948 -925 -907 -1151 -1331 -1370 -1157 -1014 -1055 -1323 -1419 -1280 -934 -859 -1021 -1198 -1256 -927 -705 -764 -1070 -1166 -981 -762 -642 -844 -1086 -1096 -1020 -887 -970 -1147 -1281 -1269 -1168 -1042 -1130 -1203 -1261 -1250 -1217 -1108 -1051 -1064 -1074 -1093 -1057 -971 -890 -873 -912 -939 -837 -812 -856 -896 -974 -1026 -1053 -1135 -1100 -1124 -1108 -1203 -1320 -1318 -1259 -1155 -1102 -1223 -1291 -1229 -1079 -868 -883 -1014 -1055 -882 -703 -648 -875 -1029 -1051 -950 -799 -932 -1105 -1213 -1099 -1031 -1076 -1227 -1356 -1309 -1200 -1192 -1256 -1180 -1194 -1113 -1078 -986 -941 -841 -843 -820 -842 -912 -836 -785 -818 -865 -1004 -967 -886 -942 -1044 -1199 -1321 -1276 -1178 -1198 -1210 -1344 -1301 -1190 -1054 -917 -926 -1107 -1080 -975 -836 -805 -873 -967 -981 -898 -800 -830 -907 -1058 -1058 -941 -945 -1036 -1207 -1307 -1237 -1158 -1168 -1272 -1258 -1101 -1016 -987 -1059 -1057 -991 -880 -850 -880 -982 -919 -747 -672 -742 -984 -961 -917 -865 -955 -1096 -1210 -1205 -1076 -1034 -1113 -1287 -1304 -1220 -1121 -1046 -1089 -1208 -1206 -1016 -881 -841 -926 -1004 -968 -787 -669 -703 -888 -1020 -1091 -1002 -946 -1004 -1168 -1267 -1288 -1134 -1091 -1204 -1315 -1309 -1268 -1129 -1131 -1143 -1075 -1086 -1020 -974 -906 -847 -784 -788 -834 -933 -954 -862 -822 -946 -1110 -1191 -1067 -957 -1057 -1235 -1353 -1323 -1194 -1105 -1219 -1332 -1301 -1130 -948 -890 -1004 -1076 -934 -839 -738 -806 -947 -999 -944 -882 -965 -975 -1063 -1140 -1175 -1139 -1177 -1160 -1215 -1374 -1391 -1339 -1194 -1164 -1185 -1226 -1197 -1099 -1020 -918 -950 -947 -1008 -1008 -943 -924 -876 -910 -1030 -1163 -1178 -1066 -1060 -1131 -1395 -1415 -1334 -1119 -1089 -1297 -1466 -1343 -1075 -880 -1002 -1208 -1304 -1115 -817 -752 -1036 -1203 -1161 -835 -650 -830 -1121 -1257 -1202 -997 -1031 -1269 -1376 -1405 -1339 -1184 -1197 -1315 -1300 -1288 -1290 -1198 -1109 -1063 -1079 -1139 -1232 -1117 -962 -806 -901 -1055 -1116 -1043 -913 -948 -1056 -1207 -1270 -1195 -1124 -1102 -1183 -1221 -1267 -1270 -1241 -1211 -1181 -1208 -1281 -1298 -1156 -988 -955 -1019 -1108 -1033 -862 -711 -798 -1033 -1178 -1051 -861 -895 -1155 -1297 -1319 -1137 -1100 -1213 -1418 -1514 -1399 -1246 -1214 -1260 -1298 -1207 -1059 -1048 -1052 -962 -909 -899 -963 -1025 -969 -881 -814 -946 -1148 -1204 -1015 -962 -1017 -1284 -1467 -1404 -1204 -1118 -1268 -1465 -1419 -1194 -1000 -905 -1052 -1035 -1064 -868 -809 -841 -860 -940 -980 -935 -902 -934 -974 -1076 -1176 -1163 -1093 -1113 -1265 -1382 -1403 -1224 -1121 -1191 -1262 -1289 -1122 -954 -939 -1029 -1171 -1099 -918 -828 -877 -994 -1013 -841 -804 -889 -1134 -1152 -988 -953 -1134 -1288 -1318 -1193 -990 -1000 -1257 -1375 -1187 -984 -886 -1043 -1193 -1157 -901 -774 -838 -1007 -1063 -986 -746 -745 -894 -1025 -1042 -1014 -948 -1009 -1102 -1090 -1139 -1122 -1181 -1198 -1144 -1194 -1236 -1268 -1235 -1107 -1054 -1043 -1067 -1007 -933 -813 -868 -943 -931 -975 -867 -939 -991 -1085 -1099 -1077 -1114 -1126 -1127 -1136 -1195 -1246 -1329 -1317 -1206 -1158 -1218 -1326 -1262 -1020 -841 -845 -1010 -1065 -910 -677 -690 -883 -1086 -1059 -894 -783 -951 -1165 -1252 -1136 -1143 -1188 -1335 -1385 -1274 -1255 -1290 -1315 -1266 -1124 -942 -982 -1106 -1123 -947 -752 -792 -952 -1132 -994 -893 -728 -877 -1108 -1135 -1021 -939 -1003 -1153 -1295 -1345 -1171 -1090 -1085 -1223 -1240 -1171 -1053 -918 -939 -976 -1054 -1075 -849 -740 -755 -906 -982 -929 -787 -669 -855 -1089 -1212 -1135 -949 -1013 -1163 -1357 -1298 -1124 -1007 -1081 -1204 -1250 -1155 -1050 -953 -1029 -1050 -977 -926 -932 -915 -851 -777 -728 -801 -924 -1020 -862 -851 -958 -1190 -1336 -1173 -1015 -1039 -1180 -1383 -1331 -1146 -985 -995 -1197 -1204 -1123 -902 -837 -821 -930 -915 -812 -728 -735 -826 -897 -1009 -980 -954 -979 -1072 -1151 -1227 -1140 -1126 -1056 -1173 -1272 -1300 -1221 -1111 -1005
+73 239 375 480 592 541 496 385 369 388 465 524 514 497 568 601 550 430 432 495 624 752 763 869 987 1156 1151 1090 951 960 1001 1086 1118 1160 1181 1206 1195 1128 1047 1098 1238 1355 1388 1386 1501 1625 1694 1607 1478 1308 1370 1486 1569 1618 1596 1578 1505 1455 1331 1323 1415 1524 1574 1574 1691 1766 1911 1909 1853 1803 1823 1941 2048 2116 2178 2171 2172 2132 2025 1959 2004 2133 2117 2197 2174 2115 2117 2098 1995 1951 1949 2067 2168 2268 2351 2390 2417 2373 2266 2215 2227 2330 2399 2454 2416 2449 2417 2483 2454 2505 2495 2575 2644 2739 2795 2887 2960 2901 2832 2665 2623 2685 2763 2824 2812 2814 2812 2787 2790 2681 2688 2801 2968 3035 3049 3070 3128 3125 3139 2998 2953 2964 3074 3162 3238 3275 3307 3268 3187 3095 3112 3230 3369 3528 3524 3557 3532 3633 3626 3568 3452 3437 3515 3563 3619 3659 3707 3746 3643 3464 3342 3402 3579 3704 3739 3640 3561 3560 3582 3557 3523 3564 3726 3849 3992 4019 4086 4191 4182 4119 4008 3948 3988 4139 4175 4111 4104 4057 4037 3969 3854 3827 3940 4113 4198 4198 4118 4145 4186 4186 4090 3967 3949 4060 4203 4250 4197 4158 4126 4081 3945 3915 4080 4278 4450 4455 4362 4265 4339 4445 4406 4329 4225 4266 4299 4390 4361 4291 4219 4168 4079 3936 3986 4136 4336 4431 4403 4275 4301 4306 4289 4241 4209 4273 4336 4389 4378 4257 4231 4288 4271 4173 4165 4250 4405 4533 4534 4472 4394 4389 4410 4422 4424 4486 4661 4810 4810 4698 4563 4528 4567 4562 4476 4438 4466 4549 4578 4547 4473 4418 4351 4324 4337 4432 4607 4796 4862 4815 4733 4684 4738 4796 4813 4823 4849 4928 4974 4907 4893 4831 4793 4789 4786 4775 4911 5059 5167 5139 5028 4968 4944 4959 4959 4978 5025 5111 5095 5077 4959 4887 4897 4885 4873 4872 4983 5153 5337 5335 5305 5204 5192 5215 5256 5310 5318 5385 5411 5380 5291 5275 5210 5214 5190 5169 5191 5297 5392 5450 5358 5294 5261 5210 5226 5244 5266 5358 5460 5465 5361 5279 5210 5190 5126 5132 5120 5171 5270 5326 5327 5284 5223 5238 5265 5215 5325 5409 5568 5638 5574 5452 5368 5384 5388 5378 5333 5351 5380 5449 5379 5305 5236 5243 5225 5128 5068 5110 5240 5386 5397 5293 5115 5073 5138 5272 5327 5428 5497 5539 5465 5382 5280 5316 5284 5299 5219 5247 5372 5489 5528 5496 5351 5283 5272 5326 5358 5427 5475 5576 5542 5488 5321 5241 5246 5330 5346 5293 5338 5390 5426 5389 5308 5299 5333 5379 5374 5472 5579 5748 5839 5743 5515 5322 5328 5426 5479 5505 5485 5466 5524 5474 5437 5427 5492 5570 5615 5650 5693 5775 5907 5940 5782 5501 5386 5394 5466 5512 5552 5530 5518 5513 5452 5320 5319 5442 5575 5621 5644 5691 5758 5848 5783 5667 5567 5607 5721 5818 5813 5850 5844 5872 5788 5642 5535 5546 5717 5822 5842 5805 5800 5838 5826 5730 5633 5648 5698 5701 5713 5745 5819 5868 5866 5742 5588 5585 5638 5805 5923 5875 5841 5849 5830 5797 5700 5711 5808 5951 5971 5924 5934 6001 5980 5896 5789 5640 5699 5775 5821 5895 5827 5833 5886 5796 5718 5696 5777 5885 6025 5988 5969 5960 6005 5966 5913 5791 5710 5777 5858 5922 5966 5927 5916 5807 5747 5748 5802 5922 6055 6063 6039 6025 6115 6101 6028 5957 5895 5941 5954 5980 5985 5973 5951 5853 5742 5601 5616 5669 5777 5812 5800 5818 5829 5893 5794 5740 5732 5780 5930 6052 6054 6031 6027 6016 5938 5815 5791 5781 5866 5959 5951 5973 5895 5887 5744 5705 5703 5833 5970 6008 5988 5946 5945 5966 5882 5799 5655 5597 5668 5763 5829 5850 5821 5787 5695 5620 5591 5713 5903 6084 6036 5982 6012 6016 6011 5875 5785 5667 5732 5862 5963 5974 5913 5917 5881 5819 5780 5838 5976 6141 6164 6136 6077 6030 6033 5976 5828 5799 5862 5987 6005 5953 5931 5940 5980 5931 5807 5745 5831 5985 6212 6280 6238 6160 6101 6077 6073 6091 6200 6341 6412 6318 6233 6190 6257 6231 6227 6017 5953 6014 6155 6196 6122 5997 5967 5894 5896 5898 5988 6174 6309 6362 6273 6162 6186 6203 6189 6122 6061 6120 6223 6263 6250 6183 6112 6151 6171 6121 6080 6244 6419 6591 6542 6320 6159 6130 6146 6136 6012 6070 6111 6118 6076 5995 5983 6034 6041 6055 5982 6036 6172 6325 6358 6261 6089 6052 5983 5995 5993 5953 6014 6123 6115 6032 5898 5892 5945 6021 6016 6029 6134 6207 6282 6227 6112 6064 5978 5887 5871 5829 5889 6036 6062 6042 5874 5749 5733 5790 5846 5840 5839 5812 5846 5852 5808 5781 5812 5764 5750 5816 5984 6160 6274 6311 6145 6032 5918 5982 6048 6027 6016 6005 5997 5983 5879 5798 5764 5758 5768 5780 5873 5992 6114 6142 6056 5957 5911 5947 5940 5967 5928 5947 6010 6038 5968 5879 5853 5855 5886 5982 6082 6209 6298 6371 6382 6307 6265 6232 6214 6159 6159 6246 6298 6283 6156 6011 5981 5961 6051 6158 6157 6248 6328 6344 6345 6328 6234 6190 6136 6151 6115 6198 6331 6352 6322 6138 6089 6079 6170 6271 6246 6249 6374 6415 6434 6363 6305 6271 6301 6350 6382 6386 6488 6506 6430 6275 6099 6012 6002 6049 6043 6094 6113 6120 6117 6023 5960 5915 5994 6079 6126 6129 6192 6294 6386 6375 6204 6177 6140 6204 6233 6216 6287 6369 6353 6236 6003 5944 6043 6167 6225 6189 6187 6190 6207 6223 6166 6005 5954 5961 6030 6028 6018 6058 6099 6063 5909 5733 5719 5919 6061 6132 6118 6160 6155 6193 6124 6060 6013 6025 6105 6105 6077 6119 6233 6276 6189 6001 5886 5902 6040 6127 6193 6187 6140 6087 6099 5932 5900 5960 6071 6148 6121 6046 6077 6133 6145 6038 5828 5791 5861 6014 6074 6085 6076 6109 6108 6024 5968 5967 6121 6266 6382 6393 6319 6337 6362 6240 6123 6082 6098 6147 6100 6071 6046 6075 6049 5926 5799 5699 5775 5986 6104 6129 6133 6078 6098 6075 6081 6044 6098 6239 6240 6202 6156 6193 6191 6216 6102 5958 5977 6094 6265 6393 6401 6355 6261 6179 6117 6111 6145 6216 6216 6209 6159 6107 6180 6113 6077 5997 5977 6055 6177 6242 6238 6163 6181 6130 6116 6122 6135 6239 6352 6397 6399 6336 6364 6258 6193 6123 6119 6145 6227 6289 6288 6281 6261 6257 6207 6163 6124 6168 6305 6384 6372 6332 6297 6208 6131 6031 5986 6038 6185 6179 6114 6133 6130 6170 6122 6049 6011 6100 6262 6394 6453 6480 6454 6402 6363 6283 6238 6232 6313 6372 6326 6235 6184 6130 6050 5964 5899 5889 5995 6176 6262 6249 6171 6120 6022 5916 5911 5861 6024 6160 6162 6106 6029 6046 6072 6060 5941 5905 6011 6133 6182 6159 6104 6075 6084 6031 5928 5926 6017 6201 6247 6214 6045 5909 5865 5824 5780 5768 5804 5861 5990 5975 5906 5915 5921 5865 5860 5750 5793 5976 6155 6182 6078 5908 5839 5881 5860 5855 5878 5951 6051 6058 5976 5922 5927 5952 5990 5928 5938 6004 6160 6293 6247 6160 6044 6006 5939 5931 5946 6036 6190 6201 6042 5826 5770 5850 5924 5959 5943 5940 6036 6213 6219 6172 6145 6124 6131 6182 6192 6284 6458 6561 6474 6331 6185 6163 6190 6231 6209 6143 6165 6208 6256 6180 6105 6093 6174 6208 6188 6236 6323 6459 6467 6369 6253 6099 6092 6075 6105 6171 6261 6323 6361 6269 6148 6153 6216 6362 6420 6421 6378 6402 6535 6537 6440 6311 6225 6120 6065 6045 6135 6252 6280 6234 6117 6010 6068 6176 6312 6278 6236 6276 6346 6360 6281 6134 6023 5965 5930 5976 5993 6090 6130 6118 5986 5902 5864 5915 6006 6064 6072 6162 6227 6243 6173 6075 6008 5971 6038 6041 6012 6034 6106 6143 6094 5964 5859 5741 5773 5800 5883 5904 6001 5953 5891 5810 5797 5810 5906 5949 5974 6051 6129 6159 6137 6041 5982 5936 5911 5953 5994 6012 6103 6128 6043 5921 5857 5900 5959 6039 6103 6100 6199 6226 6259 6093 5955 5927 5936 5927 5974 6029 6080 6115 6113 6037 5905 5934 6000 6130 6191 6249 6339 6334 6291 6201 6110 6063 6112 6165 6177 6147 6177 6282 6327 6234 6061 6000 6082 6226 6364 6357 6405 6430 6437 6367 6174 6099 6114 6212 6257 6233 6210 6273 6359 6299 6129 6004 5938 6091 6195 6251 6263 6248 6268 6247 6164 6128 6185 6331 6504 6524 6536 6492 6489 6448 6393 6248 6189 6180 6210 6178 6182 6199 6280 6250 6124 5969 5886 6053 6325 6442 6416 6353 6307 6328 6352 6252 6136 6101 6147 6191 6150 6162 6197 6228 6212 6074 6006 6042 6287 6428 6486 6441 6354 6338 6293 6218 6161 6117 6168 6128 6160 6080 6080 6094 6099 6065 5973 5978 6109 6196 6255 6295 6251 6226 6192 6080 5917 5880 5987 6146 6207 6164 6120 6031 6068 6089 6115 6049 6076 6155 6191 6248 6226 6299 6323 6276 6207 6086 6136 6290 6461 6450 6296 6193 6113 6068 6043 5989 5991 6018 6038 6093 6043 6074 6073 6069 6020 5918 5970 6136 6309 6476 6476 6359 6297 6270 6210 6180 6150 6206 6315 6271 6178 6122 6082 6110 6100 6066 6006 6071 6202 6354 6323 6336 6219 6127 6042 5954 5943 6040 6155 6185 6161 6098 6059 6063 6049 6053 6074 6195 6294 6432 6452 6378 6338 6246 6234 6180 6099 6144 6290 6388 6400 6344 6249 6208 6175 6088 6094 6149 6243 6342 6348 6281 6217 6191 6205 6146 6074 6070 6179 6311 6393 6327 6241 6174 6141 6088 6063 6151 6297 6402 6484 6321 6258 6253 6285 6306 6298 6292 6410 6561 6676 6628 6549 6426 6405 6309 6278 6203 6261 6337 6308 6173 6086 6027 6060 6098 6096 6070 6137 6320 6509 6517 6483 6381 6376 6329 6292 6251 6290 6385 6474 6427 6255 6159 6116 6177 6225 6267 6305 6370 6454 6464 6349 6245 6228 6249 6183 6083 6021 6085 6214 6298 6189 6029 5913 5923 6009 6032 6066 6108 6225 6278 6168 6117 6085 6139 6241 6175 6126 6169 6275 6384 6350 6226 6019 5931 6014 6071 6079 6152 6206 6267 6178 6029 5919 5993 6034 6080 6044 6015 6048 6163 6147 6059 5919 5845 5846 5846 5831 5857 5919 6067 6093 5983 5893 5826 5911 6054 6169 6266 6312 6404 6413 6369 6231 6146 6195 6263 6206 6104 6058 6143 6205 6218 6000 5815 5829 5853 6009 6079 6161 6223 6223 6178 6054 6039 6058 6154 6171 6160 6156 6266 6365 6458 6350 6265 6182 6153 6224 6333 6404 6463 6514 6467 6329 6208 6210 6333 6424 6423 6380 6354 6404 6341 6287 6177 6121 6141 6165 6161 6193 6307 6340 6365 6320 6156 6104 6102 6261 6319 6336 6389 6411 6403 6380 6262 6229 6267 6249 6291 6301 6278 6353 6385 6333 6210 6118 6134 6207 6270 6315 6382 6424 6425 6271 6176 6063 6058 6091 6100 6074 6031 6022 6053 6001 5876 5811 5877 5889 6039 6071 6190 6272 6353 6294 6174 6034 6041 6110 6241 6293 6279 6247 6208 6150 5997 5915 5880 5819 5851 5884 5901 5977 6043 6070 5980 5849 5758 5865 6033 6108 6077 6030 5973 5984 5907 5836 5751 5788 5872 5984 6004 6016 6090 6114 6108 5977 5905 5946 6094 6233 6229 6243 6180 6179 6129 5986 5860 5829 5919 5998 6025 5998 5964 6050 6032 5972 5868 5903 6013 6138 6198 6219 6211 6213 6209 6056 5889 5864 6015 6148 6251 6150 6098 6117 6179 6195 6129 6141 6154 6248 6360 6395 6441 6431 6379 6225 6079 6040 6082 6294 6370 6296 6205 6089 6088 6112 6036 5932 5930 6057 6101 6184 6178 6166 6194 6220 6194 6151 6138 6293 6448 6477 6468
+220 215 292 332 305 214 157 190 302 316 257 130 81 113 214 289 367 417 475 471 455 486 499 474 487 488 518 664 793 789 650 563 599 772 964 983 945 945 1032 1136 1203 1008 800 771 912 1071 1141 1031 890 884 974 1000 1034 1058 1116 1208 1338 1378 1395 1369 1297 1209 1191 1270 1454 1546 1531 1390 1330 1389 1487 1503 1395 1340 1442 1601 1714 1663 1516 1407 1487 1644 1691 1674 1620 1678 1756 1777 1758 1689 1669 1752 1797 1862 1910 1946 1943 1851 1762 1693 1748 1829 1879 1800 1775 1832 1910 1934 1803 1751 1800 1963 2139 2155 2007 1956 2065 2167 2141 2075 1950 1982 2131 2228 2185 2018 1946 1943 1973 2019 2047 2027 2041 2037 2028 2023 1997 2019 1987 1948 1980 2146 2322 2369 2256 2140 2125 2250 2379 2357 2240 2146 2209 2402 2457 2328 2124 2131 2213 2410 2531 2439 2419 2321 2349 2322 2310 2288 2227 2284 2349 2417 2471 2420 2405 2314 2358 2382 2533 2657 2672 2589 2523 2569 2649 2584 2437 2356 2387 2537 2634 2613 2539 2472 2479 2573 2675 2760 2759 2865 2881 2898 2823 2766 2660 2646 2686 2694 2719 2733 2741 2749 2646 2648 2699 2767 2871 2915 2963 3037 3093 3090 2976 2782 2715 2790 2922 2988 2875 2818 2817 2978 3095 3061 3066 3088 3250 3426 3440 3349 3172 2999 2958 2933 2918 2814 2793 2803 2872 2937 2948 2927 2916 2956 3066 3300 3451 3551 3511 3346 3260 3281 3311 3269 3112 2977 2971 3131 3249 3204 3089 3007 3115 3397 3555 3621 3478 3451 3444 3477 3404 3179 3029 2975 3055 3137 3177 3168 3200 3208 3244 3356 3499 3611 3684 3686 3592 3577 3536 3481 3323 3117 3007 3008 3149 3172 3171 3059 3123 3293 3479 3553 3574 3594 3759 3814 3802 3651 3412 3306 3330 3272 3230 3225 3247 3315 3306 3294 3308 3379 3514 3587 3657 3705 3704 3744 3655 3493 3285 3261 3354 3401 3307 3251 3273 3397 3540 3550 3490 3490 3704 3971 4067 3980 3745 3597 3571 3519 3383 3255 3139 3130 3221 3310 3335 3293 3294 3335 3445 3523 3711 3863 3833 3739 3575 3512 3563 3543 3388 3280 3290 3449 3618 3622 3501 3379 3465 3669 3834 3905 3832 3705 3731 3738 3692 3506 3321 3270 3334 3460 3509 3610 3624 3657 3656 3715 3761 3865 3910 3946 3894 3855 3873 3849 3763 3533 3451 3496 3662 3738 3657 3608 3588 3709 3848 3898 3843 3876 3924 4076 4118 4031 3866 3800 3769 3786 3749 3765 3784 3842 3887 3933 3924 3954 3933 3948 3985 3966 4005 4014 3951 3848 3765 3772 3834 3911 3946 3883 3952 4041 4167 4176 4056 3986 4028 4188 4254 4198 4070 3906 3915 3953 3932 3850 3746 3765 3872 3977 4032 3984 3863 3857 3946 3998 4055 4067 4037 3974 3941 3933 3973 3916 3837 3773 3819 3964 4102 4154 3990 3844 3756 3869 3967 4034 3953 3854 3870 3957 3944 3882 3720 3668 3741 3864 4002 3990 3999 3990 3980 3955 3918 3893 3919 3939 3937 3912 3874 3885 3867 3747 3662 3694 3806 3962 4021 3899 3779 3729 3776 3842 3758 3678 3683 3816 3989 4001 3911 3827 3813 3905 3990 3958 3926 3923 3963 3996 3914 3840 3713 3719 3721 3772 3784 3830 3838 3803 3768 3748 3857 3930 3992 3918 3959 4033 4114 4197 4002 3853 3810 3945 4181 4177 4084 3955 4017 4153 4207 4123 3929 3850 4030 4155 4161 4099 3950 3875 3885 3937 3940 3991 3991 4025 4088 4081 4187 4251 4268 4170 4180 4218 4301 4386 4268 4033 3891 3936 3961 3992 3918 3838 3888 4104 4259 4218 4139 4052 4187 4310 4336 4330 4234 4195 4170 4081 4026 4012 4013 4107 4131 4201 4218 4283 4312 4275 4174 4196 4206 4318 4367 4239 4073 4008 3992 3933 3811 3759 3740 3885 4094 4194 4183 4124 4138 4239 4338 4347 4300 4230 4241 4227 4131 4007 3879 3798 3776 3788 3899 3988 4038 4061 4043 4069 4121 4229 4229 4160 4161 4197 4202 4169 3983 3731 3675 3762 3931 4045 4005 3932 4008 4205 4321 4333 4174 4126 4132 4284 4312 4179 3978 3774 3731 3754 3804 3830 3843 3845 3974 4061 4140 4225 4204 4123 4114 4181 4288 4365 4236 4009 3837 3782 3784 3834 3756 3685 3672 3870 4085 4168 4145 4073 4101 4213 4331 4371 4315 4201 4164 4143 4068 3979 3886 3839 3908 4033 4106 4152 4184 4216 4221 4221 4226 4301 4346 4290 4144 4072 4019 3961 3905 3748 3700 3755 3950 4107 4174 4111 4163 4286 4404 4424 4368 4291 4352 4335 4292 4160 3915 3806 3829 3879 3942 3946 3996 4054 4131 4144 4210 4217 4248 4268 4250 4285 4360 4371 4229 4053 3874 3902 4019 4099 4094 3976 3985 4130 4333 4333 4241 4134 4203 4351 4481 4395 4239 4029 3966 4038 4041 3997 3988 3983 4093 4229 4286 4314 4317 4300 4290 4282 4388 4481 4471 4338 4124 3949 3961 4010 3964 3886 3829 3946 4151 4253 4152 4029 4061 4157 4331 4383 4349 4236 4226 4240 4216 4121 4046 4022 4088 4192 4299 4332 4369 4334 4267 4227 4205 4234 4278 4253 4155 4075 4126 4092 4032 3880 3742 3826 3961 4188 4177 4132 4121 4219 4403 4352 4293 4183 4241 4377 4398 4264 4098 3926 3896 3941 3956 3967 3999 4052 4155 4163 4178 4121 4082 4049 4021 4052 4144 4184 4108 3999 3867 3890 4008 4064 4051 4000 4000 4107 4299 4286 4134 3982 3971 4082 4260 4209 4082 3963 3938 3990 4046 3990 3955 4009 4082 4194 4291 4298 4262 4214 4150 4150 4184 4261 4292 4213 4148 4066 4041 4112 4067 3968 3917 3970 4151 4242 4210 4104 4016 4039 4137 4285 4309 4234 4243 4265 4260 4211 4153 4109 4159 4216 4311 4394 4404 4405 4395 4339 4291 4279 4295 4312 4277 4291 4307 4315 4312 4218 4131 4112 4260 4416 4461 4398 4328 4313 4342 4420 4282 4242 4228 4404 4566 4627 4475 4299 4270 4289 4387 4413 4326 4277 4310 4376 4376 4265 4161 4074 4068 4182 4315 4397 4409 4353 4281 4254 4293 4360 4318 4218 4102 4106 4247 4309 4162 3960 3832 3933 4145 4329 4277 4189 4169 4264 4352 4335 4157 4022 4098 4207 4293 4240 4164 4055 4010 3994 4071 4132 4158 4239 4225 4206 4217 4253 4277 4199 4011 3959 3991 4065 4012 3880 3691 3616 3762 3885 3912 3940 4051 4198 4384 4392 4300 4217 4194 4210 4237 4144 4113 4033 3961 3881 3756 3739 3740 3856 3957 3976 4081 4154 4257 4199 4129 4061 4096 4173 4186 4110 3960 3840 3910 3988 3905 3849 3862 4095 4360 4494 4465 4389 4352 4416 4471 4404 4219 4128 4084 4121 4036 3956 3838 3774 3778 3922 4065 4238 4357 4404 4396 4410 4474 4494 4524 4328 4155 4144 4219 4177 4066 3832 3719 3766 4011 4275 4310 4307 4320 4451 4620 4588 4490 4299 4160 4198 4246 4247 4148 4004 3906 3949 4009 4128 4290 4411 4485 4527 4591 4657 4602 4494 4356 4208 4202 4229 4197 4052 3867 3741 3803 3910 3975 3980 4078 4265 4488 4611 4591 4479 4364 4372 4346 4337 4237 4147 4081 4022 3974 3907 3871 3874 4020 4096 4206 4359 4358 4338 4275 4204 4149 4165 4160 4087 3987 3938 3938 3989 3966 3858 3833 3937 4227 4405 4485 4393 4320 4354 4379 4299 4147 3964 3912 4007 4032 4017 3828 3729 3758 3913 4038 4171 4228 4258 4300 4271 4303 4270 4161 4122 4024 3972 4030 4057 4044 3935 3725 3717 3881 4061 4128 4096 4063 4132 4254 4339 4244 4025 3940 3954 4057 4134 4007 3886 3857 3939 3983 4062 4050 4134 4246 4271 4287 4298 4270 4166 4049 3936 3917 3990 4047 3985 3855 3721 3770 3920 4007 3998 3918 4013 4212 4373 4352 4212 4065 4054 4151 4185 4066 3978 3932 4007 4020 4000 3892 3874 3924 4044 4108 4152 4184 4144 4127 4024 3974 3984 4068 4063 4033 3973 4085 4141 4139 4041 3912 3985 4175 4335 4368 4232 4182 4212 4300 4255 4123 3958 3881 4006 4179 4162 3958 3869 3851 3987 4093 4131 4186 4206 4285 4344 4351 4333 4293 4220 4164 4141 4192 4321 4361 4207 4038 3964 4063 4118 4173 4090 4029 4069 4239 4325 4197 4033 3942 4023 4162 4270 4204 4162 4116 4172 4178 4128 4187 4197 4261 4242 4266 4315 4359 4333 4207 4096 4130 4150 4246 4296 4159 4095 4045 4093 4098 4012 3917 3942 4118 4218 4277 4179 4079 4121 4229 4303 4296 4229 4114 4160 4143 4104 3973 3861 3890 3904 3932 3990 4042 4028 3967 3960 4021 4037 4098 4109 4068 4073 4131 4222 4191 4042 3883 3841 3943 4113 4104 4057 3924 3972 4107 4151 4138 4031 3993 4081 4294 4294 4188 4011 3877 3890 3949 3986 3951 3928 3921 4016 4074 4093 4078 4081 4070 4159 4274 4385 4447 4372 4252 4079 4065 4112 4056 3919 3838 3837 3986 4088 4138 4005 3916 3955 4117 4297 4378 4326 4236 4243 4264 4202 4077 4019 4027 4111 4152 4187 4174 4158 4167 4172 4225 4267 4386 4481 4464 4466 4400 4344 4343 4229 4103 3976 4033 4113 4228 4174 4096 4063 4186 4350 4427 4426 4433 4508 4624 4587 4435 4221 4125 4101 4068 4088 4012 3980 4006 4031 4074 4064 4188 4261 4403 4427 4484 4586 4597 4491 4301 4153 4107 4171 4191 4087 3924 3894 3968 4099 4128 4027 3975 4087 4371 4518 4441 4219 4093 4085 4111 4076 3973 3851 3839 3951 3984 4009 4015 4027 4047 4109 4190 4410 4533 4572 4386 4256 4166 4141 4132 3971 3757 3613 3712 3845 3892 3784 3628 3675 3924 4165 4293 4218 4191 4214 4272 4229 4086 3910 3819 3835 3870 3905 3914 3920 3903 3882 3926 3978 4155 4276 4344 4316 4243 4212 4227 4111 3944 3815 3842 3895 3985 3925 3863 3859 3953 4116 4215 4188 4260 4417 4517 4567 4442 4221 4039 3967 4009 3995 3904 3883 3824 3901 3949 4012 3992 4076 4151 4271 4389 4504 4543 4475 4284 4210 4129 4176 4172 4055 3967 3962 4058 4191 4188 4086 3999 4173 4368 4558 4564 4357 4234 4171 4150 4094 3991 3908 3835 3906 4026 4146 4195 4164 4207 4273 4387 4508 4628 4636 4612 4460 4378 4321 4242 4180 3988 3922 3936 4039 4115 4070 3951 3942 4032 4262 4449 4450 4376 4322 4334 4367 4266 4099 3901 3910 3935 4067 4090 4066 4070 4107 4184 4212 4277 4374 4405 4358 4414 4349 4308 4251 4044 3936 3884 3920 4032 4115 4027 3987 4034 4158 4293 4253 4219 4222 4377 4506 4425 4191 3959 3919 3936 3979 3927 3831 3876 3992 4111 4167 4086 4068 4101 4251 4353 4394 4354 4324 4229 4117 4064 4064 4061 4060 4017 3955 4067 4188 4239 4069 3929 3944 4084 4297 4393 4247 4082 4002 4087 4071 3960 3769 3732 3879 4064 4170 4124 4076 4038 4141 4257 4295 4371 4431 4398 4358 4256 4246 4205 4124 4064 3909 3945 4073 4185 4147 4045 3879 3897 4022 4167 4195 4116 4141 4226 4336 4283 4155 4010 4027 4080 4153 4202 4181 4120 4177 4146 4077 4057 4054 4124 4141 4153 4141 4213 4238 4149 4053 4044 4112 4281 4324 4269 4176 4150 4201 4293 4167 4038 4048 4203 4370 4408 4291 4184 4197 4258 4324 4289 4195 4095 4189 4264 4250 4172 4088 4025 4082 4128 4235 4322 4363 4328 4313 4358 4423 4474 4541 4438 4384 4425 4483 4504 4343 4076 3922 3966 4134 4242 4170 4068 4086 4251 4370 4387 4258 4152 4242 4422 4549 4468 4275 4133 4085 4105 4121 4134 4187 4207 4252 4369 4394 4425 4427 4392 4360 4365 4458 4512 4432 4233 3992 3878 3849 3895 3882 3835 3892 3997 4267 4335 4334 4225 4215 4306 4415 4445 4390 4303 4198 4097 4017 3910 3827 3809 3848 3928 4029 4064 4159
+-59 -73 -55 2 31 35 -28 -118 -248 -312 -224 -150 -37 -25 -11 -2 -9 -48 -104 -219 -257 -224 -63 -42 -85 -124 -237 -310 -381 -396 -378 -288 -224 -176 -168 -193 -175 -263 -366 -513 -544 -486 -308 -231 -279 -435 -554 -549 -526 -473 -520 -549 -438 -336 -196 -190 -188 -229 -287 -346 -347 -236 -105 -108 -149 -308 -421 -483 -526 -634 -737 -750 -665 -549 -517 -526 -596 -720 -721 -735 -707 -723 -642 -610 -523 -453 -529 -585 -619 -639 -663 -637 -593 -465 -435 -461 -619 -704 -695 -712 -737 -796 -783 -711 -665 -643 -693 -858 -922 -968 -994 -978 -909 -820 -727 -719 -831 -918 -935 -860 -831 -864 -896 -812 -691 -621 -647 -790 -947 -950 -955 -893 -935 -888 -807 -766 -755 -826 -964 -972 -960 -929 -889 -843 -768 -680 -780 -864 -950 -994 -938 -967 -1016 -1078 -1043 -1012 -976 -1055 -1192 -1295 -1301 -1205 -1090 -942 -859 -814 -865 -935 -947 -912 -852 -930 -924 -926 -843 -692 -597 -667 -814 -950 -959 -890 -843 -834 -874 -907 -912 -1019 -1124 -1222 -1295 -1319 -1236 -1189 -1147 -1074 -1027 -1045 -1065 -1087 -1061 -985 -903 -795 -795 -716 -694 -722 -913 -1026 -1098 -1066 -1079 -1048 -998 -999 -1014 -1026 -1138 -1149 -1207 -1164 -1160 -1169 -1115 -1085 -1087 -1131 -1245 -1349 -1441 -1466 -1334 -1273 -1156 -1134 -1145 -1134 -1147 -1118 -1118 -1107 -1070 -1101 -1097 -1051 -1033 -1109 -1265 -1400 -1455 -1418 -1370 -1349 -1268 -1200 -1103 -1076 -1128 -1230 -1262 -1268 -1245 -1245 -1240 -1229 -1204 -1270 -1362 -1525 -1565 -1569 -1550 -1555 -1565 -1489 -1385 -1282 -1276 -1387 -1501 -1484 -1406 -1297 -1224 -1173 -1143 -1189 -1169 -1215 -1243 -1234 -1254 -1272 -1257 -1188 -1088 -1072 -1177 -1362 -1519 -1537 -1459 -1406 -1439 -1410 -1378 -1295 -1305 -1397 -1488 -1471 -1398 -1316 -1243 -1225 -1132 -1073 -1064 -1161 -1285 -1350 -1312 -1236 -1219 -1201 -1127 -1093 -1074 -1124 -1261 -1333 -1341 -1267 -1210 -1189 -1233 -1251 -1300 -1385 -1499 -1569 -1520 -1435 -1349 -1275 -1186 -1136 -1071 -1081 -1234 -1375 -1418 -1338 -1173 -1181 -1156 -1264 -1285 -1331 -1414 -1473 -1533 -1451 -1315 -1211 -1139 -1094 -1130 -1172 -1230 -1335 -1339 -1293 -1233 -1141 -1193 -1239 -1274 -1331 -1412 -1510 -1577 -1510 -1375 -1204 -1199 -1298 -1382 -1430 -1498 -1529 -1615 -1624 -1568 -1471 -1376 -1357 -1365 -1432 -1482 -1528 -1473 -1366 -1192 -1048 -1039 -1101 -1185 -1252 -1262 -1371 -1513 -1624 -1688 -1605 -1518 -1546 -1562 -1632 -1651 -1661 -1592 -1534 -1435 -1308 -1231 -1255 -1282 -1374 -1419 -1447 -1456 -1523 -1497 -1384 -1293 -1262 -1285 -1335 -1421 -1433 -1400 -1416 -1382 -1339 -1290 -1338 -1368 -1409 -1483 -1598 -1683 -1683 -1607 -1554 -1462 -1411 -1428 -1461 -1426 -1410 -1385 -1334 -1342 -1273 -1265 -1221 -1214 -1278 -1366 -1471 -1556 -1586 -1567 -1491 -1456 -1444 -1432 -1445 -1446 -1437 -1452 -1447 -1444 -1421 -1350 -1208 -1198 -1196 -1336 -1387 -1412 -1424 -1436 -1367 -1339 -1325 -1279 -1283 -1316 -1400 -1515 -1648 -1680 -1575 -1520 -1464 -1458 -1514 -1484 -1407 -1447 -1501 -1533 -1481 -1369 -1268 -1255 -1267 -1350 -1468 -1574 -1551 -1611 -1492 -1401 -1366 -1339 -1407 -1433 -1472 -1516 -1612 -1658 -1669 -1563 -1399 -1357 -1404 -1521 -1563 -1659 -1721 -1678 -1586 -1450 -1328 -1302 -1328 -1315 -1327 -1344 -1389 -1532 -1527 -1417 -1305 -1299 -1390 -1576 -1692 -1733 -1748 -1724 -1702 -1636 -1501 -1351 -1322 -1431 -1483 -1563 -1567 -1542 -1496 -1399 -1317 -1270 -1343 -1501 -1521 -1546 -1561 -1558 -1600 -1534 -1413 -1277 -1239 -1373 -1528 -1688 -1670 -1665 -1638 -1575 -1515 -1471 -1516 -1562 -1594 -1583 -1551 -1492 -1484 -1426 -1273 -1133 -1138 -1277 -1505 -1576 -1540 -1479 -1471 -1611 -1587 -1579 -1496 -1542 -1684 -1760 -1815 -1710 -1585 -1441 -1421 -1388 -1408 -1396 -1476 -1531 -1563 -1569 -1603 -1694 -1652 -1588 -1521 -1554 -1699 -1798 -1826 -1719 -1556 -1539 -1555 -1531 -1580 -1531 -1596 -1661 -1693 -1690 -1699 -1679 -1714 -1618 -1491 -1496 -1578 -1696 -1735 -1639 -1513 -1459 -1474 -1531 -1521 -1513 -1531 -1628 -1744 -1839 -1872 -1809 -1759 -1695 -1698 -1691 -1712 -1759 -1774 -1718 -1676 -1645 -1675 -1589 -1453 -1346 -1409 -1471 -1556 -1570 -1524 -1488 -1441 -1502 -1531 -1564 -1568 -1605 -1701 -1796 -1815 -1796 -1743 -1680 -1603 -1563 -1596 -1710 -1743 -1821 -1703 -1583 -1529 -1510 -1436 -1358 -1348 -1350 -1413 -1501 -1588 -1578 -1454 -1364 -1360 -1317 -1438 -1490 -1555 -1554 -1547 -1577 -1613 -1522 -1454 -1357 -1392 -1500 -1672 -1732 -1655 -1562 -1495 -1500 -1513 -1502 -1457 -1425 -1523 -1617 -1659 -1649 -1615 -1484 -1391 -1359 -1413 -1560 -1708 -1797 -1752 -1684 -1620 -1576 -1538 -1467 -1432 -1482 -1563 -1650 -1634 -1629 -1504 -1486 -1408 -1348 -1380 -1505 -1665 -1776 -1755 -1703 -1652 -1742 -1743 -1697 -1672 -1715 -1867 -1943 -1924 -1845 -1644 -1574 -1528 -1525 -1584 -1598 -1656 -1710 -1738 -1673 -1605 -1525 -1456 -1394 -1372 -1432 -1600 -1735 -1781 -1669 -1595 -1619 -1698 -1811 -1797 -1830 -1855 -1915 -2055 -2039 -1935 -1747 -1678 -1617 -1628 -1640 -1675 -1693 -1631 -1605 -1509 -1470 -1496 -1473 -1494 -1493 -1522 -1658 -1791 -1805 -1698 -1506 -1465 -1518 -1572 -1562 -1524 -1513 -1589 -1673 -1629 -1594 -1467 -1487 -1541 -1629 -1740 -1810 -1860 -1826 -1752 -1640 -1582 -1572 -1604 -1528 -1482 -1473 -1531 -1630 -1675 -1564 -1488 -1440 -1508 -1628 -1670 -1714 -1700 -1669 -1618 -1518 -1417 -1391 -1393 -1416 -1403 -1391 -1459 -1580 -1646 -1595 -1498 -1479 -1530 -1647 -1784 -1761 -1826 -1867 -1917 -1853 -1713 -1603 -1522 -1558 -1574 -1529 -1521 -1492 -1509 -1428 -1362 -1291 -1312 -1398 -1404 -1473 -1466 -1495 -1579 -1591 -1532 -1421 -1432 -1472 -1648 -1773 -1860 -1822 -1832 -1808 -1778 -1744 -1754 -1721 -1740 -1769 -1762 -1850 -1830 -1754 -1607 -1485 -1425 -1468 -1558 -1626 -1643 -1644 -1633 -1654 -1626 -1539 -1470 -1475 -1558 -1659 -1697 -1725 -1701 -1663 -1579 -1604 -1599 -1634 -1677 -1672 -1683 -1767 -1777 -1689 -1612 -1524 -1483 -1502 -1598 -1710 -1725 -1756 -1752 -1737 -1670 -1660 -1646 -1658 -1669 -1742 -1732 -1729 -1768 -1733 -1654 -1526 -1436 -1393 -1465 -1565 -1628 -1633 -1642 -1616 -1569 -1568 -1556 -1554 -1577 -1658 -1680 -1741 -1792 -1787 -1719 -1617 -1575 -1623 -1715 -1858 -1876 -1845 -1862 -1843 -1784 -1597 -1500 -1472 -1437 -1493 -1455 -1441 -1409 -1408 -1379 -1264 -1218 -1250 -1409 -1560 -1657 -1734 -1765 -1847 -1898 -1811 -1698 -1606 -1676 -1739 -1804 -1751 -1684 -1636 -1639 -1599 -1609 -1577 -1565 -1636 -1699 -1783 -1773 -1744 -1699 -1557 -1422 -1320 -1402 -1497 -1578 -1577 -1529 -1552 -1559 -1607 -1523 -1472 -1515 -1651 -1814 -1860 -1888 -1821 -1789 -1771 -1643 -1501 -1456 -1487 -1566 -1584 -1635 -1584 -1559 -1599 -1527 -1506 -1538 -1639 -1776 -1850 -1826 -1737 -1645 -1572 -1544 -1481 -1395 -1459 -1545 -1571 -1594 -1574 -1568 -1560 -1476 -1405 -1421 -1522 -1686 -1789 -1777 -1637 -1543 -1522 -1592 -1631 -1580 -1580 -1662 -1816 -1896 -1913 -1838 -1733 -1658 -1572 -1555 -1555 -1609 -1605 -1540 -1468 -1394 -1347 -1357 -1386 -1361 -1369 -1455 -1562 -1678 -1725 -1581 -1547 -1577 -1568 -1595 -1640 -1674 -1775 -1823 -1820 -1771 -1691 -1654 -1578 -1562 -1624 -1708 -1809 -1817 -1816 -1697 -1597 -1608 -1581 -1542 -1480 -1414 -1455 -1588 -1687 -1670 -1639 -1558 -1614 -1656 -1710 -1806 -1844 -1864 -1887 -1823 -1738 -1665 -1632 -1573 -1541 -1567 -1619 -1692 -1743 -1726 -1702 -1596 -1621 -1672 -1682 -1742 -1785 -1817 -1827 -1788 -1748 -1662 -1560 -1522 -1506 -1600 -1681 -1755 -1813 -1756 -1727 -1660 -1658 -1609 -1544 -1474 -1475 -1545 -1586 -1591 -1557 -1456 -1346 -1333 -1366 -1508 -1646 -1738 -1766 -1779 -1761 -1785 -1844 -1805 -1690 -1621 -1599 -1670 -1719 -1728 -1539 -1335 -1199 -1177 -1167 -1218 -1330 -1422 -1507 -1563 -1556 -1570 -1527 -1464 -1412 -1393 -1460 -1600 -1673 -1606 -1473 -1438 -1395 -1447 -1502 -1466 -1506 -1599 -1776 -1838 -1745 -1619 -1448 -1411 -1415 -1449 -1478 -1543 -1536 -1549 -1457 -1335 -1320 -1340 -1358 -1404 -1467 -1624 -1774 -1891 -1815 -1679 -1538 -1555 -1568 -1647 -1684 -1689 -1707 -1737 -1683 -1572 -1457 -1429 -1398 -1450 -1483 -1538 -1664 -1770 -1722 -1591 -1441 -1501 -1621 -1717 -1730 -1748 -1770 -1832 -1872 -1764 -1572 -1502 -1543 -1647 -1792 -1796 -1806 -1750 -1730 -1611 -1545 -1477 -1543 -1562 -1636 -1663 -1766 -1866 -1899 -1836 -1670 -1559 -1591 -1711 -1842 -1887 -1873 -1809 -1788 -1814 -1726 -1672 -1670 -1673 -1742 -1743 -1754 -1781 -1789 -1706 -1560 -1411 -1476 -1594 -1714 -1710 -1685 -1666 -1756 -1807 -1767 -1643 -1585 -1652 -1779 -1840 -1850 -1814 -1718 -1694 -1665 -1580 -1520 -1483 -1507 -1534 -1590 -1609 -1662 -1699 -1574 -1497 -1458 -1525 -1622 -1688 -1681 -1640 -1659 -1748 -1792 -1715 -1623 -1585 -1581 -1675 -1729 -1787 -1763 -1772 -1682 -1617 -1519 -1486 -1553 -1572 -1583 -1589 -1589 -1555 -1520 -1460 -1379 -1268 -1322 -1465 -1634 -1751 -1788 -1800 -1763 -1762 -1778 -1740 -1677 -1674 -1693 -1745 -1818 -1775 -1721 -1590 -1442 -1380 -1482 -1587 -1625 -1684 -1669 -1706 -1756 -1728 -1643 -1617 -1588 -1632 -1756 -1814 -1902 -1879 -1801 -1637 -1538 -1515 -1568 -1674 -1774 -1758 -1791 -1802 -1847 -1756 -1663 -1544 -1543 -1616 -1725 -1851 -1906 -1865 -1757 -1624 -1567 -1567 -1590 -1645 -1722 -1780 -1873 -1950 -1939 -1821 -1654 -1554 -1577 -1651 -1753 -1764 -1659 -1626 -1573 -1533 -1423 -1344 -1349 -1413 -1558 -1693 -1777 -1745 -1706 -1626 -1586 -1567 -1635 -1785 -1894 -1910 -1956 -1927 -1911 -1878 -1773 -1625 -1538 -1605 -1693 -1773 -1729 -1665 -1602 -1524 -1463 -1401 -1381 -1463 -1572 -1644 -1616 -1593 -1603 -1636 -1606 -1569 -1566 -1621 -1772 -1937 -1943 -1879 -1771 -1722 -1713 -1679 -1619 -1602 -1622 -1719 -1767 -1743 -1692 -1596 -1558 -1518 -1495 -1521 -1607 -1707 -1738 -1678 -1607 -1638 -1676 -1644 -1534 -1467 -1544 -1711 -1813 -1836 -1763 -1672 -1647 -1691 -1687 -1668 -1709 -1712 -1739 -1725 -1663 -1639 -1667 -1632 -1614 -1593 -1644 -1780 -1882 -1883 -1829 -1694 -1665 -1697 -1708 -1628 -1543 -1602 -1686 -1740 -1718 -1561 -1466 -1416 -1459 -1446 -1443 -1483 -1553 -1621 -1660 -1601 -1648 -1621 -1619 -1568 -1561 -1637 -1709 -1811 -1819 -1725 -1681 -1693 -1722 -1757 -1740 -1784 -1844 -1888 -1909 -1820 -1659 -1575 -1465 -1365 -1345 -1338 -1400 -1469 -1495 -1407 -1375 -1357 -1431 -1495 -1553 -1627 -1754 -1851 -1916 -1911 -1806 -1696 -1594 -1544 -1547 -1527 -1572 -1614 -1648 -1644 -1594 -1518 -1503 -1582 -1559 -1584 -1681 -1780 -1826 -1778 -1634 -1460 -1378 -1403 -1490 -1540 -1571 -1598 -1646 -1646 -1633 -1622 -1607 -1582 -1590 -1725 -1837 -1988 -1998 -1897 -1761 -1638 -1540 -1582 -1549 -1601 -1673 -1720 -1825 -1842 -1837 -1842 -1771 -1827 -1806 -1901 -1957 -2010 -1968 -1915 -1736 -1655 -1542 -1467 -1403 -1427 -1526 -1635 -1668 -1659 -1587 -1525 -1529 -1598 -1610 -1632 -1704 -1799 -1873 -1875 -1830 -1752 -1655 -1709 -1694 -1755 -1850 -1918 -2049 -2024 -1923 -1794 -1669 -1644 -1660 -1624 -1587 -1592 -1570 -1542 -1502 -1426 -1333 -1341 -1352 -1448 -1510 -1594 -1716 -1757 -1689 -1608 -1576 -1636 -1717 -1743 -1730 -1771 -1818 -1831 -1795 -1649 -1551 -1524 -1573 -1596 -1631 -1635 -1689 -1758 -1681 -1517 -1417 -1416 -1415 -1404 -1398 -1411 -1511 -1670 -1670 -1564 -1399 -1415 -1466 -1577 -1636 -1623 -1630 -1593 -1670 -1581 -1494 -1421 -1408 -1423 -1515 -1612 -1700 -1799 -1777 -1645 -1539 -1479 -1558 -1663 -1662 -1672 -1664 -1740 -1774 -1720 -1608 -1507 -1456 -1579 -1663 -1665 -1671 -1730 -1778 -1725 -1588 -1416 -1368 -1433 -1492 -1539 -1476 -1540 -1543 -1574 -1581 -1518 -1554 -1670 -1775 -1914 -1951 -2007 -2007 -2001 -1972 -1882 -1818 -1763 -1770 -1720 -1759 -1721 -1671 -1590 -1503 -1401 -1389 -1499 -1653 -1722 -1770 -1762 -1791 -1810 -1833 -1726 -1557 -1523 -1570 -1691 -1784 -1795 -1790 -1771 -1792 -1800 -1752 -1786 -1852 -1865 -1878 -1919 -1850 -1837 -1772 -1718 -1564 -1502 -1510 -1620 -1683 -1655 -1634 -1608 -1607 -1619 -1635 -1570 -1564 -1643 -1713 -1812 -1833 -1735 -1639 -1526 -1512 -1520 -1582 -1644 -1620 -1637 -1613 -1668 -1699 -1709 -1608 -1506 -1507 -1611 -1702 -1820 -1824 -1726 -1669 -1602 -1603 -1657 -1647 -1640 -1664 -1699 -1759 -1737 -1726 -1612 -1528 -1447 -1456 -1510 -1537 -1542 -1519 -1487 -1471 -1432 -1410 -1391 -1488 -1591 -1677 -1805 -1877 -1901 -1836 -1789 -1655 -1612
+-25 0 5 40 51 65 -11 -131 -264 -317 -248 -121 -24 -10 -6 12 -4 -8 -25 -45 -21 31 108 136 69 -11 -153 -300 -425 -437 -388 -300 -268 -291 -326 -333 -306 -310 -337 -389 -343 -237 -56 -47 -140 -294 -414 -412 -375 -333 -367 -353 -320 -297 -271 -309 -344 -346 -368 -349 -295 -197 -132 -197 -328 -478 -543 -527 -499 -523 -563 -561 -478 -400 -406 -450 -488 -497 -411 -347 -319 -391 -422 -443 -454 -495 -573 -616 -622 -606 -610 -651 -656 -621 -621 -611 -637 -562 -473 -416 -420 -470 -499 -471 -464 -491 -545 -609 -589 -607 -653 -760 -807 -789 -693 -656 -708 -735 -678 -595 -551 -578 -693 -717 -650 -550 -505 -562 -653 -681 -704 -683 -702 -677 -606 -547 -481 -465 -488 -473 -523 -616 -690 -723 -646 -583 -625 -730 -834 -866 -843 -827 -866 -912 -876 -729 -580 -579 -654 -753 -817 -776 -734 -635 -608 -587 -626 -685 -725 -786 -821 -873 -873 -817 -713 -581 -495 -506 -607 -733 -760 -715 -655 -667 -689 -701 -686 -738 -826 -943 -1008 -997 -927 -816 -718 -666 -644 -691 -735 -827 -836 -817 -751 -703 -712 -725 -771 -815 -936 -1008 -1054 -992 -888 -779 -700 -659 -686 -720 -789 -820 -865 -858 -841 -805 -801 -863 -987 -1072 -1130 -1149 -1165 -1179 -1080 -988 -891 -855 -907 -968 -990 -948 -895 -834 -824 -839 -876 -855 -874 -934 -1018 -1079 -1052 -960 -849 -807 -793 -868 -932 -971 -1009 -998 -1006 -1060 -1121 -1141 -1132 -1101 -1133 -1209 -1274 -1234 -1121 -981 -956 -1013 -1065 -1056 -969 -933 -943 -1035 -1085 -1061 -1027 -1027 -1103 -1151 -1168 -1178 -1120 -1077 -1022 -995 -1000 -1045 -1061 -1026 -967 -964 -981 -1059 -1110 -1073 -1065 -1082 -1164 -1155 -1122 -1020 -985 -982 -1030 -1014 -989 -986 -1041 -1064 -1037 -995 -974 -1035 -1130 -1185 -1185 -1187 -1209 -1213 -1110 -983 -873 -846 -907 -948 -969 -966 -945 -952 -969 -974 -965 -1055 -1160 -1290 -1292 -1282 -1243 -1196 -1123 -1048 -939 -907 -1020 -1156 -1221 -1172 -1031 -992 -994 -1041 -1030 -1047 -1091 -1140 -1220 -1183 -1083 -971 -847 -777 -787 -821 -922 -1038 -1071 -1039 -992 -980 -1065 -1126 -1118 -1155 -1239 -1364 -1445 -1374 -1192 -990 -932 -982 -1030 -1063 -1062 -1057 -1088 -1123 -1116 -1063 -1005 -1011 -1083 -1214 -1316 -1402 -1369 -1273 -1119 -1010 -981 -1017 -1070 -1094 -1088 -1119 -1191 -1259 -1253 -1151 -1119 -1172 -1288 -1378 -1374 -1315 -1228 -1167 -1109 -1040 -969 -994 -1029 -1136 -1199 -1215 -1186 -1231 -1227 -1227 -1211 -1251 -1302 -1357 -1392 -1339 -1251 -1193 -1115 -1062 -1029 -1036 -1058 -1083 -1118 -1156 -1196 -1219 -1247 -1293 -1322 -1342 -1410 -1458 -1438 -1370 -1264 -1199 -1197 -1192 -1196 -1149 -1096 -1067 -1119 -1171 -1201 -1191 -1192 -1200 -1278 -1317 -1319 -1275 -1198 -1149 -1155 -1158 -1168 -1169 -1144 -1073 -1057 -1061 -1146 -1145 -1154 -1171 -1242 -1297 -1369 -1361 -1243 -1169 -1100 -1128 -1176 -1235 -1208 -1134 -1096 -1092 -1097 -1084 -1018 -998 -1081 -1200 -1320 -1341 -1334 -1271 -1233 -1206 -1210 -1241 -1290 -1268 -1277 -1196 -1118 -1096 -1059 -1016 -985 -1013 -1120 -1252 -1317 -1324 -1211 -1090 -1077 -1111 -1120 -1120 -1154 -1232 -1290 -1253 -1148 -1068 -1013 -1070 -1117 -1163 -1212 -1256 -1321 -1325 -1231 -1139 -1076 -1084 -1122 -1197 -1192 -1217 -1208 -1138 -1066 -982 -952 -1000 -1109 -1153 -1268 -1343 -1395 -1421 -1290 -1201 -1175 -1264 -1410 -1401 -1364 -1281 -1258 -1269 -1213 -1091 -964 -927 -1096 -1246 -1343 -1326 -1276 -1242 -1217 -1230 -1239 -1273 -1290 -1306 -1329 -1282 -1250 -1238 -1183 -1097 -1059 -1125 -1253 -1395 -1401 -1322 -1245 -1253 -1301 -1275 -1235 -1159 -1197 -1278 -1318 -1272 -1158 -1071 -1053 -1111 -1156 -1245 -1253 -1337 -1372 -1378 -1358 -1387 -1446 -1473 -1425 -1397 -1360 -1397 -1396 -1337 -1216 -1124 -1124 -1193 -1251 -1279 -1238 -1262 -1289 -1292 -1281 -1277 -1290 -1343 -1352 -1317 -1281 -1257 -1261 -1267 -1243 -1208 -1220 -1263 -1313 -1341 -1322 -1309 -1326 -1344 -1380 -1379 -1393 -1372 -1337 -1279 -1206 -1155 -1168 -1205 -1196 -1190 -1236 -1326 -1338 -1320 -1251 -1222 -1242 -1330 -1408 -1432 -1375 -1284 -1274 -1278 -1266 -1211 -1144 -1158 -1226 -1317 -1382 -1369 -1301 -1199 -1187 -1229 -1347 -1378 -1416 -1361 -1309 -1298 -1256 -1193 -1115 -1043 -1049 -1131 -1266 -1392 -1386 -1275 -1189 -1189 -1174 -1238 -1226 -1231 -1188 -1226 -1236 -1223 -1091 -988 -944 -1012 -1140 -1287 -1372 -1367 -1381 -1392 -1390 -1372 -1352 -1333 -1370 -1429 -1436 -1415 -1339 -1251 -1121 -1029 -998 -1073 -1192 -1311 -1348 -1344 -1321 -1289 -1275 -1256 -1237 -1252 -1340 -1377 -1416 -1326 -1288 -1213 -1192 -1146 -1132 -1191 -1306 -1412 -1477 -1428 -1352 -1301 -1359 -1373 -1369 -1348 -1351 -1385 -1377 -1296 -1223 -1111 -1082 -1099 -1164 -1272 -1380 -1466 -1478 -1459 -1405 -1404 -1415 -1425 -1395 -1316 -1299 -1323 -1360 -1321 -1179 -1084 -1121 -1235 -1390 -1407 -1429 -1391 -1434 -1508 -1542 -1516 -1464 -1429 -1418 -1442 -1417 -1390 -1325 -1243 -1206 -1162 -1237 -1359 -1377 -1406 -1328 -1303 -1360 -1445 -1453 -1382 -1269 -1260 -1308 -1309 -1203 -1093 -1033 -1070 -1178 -1230 -1272 -1261 -1305 -1390 -1436 -1482 -1524 -1559 -1576 -1565 -1551 -1538 -1477 -1402 -1267 -1148 -1109 -1131 -1213 -1237 -1228 -1196 -1222 -1267 -1288 -1280 -1273 -1345 -1388 -1464 -1411 -1326 -1275 -1257 -1260 -1193 -1173 -1198 -1311 -1438 -1427 -1362 -1287 -1260 -1300 -1383 -1394 -1411 -1443 -1457 -1446 -1334 -1231 -1115 -1071 -1065 -1075 -1128 -1212 -1278 -1238 -1191 -1150 -1179 -1273 -1328 -1379 -1366 -1377 -1435 -1433 -1330 -1160 -1063 -1063 -1161 -1301 -1348 -1322 -1314 -1307 -1298 -1321 -1310 -1319 -1397 -1465 -1533 -1597 -1559 -1487 -1343 -1225 -1169 -1210 -1308 -1396 -1378 -1366 -1317 -1303 -1304 -1251 -1204 -1213 -1265 -1388 -1436 -1414 -1358 -1246 -1148 -1171 -1232 -1300 -1360 -1365 -1367 -1385 -1392 -1351 -1322 -1312 -1339 -1418 -1521 -1591 -1589 -1535 -1450 -1383 -1305 -1289 -1294 -1300 -1322 -1359 -1333 -1327 -1320 -1290 -1274 -1302 -1362 -1413 -1471 -1479 -1488 -1465 -1455 -1375 -1330 -1316 -1377 -1440 -1464 -1426 -1345 -1340 -1351 -1411 -1418 -1373 -1390 -1439 -1501 -1546 -1471 -1364 -1306 -1287 -1319 -1315 -1299 -1302 -1249 -1241 -1227 -1239 -1282 -1297 -1298 -1250 -1287 -1359 -1431 -1372 -1286 -1232 -1260 -1367 -1429 -1355 -1270 -1192 -1250 -1293 -1317 -1247 -1223 -1284 -1401 -1498 -1509 -1469 -1412 -1396 -1378 -1434 -1439 -1423 -1416 -1339 -1234 -1152 -1161 -1182 -1195 -1161 -1157 -1239 -1312 -1351 -1281 -1182 -1125 -1193 -1275 -1316 -1355 -1374 -1394 -1417 -1325 -1242 -1196 -1217 -1293 -1362 -1430 -1455 -1479 -1460 -1352 -1235 -1182 -1200 -1272 -1322 -1289 -1250 -1192 -1178 -1133 -1080 -1031 -1112 -1239 -1367 -1417 -1422 -1400 -1400 -1363 -1270 -1234 -1265 -1385 -1474 -1492 -1397 -1315 -1240 -1245 -1273 -1258 -1248 -1338 -1442 -1541 -1544 -1454 -1339 -1230 -1154 -1182 -1215 -1286 -1316 -1277 -1209 -1187 -1187 -1219 -1256 -1235 -1256 -1373 -1515 -1576 -1532 -1340 -1231 -1213 -1260 -1314 -1291 -1276 -1283 -1311 -1342 -1305 -1235 -1192 -1171 -1267 -1412 -1533 -1584 -1564 -1499 -1419 -1382 -1384 -1403 -1373 -1337 -1298 -1320 -1377 -1353 -1294 -1248 -1202 -1293 -1411 -1480 -1492 -1445 -1384 -1368 -1325 -1290 -1237 -1222 -1231 -1275 -1312 -1315 -1315 -1294 -1315 -1358 -1374 -1443 -1518 -1545 -1550 -1502 -1454 -1388 -1301 -1294 -1236 -1184 -1164 -1129 -1127 -1136 -1151 -1186 -1230 -1291 -1352 -1414 -1421 -1421 -1399 -1363 -1309 -1303 -1292 -1341 -1307 -1266 -1182 -1140 -1181 -1218 -1241 -1242 -1249 -1310 -1416 -1490 -1479 -1352 -1280 -1233 -1277 -1287 -1322 -1242 -1148 -1081 -1043 -1044 -1022 -1062 -1135 -1200 -1295 -1361 -1433 -1418 -1346 -1216 -1162 -1190 -1267 -1280 -1198 -1092 -1048 -1051 -1082 -1070 -1004 -1015 -1130 -1333 -1484 -1470 -1408 -1331 -1323 -1321 -1313 -1309 -1320 -1316 -1293 -1230 -1151 -1096 -1047 -981 -996 -1068 -1234 -1392 -1477 -1410 -1319 -1241 -1284 -1287 -1304 -1246 -1275 -1326 -1383 -1334 -1218 -1098 -1074 -1124 -1205 -1255 -1315 -1397 -1487 -1478 -1394 -1249 -1221 -1243 -1289 -1282 -1279 -1266 -1241 -1229 -1141 -1035 -1023 -1110 -1225 -1350 -1394 -1497 -1514 -1507 -1396 -1307 -1307 -1383 -1436 -1449 -1385 -1366 -1396 -1410 -1337 -1227 -1098 -1123 -1278 -1431 -1472 -1401 -1351 -1300 -1352 -1352 -1350 -1351 -1355 -1419 -1443 -1424 -1400 -1378 -1331 -1255 -1231 -1331 -1454 -1532 -1475 -1411 -1352 -1396 -1418 -1385 -1298 -1232 -1271 -1328 -1363 -1276 -1193 -1121 -1166 -1237 -1294 -1298 -1318 -1359 -1392 -1411 -1419 -1459 -1485 -1467 -1408 -1383 -1395 -1422 -1400 -1312 -1229 -1215 -1272 -1356 -1377 -1313 -1297 -1266 -1319 -1362 -1367 -1344 -1370 -1420 -1417 -1399 -1339 -1303 -1288 -1297 -1332 -1362 -1341 -1317 -1318 -1278 -1211 -1189 -1207 -1275 -1322 -1359 -1384 -1398 -1350 -1306 -1247 -1207 -1196 -1221 -1258 -1290 -1308 -1339 -1334 -1279 -1211 -1229 -1274 -1359 -1476 -1472 -1482 -1435 -1411 -1378 -1322 -1272 -1207 -1224 -1298 -1430 -1445 -1359 -1223 -1141 -1157 -1215 -1324 -1395 -1388 -1421 -1450 -1484 -1424 -1323 -1241 -1190 -1258 -1367 -1488 -1539 -1503 -1414 -1304 -1274 -1303 -1313 -1321 -1335 -1372 -1449 -1473 -1438 -1284 -1134 -1081 -1125 -1241 -1335 -1366 -1299 -1316 -1305 -1301 -1228 -1238 -1286 -1378 -1463 -1528 -1527 -1459 -1392 -1297 -1234 -1205 -1259 -1374 -1437 -1446 -1451 -1404 -1420 -1418 -1383 -1321 -1359 -1426 -1506 -1526 -1471 -1382 -1335 -1318 -1273 -1259 -1261 -1344 -1414 -1431 -1360 -1292 -1287 -1321 -1336 -1353 -1349 -1374 -1420 -1445 -1418 -1301 -1266 -1237 -1293 -1292 -1301 -1360 -1391 -1430 -1415 -1406 -1427 -1460 -1497 -1462 -1396 -1372 -1385 -1379 -1338 -1202 -1090 -1113 -1230 -1261 -1205 -1125 -1107 -1205 -1315 -1379 -1392 -1373 -1401 -1469 -1465 -1454 -1417 -1349 -1291 -1265 -1230 -1328 -1387 -1387 -1307 -1261 -1260 -1354 -1432 -1422 -1370 -1302 -1333 -1370 -1356 -1201 -1033 -1010 -1082 -1173 -1230 -1133 -1108 -1118 -1179 -1198 -1203 -1228 -1274 -1370 -1440 -1454 -1464 -1412 -1325 -1202 -1126 -1120 -1175 -1231 -1269 -1234 -1181 -1181 -1229 -1250 -1240 -1282 -1402 -1492 -1567 -1520 -1417 -1342 -1252 -1178 -1159 -1119 -1159 -1268 -1328 -1302 -1238 -1162 -1150 -1203 -1302 -1365 -1420 -1468 -1487 -1470 -1390 -1268 -1127 -1070 -1060 -1124 -1199 -1270 -1294 -1300 -1244 -1253 -1276 -1392 -1442 -1460 -1534 -1597 -1602 -1560 -1384 -1190 -1051 -1063 -1161 -1224 -1231 -1163 -1152 -1154 -1159 -1194 -1221 -1237 -1282 -1428 -1556 -1652 -1626 -1515 -1408 -1310 -1273 -1325 -1376 -1392 -1415 -1384 -1400 -1393 -1397 -1429 -1361 -1401 -1447 -1525 -1572 -1522 -1395 -1283 -1178 -1184 -1203 -1205 -1162 -1152 -1194 -1284 -1287 -1272 -1220 -1232 -1297 -1431 -1484 -1479 -1468 -1442 -1410 -1364 -1327 -1308 -1258 -1252 -1250 -1274 -1318 -1328 -1326 -1314 -1319 -1342 -1382 -1460 -1449 -1391 -1341 -1334 -1312 -1264 -1217 -1192 -1225 -1251 -1214 -1183 -1100 -1143 -1225 -1294 -1288 -1246 -1287 -1363 -1405 -1395 -1294 -1228 -1238 -1305 -1353 -1299 -1251 -1217 -1209 -1202 -1212 -1249 -1300 -1369 -1373 -1339 -1368 -1378 -1337 -1197 -1103 -1096 -1155 -1289 -1315 -1193 -1064 -1044 -1085 -1128 -1113 -1075 -1113 -1217 -1380 -1402 -1362 -1273 -1227 -1227 -1302 -1357 -1432 -1486 -1465 -1376 -1287 -1233 -1230 -1205 -1209 -1188 -1235 -1350 -1424 -1388 -1273 -1133 -1082 -1132 -1233 -1274 -1289 -1313 -1362 -1366 -1278 -1159 -1095 -1127 -1209 -1302 -1334 -1406 -1397 -1385 -1331 -1227 -1203 -1244 -1318 -1380 -1378 -1377 -1389 -1369 -1303 -1216 -1174 -1223 -1339 -1417 -1477 -1458 -1434 -1404 -1379 -1268 -1222 -1279 -1409 -1489 -1511 -1447 -1379 -1340 -1328 -1274 -1216 -1193 -1233 -1360 -1452 -1435 -1397 -1330 -1324 -1323 -1345 -1425 -1490 -1500 -1493 -1466 -1442 -1434 -1417 -1420 -1340 -1354 -1419 -1536 -1561 -1486 -1330 -1252 -1263 -1320 -1355 -1286 -1227 -1234 -1295 -1330 -1285 -1191 -1121 -1153 -1280 -1402 -1427 -1427 -1382 -1381 -1383 -1426 -1436 -1459 -1428 -1393 -1394 -1417 -1398 -1380 -1322 -1274 -1263 -1324 -1388 -1406 -1363 -1282 -1271 -1270 -1294 -1296 -1279 -1276 -1258 -1297 -1271 -1261 -1202 -1192 -1197 -1249 -1296 -1340 -1359 -1351 -1370 -1360 -1351 -1363 -1399 -1397 -1393 -1371 -1265 -1244
+21 58 57 63 78 54 -34 -166 -270 -308 -276 -206 -173 -166 -213 -255 -316 -353 -402 -409 -356 -289 -254 -271 -323 -404 -508 -570 -615 -595 -541 -435 -400 -423 -484 -556 -619 -641 -608 -598 -519 -511 -483 -574 -671 -758 -840 -858 -861 -798 -740 -646 -640 -702 -785 -860 -886 -865 -828 -804 -777 -728 -736 -835 -967 -1088 -1115 -1068 -970 -909 -899 -891 -906 -944 -1026 -1101 -1125 -1091 -988 -915 -894 -954 -1044 -1089 -1135 -1202 -1212 -1175 -1122 -1039 -1014 -1085 -1184 -1277 -1372 -1387 -1352 -1249 -1205 -1177 -1196 -1234 -1292 -1320 -1358 -1433 -1411 -1313 -1184 -1158 -1179 -1334 -1440 -1484 -1427 -1393 -1368 -1335 -1268 -1199 -1190 -1242 -1387 -1487 -1489 -1423 -1333 -1313 -1338 -1394 -1466 -1550 -1605 -1661 -1635 -1612 -1540 -1428 -1382 -1359 -1431 -1539 -1602 -1610 -1542 -1469 -1417 -1427 -1466 -1501 -1574 -1620 -1701 -1716 -1724 -1599 -1512 -1498 -1540 -1617 -1695 -1759 -1803 -1760 -1726 -1645 -1626 -1652 -1710 -1815 -1876 -1906 -1882 -1819 -1732 -1659 -1597 -1589 -1596 -1689 -1759 -1785 -1750 -1736 -1695 -1707 -1724 -1799 -1861 -1984 -2081 -2082 -2044 -1924 -1824 -1769 -1738 -1767 -1816 -1897 -1915 -1873 -1814 -1752 -1753 -1811 -1910 -1985 -2056 -2132 -2197 -2155 -2084 -1980 -1911 -1900 -1957 -2019 -2074 -2084 -2086 -2067 -2006 -1962 -1958 -2019 -2136 -2228 -2249 -2230 -2181 -2134 -2054 -1969 -1909 -1896 -1963 -2060 -2133 -2119 -2065 -2034 -2031 -2037 -2114 -2188 -2272 -2336 -2367 -2359 -2294 -2170 -2040 -1986 -1979 -2066 -2206 -2262 -2234 -2135 -2071 -2083 -2150 -2185 -2198 -2216 -2317 -2406 -2434 -2345 -2187 -2046 -2030 -2094 -2183 -2267 -2310 -2332 -2306 -2302 -2274 -2280 -2273 -2318 -2450 -2520 -2585 -2564 -2455 -2325 -2244 -2168 -2163 -2222 -2263 -2290 -2306 -2330 -2321 -2292 -2254 -2244 -2335 -2419 -2551 -2568 -2575 -2498 -2431 -2338 -2261 -2240 -2249 -2321 -2404 -2421 -2416 -2375 -2338 -2340 -2358 -2396 -2445 -2526 -2615 -2654 -2614 -2492 -2340 -2276 -2308 -2367 -2432 -2488 -2506 -2486 -2483 -2478 -2448 -2423 -2456 -2537 -2585 -2637 -2639 -2579 -2498 -2397 -2297 -2264 -2327 -2388 -2479 -2519 -2523 -2530 -2560 -2515 -2508 -2530 -2611 -2674 -2740 -2741 -2649 -2569 -2467 -2369 -2341 -2312 -2373 -2434 -2494 -2496 -2447 -2442 -2469 -2493 -2489 -2518 -2581 -2669 -2755 -2745 -2644 -2519 -2454 -2465 -2512 -2583 -2580 -2615 -2585 -2621 -2634 -2627 -2598 -2570 -2588 -2665 -2772 -2837 -2789 -2666 -2554 -2500 -2483 -2508 -2565 -2555 -2584 -2598 -2641 -2674 -2617 -2539 -2582 -2663 -2804 -2879 -2857 -2761 -2695 -2644 -2610 -2576 -2520 -2526 -2575 -2656 -2694 -2680 -2610 -2604 -2578 -2645 -2678 -2752 -2801 -2846 -2855 -2796 -2711 -2626 -2568 -2598 -2635 -2701 -2745 -2745 -2727 -2761 -2730 -2734 -2725 -2736 -2795 -2881 -2919 -2921 -2824 -2700 -2587 -2580 -2602 -2649 -2687 -2691 -2722 -2729 -2747 -2746 -2699 -2682 -2741 -2838 -2933 -2955 -2938 -2861 -2796 -2762 -2710 -2683 -2662 -2718 -2746 -2780 -2742 -2700 -2678 -2596 -2587 -2601 -2666 -2755 -2835 -2849 -2784 -2729 -2656 -2640 -2674 -2732 -2770 -2781 -2827 -2866 -2859 -2809 -2733 -2698 -2734 -2763 -2875 -2904 -2905 -2848 -2759 -2701 -2651 -2643 -2675 -2686 -2700 -2705 -2754 -2789 -2754 -2665 -2638 -2642 -2754 -2867 -2888 -2865 -2795 -2742 -2736 -2739 -2672 -2668 -2651 -2722 -2802 -2836 -2766 -2695 -2621 -2648 -2696 -2753 -2782 -2789 -2783 -2792 -2770 -2739 -2708 -2686 -2676 -2740 -2783 -2812 -2853 -2790 -2717 -2676 -2663 -2704 -2739 -2731 -2786 -2832 -2865 -2854 -2762 -2727 -2752 -2804 -2873 -2932 -2935 -2890 -2864 -2854 -2799 -2752 -2725 -2733 -2830 -2876 -2889 -2875 -2825 -2786 -2781 -2801 -2843 -2884 -2874 -2884 -2887 -2833 -2790 -2713 -2671 -2677 -2695 -2765 -2837 -2848 -2823 -2799 -2789 -2813 -2812 -2824 -2843 -2921 -2979 -2994 -2956 -2857 -2744 -2714 -2741 -2786 -2819 -2862 -2873 -2872 -2842 -2818 -2793 -2795 -2801 -2851 -2914 -2932 -2919 -2863 -2809 -2753 -2700 -2709 -2774 -2834 -2913 -2942 -2910 -2928 -2895 -2856 -2835 -2833 -2870 -2927 -2958 -2966 -2893 -2778 -2672 -2631 -2634 -2688 -2727 -2771 -2800 -2852 -2849 -2836 -2837 -2830 -2833 -2861 -2956 -3005 -3030 -2970 -2838 -2738 -2677 -2683 -2718 -2726 -2743 -2781 -2804 -2855 -2845 -2808 -2767 -2797 -2875 -2976 -3013 -2982 -2918 -2892 -2834 -2782 -2732 -2737 -2757 -2850 -2971 -2999 -2974 -2899 -2867 -2850 -2902 -2937 -2961 -2948 -2918 -2871 -2815 -2762 -2678 -2596 -2609 -2669 -2782 -2899 -2928 -2882 -2867 -2876 -2903 -2925 -2939 -2954 -2981 -3030 -3002 -2952 -2800 -2697 -2703 -2735 -2815 -2862 -2866 -2901 -2940 -2957 -2923 -2894 -2872 -2889 -2947 -3012 -2999 -2928 -2838 -2732 -2678 -2675 -2719 -2798 -2865 -2917 -2985 -3022 -3015 -2974 -2947 -2952 -3008 -3056 -3118 -3049 -2988 -2890 -2800 -2759 -2696 -2662 -2694 -2765 -2863 -2915 -2912 -2878 -2824 -2801 -2852 -2898 -2962 -2984 -2998 -2957 -2897 -2799 -2701 -2694 -2686 -2757 -2829 -2892 -2977 -3004 -2968 -2929 -2860 -2862 -2884 -2975 -3053 -3025 -2961 -2855 -2784 -2739 -2683 -2690 -2753 -2842 -2954 -3052 -3083 -3056 -2988 -2932 -2926 -2993 -3058 -3048 -3028 -2970 -2918 -2839 -2746 -2667 -2617 -2654 -2771 -2953 -3055 -3091 -3007 -2932 -2918 -2965 -2984 -3023 -3039 -3081 -3083 -3052 -2940 -2804 -2712 -2684 -2764 -2856 -2941 -2986 -3005 -3022 -2957 -2912 -2869 -2856 -2906 -2947 -3040 -3082 -3003 -2919 -2825 -2741 -2772 -2800 -2878 -2937 -3043 -3091 -3112 -3066 -2951 -2885 -2861 -2933 -3010 -3081 -3051 -2987 -2937 -2896 -2819 -2757 -2754 -2773 -2915 -3045 -3071 -3037 -2952 -2875 -2851 -2896 -2941 -2943 -2944 -2977 -3012 -2927 -2881 -2791 -2708 -2699 -2793 -2878 -2986 -3038 -2986 -2938 -2879 -2841 -2839 -2886 -2927 -2957 -2983 -2984 -2942 -2883 -2798 -2746 -2740 -2797 -2925 -2990 -3046 -3039 -3006 -2937 -2906 -2857 -2885 -2953 -2994 -3021 -3028 -2986 -2895 -2823 -2744 -2717 -2769 -2893 -3020 -3027 -3009 -2947 -2890 -2898 -2878 -2906 -2935 -2955 -3062 -3112 -3106 -3034 -2917 -2820 -2824 -2903 -2993 -3063 -3027 -2990 -2917 -2844 -2798 -2745 -2747 -2800 -2905 -2982 -3055 -3052 -2984 -2917 -2891 -2871 -2950 -3006 -3091 -3152 -3173 -3089 -3037 -2957 -2896 -2886 -2959 -3057 -3118 -3137 -3086 -3031 -2960 -2896 -2813 -2840 -2880 -2981 -3076 -3072 -3013 -2901 -2844 -2811 -2857 -2920 -2999 -3076 -3128 -3163 -3142 -3034 -2922 -2844 -2841 -2929 -3043 -3089 -3066 -2992 -2907 -2855 -2819 -2857 -2859 -2906 -2952 -3047 -3150 -3111 -3008 -2871 -2801 -2849 -2928 -3068 -3087 -3057 -3009 -2977 -2937 -2872 -2808 -2783 -2892 -3007 -3113 -3136 -3078 -3009 -2925 -2863 -2895 -2924 -2977 -3002 -3030 -2997 -2944 -2901 -2836 -2750 -2757 -2834 -2976 -3069 -3138 -3103 -3036 -2933 -2877 -2887 -2903 -2914 -2970 -3008 -3003 -2904 -2832 -2754 -2735 -2759 -2806 -2903 -2992 -3099 -3087 -3036 -2923 -2857 -2850 -2888 -2955 -2984 -3010 -2995 -2976 -2930 -2883 -2844 -2854 -2928 -3050 -3132 -3168 -3112 -3074 -3005 -2923 -2884 -2870 -2900 -2924 -2986 -2988 -2977 -2897 -2830 -2784 -2829 -2904 -3012 -3082 -3175 -3173 -3128 -3036 -2979 -2910 -2912 -2935 -2983 -3022 -2993 -2910 -2854 -2817 -2809 -2812 -2812 -2868 -2998 -3090 -3150 -3112 -2992 -2898 -2882 -2923 -3021 -3035 -3016 -2985 -2940 -2944 -2919 -2850 -2796 -2783 -2887 -3033 -3135 -3137 -3071 -2955 -2912 -2900 -2933 -2943 -2942 -2946 -2988 -3026 -3002 -2910 -2826 -2797 -2868 -3006 -3147 -3211 -3157 -3094 -3026 -2984 -2937 -2898 -2832 -2815 -2872 -2936 -2924 -2855 -2768 -2688 -2681 -2776 -2865 -2964 -3050 -3101 -3138 -3066 -3004 -2898 -2855 -2891 -2935 -2961 -2973 -2951 -2897 -2852 -2803 -2770 -2830 -2882 -3006 -3105 -3095 -3119 -3035 -2969 -2859 -2819 -2798 -2836 -2870 -2903 -2899 -2880 -2827 -2743 -2732 -2789 -2867 -2986 -3098 -3155 -3155 -3110 -3069 -3000 -2954 -2888 -2908 -2929 -2986 -2973 -2899 -2836 -2746 -2736 -2750 -2791 -2861 -2945 -3040 -3044 -3026 -2919 -2844 -2815 -2855 -2876 -2889 -2874 -2874 -2888 -2895 -2868 -2827 -2783 -2835 -2933 -3061 -3090 -3088 -3028 -2962 -2928 -2869 -2865 -2804 -2808 -2836 -2889 -2905 -2874 -2801 -2735 -2783 -2871 -3021 -3088 -3129 -3093 -3087 -3056 -3050 -2989 -2904 -2827 -2865 -2906 -2939 -2904 -2789 -2705 -2679 -2762 -2852 -2893 -2933 -2958 -3016 -3041 -3028 -2960 -2890 -2850 -2861 -2917 -2951 -2932 -2890 -2855 -2848 -2819 -2851 -2873 -2931 -2986 -3025 -3060 -3044 -2998 -2905 -2812 -2821 -2850 -2910 -2915 -2900 -2865 -2857 -2874 -2864 -2865 -2857 -2902 -3009 -3087 -3085 -3048 -3005 -2949 -2929 -2923 -2920 -2946 -2972 -3012 -3014 -2954 -2893 -2871 -2857 -2903 -2933 -2991 -3026 -3055 -3016 -2975 -2906 -2860 -2870 -2881 -2907 -2944 -2968 -2965 -2981 -2906 -2848 -2823 -2868 -2945 -3032 -3062 -3071 -3070 -3010 -2967 -2930 -2919 -2924 -2962 -3000 -3018 -3012 -3005 -2969 -2904 -2909 -2908 -2924 -3005 -3074 -3074 -3056 -3027 -2978 -2922 -2894 -2874 -2930 -3010 -3040 -3046 -2965 -2899 -2859 -2860 -2898 -2948 -2950 -2945 -2976 -2978 -2964 -2924 -2862 -2838 -2851 -2924 -2994 -3074 -3058 -3004 -2951 -2930 -2909 -2929 -2910 -2899 -2884 -2915 -2945 -2912 -2817 -2752 -2695 -2766 -2859 -2918 -2965 -2917 -2913 -2947 -2945 -2935 -2874 -2879 -2919 -3014 -3058 -3029 -2974 -2899 -2857 -2890 -2947 -2996 -3016 -3006 -3001 -2987 -2939 -2863 -2841 -2782 -2791 -2834 -2896 -2912 -2914 -2874 -2829 -2833 -2869 -2902 -2942 -2963 -3019 -3065 -3063 -3017 -2912 -2848 -2842 -2864 -2907 -2920 -2904 -2875 -2869 -2848 -2819 -2783 -2819 -2901 -2990 -3043 -3051 -2998 -2970 -2947 -2896 -2907 -2899 -2917 -2963 -3004 -3015 -2973 -2899 -2872 -2864 -2906 -2984 -3066 -3086 -3094 -3057 -3023 -2959 -2926 -2914 -2864 -2869 -2907 -2978 -2979 -2946 -2891 -2821 -2825 -2897 -2990 -3064 -3120 -3150 -3122 -3086 -3003 -2906 -2893 -2896 -2935 -2944 -2936 -2945 -2915 -2873 -2829 -2787 -2824 -2917 -3019 -3048 -3040 -3015 -2951 -2910 -2871 -2792 -2733 -2778 -2891 -2958 -3008 -2980 -2907 -2858 -2896 -2965 -3075 -3113 -3142 -3147 -3122 -3089 -3016 -2880 -2759 -2692 -2707 -2830 -2919 -2917 -2837 -2764 -2758 -2807 -2878 -2928 -2942 -3009 -3076 -3134 -3112 -2980 -2829 -2756 -2769 -2844 -2906 -2879 -2870 -2849 -2854 -2857 -2826 -2793 -2813 -2904 -3006 -3077 -3070 -2998 -2920 -2828 -2795 -2761 -2804 -2816 -2875 -2928 -2933 -2894 -2874 -2831 -2858 -2897 -3008 -3073 -3105 -3072 -3038 -2976 -2917 -2837 -2807 -2768 -2823 -2932 -2993 -3000 -2948 -2882 -2857 -2919 -3034 -3077 -3100 -3138 -3132 -3129 -3063 -2943 -2803 -2754 -2752 -2841 -2899 -2929 -2903 -2873 -2830 -2853 -2830 -2917 -2998 -3067 -3116 -3153 -3105 -3048 -2935 -2859 -2785 -2827 -2914 -2981 -3028 -2983 -2949 -2924 -2914 -2926 -2986 -3015 -3056 -3137 -3155 -3119 -3047 -2945 -2837 -2776 -2771 -2832 -2958 -2999 -2986 -2919 -2876 -2858 -2897 -2957 -2977 -3026 -3110 -3176 -3193 -3089 -2939 -2797 -2776 -2844 -2940 -3001 -2998 -2972 -2939 -2919 -2870 -2813 -2799 -2838 -2910 -3036 -3087 -3063 -2980 -2849 -2782 -2759 -2796 -2883 -2932 -2949 -2985 -3023 -3004 -2925 -2860 -2824 -2877 -3004 -3106 -3165 -3108 -3024 -2923 -2847 -2777 -2736 -2768 -2842 -2975 -3005 -2978 -2908 -2816 -2824 -2867 -2921 -2986 -3051 -3140 -3196 -3151 -3068 -2930 -2804 -2772 -2855 -2943 -2972 -3009 -2943 -2886 -2821 -2783 -2760 -2790 -2839 -2900 -3007 -3071 -3066 -2988 -2849 -2771 -2798 -2849 -2975 -3042 -3057 -3070 -3041 -3009 -2958 -2881 -2836 -2868 -2985 -3092 -3117 -3061 -2933 -2823 -2779 -2782 -2819 -2887 -2947 -2985 -3008 -2991 -2962 -2896 -2810 -2811 -2838 -2936 -3046 -3126 -3103 -3001 -2895 -2825 -2818 -2878 -2934 -2981 -3020 -3055 -3050 -2971 -2885 -2809 -2759 -2819 -2891 -2962 -3006 -2999 -2948 -2877 -2788 -2765 -2787 -2858 -2919 -2978 -2987 -2999 -2968 -2903 -2816 -2763 -2841 -2947 -3063 -3104 -3075 -3028 -2978 -2946 -2882 -2854 -2870 -2973 -3055 -3088 -3065 -2978 -2896 -2830 -2807 -2858 -2930 -3002 -3076 -3108 -3047 -2986 -2940 -2906 -2887 -2932 -3014 -3104 -3118 -3090 -3008 -2919 -2873 -2863 -2864 -2882 -2940 -3001 -3088 -3085 -3001 -2888 -2817 -2872 -2960 -3066 -3110 -3122 -3115 -3106 -3048 -2928 -2831 -2784 -2871 -2993 -3087 -3070 -3004 -2925 -2850 -2839 -2867 -2883 -2928 -2976 -3060 -3103 -3093 -2989 -2859 -2784 -2817 -2897 -3027 -3126 -3123 -3086 -3018 -3015 -2977 -2932 -2940 -2951 -3029 -3101 -3139 -3076 -2932 -2802 -2746 -2734 -2796 -2864 -2942 -2995 -3034 -3032 -2954 -2897 -2847 -2891 -2968 -3029 -3084 -3089 -3038
+259 289 321 256 140 90 61 32 66 26 -7 -80 -101 -61 -92 -113 -139 -166 -186 -113 -51 2 -98 -193 -225 -240 -232 -115 -53 -78 -130 4 62 163 141 77 -44 38 201 326 406 265 65 -67 -132 -63 -54 -85 -215 -227 -164 -9 19 -61 -169 -265 -232 -126 14 21 -23 -89 -163 -238 -217 -237 -241 -219 -170 -80 -5 87 -10 -140 -212 -228 -120 -13 86 82 71 39 -12 -11 -53 -94 -108 -117 -71 61 97 70 -110 -289 -392 -329 -182 -92 -118 -172 -204 -176 -119 -123 -161 -299 -309 -152 63 130 136 16 -109 -122 -86 -7 77 135 188 232 243 236 199 61 -45 -122 -64 -48 21 -48 -187 -309 -379 -391 -385 -417 -374 -251 -162 -51 5 -6 -12 3 18 74 120 232 272 226 84 25 -26 30 7 5 3 23 75 179 164 48 -39 -146 -109 -32 7 -33 -99 -248 -277 -244 -212 -162 -159 -205 -198 -90 113 183 144 94 28 35 110 82 90 -26 -21 -110 -127 -181 -168 -150 -116 -80 -22 61 102 110 110 137 121 196 187 150 31 -65 -133 -126 -172 -173 -226 -209 -190 -205 -175 -149 -116 -43 -39 -39 74 157 202 108 26 0 33 90 132 146 126 127 177 184 196 153 74 66 53 27 77 83 4 -126 -227 -263 -227 -186 -178 -173 -85 -57 -9 16 -58 -95 -11 125 233 314 281 261 285 250 180 107 147 168 206 210 215 198 160 78 -113 -179 -171 -51 43 52 10 -48 -107 -127 -189 -154 -68 -14 6 40 115 116 107 18 -65 -106 -46 64 214 191 53 -2 -63 -19 -60 -73 -118 -70 37 74 102 27 -65 -122 -173 -152 -124 -44 14 7 -12 -62 -92 -108 -174 -176 -102 -28 2 3 -64 -165 -205 -182 -190 -165 -135 -7 75 100 107 121 93 112 99 111 102 132 192 252 134 -36 -204 -316 -319 -249 -294 -307 -300 -310 -251 -236 -155 -195 -212 -158 -54 86 121 147 67 -18 -59 -63 -41 22 -4 0 34 105 132 46 -16 -18 -34 35 64 10 4 -66 -93 -177 -223 -241 -285 -267 -226 -179 -154 -101 -73 7 2 -25 0 98 133 177 90 41 -27 -37 -2 12 -125 -185 -276 -220 -104 -31 -53 -113 -92 -96 17 87 83 44 -54 -60 -13 1 -7 -146 -246 -261 -245 -217 -167 -122 -134 -107 -35 -3 -16 -65 -76 -108 -52 -39 -44 -58 -64 -38 -76 -137 -110 -92 0 66 58 106 128 115 138 64 -25 -93 -96 -101 -78 -121 -267 -333 -388 -352 -297 -284 -276 -328 -231 -98 53 34 -44 -97 -89 -15 70 157 102 52 37 39 -29 -7 -108 -191 -183 -139 -74 -80 -70 -113 -228 -288 -272 -271 -194 -215 -207 -172 -219 -138 -136 -135 -162 -146 -61 48 154 209 224 122 44 -21 15 89 47 24 -3 -73 -12 53 -45 -162 -281 -297 -169 -62 38 -26 -109 -114 -114 -38 -18 -22 -103 -83 -57 14 84 26 -66 -214 -269 -163 -45 14 -53 -69 -125 -34 20 35 -3 5 7 104 179 197 128 70 -1 -49 -113 -85 -65 -94 -129 -159 -106 -59 -6 -82 -189 -205 -126 50 110 99 46 -50 -65 -87 -110 -93 -51 -45 -36 7 118 197 169 74 20 77 149 273 298 129 -9 -109 -52 -53 -153 -334 -364 -395 -217 -117 -54 -106 -140 -174 -101 28 149 176 186 177 146 164 219 216 65 -35 -32 84 196 231 148 17 -64 -5 25 66 91 4 -10 0 -51 -19 -40 -89 -162 -253 -151 -21 128 145 41 -11 -6 86 158 130 92 28 22 67 104 84 -38 -165 -247 -150 -18 37 83 22 -37 26 172 230 247 123 -16 -27 85 165 107 -29 -181 -216 -136 -115 -102 -114 -146 -134 -67 6 -52 -1 -94 -123 -89 13 85 91 30 17 41 112 178 144 83 53 -6 16 81 66 33 -35 -127 -192 -182 -126 -178 -207 -289 -315 -266 -175 -110 -130 -290 -341 -261 -105 61 35 3 -70 -54 50 109 126 78 31 81 203 292 340 221 43 -79 -149 -71 -18 -44 -160 -268 -254 -201 -131 -258 -305 -306 -172 17 121 210 124 113 51 22 35 86 67 51 52 55 83 69 69 -11 -66 -37 93 267 316 282 134 42 -30 -34 -27 -52 -71 -108 -104 -15 18 -1 -96 -217 -186 -18 177 299 316 217 70 27 35 48 -5 -99 -185 -70 69 135 51 -69 -95 -10 147 259 381 393 342 330 227 198 57 -93 -209 -219 -182 -100 -124 -163 -275 -424 -390 -295 -209 -48 4 31 37 75 69 0 -46 -128 -132 -25 45 149 206 120 24 -56 -44 129 181 168 101 49 44 36 31 -153 -283 -358 -294 -179 -95 -112 -141 -224 -245 -185 -89 -31 -27 41 95 107 178 126 49 9 -82 -51 45 65 27 -33 -49 -6 -7 -2 27 -2 -3 27 80 6 -1 -62 -69 -98 -107 -94 -31 -5 -62 -137 -169 -157 -40 5 32 -29 -46 -10 49 68 111 48 -19 12 77 154 165 129 65 53 112 151 195 201 127 139 123 150 136 37 13 8 23 60 37 -33 -146 -193 -225 -223 -237 -248 -243 -193 -115 -108 -71 -77 -70 4 97 203 342 368 390 348 259 190 162 117 117 116 77 -13 -68 -133 -141 -213 -227 -269 -202 -113 0 54 46 -59 -145 -207 -112 -32 3 31 47 144 73 83 47 9 30 96 194 272 327 291 224 43 -33 -62 -104 -113 -78 -38 -67 -17 -127 -198 -297 -265 -161 -46 32 94 97 125 60 -39 -115 -177 -110 -37 3 6 23 9 -68 -94 -143 -174 -66 59 217 256 302 180 152 103 88 105 58 101 97 90 92 54 -1 -87 -178 -187 -82 51 193 192 52 -28 -66 -100 -126 -163 -144 -28 -28 68 125 73 4 -5 38 113 190 277 292 310 246 219 128 103 37 -14 -17 23 22 13 -54 -191 -248 -246 -224 -165 -151 -98 -71 -62 -50 -40 51 49 79 29 51 157 229 298 196 85 -33 5 108 197 137 58 35 31 65 55 25 -28 -98 -95 -47 -26 -40 -112 -156 -148 -106 -38 -17 -22 4 -34 -49 47 26 0 -22 -46 19 67 19 -11 15 -39 12 16 44 56 42 97 23 -26 33 112 155 177 131 137 128 226 198 133 -34 -102 -83 34 80 50 -130 -237 -262 -204 -68 -49 -39 -25 30 160 274 296 241 112 121 223 318 373 336 165 123 44 6 -4 -97 -105 -98 -18 76 86 -3 -84 -138 -155 -97 -24 -6 61 -50 -59 -129 -137 -88 -135 -76 -57 86 209 326 373 355 248 127 97 186 318 297 106 2 -83 -80 -131 -220 -321 -387 -327 -130 55 125 56 -99 -153 -95 -6 96 79 64 6 76 35 14 -67 -200 -184 -111 -22 70 132 135 59 -41 -9 -24 8 -31 -52 -47 15 5 1 -139 -227 -209 -158 -57 34 201 193 150 66 -10 15 32 48 -66 -123 -148 -77 -33 -89 -238 -345 -345 -202 19 132 156 129 134 153 252 250 196 117 29 40 85 147 46 -42 -254 -319 -228 -115 -46 -114 -130 -105 -29 38 -4 -98 -193 -137 -50 44 73 60 0 5 13 74 108 146 144 137 132 228 286 311 184 58 -31 -30 49 69 70 -39 -165 -170 -118 -75 -31 -99 -125 -139 -112 27 102 75 48 -111 -83 35 171 184 95 10 16 93 149 93 -31 -132 -135 -73 3 0 -25 -136 -115 -143 -57 -78 -47 -86 -75 -25 0 90 78 34 -121 -151 -96 -55 -27 -43 -147 -90 -38 22 64 48 10 -41 27 122 187 189 125 9 -14 -24 58 84 55 11 -55 -9 78 130 120 15 -100 -112 -42 44 81 -9 -103 -202 -178 -179 -159 -216 -255 -281 -133 -14 167 187 131 102 144 263 390 358 324 231 140 175 149 80 -118 -201 -222 -164 -74 -3 -9 -90 -152 -143 -30 -26 -4 -10 -81 -20 39 89 120 52 -77 -71 -19 146 247 339 285 232 187 153 178 137 88 92 -12 -6 45 68 -53 -156 -314 -273 -168 -26 35 44 -7 -5 82 94 69 5 -66 -35 72 142 137 12 -91 -211 -232 -130 -32 11 0 18 86 121 185 109 -35 -85 -65 61 124 150 72 -49 -85 -98 -39 -62 -88 -59 -43 -73 8 -18 -60 -196 -260 -318 -277 -145 -84 -35 -66 -138 -195 -170 -107 -30 -59 8 67 114 181 146 94 3 -67 9 47 210 166 81 19 -31 -20 -72 -109 -258 -342 -320 -192 -115 -152 -249 -359 -314 -177 -44 5 34 106 231 256 294 255 175 164 125 174 170 167 139 -10 -50 -163 -175 -156 -94 -77 -106 -80 -50 -54 -64 -71 -174 -152 -180 -191 -151 -115 -95 -164 -196 -217 -120 -12 13 33 -16 41 110 190 155 42 -76 -77 -39 18 -33 -97 -197 -175 -100 -67 -43 -50 -65 -59 -23 24 32 16 -53 -126 -175 -176 -182 -247 -375 -426 -396 -337 -229 -175 -161 -135 -62 -7 55 39 73 110 90 127 86 54 78 -30 -139 -241 -240 -169 -58 -33 -71 -183 -167 -189 -164 -159 -158 -233 -202 -147 -169 -211 -259 -376 -386 -332 -205 -18 48 75 115 141 155 206 120 122 136 212 276 284 184 35 -84 -158 -229 -243 -208 -163 -99 -6 -60 -177 -217 -232 -255 -185 -142 -11 72 75 26 -64 -101 -184 -138 -81 1 75 151 89 55 -14 -24 -22 -72 -96 -20 84 168 224 119 -81 -170 -152 -125 -95 -26 -4 110 128 49 -13 -118 -149 -120 -66 17 47 65 41 -67 -150 -252 -221 -172 -163 -117 -15 59 75 -1 -35 -99 -23 48 123 236 289 275 239 185 32 -54 -78 -75 -85 -103 -168 -179 -218 -262 -381 -509 -447 -335 -216 -95 -47 -63 -65 -43 -19 -12 85 132 123 189 180 217 200 129 30 -32 -11 65 187 162 136 47 8 15 -14 -46 -95 -90 -106 -123 -123 -178 -220 -236 -236 -167 -118 -28 38 57 37 111 133 165 179 154 133 115 134 149 103 28 -82 -93 -58 -12 75 92 58 10 -22 -40 71 103 107 -6 -24 4 63 21 -45 -120 -149 -66 24 80 86 3 -70 -93 15 133 160 154 106 131 150 201 134 5 -40 -51 25 153 168 141 92 44 9 85 78 101 51 36 34 43 1 -131 -266 -368 -392 -349 -212 -122 -65 -87 -185 -147 -129 1 168 189 219 255 310 335 294 190 10 -125 -102 44 153 140 59 -78 -164 -172 -110 -100 -98 -173 -112 -10 96 73 -54 -177 -262 -221 -24 97 106 119 31 63 69 66 -5 -60 28 87 245 262 177 110 -62 -162 -106
+-140 -31 86 82 -40 -136 -159 -79 -15 -68 -166 -221 -112 53 145 105 -16 -182 -244 -138 -35 -30 -73 -99 -167 -153 -138 -134 -117 -192 -209 -117 16 150 148 72 -82 -89 -19 140 182 210 123 179 161 153 119 55 -12 -100 -22 -14 7 -11 -138 -291 -361 -375 -217 -94 -91 -148 -234 -245 -155 -78 -140 -252 -313 -245 -86 64 9 -166 -293 -274 -180 -61 -4 14 -45 -42 -10 -10 -34 -131 -221 -249 -214 -91 -47 -43 -185 -318 -339 -293 -173 -166 -150 -163 -145 -146 -144 -235 -257 -252 -253 -174 -128 -58 -5 -35 -112 -177 -246 -165 -77 1 -5 -125 -226 -209 -175 -207 -324 -469 -461 -359 -247 -183 -335 -447 -589 -510 -418 -301 -274 -317 -345 -348 -241 -205 -227 -291 -333 -270 -159 14 39 -85 -235 -295 -273 -137 -73 -99 -187 -157 -114 -33 -30 -97 -150 -272 -191 -101 -62 -63 -79 -242 -356 -353 -381 -295 -266 -324 -365 -345 -346 -249 -273 -323 -415 -363 -216 -121 -27 -129 -213 -305 -221 -233 -121 -128 -191 -271 -306 -246 -242 -220 -319 -375 -431 -293 -150 -20 -78 -202 -325 -318 -182 -129 -114 -191 -218 -230 -170 -152 -195 -290 -300 -233 -74 41 96 38 -10 -95 -87 -70 -66 -26 -74 -106 -166 -206 -189 -223 -290 -338 -344 -231 -112 -89 -83 -196 -330 -389 -344 -238 -224 -356 -396 -473 -471 -373 -273 -330 -392 -422 -266 -38 161 127 -25 -187 -154 -32 93 89 -39 -205 -193 -123 -138 -145 -297 -383 -344 -188 -21 46 -47 -143 -185 -188 -131 -59 -96 -149 -177 -242 -228 -239 -295 -408 -450 -399 -268 -105 4 -10 -66 -126 -131 -99 6 18 -21 -104 -147 -222 -243 -290 -424 -508 -524 -472 -302 -200 -189 -341 -429 -423 -297 -149 -135 -191 -251 -265 -209 -154 -209 -293 -378 -333 -218 -18 93 99 25 -71 -127 -55 5 -3 -86 -156 -235 -247 -252 -276 -432 -523 -575 -453 -271 -166 -237 -316 -339 -403 -367 -323 -248 -292 -320 -371 -340 -376 -396 -453 -495 -540 -493 -344 -174 -99 -184 -345 -385 -325 -147 -110 -138 -221 -273 -170 -143 -167 -305 -448 -560 -468 -301 -114 -155 -303 -448 -494 -352 -172 -141 -192 -236 -253 -127 -50 -122 -286 -448 -443 -342 -141 -123 -162 -235 -309 -303 -254 -257 -266 -301 -287 -219 -243 -254 -333 -402 -492 -522 -498 -382 -235 -233 -260 -447 -465 -486 -427 -337 -322 -335 -346 -289 -258 -226 -304 -375 -411 -350 -124 11 67 -17 -105 -201 -196 -142 -67 -125 -188 -267 -171 -84 -123 -205 -439 -475 -399 -209 -118 -162 -270 -366 -417 -417 -405 -381 -412 -401 -330 -293 -247 -295 -355 -452 -492 -464 -314 -194 -160 -255 -373 -453 -429 -396 -341 -400 -421 -402 -348 -248 -257 -335 -465 -550 -514 -370 -257 -227 -295 -381 -502 -489 -449 -351 -371 -450 -441 -324 -221 -161 -251 -363 -500 -375 -207 -22 11 -85 -209 -215 -232 -225 -234 -351 -429 -426 -378 -372 -398 -537 -664 -730 -627 -578 -436 -385 -371 -447 -470 -472 -523 -503 -457 -450 -412 -348 -286 -328 -344 -411 -464 -453 -364 -218 -111 -123 -135 -271 -363 -342 -265 -263 -252 -347 -292 -227 -158 -176 -323 -464 -524 -389 -200 -97 -154 -318 -389 -357 -307 -287 -289 -325 -322 -200 -105 -39 -121 -321 -440 -476 -395 -215 -121 -222 -293 -363 -393 -372 -372 -421 -458 -420 -346 -249 -245 -363 -499 -572 -617 -494 -389 -311 -306 -303 -357 -382 -343 -274 -241 -239 -249 -204 -63 -10 -13 -212 -402 -494 -395 -217 -95 -114 -219 -239 -242 -202 -154 -214 -275 -251 -156 -121 -112 -155 -337 -520 -613 -544 -458 -381 -383 -501 -538 -542 -514 -487 -513 -493 -427 -284 -160 -104 -144 -250 -390 -440 -405 -358 -298 -251 -337 -385 -426 -438 -398 -419 -379 -382 -296 -197 -93 -104 -195 -360 -478 -502 -364 -285 -276 -356 -425 -479 -394 -333 -279 -333 -373 -287 -119 49 63 -126 -344 -471 -436 -277 -170 -282 -389 -508 -478 -434 -336 -395 -483 -509 -428 -212 -102 -203 -400 -571 -643 -634 -491 -473 -540 -566 -610 -543 -479 -407 -447 -435 -371 -222 -38 83 23 -53 -171 -278 -273 -202 -179 -199 -235 -277 -316 -299 -302 -313 -373 -416 -332 -237 -59 -85 -170 -329 -504 -461 -391 -260 -254 -344 -473 -506 -403 -378 -432 -518 -528 -407 -245 -79 -31 -137 -299 -332 -330 -247 -215 -234 -313 -339 -367 -391 -411 -474 -505 -551 -495 -349 -211 -201 -247 -383 -456 -484 -467 -354 -297 -285 -310 -282 -242 -192 -161 -233 -248 -200 -96 93 156 97 -140 -318 -365 -258 -151 -168 -276 -333 -388 -321 -246 -251 -408 -505 -480 -317 -130 -107 -199 -435 -500 -495 -381 -339 -334 -431 -452 -370 -276 -255 -350 -486 -483 -435 -234 -115 -93 -211 -353 -339 -309 -200 -193 -218 -273 -179 -159 -93 -165 -251 -303 -354 -299 -253 -174 -185 -203 -278 -385 -428 -399 -340 -263 -233 -263 -307 -251 -202 -184 -256 -353 -402 -312 -179 -83 -123 -287 -448 -479 -387 -319 -271 -298 -408 -372 -337 -281 -312 -356 -470 -461 -387 -233 -194 -286 -445 -547 -554 -486 -451 -444 -455 -436 -398 -315 -232 -225 -229 -276 -210 -122 12 35 3 -67 -212 -247 -239 -177 -185 -246 -258 -290 -280 -210 -249 -320 -442 -487 -403 -234 -226 -289 -412 -598 -553 -512 -395 -382 -409 -441 -371 -259 -149 -188 -306 -446 -427 -274 -152 -98 -176 -305 -361 -372 -306 -252 -248 -274 -259 -244 -171 -178 -258 -322 -349 -397 -278 -156 -107 -188 -241 -322 -341 -314 -283 -263 -255 -229 -210 -206 -203 -196 -201 -268 -231 -165 -98 -8 21 -26 -169 -261 -270 -276 -214 -255 -327 -452 -436 -427 -392 -481 -595 -657 -571 -395 -239 -224 -285 -432 -521 -460 -303 -223 -245 -332 -379 -289 -226 -156 -229 -397 -455 -344 -142 -21 -89 -184 -257 -274 -199 -122 -124 -190 -214 -243 -171 -193 -213 -344 -436 -511 -460 -332 -217 -220 -280 -414 -440 -400 -313 -260 -223 -273 -274 -304 -234 -256 -336 -460 -530 -519 -379 -243 -178 -263 -366 -425 -362 -222 -150 -212 -323 -329 -287 -231 -242 -392 -556 -614 -490 -392 -222 -233 -290 -328 -275 -262 -139 -168 -132 -155 -149 -149 -149 -209 -281 -367 -404 -369 -280 -166 -125 -98 -191 -213 -215 -121 -73 -62 -148 -191 -250 -255 -239 -346 -489 -665 -717 -585 -427 -340 -426 -545 -653 -589 -407 -266 -277 -321 -364 -225 -130 -66 -168 -324 -468 -449 -300 -86 -92 -224 -383 -432 -368 -217 -114 -176 -255 -244 -192 -118 -117 -283 -471 -546 -506 -359 -253 -265 -345 -448 -448 -361 -269 -233 -264 -296 -231 -158 -94 -179 -346 -401 -476 -457 -356 -288 -233 -311 -430 -435 -485 -432 -344 -329 -370 -355 -410 -377 -378 -449 -615 -722 -716 -576 -416 -351 -366 -461 -510 -499 -337 -290 -253 -251 -219 -155 -88 -42 -144 -266 -362 -363 -283 -134 -102 -147 -332 -380 -361 -294 -295 -314 -353 -338 -269 -181 -216 -322 -423 -511 -556 -459 -363 -282 -313 -409 -517 -540 -474 -373 -381 -408 -424 -370 -302 -217 -263 -344 -546 -588 -435 -236 -109 -183 -323 -458 -421 -324 -270 -316 -429 -439 -343 -246 -215 -340 -541 -686 -563 -453 -291 -236 -293 -367 -351 -270 -234 -207 -273 -296 -308 -162 -81 -129 -280 -436 -526 -520 -413 -365 -328 -344 -330 -362 -390 -432 -430 -461 -422 -414 -414 -384 -380 -466 -546 -664 -745 -671 -500 -370 -358 -381 -451 -486 -434 -401 -409 -441 -402 -385 -323 -251 -276 -386 -494 -582 -463 -254 -92 -50 -124 -271 -316 -238 -233 -267 -378 -457 -395 -282 -234 -307 -488 -666 -666 -556 -381 -343 -279 -335 -413 -401 -384 -335 -338 -401 -380 -310 -235 -172 -236 -392 -546 -580 -520 -346 -295 -258 -355 -366 -371 -336 -338 -368 -417 -444 -371 -301 -178 -285 -403 -637 -699 -626 -505 -317 -282 -329 -381 -322 -248 -209 -196 -220 -265 -138 -10 85 -51 -179 -307 -383 -345 -244 -190 -233 -249 -315 -323 -358 -431 -499 -560 -567 -482 -404 -336 -383 -471 -578 -601 -606 -528 -406 -306 -309 -362 -395 -474 -450 -406 -427 -427 -414 -326 -249 -222 -253 -386 -513 -590 -479 -288 -236 -289 -403 -480 -464 -385 -384 -480 -538 -526 -332 -179 -129 -221 -432 -545 -562 -400 -287 -303 -387 -445 -465 -409 -367 -339 -451 -470 -414 -243 -63 -39 -176 -352 -482 -474 -353 -328 -386 -499 -554 -546 -526 -532 -662 -727 -725 -597 -429 -329 -252 -294 -424 -463 -438 -425 -333 -276 -282 -313 -377 -380 -313 -329 -388 -464 -396 -248 -97 -22 -71 -176 -289 -321 -243 -141 -124 -152 -196 -294 -334 -329 -398 -493 -573 -589 -481 -319 -215 -285 -410 -531 -504 -421 -330 -283 -351 -356 -402 -409 -411 -515 -574 -609 -533 -416 -283 -224 -211 -245 -398 -423 -395 -317 -244 -276 -363 -473 -474 -439 -477 -565 -663 -686 -539 -337 -176 -110 -327 -430 -452 -344 -213 -152 -265 -359 -411 -371 -276 -325 -405 -597 -575 -373 -186 -9 -115 -287 -404 -415 -256 -191 -267 -387 -459 -429 -365 -384 -548 -757 -824 -762 -625 -490 -465 -508 -590 -610 -590 -517 -434 -381 -359 -332 -267 -191 -202 -245 -359 -434 -423 -344 -213 -121 -130 -224 -303 -358 -398 -306 -311 -299 -343 -318 -367 -380 -384 -436 -554 -590 -535 -383 -235 -162 -300 -453 -491 -432 -298 -269 -304 -377 -377 -306 -261 -286 -413 -533 -489 -456 -273 -141 -154 -279 -381 -459 -414 -363 -356 -427 -502 -500 -389 -368 -391 -492 -660 -637 -482 -329 -184 -170 -272 -368 -359 -349 -236 -241 -220 -262 -249 -219 -150 -135 -215 -315 -413 -300 -154 -4 -44 -193 -346 -416 -369 -295 -348 -426 -479 -453 -388 -321 -323 -459 -592 -620 -498 -349 -262 -325 -437 -516 -555 -471 -472 -477 -557 -561 -494 -359 -288 -326 -430 -506 -465 -329 -202 -149 -154 -204 -233 -251 -269 -343 -398 -390 -392 -376 -395 -433 -430 -392 -479 -524 -545 -468 -234 -154 -143 -255 -334 -323 -255 -191 -207 -379 -384 -363 -220 -221 -339 -432 -533 -513 -360 -197 -147 -229 -324 -400 -390 -359 -332 -425 -524 -572 -531 -423 -380 -497 -648 -707 -583 -443 -281 -284 -359 -430 -410 -329 -325 -312 -340 -386 -331 -224 -176 -160 -211 -326 -353 -292 -145 -77 -47 -151 -234 -316 -275 -231 -252 -324 -420 -439 -435 -398 -385 -485 -586 -631 -575 -466 -374 -304 -399 -421 -513 -431 -355 -275 -284 -340 -396 -325 -271 -270 -350 -452 -609 -520 -409 -308 -270 -342 -398 -396 -383 -383 -327 -326 -320 -344 -339 -342 -370 -389 -474 -539 -552 -484 -356 -289 -266 -302 -354 -342 -254 -126 -128 -138 -154 -135 -110 -43 -88 -194 -333 -408 -377 -228 -186 -197 -368 -506 -558 -492 -385 -320 -480 -519 -517 -362 -242 -300 -466 -592 -602 -437 -230 -202 -319 -459 -492 -390 -344 -281 -295 -363 -317 -226 -98 -101 -170 -304 -401 -383 -286 -166 -210 -271 -320 -370 -350 -292 -294 -321 -301 -248 -191 -152 -131 -235 -330 -457 -459 -452 -360 -319 -375 -433 -524 -562 -487 -369 -312 -323 -319 -279 -190 -88 -119 -271 -416 -511 -435
+-86 -8 41 3 -51 -116 -74 10 65 -6 -120 -189 -126 -14 49 49 -93 -223 -252 -223 -155 -173 -234 -264 -296 -232 -187 -200 -245 -328 -330 -308 -198 -150 -146 -169 -261 -233 -225 -160 -165 -130 -161 -86 -27 -20 -26 -97 -179 -240 -212 -220 -234 -253 -303 -405 -420 -449 -390 -359 -382 -423 -435 -375 -262 -192 -245 -358 -425 -383 -256 -165 -159 -260 -313 -278 -200 -162 -173 -205 -279 -302 -215 -155 -157 -266 -365 -423 -426 -383 -370 -385 -457 -507 -470 -397 -381 -446 -516 -520 -466 -422 -398 -447 -441 -418 -421 -386 -410 -382 -351 -365 -342 -314 -317 -283 -304 -336 -408 -470 -463 -403 -392 -421 -525 -554 -558 -503 -481 -501 -565 -587 -613 -522 -456 -434 -496 -591 -637 -638 -526 -496 -524 -622 -636 -605 -486 -447 -498 -554 -609 -543 -472 -387 -403 -488 -565 -529 -501 -484 -488 -536 -551 -592 -574 -506 -553 -589 -663 -653 -666 -595 -650 -667 -729 -721 -711 -681 -735 -716 -726 -715 -699 -625 -551 -512 -513 -518 -546 -515 -416 -397 -379 -445 -505 -551 -556 -541 -591 -657 -721 -748 -749 -699 -673 -663 -718 -742 -741 -697 -615 -616 -649 -717 -690 -668 -620 -635 -689 -765 -736 -611 -569 -540 -581 -615 -575 -522 -483 -524 -590 -573 -606 -589 -612 -673 -689 -719 -761 -744 -696 -622 -653 -716 -732 -755 -710 -698 -703 -706 -731 -778 -810 -802 -834 -807 -743 -746 -740 -746 -691 -624 -569 -596 -662 -665 -609 -551 -533 -569 -649 -688 -682 -688 -740 -772 -791 -793 -731 -694 -710 -734 -790 -801 -788 -744 -716 -712 -756 -786 -789 -770 -787 -867 -908 -877 -791 -683 -622 -641 -673 -673 -576 -564 -529 -544 -526 -505 -471 -518 -578 -692 -783 -798 -817 -809 -757 -770 -768 -785 -803 -864 -875 -882 -847 -760 -727 -697 -722 -771 -797 -755 -800 -746 -739 -687 -620 -562 -539 -566 -627 -675 -705 -684 -665 -663 -683 -716 -741 -830 -927 -998 -1002 -944 -891 -844 -825 -792 -827 -819 -816 -836 -886 -885 -851 -798 -751 -781 -851 -963 -984 -951 -886 -849 -809 -779 -710 -686 -676 -759 -819 -859 -773 -712 -679 -731 -797 -830 -871 -895 -931 -982 -998 -975 -913 -837 -833 -882 -972 -1030 -1006 -940 -898 -871 -861 -886 -863 -915 -903 -954 -963 -917 -851 -770 -772 -741 -717 -701 -726 -747 -843 -832 -789 -721 -734 -805 -934 -992 -984 -999 -988 -1036 -1023 -952 -896 -865 -924 -990 -1042 -1016 -956 -883 -872 -870 -947 -954 -898 -898 -885 -886 -889 -795 -702 -627 -645 -723 -839 -856 -817 -746 -780 -841 -931 -937 -936 -953 -986 -1069 -1085 -1060 -983 -936 -903 -926 -929 -1005 -1025 -988 -944 -887 -853 -835 -853 -888 -908 -887 -900 -901 -906 -860 -773 -773 -762 -806 -911 -972 -961 -883 -838 -815 -846 -889 -904 -892 -905 -977 -1036 -1074 -1009 -931 -855 -887 -964 -1098 -1119 -1091 -969 -932 -953 -1001 -964 -927 -878 -901 -983 -1027 -980 -879 -716 -650 -690 -761 -825 -882 -861 -861 -858 -869 -870 -908 -918 -972 -1048 -1101 -1172 -1159 -1164 -1056 -980 -949 -983 -1056 -1083 -1122 -1066 -984 -958 -906 -853 -843 -851 -836 -893 -932 -946 -919 -804 -695 -679 -751 -843 -983 -1000 -935 -901 -913 -1020 -958 -902 -841 -844 -1001 -1113 -1211 -1112 -984 -889 -888 -989 -1053 -1072 -1059 -987 -988 -986 -987 -912 -789 -682 -685 -825 -953 -988 -951 -825 -746 -815 -860 -898 -941 -962 -971 -1032 -1005 -1004 -951 -895 -862 -893 -968 -1046 -1097 -1096 -1032 -954 -930 -966 -1012 -1028 -1027 -988 -987 -1008 -1042 -987 -860 -787 -760 -853 -974 -1053 -977 -894 -790 -790 -827 -878 -907 -846 -817 -860 -950 -997 -955 -838 -808 -817 -961 -1103 -1169 -1121 -1048 -1044 -1068 -1103 -1127 -1082 -1053 -1037 -1051 -1033 -1023 -918 -788 -697 -707 -764 -870 -867 -859 -810 -799 -832 -890 -928 -952 -967 -996 -1033 -1069 -1077 -1003 -978 -907 -916 -947 -1082 -1144 -1160 -1045 -981 -1005 -1064 -1117 -1117 -1039 -963 -970 -1023 -1014 -961 -831 -682 -689 -792 -951 -1018 -981 -874 -826 -873 -939 -1025 -966 -949 -884 -965 -1065 -1098 -993 -863 -766 -837 -975 -1070 -1106 -1091 -1046 -1061 -1096 -1068 -1056 -1000 -990 -970 -1024 -1053 -1016 -896 -787 -672 -731 -763 -855 -901 -916 -912 -909 -911 -927 -891 -874 -853 -878 -917 -1003 -1029 -953 -904 -789 -774 -864 -962 -1118 -1113 -1063 -1026 -1036 -1100 -1102 -1054 -955 -918 -964 -1058 -1053 -968 -821 -688 -672 -734 -825 -835 -823 -847 -861 -910 -945 -915 -853 -848 -876 -950 -1060 -1113 -1054 -980 -932 -969 -1005 -1007 -1035 -1083 -1115 -1151 -1170 -1132 -1058 -974 -902 -843 -858 -916 -874 -857 -773 -674 -620 -674 -741 -840 -877 -867 -882 -973 -1031 -1060 -1003 -928 -850 -855 -940 -1031 -1017 -918 -820 -806 -854 -938 -950 -929 -876 -952 -1026 -1079 -1033 -948 -840 -828 -874 -954 -929 -904 -784 -759 -800 -852 -882 -811 -784 -801 -862 -945 -961 -892 -844 -801 -858 -901 -948 -950 -895 -899 -951 -979 -986 -993 -1024 -1021 -1040 -1040 -1061 -1062 -1056 -1026 -951 -912 -870 -903 -938 -920 -872 -806 -786 -808 -847 -904 -877 -845 -861 -860 -940 -987 -931 -890 -869 -910 -983 -1042 -1020 -984 -958 -1001 -1065 -1094 -1047 -1049 -1040 -1101 -1159 -1134 -1039 -981 -956 -927 -955 -921 -904 -828 -780 -783 -820 -824 -820 -848 -816 -867 -921 -976 -1003 -966 -963 -964 -988 -1004 -1040 -1077 -1082 -1085 -1032 -1007 -1018 -1047 -1077 -1032 -1015 -979 -972 -986 -1014 -944 -893 -826 -847 -893 -979 -964 -927 -937 -947 -961 -956 -941 -912 -911 -928 -973 -986 -961 -912 -868 -905 -962 -1018 -968 -978 -976 -1002 -1059 -1124 -1073 -1033 -973 -1006 -1056 -1065 -1051 -984 -971 -964 -976 -937 -915 -882 -851 -882 -893 -923 -893 -850 -809 -844 -839 -888 -869 -892 -967 -1023 -1039 -1057 -1025 -1006 -1031 -1106 -1127 -1127 -1102 -1086 -1100 -1114 -1091 -1049 -957 -960 -948 -995 -994 -977 -961 -889 -886 -879 -867 -850 -872 -902 -956 -985 -925 -924 -922 -926 -931 -942 -954 -979 -1003 -1064 -1069 -1082 -1064 -1055 -1035 -1070 -1123 -1123 -1121 -1092 -1102 -1066 -1026 -944 -875 -857 -907 -968 -956 -942 -937 -920 -923 -945 -932 -932 -898 -940 -991 -1026 -1022 -923 -845 -863 -898 -956 -1021 -1025 -1032 -1068 -1082 -1078 -1037 -1048 -1021 -1007 -1025 -1051 -1019 -1058 -971 -1004 -928 -914 -909 -926 -988 -1061 -1047 -1003 -967 -915 -912 -916 -943 -844 -871 -914 -915 -904 -868 -838 -844 -881 -950 -1036 -1034 -1024 -1049 -1126 -1167 -1156 -1117 -1037 -1021 -1101 -1171 -1178 -1067 -942 -875 -856 -919 -901 -881 -821 -802 -833 -903 -929 -869 -772 -786 -822 -943 -992 -1028 -977 -891 -910 -959 -989 -1054 -1057 -1078 -1117 -1151 -1150 -1137 -1087 -1081 -1058 -1066 -1048 -1043 -1036 -965 -937 -896 -878 -864 -908 -934 -968 -982 -967 -976 -1005 -974 -965 -918 -912 -975 -1040 -1083 -999 -897 -825 -865 -939 -1070 -1094 -1089 -1060 -1093 -1163 -1190 -1175 -1062 -986 -1005 -1056 -1130 -1143 -992 -889 -822 -838 -870 -916 -913 -907 -930 -929 -991 -980 -946 -878 -858 -869 -953 -998 -1021 -956 -909 -870 -853 -879 -897 -967 -1004 -1048 -1105 -1117 -1140 -1081 -1060 -974 -979 -1036 -1109 -1154 -1067 -934 -842 -803 -853 -882 -862 -797 -815 -856 -967 -993 -905 -758 -672 -686 -808 -985 -1024 -984 -899 -841 -907 -994 -1014 -1002 -971 -1061 -1192 -1294 -1264 -1183 -1013 -918 -973 -1013 -1042 -993 -969 -921 -864 -833 -815 -750 -745 -770 -858 -991 -1069 -1073 -1009 -933 -887 -886 -942 -996 -1006 -1064 -1024 -996 -982 -936 -948 -926 -917 -1005 -1064 -1183 -1239 -1227 -1086 -950 -928 -930 -1034 -1098 -1033 -974 -901 -966 -969 -952 -897 -803 -805 -937 -1047 -1126 -1034 -893 -791 -771 -839 -938 -970 -947 -946 -961 -1026 -1051 -1021 -964 -895 -950 -1067 -1212 -1227 -1126 -998 -992 -959 -967 -979 -962 -954 -967 -943 -954 -922 -854 -783 -730 -731 -832 -910 -984 -932 -810 -751 -725 -828 -883 -915 -887 -871 -953 -1017 -1063 -1039 -989 -898 -952 -1041 -1207 -1247 -1188 -1066 -923 -901 -950 -1001 -969 -903 -847 -861 -915 -923 -837 -732 -655 -743 -835 -939 -988 -936 -860 -809 -865 -896 -950 -974 -982 -1011 -1097 -1158 -1154 -1090 -984 -939 -1006 -1086 -1168 -1121 -1103 -986 -903 -875 -851 -857 -879 -916 -922 -954 -984 -994 -972 -904 -852 -853 -881 -982 -1079 -1055 -965 -847 -805 -883 -920 -962 -948 -960 -1048 -1116 -1145 -1140 -1019 -905 -906 -991 -1134 -1219 -1186 -1083 -1015 -1007 -1022 -1031 -1004 -937 -964 -1014 -1086 -1102 -984 -781 -673 -675 -812 -901 -915 -899 -824 -879 -918 -951 -926 -907 -912 -999 -1153 -1261 -1246 -1140 -1042 -986 -943 -994 -1086 -1076 -1066 -1072 -1034 -997 -948 -902 -869 -849 -844 -907 -1000 -1048 -989 -880 -777 -715 -735 -812 -881 -901 -897 -870 -901 -881 -893 -890 -885 -912 -1013 -1160 -1179 -1159 -1075 -965 -947 -956 -1015 -1010 -962 -945 -946 -956 -996 -905 -825 -776 -825 -968 -1017 -1036 -938 -835 -813 -809 -847 -832 -827 -867 -911 -971 -985 -986 -983 -982 -975 -999 -1029 -1114 -1149 -1116 -1039 -973 -925 -877 -907 -963 -973 -978 -973 -951 -1016 -1045 -1006 -973 -932 -954 -997 -1081 -1048 -922 -795 -743 -773 -802 -819 -798 -781 -813 -923 -965 -951 -903 -861 -926 -1068 -1200 -1200 -1139 -1044 -1019 -1040 -1068 -1076 -990 -947 -946 -992 -999 -1023 -935 -815 -798 -830 -890 -944 -897 -869 -847 -805 -786 -754 -735 -777 -858 -918 -926 -996 -1003 -1013 -995 -1000 -1044 -1100 -1113 -1143 -1153 -1088 -1026 -964 -876 -874 -918 -930 -950 -940 -932 -945 -936 -913 -862 -831 -881 -959 -973 -927 -894 -854 -834 -823 -801 -786 -809 -849 -963 -1037 -1041 -1000 -979 -949 -1011 -1028 -1099 -1136 -1086 -1057 -1041 -995 -959 -904 -863 -884 -927 -979 -1028 -969 -978 -931 -933 -937 -882 -897 -909 -983 -986 -951 -872 -825 -839 -859 -873 -888 -895 -969 -1033 -1073 -1053 -990 -970 -976 -1035 -1091 -1086 -1108 -1089 -1064 -1045 -1013 -994 -954 -971 -1022 -1047 -1063 -1074 -963 -903 -856 -871 -888 -886 -848 -813 -858 -910 -942 -870 -786 -768 -856 -961 -1041 -1032 -1062 -1081 -1157 -1195 -1150 -1083 -1069 -1119 -1179 -1187 -1106 -1057 -1000 -931 -947 -925 -920 -865 -837 -909 -940 -973 -935 -876 -841 -837 -925 -979 -973 -959 -917 -946 -975 -986 -990 -958 -942 -993 -1055 -1096 -1079 -1071 -1049 -1024 -1044 -1023 -1004 -1031 -1012 -1011 -993 -1002 -981 -954 -980 -976 -945 -969 -960 -952 -957 -960 -881 -900 -877 -891 -883 -892 -901 -915 -907 -991 -1006 -991 -979 -993 -1025 -1101 -1167 -1143 -1088 -1067 -1057 -1090 -1127 -1107 -1042 -995 -1027 -1025 -1076 -1050 -1023 -923 -888 -901 -965 -967 -944 -899 -880 -853 -902 -935 -922 -905 -899 -959 -977 -973 -1002 -998 -991 -1011 -1021 -1032 -1082 -1138 -1134 -1085 -1084 -1093 -1114 -1147 -1124 -1056 -1033 -1012 -1033 -986 -960 -889 -839 -865 -885 -928 -927 -857 -799 -774 -859 -952 -983 -937 -908 -893 -987 -1129 -1148 -1134 -1028 -956 -1052 -1126 -1208 -1133 -1016 -962 -974 -1012 -1044 -950 -904 -879 -973 -998 -1019 -981 -937 -878 -883 -910 -958 -970 -932 -905 -889 -919 -937 -908 -896 -823 -903 -973 -1023 -1024 -1033 -992 -976 -973 -952 -973 -1036 -1037 -1043 -1039 -1015 -1059 -1080 -1067 -1040 -978 -1025 -1096 -1142 -1149 -1045 -904 -776 -778 -840 -895 -907 -806 -753 -795 -843 -913 -896
+-2 61 39 -38 -124 -129 -59 17 76 20 -92 -215 -276 -260 -215 -187 -234 -310 -358 -351 -257 -238 -351 -404 -421 -355 -232 -144 -165 -273 -350 -384 -316 -264 -255 -204 -277 -276 -273 -250 -243 -279 -387 -394 -341 -254 -146 -186 -300 -455 -487 -461 -402 -421 -452 -513 -503 -483 -452 -501 -595 -699 -737 -669 -528 -461 -458 -557 -633 -652 -573 -505 -453 -434 -465 -451 -434 -388 -399 -439 -550 -649 -572 -476 -350 -386 -450 -587 -679 -660 -607 -582 -578 -640 -625 -591 -535 -565 -648 -744 -787 -737 -595 -489 -418 -507 -614 -661 -648 -589 -556 -629 -678 -657 -557 -489 -514 -661 -809 -864 -785 -646 -553 -594 -699 -759 -781 -823 -804 -812 -883 -902 -911 -835 -752 -713 -821 -979 -1075 -1046 -888 -790 -770 -839 -920 -923 -869 -830 -831 -835 -839 -765 -705 -621 -599 -675 -791 -878 -909 -858 -785 -763 -823 -954 -1019 -972 -956 -980 -1053 -1101 -1050 -942 -868 -895 -1015 -1118 -1156 -1140 -1068 -995 -990 -1006 -1017 -1054 -1035 -1012 -987 -1032 -1074 -1063 -946 -809 -785 -888 -1039 -1117 -1071 -964 -939 -940 -1020 -1064 -1064 -1054 -1081 -1093 -1191 -1233 -1219 -1157 -1088 -1022 -1040 -1124 -1186 -1181 -1087 -994 -939 -975 -1000 -997 -988 -940 -978 -1031 -1056 -1054 -989 -914 -885 -905 -1068 -1115 -1138 -1081 -1011 -1024 -1138 -1208 -1225 -1155 -1200 -1243 -1363 -1422 -1378 -1249 -1160 -1145 -1234 -1313 -1336 -1257 -1197 -1175 -1156 -1176 -1190 -1175 -1170 -1160 -1191 -1286 -1282 -1218 -1171 -1076 -1062 -1078 -1146 -1175 -1188 -1163 -1150 -1098 -1139 -1159 -1230 -1256 -1237 -1273 -1334 -1403 -1432 -1406 -1325 -1277 -1304 -1364 -1411 -1431 -1306 -1278 -1235 -1236 -1204 -1186 -1177 -1212 -1240 -1295 -1314 -1334 -1325 -1258 -1219 -1260 -1306 -1411 -1382 -1374 -1337 -1308 -1295 -1328 -1306 -1322 -1315 -1371 -1427 -1503 -1482 -1456 -1426 -1348 -1334 -1336 -1392 -1411 -1410 -1306 -1220 -1160 -1226 -1270 -1246 -1188 -1199 -1212 -1325 -1369 -1328 -1245 -1174 -1180 -1233 -1241 -1275 -1316 -1320 -1303 -1282 -1290 -1332 -1347 -1411 -1405 -1436 -1499 -1531 -1570 -1495 -1431 -1395 -1428 -1491 -1512 -1463 -1424 -1398 -1374 -1391 -1363 -1335 -1302 -1256 -1298 -1379 -1398 -1401 -1349 -1279 -1283 -1292 -1408 -1434 -1442 -1412 -1388 -1391 -1410 -1418 -1405 -1370 -1385 -1407 -1465 -1482 -1493 -1491 -1443 -1432 -1419 -1452 -1492 -1517 -1526 -1431 -1374 -1374 -1383 -1392 -1359 -1296 -1263 -1317 -1359 -1433 -1399 -1353 -1296 -1350 -1435 -1525 -1561 -1551 -1475 -1504 -1576 -1631 -1671 -1602 -1559 -1568 -1649 -1738 -1695 -1641 -1550 -1514 -1523 -1552 -1549 -1569 -1494 -1440 -1429 -1452 -1470 -1482 -1428 -1334 -1339 -1429 -1469 -1527 -1483 -1401 -1344 -1373 -1464 -1536 -1541 -1523 -1501 -1502 -1529 -1618 -1651 -1635 -1579 -1528 -1563 -1655 -1729 -1690 -1551 -1465 -1498 -1614 -1656 -1638 -1538 -1501 -1547 -1583 -1610 -1554 -1494 -1400 -1433 -1482 -1512 -1535 -1514 -1498 -1447 -1454 -1519 -1581 -1612 -1599 -1539 -1526 -1629 -1662 -1687 -1615 -1514 -1486 -1563 -1632 -1644 -1589 -1501 -1453 -1436 -1459 -1523 -1505 -1492 -1370 -1342 -1423 -1509 -1557 -1492 -1366 -1315 -1417 -1463 -1572 -1536 -1473 -1414 -1453 -1508 -1567 -1575 -1501 -1477 -1481 -1539 -1639 -1719 -1710 -1624 -1574 -1629 -1743 -1799 -1767 -1689 -1587 -1585 -1673 -1728 -1640 -1480 -1418 -1417 -1530 -1576 -1579 -1499 -1391 -1371 -1454 -1544 -1579 -1526 -1473 -1421 -1491 -1608 -1666 -1608 -1511 -1456 -1487 -1630 -1705 -1716 -1632 -1595 -1538 -1584 -1659 -1666 -1614 -1555 -1509 -1563 -1594 -1658 -1651 -1566 -1457 -1428 -1508 -1561 -1588 -1537 -1452 -1453 -1515 -1571 -1571 -1539 -1518 -1486 -1544 -1618 -1693 -1675 -1600 -1561 -1514 -1585 -1658 -1698 -1675 -1591 -1541 -1637 -1685 -1705 -1628 -1492 -1514 -1589 -1660 -1655 -1600 -1473 -1392 -1388 -1407 -1411 -1431 -1378 -1359 -1322 -1365 -1431 -1493 -1479 -1397 -1376 -1445 -1576 -1706 -1709 -1602 -1496 -1496 -1572 -1678 -1706 -1662 -1603 -1578 -1608 -1677 -1700 -1632 -1557 -1488 -1531 -1620 -1730 -1707 -1579 -1446 -1426 -1507 -1564 -1584 -1487 -1392 -1382 -1480 -1514 -1512 -1467 -1349 -1352 -1447 -1585 -1671 -1646 -1613 -1539 -1545 -1596 -1674 -1695 -1627 -1531 -1507 -1582 -1666 -1622 -1505 -1397 -1366 -1453 -1588 -1619 -1560 -1496 -1433 -1473 -1473 -1517 -1494 -1438 -1438 -1444 -1491 -1503 -1549 -1501 -1512 -1462 -1522 -1641 -1742 -1752 -1723 -1645 -1643 -1626 -1623 -1657 -1628 -1592 -1568 -1592 -1611 -1611 -1577 -1504 -1457 -1523 -1586 -1659 -1666 -1618 -1480 -1428 -1437 -1479 -1477 -1448 -1390 -1369 -1431 -1454 -1472 -1429 -1333 -1311 -1322 -1487 -1593 -1626 -1611 -1511 -1487 -1539 -1608 -1640 -1645 -1614 -1594 -1645 -1701 -1666 -1610 -1494 -1382 -1396 -1453 -1514 -1596 -1573 -1497 -1445 -1407 -1461 -1499 -1485 -1457 -1484 -1507 -1598 -1606 -1538 -1440 -1357 -1414 -1518 -1621 -1642 -1628 -1592 -1591 -1601 -1623 -1562 -1542 -1512 -1522 -1610 -1645 -1655 -1566 -1455 -1364 -1387 -1448 -1509 -1525 -1471 -1451 -1420 -1430 -1431 -1414 -1359 -1365 -1387 -1452 -1535 -1581 -1568 -1474 -1413 -1415 -1481 -1620 -1716 -1726 -1670 -1617 -1620 -1673 -1667 -1623 -1593 -1611 -1653 -1701 -1737 -1696 -1618 -1495 -1431 -1435 -1467 -1544 -1568 -1505 -1431 -1389 -1421 -1444 -1454 -1417 -1400 -1450 -1548 -1636 -1637 -1523 -1435 -1391 -1454 -1543 -1585 -1586 -1548 -1512 -1531 -1590 -1615 -1571 -1511 -1447 -1520 -1635 -1740 -1707 -1567 -1419 -1388 -1426 -1532 -1556 -1518 -1449 -1432 -1459 -1518 -1480 -1440 -1364 -1411 -1434 -1565 -1637 -1640 -1565 -1495 -1472 -1559 -1677 -1693 -1708 -1643 -1617 -1684 -1672 -1631 -1593 -1468 -1447 -1497 -1532 -1574 -1569 -1491 -1373 -1337 -1371 -1447 -1511 -1461 -1442 -1401 -1440 -1442 -1457 -1375 -1297 -1283 -1380 -1469 -1554 -1565 -1510 -1440 -1429 -1474 -1549 -1634 -1660 -1646 -1581 -1634 -1632 -1642 -1596 -1552 -1527 -1540 -1616 -1715 -1709 -1666 -1530 -1478 -1485 -1544 -1606 -1649 -1619 -1548 -1525 -1533 -1563 -1549 -1473 -1407 -1425 -1548 -1704 -1747 -1661 -1547 -1492 -1556 -1605 -1700 -1676 -1661 -1647 -1720 -1726 -1733 -1622 -1541 -1477 -1507 -1599 -1668 -1693 -1625 -1475 -1397 -1422 -1512 -1549 -1564 -1532 -1570 -1600 -1678 -1700 -1592 -1452 -1416 -1458 -1593 -1721 -1724 -1648 -1567 -1529 -1545 -1627 -1676 -1697 -1709 -1733 -1805 -1852 -1847 -1759 -1625 -1545 -1599 -1663 -1700 -1697 -1674 -1570 -1511 -1471 -1412 -1412 -1378 -1452 -1471 -1542 -1541 -1540 -1500 -1449 -1419 -1399 -1490 -1591 -1685 -1695 -1634 -1566 -1563 -1579 -1587 -1607 -1602 -1639 -1671 -1733 -1768 -1723 -1609 -1503 -1497 -1570 -1673 -1707 -1685 -1613 -1515 -1506 -1525 -1522 -1498 -1456 -1453 -1533 -1616 -1679 -1686 -1582 -1534 -1467 -1570 -1659 -1735 -1749 -1692 -1603 -1597 -1585 -1609 -1612 -1594 -1582 -1592 -1694 -1781 -1757 -1688 -1552 -1506 -1540 -1597 -1670 -1613 -1495 -1446 -1422 -1444 -1434 -1404 -1366 -1373 -1464 -1544 -1641 -1637 -1536 -1490 -1506 -1628 -1706 -1723 -1698 -1678 -1679 -1691 -1706 -1660 -1647 -1616 -1624 -1675 -1738 -1769 -1715 -1691 -1610 -1609 -1610 -1645 -1666 -1650 -1654 -1610 -1582 -1530 -1486 -1516 -1469 -1452 -1468 -1473 -1551 -1554 -1555 -1529 -1482 -1508 -1586 -1649 -1723 -1735 -1668 -1649 -1615 -1629 -1655 -1600 -1595 -1587 -1631 -1641 -1634 -1625 -1577 -1502 -1522 -1545 -1619 -1671 -1642 -1534 -1486 -1477 -1527 -1562 -1512 -1447 -1470 -1557 -1629 -1698 -1679 -1580 -1493 -1553 -1670 -1754 -1796 -1741 -1668 -1659 -1667 -1703 -1697 -1632 -1592 -1584 -1606 -1704 -1737 -1696 -1572 -1495 -1525 -1560 -1630 -1617 -1594 -1522 -1515 -1486 -1510 -1481 -1459 -1402 -1423 -1444 -1540 -1550 -1535 -1463 -1416 -1434 -1495 -1605 -1643 -1684 -1659 -1675 -1734 -1787 -1753 -1714 -1655 -1644 -1722 -1773 -1791 -1720 -1614 -1502 -1521 -1564 -1607 -1614 -1555 -1537 -1541 -1589 -1596 -1561 -1538 -1489 -1461 -1524 -1560 -1655 -1643 -1610 -1559 -1515 -1545 -1635 -1705 -1752 -1668 -1603 -1626 -1686 -1724 -1715 -1586 -1479 -1514 -1592 -1706 -1698 -1617 -1521 -1464 -1469 -1563 -1559 -1507 -1420 -1371 -1426 -1519 -1569 -1480 -1381 -1300 -1398 -1572 -1707 -1665 -1606 -1520 -1545 -1650 -1736 -1758 -1656 -1567 -1616 -1736 -1739 -1794 -1694 -1595 -1549 -1586 -1674 -1738 -1718 -1561 -1469 -1447 -1531 -1560 -1549 -1433 -1328 -1304 -1478 -1546 -1550 -1446 -1378 -1380 -1480 -1541 -1589 -1561 -1512 -1492 -1488 -1537 -1581 -1589 -1531 -1499 -1483 -1555 -1645 -1658 -1627 -1561 -1555 -1558 -1616 -1685 -1651 -1578 -1537 -1527 -1590 -1590 -1584 -1498 -1433 -1409 -1452 -1528 -1564 -1554 -1432 -1412 -1438 -1550 -1670 -1679 -1588 -1551 -1589 -1681 -1790 -1801 -1726 -1624 -1572 -1602 -1700 -1727 -1637 -1513 -1459 -1462 -1571 -1620 -1601 -1507 -1434 -1443 -1488 -1573 -1574 -1505 -1392 -1382 -1441 -1504 -1556 -1525 -1413 -1382 -1431 -1523 -1602 -1592 -1528 -1469 -1495 -1612 -1738 -1754 -1610 -1512 -1527 -1654 -1760 -1756 -1689 -1537 -1510 -1597 -1714 -1725 -1587 -1469 -1415 -1435 -1562 -1642 -1573 -1453 -1352 -1373 -1442 -1536 -1552 -1452 -1356 -1368 -1439 -1539 -1526 -1478 -1408 -1409 -1503 -1572 -1641 -1656 -1593 -1559 -1551 -1587 -1642 -1666 -1637 -1534 -1508 -1508 -1550 -1576 -1518 -1420 -1405 -1441 -1540 -1601 -1583 -1528 -1481 -1485 -1535 -1584 -1596 -1555 -1543 -1524 -1543 -1557 -1566 -1593 -1545 -1515 -1547 -1654 -1775 -1828 -1773 -1695 -1642 -1690 -1807 -1802 -1749 -1681 -1619 -1648 -1680 -1647 -1568 -1476 -1378 -1385 -1484 -1567 -1582 -1499 -1434 -1356 -1401 -1486 -1572 -1541 -1494 -1491 -1552 -1650 -1684 -1617 -1544 -1504 -1544 -1666 -1748 -1758 -1708 -1640 -1656 -1700 -1737 -1740 -1682 -1675 -1667 -1667 -1735 -1703 -1663 -1510 -1441 -1480 -1554 -1622 -1623 -1557 -1513 -1511 -1558 -1613 -1611 -1557 -1533 -1575 -1680 -1722 -1719 -1640 -1517 -1469 -1485 -1597 -1638 -1643 -1617 -1608 -1632 -1641 -1614 -1612 -1555 -1564 -1608 -1589 -1602 -1573 -1519 -1440 -1385 -1382 -1370 -1437 -1472 -1477 -1517 -1512 -1493 -1477 -1494 -1505 -1563 -1562 -1607 -1646 -1670 -1686 -1675 -1592 -1531 -1562 -1654 -1731 -1752 -1671 -1608 -1566 -1650 -1649 -1642 -1563 -1533 -1596 -1714 -1728 -1664 -1545 -1466 -1409 -1454 -1469 -1511 -1515 -1467 -1389 -1369 -1396 -1453 -1452 -1409 -1400 -1444 -1590 -1704 -1701 -1584 -1498 -1460 -1557 -1626 -1672 -1672 -1634 -1628 -1623 -1627 -1590 -1600 -1561 -1558 -1582 -1620 -1659 -1627 -1545 -1435 -1420 -1449 -1519 -1531 -1559 -1550 -1574 -1592 -1633 -1605 -1515 -1514 -1569 -1645 -1701 -1693 -1702 -1683 -1595 -1556 -1562 -1592 -1650 -1697 -1716 -1696 -1656 -1607 -1620 -1601 -1542 -1491 -1511 -1574 -1648 -1641 -1605 -1488 -1381 -1396 -1421 -1533 -1535 -1552 -1525 -1483 -1515 -1512 -1494 -1447 -1436 -1465 -1550 -1645 -1749 -1741 -1683 -1600 -1565 -1643 -1748 -1805 -1839 -1774 -1696 -1661 -1681 -1638 -1613 -1508 -1445 -1497 -1632 -1687 -1628 -1504 -1369 -1341 -1420 -1525 -1556 -1541 -1526 -1543 -1590 -1626 -1610 -1512 -1433 -1440 -1530 -1718 -1773 -1712 -1574 -1473 -1509 -1593 -1644 -1627 -1599 -1609 -1679 -1722 -1707 -1617 -1513 -1469 -1492 -1512 -1596 -1592 -1586 -1515 -1426 -1384 -1384 -1474 -1522 -1547 -1567 -1604 -1706 -1745 -1723 -1661 -1574 -1576 -1679 -1772 -1778 -1779 -1737 -1671 -1652 -1641 -1659 -1690 -1740 -1693 -1705 -1670 -1707 -1710 -1585 -1489 -1445 -1455 -1593 -1669 -1639 -1532 -1447 -1404 -1470 -1515 -1540 -1540 -1532 -1543 -1568 -1597 -1639 -1603 -1513 -1446 -1480 -1632 -1767 -1786 -1703 -1577 -1538 -1587 -1700 -1713 -1684 -1587 -1605 -1681 -1748 -1738 -1641 -1549 -1508 -1564 -1651 -1736 -1661 -1594 -1499 -1401 -1421 -1462 -1479 -1452 -1441 -1469 -1598 -1682 -1667 -1589 -1492 -1522 -1620 -1712 -1786 -1758 -1666 -1585 -1556 -1583 -1589 -1568 -1511 -1495 -1505 -1587 -1642 -1621 -1509 -1390 -1362 -1425 -1574 -1634 -1622 -1529 -1446 -1438 -1432 -1482 -1476 -1445 -1443 -1534 -1606 -1640 -1658 -1598 -1541 -1537 -1573 -1676 -1764 -1822 -1787 -1762 -1732 -1683 -1654 -1659 -1656 -1691 -1688 -1706 -1715 -1694 -1633 -1547 -1441 -1420 -1482 -1582 -1671 -1615 -1540 -1441 -1444 -1495 -1493 -1436 -1394 -1459 -1535 -1643 -1662 -1603 -1508 -1448 -1466 -1612 -1724 -1790 -1755 -1703 -1657 -1638 -1680 -1669 -1588 -1487 -1477 -1586 -1711 -1723 -1612 -1429 -1356 -1402 -1503 -1597 -1643 -1615 -1571 -1551 -1566 -1550 -1536 -1472
+48 14 -50 -65 -17 80 124 96 36 48 7 -52 -136 -221 -232 -188 -95 -79 -71 -173 -171 -146 -123 -118 -125 -45 22 126 168 38 -55 -184 -101 -49 9 71 16 -36 -45 -45 -60 -98 -207 -263 -165 -65 78 34 -64 -219 -238 -179 -27 -43 -33 -13 31 88 32 -88 -166 -268 -205 -158 -147 -156 -139 -120 -136 -210 -261 -267 -184 -10 49 56 -4 -59 -111 -109 -135 -194 -140 -100 13 26 22 -63 -174 -193 -191 -106 -32 -29 -12 -46 -10 -1 -29 -123 -216 -165 3 185 255 148 -75 -136 -90 -47 -43 -101 -155 -117 -15 13 -50 -176 -268 -240 -145 -11 79 76 25 -26 -67 -120 -164 -129 -151 -92 -59 -51 -91 -133 -181 -215 -207 -209 -147 -117 -57 1 -9 -64 -153 -180 -126 -12 45 89 90 94 137 86 -24 -145 -179 -118 21 100 21 -150 -291 -254 -173 -130 -112 -190 -159 -74 57 55 -41 -186 -270 -205 -102 -4 2 -31 -84 -162 -182 -236 -238 -285 -302 -227 -135 -75 -111 -176 -283 -300 -250 -134 -99 -14 3 -19 -6 -103 -192 -251 -286 -227 -146 -170 -187 -178 -181 -214 -246 -282 -238 -128 49 69 28 -74 -157 -73 -91 -102 -174 -227 -176 19 101 32 -191 -283 -289 -178 -36 4 -66 -163 -255 -219 -286 -278 -367 -392 -346 -185 -81 -33 -168 -270 -257 -181 -104 -120 -125 -147 -71 -51 -151 -241 -374 -420 -300 -190 -152 -165 -149 -121 -87 -115 -177 -152 -129 4 3 -6 -105 -180 -194 -234 -288 -355 -342 -307 -208 -163 -153 -213 -333 -391 -250 -141 -6 -6 -62 -158 -196 -138 -119 -201 -343 -427 -402 -252 -184 -302 -413 -518 -421 -330 -265 -241 -200 -185 -104 -120 -183 -268 -315 -344 -293 -194 -198 -167 -168 -249 -253 -262 -291 -222 -158 -67 -66 -114 -194 -247 -292 -245 -290 -305 -233 -185 -77 -36 -71 -84 -126 -143 -67 -27 29 -16 -111 -137 -193 -227 -250 -392 -444 -473 -297 -136 -108 -196 -326 -374 -298 -156 -90 -90 -142 -129 -119 -90 -116 -238 -355 -283 -211 -150 -148 -198 -177 -251 -222 -263 -280 -238 -196 -91 -47 -39 -32 -116 -172 -225 -151 -138 -150 -149 -165 -147 -159 -220 -317 -391 -279 -143 -71 -120 -166 -169 -99 -66 -159 -277 -349 -256 -89 3 -132 -301 -416 -356 -236 -157 -241 -321 -327 -311 -195 -220 -291 -429 -490 -420 -351 -243 -190 -203 -220 -226 -230 -153 -180 -204 -209 -178 -153 -153 -203 -332 -422 -354 -302 -297 -269 -237 -170 -141 -150 -175 -246 -221 -199 -121 -194 -216 -268 -205 -193 -223 -336 -405 -394 -247 -122 -176 -314 -381 -399 -264 -255 -305 -350 -367 -302 -169 -88 -106 -239 -302 -290 -176 -102 -107 -177 -272 -338 -307 -283 -270 -301 -373 -341 -293 -234 -178 -204 -241 -247 -192 -128 -123 -195 -150 -83 -60 -50 -167 -141 -159 -187 -166 -185 -190 -209 -201 -236 -267 -364 -334 -323 -222 -194 -201 -251 -270 -195 -99 -78 -134 -179 -293 -217 -176 -163 -284 -376 -464 -436 -346 -239 -239 -357 -402 -313 -169 -146 -162 -265 -335 -299 -168 -74 -112 -241 -323 -287 -181 -121 -196 -250 -293 -229 -198 -212 -290 -325 -328 -280 -244 -240 -275 -238 -214 -213 -152 -152 -171 -189 -226 -247 -254 -267 -291 -284 -309 -348 -290 -321 -292 -238 -231 -204 -232 -247 -294 -269 -170 -151 -252 -322 -353 -276 -224 -161 -275 -355 -347 -290 -198 -182 -325 -328 -350 -269 -154 -250 -314 -337 -260 -208 -146 -123 -180 -141 -49 -85 -62 -116 -136 -154 -121 -123 -140 -179 -273 -338 -338 -295 -298 -304 -271 -269 -239 -234 -215 -276 -246 -180 -151 -161 -197 -169 -178 -127 -140 -265 -393 -432 -404 -272 -215 -260 -367 -406 -358 -254 -159 -195 -200 -194 -162 4 -2 -60 -217 -279 -307 -248 -167 -200 -263 -309 -289 -249 -187 -217 -254 -291 -166 -66 -40 -73 -197 -282 -257 -231 -236 -285 -325 -275 -207 -125 -163 -254 -336 -303 -224 -154 -163 -287 -287 -326 -348 -435 -486 -525 -477 -350 -244 -237 -335 -312 -258 -183 -176 -231 -262 -242 -188 -164 -230 -319 -419 -501 -477 -359 -276 -230 -235 -264 -250 -176 -168 -168 -188 -207 -139 -32 -24 -74 -233 -319 -352 -325 -280 -301 -346 -280 -216 -255 -331 -376 -347 -310 -201 -182 -199 -154 -124 -113 -143 -264 -365 -354 -324 -236 -252 -284 -325 -315 -328 -303 -329 -356 -321 -200 -94 -86 -139 -285 -349 -380 -251 -187 -153 -221 -258 -235 -152 -91 -179 -246 -296 -251 -145 -96 -166 -281 -340 -370 -311 -343 -346 -283 -229 -128 -60 -108 -172 -206 -216 -168 -124 -115 -157 -188 -234 -268 -417 -498 -492 -461 -395 -311 -280 -293 -356 -375 -349 -323 -352 -329 -318 -218 -150 -163 -211 -349 -432 -356 -239 -107 -151 -236 -274 -301 -225 -188 -310 -437 -492 -359 -163 -56 -129 -273 -351 -327 -251 -154 -165 -181 -180 -147 -140 -152 -260 -386 -415 -308 -227 -100 -139 -174 -211 -275 -263 -263 -248 -239 -217 -136 -107 -94 -222 -265 -289 -316 -291 -244 -205 -148 -165 -301 -306 -444 -440 -360 -340 -227 -202 -206 -122 -47 -43 -114 -249 -297 -183 -9 70 -23 -173 -382 -340 -284 -253 -254 -242 -254 -144 -63 -11 -86 -201 -300 -270 -181 -84 -44 -67 -140 -192 -254 -265 -300 -330 -267 -177 -124 -106 -207 -326 -368 -437 -405 -399 -319 -267 -202 -158 -229 -352 -370 -325 -231 -153 -173 -181 -159 -114 -114 -234 -418 -513 -447 -310 -175 -135 -234 -376 -349 -342 -250 -286 -324 -343 -244 -167 -31 -22 -178 -333 -436 -350 -129 4 -30 -175 -282 -324 -319 -372 -418 -522 -501 -316 -182 -134 -208 -358 -388 -351 -249 -171 -181 -185 -219 -186 -221 -304 -390 -499 -501 -406 -319 -257 -206 -274 -328 -365 -413 -412 -304 -223 -135 -62 -121 -144 -208 -219 -218 -211 -230 -186 -126 -91 -75 -140 -306 -459 -452 -323 -168 -104 -154 -189 -252 -226 -195 -205 -316 -346 -276 -123 -28 -112 -243 -389 -437 -366 -301 -279 -241 -172 -152 -96 -141 -216 -358 -371 -315 -251 -147 -137 -134 -246 -313 -353 -355 -320 -226 -153 -118 -122 -185 -197 -261 -251 -353 -429 -415 -333 -198 -115 -162 -255 -408 -400 -218 -66 8 -33 -102 -83 -60 -38 -168 -347 -475 -449 -269 -153 -176 -326 -470 -501 -448 -380 -362 -360 -325 -197 -134 -115 -175 -348 -387 -361 -249 -173 -186 -270 -372 -361 -350 -367 -456 -494 -470 -359 -188 -176 -142 -247 -315 -298 -322 -235 -198 -205 -125 -139 -192 -279 -409 -463 -334 -262 -181 -179 -240 -175 -201 -157 -261 -320 -361 -332 -245 -163 -169 -252 -361 -410 -367 -246 -193 -191 -207 -191 -118 -87 -158 -309 -399 -415 -346 -260 -221 -317 -411 -396 -335 -351 -325 -340 -286 -201 -93 -69 -157 -260 -305 -322 -307 -277 -265 -274 -307 -305 -321 -321 -314 -285 -288 -186 -181 -163 -128 -89 -122 -116 -216 -260 -254 -180 -75 -71 -151 -273 -357 -378 -331 -320 -359 -397 -330 -307 -228 -242 -375 -419 -404 -302 -187 -174 -217 -297 -311 -258 -240 -291 -375 -385 -314 -227 -228 -253 -345 -382 -350 -311 -309 -326 -393 -310 -232 -184 -224 -321 -331 -277 -178 -116 -139 -183 -200 -149 -134 -184 -358 -401 -375 -292 -243 -206 -326 -389 -351 -226 -123 -114 -182 -237 -129 -69 57 -23 -201 -349 -369 -295 -193 -216 -297 -395 -389 -310 -260 -325 -446 -355 -356 -211 -148 -200 -304 -384 -388 -310 -204 -225 -248 -238 -174 -153 -143 -160 -187 -281 -263 -181 -151 -201 -255 -340 -319 -310 -303 -296 -282 -218 -177 -163 -173 -177 -221 -190 -195 -230 -239 -254 -242 -180 -168 -258 -407 -430 -353 -303 -227 -279 -301 -327 -307 -218 -236 -257 -295 -283 -257 -169 -198 -209 -264 -338 -344 -350 -370 -379 -364 -410 -365 -314 -283 -312 -309 -262 -231 -134 -83 -139 -153 -156 -166 -189 -233 -270 -251 -236 -235 -284 -286 -247 -218 -274 -227 -260 -288 -194 -171 -113 -160 -255 -255 -251 -149 -72 -172 -252 -321 -235 -122 -98 -189 -279 -385 -419 -290 -246 -292 -418 -454 -359 -243 -209 -215 -313 -328 -210 -109 -42 -94 -198 -244 -170 -122 -151 -225 -272 -304 -218 -151 -112 -168 -252 -254 -257 -206 -220 -218 -253 -239 -226 -202 -228 -181 -211 -184 -97 -144 -151 -141 -147 -173 -207 -270 -294 -391 -394 -293 -265 -305 -378 -383 -382 -256 -187 -115 -174 -253 -225 -140 -79 -151 -325 -439 -414 -340 -280 -274 -398 -449 -394 -377 -303 -352 -379 -347 -219 -170 -128 -168 -232 -245 -208 -164 -177 -143 -182 -202 -261 -253 -261 -298 -346 -342 -349 -291 -254 -215 -215 -237 -289 -294 -308 -247 -248 -258 -256 -318 -367 -433 -405 -324 -356 -403 -429 -297 -160 -141 -198 -337 -416 -385 -270 -212 -233 -328 -385 -324 -255 -260 -347 -340 -305 -254 -105 -55 -84 -203 -226 -225 -271 -249 -331 -380 -305 -285 -184 -226 -292 -316 -260 -144 -28 34 10 -42 -16 -30 -83 -172 -290 -329 -214 -154 -188 -244 -379 -356 -320 -298 -296 -357 -346 -234 -71 -23 -156 -313 -395 -304 -163 -38 -121 -205 -265 -220 -187 -199 -344 -399 -447 -287 -175 -49 -112 -193 -291 -281 -237 -104 -95 -134 -116 -73 -32 -66 -129 -273 -324 -219 -83 9 -16 -126 -177 -188 -233 -240 -291 -335 -308 -215 -226 -178 -245 -313 -308 -334 -253 -233 -123 -64 -31 -117 -194 -331 -384 -365 -326 -324 -300 -291 -243 -270 -262 -317 -321 -335 -308 -146 -28 -39 -103 -227 -303 -326 -307 -242 -219 -277 -234 -165 -128 -151 -302 -374 -352 -265 -116 -60 -123 -124 -182 -137 -190 -260 -266 -246 -141 -61 -26 -103 -152 -190 -287 -331 -353 -299 -181 -164 -219 -324 -406 -479 -406 -314 -266 -259 -231 -233 -119 -29 -86 -229 -361 -371 -229 -68 26 -63 -248 -399 -365 -316 -261 -342 -424 -399 -199 -33 -46 -204 -402 -428 -256 -41 34 -35 -141 -153 -131 -150 -211 -359 -429 -388 -226 -140 -202 -273 -357 -401 -360 -257 -181 -160 -152 -100 -114 -97 -193 -316 -395 -386 -379 -333 -262 -236 -242 -311 -397 -417 -453 -407 -275 -217 -201 -231 -350 -440 -355 -359 -265 -328 -282 -199 -102 -23 -60 -201 -354 -359 -230 -129 -73 -144 -209 -276 -290 -285 -328 -338 -338 -279 -147 -63 -24 -153 -287 -338 -273 -269 -178 -177 -195 -210 -222 -243 -251 -375 -463 -458 -327 -229 -192 -210 -319 -403 -460 -368 -336 -254 -154 -102 -103 -89 -146 -192 -176 -282 -378 -370 -307 -158 -151 -196 -305 -467 -489 -351 -222 -122 -105 -130 -71 -13 -44 -127 -224 -326 -246 -155 -47 -50 -155 -314 -406 -358 -272 -225 -238 -247 -230 -128 -73 -114 -317 -411 -369 -259 -99 -58 -152 -224 -219 -251 -296 -359 -469 -437 -320 -223 -237 -304 -440 -468 -460 -441 -416 -327 -236 -70 -69 -117 -200 -370 -354 -273 -162 -95 -119 -174 -174 -198 -169 -190 -283 -367 -303 -181 -88 -88 -251 -383 -442 -392 -353 -334 -302 -290 -200 -85 -16 -111 -254 -355 -288 -189 -43 -62 -197 -325 -357 -403 -405 -427 -414 -450 -317 -175 -95
+69 -97 -204 -103 130 290 289 145 -55 24 196 311 272 143 26 87 319 473 545 370 171 126 319 394 449 485 406 375 408 409 412 335 305 296 361 345 420 388 389 363 303 279 286 229 293 386 410 375 324 411 470 506 531 507 576 737 813 834 660 535 623 701 829 776 606 514 531 695 792 737 539 434 386 519 683 758 728 554 404 412 572 730 660 536 450 516 622 754 759 650 524 563 622 740 779 775 739 724 741 759 746 769 764 807 728 780 738 775 738 646 594 685 751 767 723 694 629 745 816 885 853 811 756 847 988 1076 1078 1038 883 849 978 1162 1310 1175 946 792 899 1143 1314 1212 998 895 963 1167 1332 1276 1063 927 921 1065 1167 1151 1050 921 913 996 1038 1028 998 956 1038 1148 1191 1191 1134 1110 1158 1230 1318 1276 1233 1221 1288 1337 1396 1363 1287 1300 1308 1305 1322 1374 1373 1342 1256 1153 1074 1100 1164 1269 1193 1044 960 1026 1176 1288 1260 1141 1039 1138 1361 1499 1554 1340 1210 1111 1256 1471 1539 1411 1284 1214 1229 1329 1346 1243 1125 1106 1220 1297 1355 1283 1206 1180 1189 1226 1212 1188 1151 1219 1278 1277 1301 1206 1139 1128 1206 1318 1455 1483 1402 1362 1396 1483 1529 1552 1545 1513 1544 1564 1593 1635 1655 1493 1394 1317 1348 1458 1605 1600 1470 1320 1249 1337 1436 1426 1422 1302 1305 1372 1502 1514 1425 1360 1295 1345 1454 1556 1600 1558 1497 1516 1509 1530 1524 1531 1566 1639 1710 1752 1611 1497 1411 1481 1538 1538 1480 1412 1434 1577 1633 1577 1415 1329 1357 1486 1560 1568 1494 1504 1461 1505 1474 1422 1411 1515 1637 1728 1660 1600 1584 1667 1679 1753 1680 1581 1631 1614 1756 1707 1572 1369 1256 1276 1505 1589 1522 1383 1345 1382 1474 1486 1484 1402 1419 1528 1614 1609 1562 1497 1568 1547 1565 1554 1530 1570 1725 1764 1756 1600 1499 1535 1629 1783 1796 1763 1763 1740 1712 1732 1669 1610 1639 1619 1670 1681 1606 1549 1524 1551 1575 1507 1472 1466 1556 1668 1740 1690 1539 1457 1483 1603 1754 1799 1765 1736 1696 1746 1803 1771 1710 1641 1658 1717 1789 1782 1732 1658 1551 1524 1541 1545 1633 1676 1704 1649 1579 1480 1532 1558 1643 1649 1654 1671 1704 1806 1860 1731 1701 1677 1756 1895 1974 1957 1895 1838 1861 1853 1810 1755 1750 1811 1925 1998 1973 1877 1697 1625 1654 1768 1840 1788 1601 1519 1570 1682 1770 1655 1499 1446 1573 1868 2041 2015 1834 1703 1667 1844 1966 2037 1974 1948 1905 1984 1968 1952 1851 1772 1789 1839 1919 1916 1906 1880 1797 1777 1708 1696 1710 1814 1838 1927 1867 1783 1760 1765 1814 1803 1784 1807 1824 1942 1942 1819 1672 1699 1759 1925 1934 1847 1785 1866 1981 2053 1963 1710 1528 1601 1840 2043 2019 1844 1615 1497 1589 1751 1826 1734 1560 1520 1690 1744 1820 1744 1568 1518 1657 1814 1941 1952 1898 1860 1841 1859 1920 1924 1998 2012 2027 2021 2062 2007 1918 1873 1831 1827 1888 2000 2011 1913 1824 1755 1774 1798 1800 1794 1720 1757 1880 1972 1991 1865 1752 1564 1671 1888 2074 2038 1861 1667 1651 1847 1971 2023 1854 1797 1795 1999 2188 2255 2045 1835 1722 1808 1912 2041 2040 1929 1786 1714 1748 1758 1713 1692 1675 1773 1911 1963 1944 1811 1745 1755 1829 1864 1916 1903 1927 1989 2004 1919 1813 1793 1796 1846 1900 1945 1983 1973 1898 1761 1689 1688 1790 1889 1945 1832 1764 1698 1743 1805 1714 1610 1540 1515 1635 1817 1939 1871 1647 1468 1472 1638 1947 2028 1907 1768 1740 1892 2055 2074 1868 1736 1815 1991 2176 2151 2045 1865 1777 1862 1860 1861 1812 1729 1773 1818 1875 1751 1661 1538 1535 1630 1770 1813 1843 1773 1728 1710 1804 1830 1883 1770 1860 1915 1993 1962 1949 1900 1784 1717 1656 1759 1901 2012 1941 1754 1633 1601 1764 1890 1845 1766 1639 1726 1810 1880 1846 1630 1560 1517 1628 1742 1871 1790 1734 1671 1669 1754 1792 1804 1807 1817 1961 2018 1935 1823 1763 1741 1818 1875 1837 1796 1774 1810 1805 1832 1708 1644 1621 1698 1705 1693 1707 1695 1637 1586 1549 1480 1588 1649 1703 1740 1676 1633 1566 1638 1694 1744 1772 1723 1662 1669 1836 1948 1906 1726 1574 1633 1830 1989 1999 1782 1581 1605 1677 1764 1799 1686 1618 1628 1688 1727 1700 1595 1546 1568 1606 1692 1678 1693 1682 1678 1733 1788 1739 1712 1658 1786 1931 2011 1951 1730 1655 1683 1798 1863 1797 1751 1691 1740 1731 1687 1559 1515 1528 1589 1583 1513 1611 1687 1760 1725 1631 1510 1548 1668 1887 1953 1829 1728 1657 1652 1813 1816 1805 1736 1680 1713 1926 1997 1945 1796 1714 1719 1835 1926 1962 1908 1810 1773 1711 1659 1622 1578 1598 1604 1665 1670 1662 1692 1622 1603 1610 1585 1651 1674 1727 1774 1761 1760 1754 1726 1750 1718 1755 1819 1929 2013 1981 1865 1671 1603 1662 1830 1965 1889 1753 1638 1663 1839 1870 1766 1558 1491 1616 1842 1966 1909 1703 1582 1566 1693 1805 1859 1806 1794 1812 1902 1953 1964 1813 1685 1669 1784 1912 1942 1902 1753 1750 1825 1774 1727 1637 1642 1688 1803 1813 1758 1568 1500 1516 1514 1558 1557 1583 1625 1592 1590 1565 1548 1630 1682 1735 1688 1720 1820 1910 1981 1948 1834 1689 1761 1827 1981 2025 2012 1854 1647 1670 1791 1901 1869 1701 1583 1709 1928 2053 1992 1784 1607 1679 1868 1956 1948 1812 1753 1778 1840 1865 1808 1731 1724 1808 1977 1969 1992 1956 1864 1875 1982 1997 2049 1939 1848 1888 1985 2014 1921 1802 1725 1725 1813 1877 1909 1859 1822 1740 1681 1647 1695 1846 1928 1802 1738 1699 1818 2023 2027 1904 1767 1717 1858 2046 2166 2087 1942 1871 1875 1956 2076 2061 1908 1866 1883 1934 1937 1858 1817 1683 1721 1821 1865 1760 1644 1680 1692 1827 1816 1692 1664 1716 1817 1840 1876 1766 1689 1721 1752 1823 1875 1893 1931 1887 1879 1925 1967 2000 2017 2002 1982 1961 1922 1969 1971 1885 1865 1833 1686 1706 1830 1924 1982 1848 1655 1590 1702 1922 2084 1966 1902 1830 1952 2083 2111 2019 1881 1843 1976 2010 2051 2007 1961 1864 1842 1847 1925 1950 1931 1904 1931 1976 1995 1912 1732 1634 1710 1855 1910 1813 1704 1657 1764 1841 1788 1713 1629 1697 1839 1966 2030 1967 1907 1869 1859 1837 1877 1953 2044 2136 2070 2004 1928 1978 2008 1967 2018 1982 1994 1910 1955 1947 1895 1812 1646 1609 1652 1780 1939 1927 1770 1655 1639 1729 1787 1770 1773 1889 1979 2048 1964 1898 1870 1875 1906 1876 1899 1939 1981 2045 2043 1915 1781 1714 1767 1850 1897 1992 1932 1932 1915 1855 1774 1763 1721 1770 1898 1958 1910 1867 1769 1717 1814 1874 1831 1715 1759 1937 2146 2262 2025 1827 1739 1852 2058 2202 2142 2009 1901 1876 1971 1952 1902 1753 1690 1746 1841 1972 1947 1874 1670 1615 1670 1735 1767 1805 1763 1841 1860 1852 1692 1579 1616 1783 1880 1887 1896 1868 1940 1937 1904 1850 1874 1906 2016 2044 2043 2014 2020 2001 1909 1856 1744 1866 1908 1989 2023 1934 1858 1720 1704 1724 1810 1894 1872 1732 1713 1733 1927 1935 1830 1618 1639 1841 2143 2265 2200 1947 1814 1885 2058 2093 2095 1953 1878 1946 1978 1958 1884 1763 1685 1636 1741 1874 1898 1810 1710 1643 1681 1750 1759 1755 1713 1781 1924 2001 2001 1829 1776 1787 1817 1916 1974 2090 2016 1898 1797 1802 1895 1996 2008 1926 1843 1832 2003 2056 2026 1787 1593 1532 1746 1950 2005 1836 1579 1432 1542 1643 1753 1662 1539 1487 1625 1779 1872 1783 1625 1556 1647 1831 1959 1952 1853 1780 1804 1866 1821 1782 1742 1772 1912 1939 1934 1861 1759 1722 1660 1739 1807 1806 1848 1758 1750 1705 1676 1681 1686 1730 1701 1730 1808 1899 1988 1989 1810 1664 1623 1791 2012 2212 2177 1942 1732 1723 1931 2070 2002 1823 1704 1774 2051 2167 2054 1795 1583 1576 1645 1825 1900 1868 1735 1640 1586 1690 1780 1683 1583 1570 1773 1978 2012 1905 1691 1633 1786 1924 2069 2016 1880 1897 1975 2087 2071 1849 1688 1637 1705 1891 1990 1989 1827 1665 1532 1504 1670 1852 1873 1775 1697 1736 1744 1781 1779 1597 1411 1437 1596 1761 1828 1837 1729 1587 1548 1611 1773 1978 1926 1878 1822 1880 1946 1930 1818 1665 1668 1772 1984 1966 1831 1678 1635 1636 1690 1693 1665 1614 1654 1812 1900 1864 1731 1576 1573 1710 1879 2018 1960 1920 1846 1871 1931 1939 1894 1910 1943 2010 2025 2078 2048 1989 1937 1835 1725 1748 1870 1953 1998 1872 1655 1560 1633 1782 1861 1813 1774 1704 1796 1878 1934 1829 1675 1560 1616 1846 1995 1997 1894 1782 1758 1907 2001 2003 1893 1912 2065 2232 2274 2107 1832 1760 1834 1962 2033 1897 1817 1775 1811 1864 1840 1752 1649 1635 1718 1864 1948 1974 1896 1751 1730 1770 1906 2012 2027 1943 1939 1938 1922 1869 1799 1799 1786 1892 1907 1844 1845 1912 1914 1854 1779 1668 1729 1871 1969 1971 1845 1739 1676 1714 1699 1673 1729 1745 1828 1809 1803 1806 1787 1747 1760 1770 1878 1913 1963 1925 1942 1954 1951 1912 1839 1820 1927 2076 2144 2040 1911 1800 1788 1856 1888 1879 1785 1795 1798 1797 1736 1674 1657 1660 1645 1663 1682 1738 1884 1903 1811 1722 1638 1705 1876 2038 2041 1981 1839 1818 1844 1945 1919 1839 1782 1754 1877 1966 1970 1916 1756 1721 1785 1879 1919 1806 1772 1848 1885 1861 1739 1625 1606 1735 1837 1920 1875 1725 1751 1775 1912 1932 1878 1867 1901 1949 2077 2068 1989 1877 1857 1910 1974 1966 1919 1908 1974 1973 1872 1736 1599 1687 1838 1927 1888 1703 1636 1697 1834 1887 1839 1686 1619 1762 1906 1991 1945 1802 1687 1690 1746 1959 2037 2010 1973 1808 1909 2015 2059 1952 1880 1841 1892 2049 2042 1968 1828 1797 1767 1856 1799 1728 1732 1726 1765 1772 1747 1622 1577 1569 1651 1718 1795 1836 1811 1755 1711 1704 1830 1877 1895 1860 1842 1908 1985 2020 1954 1841 1762 1744 1779 1874 1966 1909 1730 1652 1532 1595 1670 1765 1718 1615 1631 1735 1862 1912 1801 1646 1649 1875 1970 2038 2005 1892 1866 1923 1935 1883 1839 1836 1898 1996 2078 2071 1943 1873 1860 1897 1977 1990 2019 1916 1900 1947 1904 1916 1823 1758 1737 1733 1755 1823 1878 1825 1757 1705 1615 1643 1751 1948 2045 1972 1875 1762 1873 2038 2104 1974 1838 1746 1857 2118 2167 2071 1751 1581 1636 1828 2000 1975 1830 1734 1788 1875 1912 1774 1638 1599 1622 1809 1867 1850 1693 1616 1703 1814 1830 1786 1711 1733 1865 2025 2033 1911 1735 1644 1672 1850 1992 1963 1942 1838 1787 1785 1843 1791 1804 1717 1685 1722 1759 1815 1776 1789 1653 1552 1550 1596 1755 1854 1800 1724 1641 1672 1742 1831 1920 1927 1899 1909 2055 2094 2085 2023 1871 1889 1913 1948 1993 1953 1907 1838 1783 1830 1768 1780 1775 1818 1854 1920 1919 1820 1706 1709 1693 1767 1844 1799 1687 1638 1765 1810 1810 1753 1725 1733 1780 1868 1949 1998 1950 1891 1842 1785 1856 1943 2042 2032 1932 1819 1802 1841 1917 1880 1738 1640 1632 1691 1838 1850 1750 1590 1554 1578 1759 1898 1864
+-82 -67 -154 -115 -114 -93 -163 -306 -434 -380 -291 -248 -349 -445 -548 -651 -621 -661 -730 -834 -854 -759 -669 -676 -737 -865 -970 -937 -832 -812 -898 -960 -962 -948 -938 -1122 -1319 -1459 -1342 -1219 -1179 -1319 -1390 -1434 -1333 -1327 -1497 -1619 -1622 -1478 -1358 -1334 -1473 -1572 -1560 -1552 -1595 -1633 -1685 -1682 -1615 -1592 -1707 -1777 -1864 -1988 -2146 -2148 -2048 -1880 -1887 -2023 -2149 -2091 -1959 -1917 -2013 -2178 -2198 -2090 -1884 -1936 -2229 -2426 -2446 -2349 -2302 -2399 -2501 -2552 -2389 -2297 -2352 -2524 -2639 -2615 -2583 -2512 -2504 -2505 -2566 -2610 -2642 -2605 -2545 -2597 -2650 -2733 -2722 -2596 -2527 -2669 -2905 -3117 -3068 -2916 -2872 -2980 -3121 -3044 -2827 -2756 -2859 -2988 -3046 -2928 -2778 -2778 -2889 -3056 -3092 -3034 -3014 -3163 -3235 -3288 -3239 -3205 -3134 -3182 -3220 -3275 -3334 -3398 -3376 -3331 -3345 -3333 -3399 -3400 -3378 -3314 -3339 -3431 -3473 -3412 -3346 -3417 -3485 -3580 -3668 -3698 -3781 -3841 -3875 -3860 -3758 -3696 -3698 -3746 -3781 -3713 -3644 -3614 -3660 -3733 -3812 -3779 -3712 -3781 -3903 -4024 -3992 -3920 -3895 -3900 -3955 -4059 -3975 -3890 -3921 -4112 -4281 -4276 -4119 -3932 -3933 -4125 -4250 -4160 -3986 -3888 -3925 -4072 -4112 -4056 -4056 -4063 -4216 -4299 -4404 -4359 -4429 -4464 -4497 -4417 -4361 -4358 -4355 -4346 -4263 -4316 -4317 -4383 -4333 -4293 -4379 -4489 -4609 -4574 -4430 -4381 -4501 -4602 -4613 -4497 -4356 -4505 -4712 -4822 -4737 -4589 -4518 -4539 -4718 -4664 -4528 -4386 -4411 -4529 -4589 -4484 -4397 -4492 -4613 -4728 -4853 -4924 -4936 -4864 -4846 -4800 -4916 -4949 -4878 -4712 -4654 -4689 -4867 -5001 -4897 -4613 -4617 -4809 -5133 -5176 -5052 -4806 -4837 -5007 -5057 -4966 -4789 -4716 -4905 -5085 -5143 -5032 -4971 -4993 -5168 -5208 -5096 -5043 -5060 -5141 -5102 -4969 -4895 -4906 -4935 -4972 -4981 -4941 -5084 -5176 -5243 -5181 -5174 -5202 -5240 -5234 -5179 -5134 -5186 -5232 -5215 -5068 -5075 -5106 -5198 -5198 -5116 -5068 -5119 -5271 -5338 -5285 -5127 -5035 -5195 -5396 -5437 -5414 -5264 -5267 -5424 -5538 -5520 -5383 -5266 -5269 -5360 -5469 -5367 -5268 -5201 -5240 -5337 -5384 -5362 -5341 -5466 -5594 -5636 -5640 -5564 -5425 -5464 -5491 -5550 -5426 -5367 -5384 -5418 -5447 -5429 -5340 -5314 -5392 -5530 -5533 -5503 -5461 -5437 -5491 -5531 -5535 -5474 -5483 -5448 -5561 -5672 -5812 -5807 -5672 -5569 -5539 -5674 -5822 -5751 -5580 -5426 -5483 -5621 -5704 -5550 -5312 -5293 -5499 -5797 -5859 -5749 -5643 -5685 -5781 -5792 -5738 -5714 -5697 -5787 -5806 -5742 -5763 -5738 -5677 -5606 -5557 -5596 -5721 -5813 -5721 -5529 -5531 -5650 -5804 -5723 -5558 -5565 -5764 -5973 -6081 -5855 -5726 -5674 -5879 -5968 -5835 -5668 -5658 -5789 -5878 -5823 -5584 -5539 -5638 -5797 -5836 -5758 -5688 -5810 -6023 -6038 -5934 -5821 -5819 -5931 -6011 -5992 -5975 -5973 -5973 -5874 -5824 -5760 -5817 -5938 -5872 -5787 -5696 -5754 -5946 -5977 -5762 -5690 -5707 -5927 -6081 -6004 -5897 -5863 -5992 -6104 -6084 -5879 -5814 -5895 -6064 -6056 -6020 -5865 -5784 -5849 -5919 -5899 -5823 -5828 -5904 -5949 -6016 -6018 -5965 -5988 -6049 -6021 -6036 -6045 -6035 -6094 -6120 -6067 -6034 -5964 -5864 -5935 -5907 -6054 -6067 -5960 -5922 -5982 -6034 -6081 -6078 -5963 -5979 -6033 -6170 -6223 -6170 -6079 -5931 -6017 -6058 -6112 -6060 -5951 -5941 -6041 -6138 -6100 -5962 -5801 -5855 -5928 -6075 -6041 -5907 -5821 -6010 -6152 -6246 -6124 -5961 -5956 -6119 -6251 -6228 -6108 -5996 -5930 -6023 -6041 -5925 -5877 -5904 -6013 -6077 -6025 -6056 -6026 -6052 -6032 -6055 -6118 -6155 -6154 -6189 -6072 -6121 -6240 -6295 -6201 -5982 -5998 -6140 -6350 -6271 -6038 -5827 -5860 -6086 -6187 -6047 -5824 -5818 -6032 -6281 -6305 -6117 -5988 -6121 -6276 -6340 -6136 -6024 -6056 -6230 -6232 -6087 -5909 -5903 -5962 -6038 -6019 -5960 -6044 -6131 -6142 -6084 -6064 -6096 -6197 -6179 -6058 -5981 -6087 -6278 -6345 -6189 -6058 -6087 -6257 -6297 -6194 -6043 -6036 -6169 -6278 -6217 -5994 -5892 -6017 -6179 -6232 -6192 -6179 -6213 -6430 -6474 -6360 -6264 -6185 -6206 -6267 -6182 -6183 -6176 -6154 -6143 -6125 -6063 -6087 -6151 -6167 -6248 -6235 -6244 -6289 -6222 -6154 -6083 -6123 -6184 -6253 -6278 -6266 -6304 -6418 -6410 -6301 -6215 -6257 -6334 -6386 -6322 -6202 -6101 -6094 -6137 -6084 -6032 -5978 -6061 -6260 -6424 -6439 -6311 -6210 -6350 -6480 -6450 -6340 -6190 -6123 -6316 -6429 -6356 -6177 -6027 -5985 -6138 -6265 -6322 -6213 -6162 -6146 -6237 -6269 -6206 -6167 -6154 -6131 -6306 -6382 -6388 -6405 -6362 -6295 -6230 -6272 -6275 -6269 -6148 -6045 -6083 -6128 -6245 -6125 -5987 -6032 -6152 -6397 -6431 -6379 -6242 -6304 -6454 -6505 -6347 -6127 -6069 -6259 -6377 -6385 -6185 -6172 -6242 -6424 -6450 -6270 -6161 -6277 -6426 -6481 -6368 -6165 -6132 -6207 -6310 -6354 -6286 -6332 -6337 -6423 -6463 -6483 -6511 -6514 -6408 -6233 -6241 -6293 -6425 -6355 -6141 -5984 -6011 -6272 -6417 -6327 -6226 -6206 -6417 -6587 -6563 -6359 -6228 -6344 -6474 -6460 -6302 -6258 -6278 -6431 -6444 -6324 -6257 -6235 -6395 -6420 -6368 -6328 -6293 -6353 -6372 -6266 -6259 -6371 -6477 -6519 -6470 -6434 -6455 -6513 -6528 -6449 -6395 -6356 -6370 -6347 -6263 -6217 -6231 -6295 -6268 -6257 -6185 -6293 -6480 -6615 -6543 -6275 -6258 -6353 -6548 -6522 -6373 -6180 -6258 -6406 -6522 -6413 -6221 -6172 -6327 -6438 -6428 -6335 -6240 -6227 -6294 -6264 -6339 -6207 -6235 -6298 -6418 -6504 -6493 -6450 -6446 -6418 -6473 -6489 -6459 -6416 -6335 -6375 -6305 -6247 -6239 -6109 -6182 -6190 -6288 -6299 -6403 -6394 -6373 -6388 -6417 -6406 -6435 -6390 -6377 -6403 -6542 -6508 -6406 -6256 -6185 -6307 -6511 -6556 -6399 -6229 -6206 -6356 -6408 -6358 -6192 -6031 -6227 -6440 -6555 -6526 -6377 -6317 -6409 -6538 -6512 -6428 -6393 -6452 -6471 -6508 -6421 -6304 -6313 -6286 -6256 -6327 -6369 -6497 -6472 -6375 -6366 -6429 -6545 -6518 -6371 -6312 -6421 -6590 -6733 -6631 -6427 -6339 -6445 -6553 -6572 -6348 -6281 -6390 -6498 -6503 -6285 -6113 -6198 -6307 -6405 -6327 -6294 -6387 -6487 -6570 -6515 -6399 -6382 -6406 -6425 -6445 -6423 -6454 -6453 -6430 -6250 -6195 -6243 -6329 -6369 -6289 -6263 -6325 -6483 -6489 -6350 -6263 -6236 -6454 -6575 -6484 -6364 -6404 -6455 -6552 -6491 -6269 -6085 -6249 -6429 -6508 -6367 -6216 -6193 -6328 -6402 -6315 -6202 -6237 -6394 -6605 -6535 -6399 -6297 -6331 -6415 -6471 -6481 -6358 -6362 -6403 -6495 -6511 -6359 -6253 -6134 -6193 -6297 -6441 -6407 -6361 -6199 -6228 -6308 -6372 -6392 -6361 -6346 -6362 -6541 -6612 -6530 -6419 -6286 -6276 -6338 -6359 -6389 -6330 -6315 -6349 -6364 -6369 -6328 -6261 -6221 -6373 -6472 -6538 -6449 -6334 -6322 -6473 -6493 -6520 -6412 -6422 -6462 -6668 -6625 -6566 -6326 -6236 -6274 -6301 -6285 -6241 -6201 -6235 -6337 -6389 -6304 -6232 -6246 -6359 -6486 -6546 -6613 -6560 -6447 -6351 -6372 -6379 -6372 -6316 -6219 -6155 -6322 -6477 -6467 -6248 -6025 -6066 -6255 -6466 -6407 -6294 -6209 -6331 -6445 -6453 -6378 -6287 -6283 -6425 -6532 -6488 -6409 -6440 -6421 -6385 -6232 -6147 -6232 -6313 -6349 -6233 -6260 -6353 -6401 -6385 -6304 -6219 -6362 -6500 -6563 -6466 -6290 -6252 -6320 -6355 -6240 -6139 -6115 -6337 -6416 -6382 -6218 -6193 -6322 -6364 -6287 -6125 -6049 -6221 -6440 -6429 -6297 -6100 -6118 -6333 -6489 -6426 -6359 -6364 -6482 -6617 -6583 -6433 -6276 -6154 -6196 -6240 -6223 -6228 -6184 -6235 -6243 -6279 -6354 -6349 -6366 -6408 -6449 -6563 -6656 -6501 -6349 -6272 -6316 -6382 -6345 -6308 -6224 -6322 -6406 -6405 -6290 -6209 -6162 -6205 -6298 -6328 -6235 -6261 -6300 -6422 -6420 -6381 -6277 -6268 -6394 -6563 -6598 -6482 -6351 -6181 -6211 -6248 -6291 -6210 -6111 -6047 -6126 -6292 -6421 -6355 -6224 -6202 -6233 -6455 -6505 -6427 -6245 -6199 -6251 -6356 -6371 -6286 -6152 -6204 -6338 -6428 -6480 -6325 -6246 -6250 -6296 -6366 -6322 -6201 -6131 -6085 -6176 -6352 -6393 -6352 -6246 -6283 -6436 -6530 -6501 -6394 -6289 -6278 -6295 -6315 -6174 -6053 -6090 -6081 -6153 -6104 -6115 -6165 -6259 -6315 -6290 -6277 -6233 -6319 -6382 -6293 -6267 -6243 -6285 -6347 -6316 -6247 -6242 -6298 -6293 -6279 -6235 -6260 -6288 -6330 -6217 -6141 -6088 -6192 -6300 -6255 -6249 -6217 -6395 -6531 -6544 -6450 -6315 -6343 -6445 -6482 -6365 -6233 -6178 -6223 -6352 -6251 -6147 -6122 -6210 -6298 -6348 -6373 -6324 -6351 -6411 -6327 -6371 -6306 -6287 -6324 -6304 -6378 -6337 -6357 -6339 -6329 -6346 -6360 -6312 -6250 -6119 -6209 -6269 -6330 -6248 -6131 -6086 -6128 -6362 -6444 -6400 -6328 -6388 -6487 -6627 -6534 -6342 -6249 -6240 -6242 -6356 -6291 -6169 -6134 -6161 -6259 -6240 -6250 -6204 -6237 -6299 -6367 -6491 -6508 -6345 -6275 -6182 -6275 -6421 -6386 -6300 -6246 -6281 -6440 -6460 -6362 -6190 -6092 -6212 -6338 -6353 -6238 -6115 -6092 -6178 -6254 -6270 -6176 -6149 -6280 -6475 -6526 -6493 -6400 -6296 -6369 -6347 -6374 -6300 -6323 -6239 -6248 -6340 -6407 -6413 -6139 -6048 -6153 -6271 -6448 -6407 -6299 -6260 -6312 -6452 -6421 -6365 -6300 -6319 -6483 -6528 -6501 -6405 -6325 -6292 -6307 -6252 -6160 -6135 -6209 -6213 -6294 -6248 -6260 -6290 -6252 -6257 -6271 -6345 -6428 -6493 -6472 -6334 -6385 -6392 -6396 -6280 -6246 -6282 -6322 -6388 -6335 -6257 -6254 -6337 -6379 -6312 -6234 -6258 -6407 -6505 -6503 -6383 -6320 -6359 -6501 -6479 -6472 -6398 -6312 -6374 -6459 -6417 -6365 -6271 -6209 -6205 -6237 -6253 -6281 -6311 -6340 -6353 -6449 -6400 -6383 -6351 -6355 -6460 -6523 -6503 -6401 -6337 -6352 -6410 -6384 -6243 -6127 -6176 -6289 -6434 -6314 -6211 -6122 -6202 -6295 -6328 -6286 -6222 -6300 -6426 -6514 -6469 -6335 -6258 -6241 -6320 -6419 -6423 -6395 -6316 -6308 -6334 -6420 -6418 -6319 -6171 -6140 -6212 -6386 -6485 -6407 -6180 -6105 -6241 -6445 -6484 -6390 -6275 -6342 -6524 -6676 -6594 -6389 -6275 -6265 -6297 -6344 -6248 -6204 -6162 -6150 -6214 -6182 -6208 -6189 -6202 -6220 -6387 -6513 -6588 -6504 -6386 -6292 -6348 -6470 -6448 -6303 -6252 -6277 -6365 -6396 -6223 -6137 -6141 -6237 -6316 -6253 -6194 -6247 -6382 -6458 -6376 -6257 -6190 -6260 -6411 -6557 -6491 -6448 -6442 -6496 -6472 -6378 -6319 -6238 -6282 -6257 -6274 -6353 -6379 -6374 -6280 -6233 -6188 -6363 -6476 -6497 -6428 -6284 -6373 -6451 -6394 -6297 -6194 -6191 -6373 -6501 -6456 -6320 -6272 -6285 -6359 -6363 -6245 -6115 -6166 -6273 -6332 -6290 -6243 -6212 -6210 -6230 -6319 -6424 -6451 -6542 -6538 -6496 -6400 -6275 -6248 -6213 -6234 -6269 -6201 -6099 -6094 -6229 -6297 -6304 -6233 -6183 -6242 -6446 -6624 -6546 -6346 -6188 -6212 -6300 -6335 -6317 -6168 -6136 -6230 -6319 -6350 -6262 -6152 -6105 -6138 -6254 -6302 -6260 -6163 -6149 -6171 -6262 -6336 -6308 -6241 -6207 -6370 -6566 -6620 -6466 -6243 -6143 -6235 -6289 -6345 -6225 -6057 -6085 -6266 -6322 -6282 -6195 -6190 -6282 -6417 -6454 -6404 -6379 -6413 -6425 -6460 -6388 -6362 -6356 -6406 -6455 -6451 -6530 -6447 -6412 -6305 -6294 -6371 -6387 -6310 -6213 -6138 -6280 -6411 -6426 -6307 -6203 -6275 -6532 -6681 -6699 -6479 -6350 -6457 -6506 -6468 -6258 -6145 -6129 -6259 -6365 -6268 -6196 -6270 -6316 -6355 -6371 -6392 -6455 -6512 -6474 -6423 -6371 -6359 -6427 -6372 -6299 -6271 -6405 -6560 -6600 -6444 -6287 -6264 -6309 -6438 -6354 -6192 -6222 -6277 -6340 -6288 -6173 -6160 -6253 -6408 -6457 -6464 -6459 -6490 -6550 -6597 -6434 -6311 -6228 -6334 -6343 -6298 -6285 -6199 -6240 -6228 -6278 -6266 -6183 -6255 -6346 -6393 -6375 -6372 -6345 -6261 -6287 -6348 -6363 -6413 -6403 -6363 -6425 -6496 -6502 -6366 -6224 -6159 -6235 -6367 -6370 -6281 -6180 -6122 -6261 -6375 -6373 -6361 -6341 -6549 -6582 -6679 -6604 -6473 -6305 -6390 -6405 -6445 -6360 -6208 -6246 -6320 -6438 -6451 -6252 -6070 -6121 -6344 -6478 -6487 -6349 -6263 -6272 -6382 -6463 -6357 -6298 -6300 -6442 -6507 -6601 -6516 -6371 -6308 -6318 -6360 -6347 -6308 -6290 -6222 -6197 -6277 -6328 -6294 -6245 -6201 -6345 -6520 -6621 -6602 -6397 -6313 -6397 -6563 -6539 -6402 -6234 -6236 -6434 -6590 -6494 -6287 -6223 -6256 -6494 -6517 -6329 -6201 -6307 -6452 -6484 -6348 -6223 -6288 -6448 -6585 -6587 -6437 -6414 -6483 -6588 -6526 -6415 -6347 -6392 -6413 -6238 -6215 -6279 -6328 -6326 -6228 -6159 -6210 -6433 -6550 -6523 -6389 -6358 -6455 -6531 -6499 -6339 -6264 -6379 -6533 -6503 -6385 -6269 -6248 -6386 -6406 -6287 -6327 -6337 -6470 -6535 -6465 -6344 -6334 -6435 -6535 -6552 -6476 -6544 -6542 -6601 -6479 -6380 -6292 -6356 -6371 -6393 -6336 -6281 -6318 -6329 -6337 -6310 -6330 -6352 -6415 -6397 -6424 -6536 -6674 -6678 -6456 -6339 -6285 -6504 -6620 -6601 -6462 -6369 -6376
+56 185 141 19 -93 -149 -184 -223 -226 -225 -238 -218 -186 -81 -88 -219 -402 -479 -410 -284 -199 -243 -412 -520 -459 -357 -331 -404 -483 -510 -420 -259 -183 -208 -324 -532 -694 -671 -533 -439 -470 -544 -611 -536 -456 -399 -469 -553 -624 -516 -453 -433 -511 -607 -676 -708 -808 -808 -826 -761 -699 -673 -637 -582 -637 -764 -892 -878 -705 -583 -622 -787 -888 -799 -547 -496 -706 -938 -963 -706 -455 -489 -729 -877 -826 -737 -748 -892 -984 -928 -810 -733 -805 -895 -957 -893 -866 -904 -946 -948 -930 -938 -932 -896 -834 -866 -1032 -1119 -1049 -805 -667 -747 -999 -1149 -1033 -859 -883 -1081 -1237 -1215 -971 -863 -944 -1107 -1117 -1014 -989 -1096 -1198 -1216 -1108 -1010 -1085 -1245 -1284 -1197 -1175 -1192 -1278 -1255 -1158 -1010 -1121 -1272 -1371 -1252 -1127 -1202 -1384 -1413 -1305 -1070 -1026 -1103 -1260 -1256 -1181 -1118 -1148 -1245 -1293 -1278 -1312 -1340 -1382 -1390 -1409 -1436 -1460 -1438 -1358 -1289 -1281 -1379 -1382 -1345 -1344 -1414 -1510 -1602 -1508 -1386 -1371 -1440 -1545 -1536 -1450 -1349 -1328 -1382 -1427 -1402 -1394 -1393 -1384 -1413 -1503 -1572 -1617 -1563 -1443 -1405 -1430 -1521 -1539 -1507 -1442 -1473 -1573 -1626 -1582 -1511 -1445 -1471 -1502 -1531 -1563 -1496 -1471 -1412 -1389 -1498 -1513 -1446 -1380 -1339 -1411 -1600 -1713 -1575 -1336 -1215 -1351 -1599 -1685 -1490 -1241 -1261 -1525 -1721 -1673 -1474 -1358 -1375 -1586 -1689 -1566 -1507 -1480 -1557 -1566 -1516 -1423 -1465 -1494 -1536 -1560 -1608 -1632 -1587 -1515 -1502 -1562 -1642 -1613 -1483 -1352 -1460 -1691 -1837 -1669 -1425 -1364 -1542 -1779 -1775 -1623 -1511 -1602 -1791 -1783 -1642 -1514 -1606 -1788 -1931 -1890 -1772 -1786 -1955 -2038 -1923 -1701 -1654 -1737 -1824 -1765 -1719 -1739 -1808 -1831 -1735 -1654 -1722 -1941 -2072 -2051 -1873 -1827 -1915 -2082 -2013 -1847 -1685 -1699 -1862 -2001 -2039 -1982 -1924 -1870 -1861 -1901 -1914 -1996 -1996 -1896 -1823 -1832 -1953 -1970 -1855 -1655 -1673 -1832 -2048 -2040 -1903 -1808 -1787 -1935 -2010 -1825 -1628 -1595 -1721 -1931 -1934 -1837 -1764 -1677 -1742 -1797 -1865 -1948 -1882 -1807 -1773 -1842 -1910 -1927 -1849 -1689 -1600 -1726 -1911 -2054 -1971 -1847 -1746 -1743 -1783 -1779 -1787 -1724 -1729 -1718 -1754 -1801 -1826 -1747 -1684 -1704 -1821 -1925 -1985 -1822 -1735 -1801 -1927 -1938 -1888 -1665 -1670 -1838 -2056 -2100 -1969 -1803 -1809 -1943 -2029 -1960 -1851 -1849 -1950 -2023 -1968 -1930 -1895 -1915 -1956 -1990 -1997 -2006 -2048 -2036 -2001 -2055 -2059 -2035 -1839 -1785 -1862 -2099 -2215 -2148 -1946 -1897 -2066 -2352 -2388 -2133 -1923 -1981 -2218 -2330 -2186 -1972 -1883 -2022 -2178 -2145 -2019 -1974 -2101 -2273 -2258 -2130 -2009 -2078 -2136 -2096 -1969 -1935 -1981 -2085 -2080 -1963 -1958 -2066 -2194 -2171 -2033 -1932 -2032 -2193 -2231 -2120 -1946 -1894 -2000 -2093 -2078 -2087 -2047 -2092 -2076 -2086 -2111 -2175 -2166 -2077 -1894 -1789 -1932 -2075 -2097 -1940 -1822 -1858 -2003 -2104 -2027 -1877 -1898 -2044 -2168 -2082 -1951 -1916 -1969 -2083 -2045 -1944 -1891 -1948 -2054 -2154 -2121 -2049 -1973 -1920 -1891 -1926 -2016 -2033 -1956 -1880 -1842 -1915 -1983 -1982 -1958 -1848 -1848 -1951 -2056 -2111 -2027 -1915 -1900 -1856 -1897 -1919 -1912 -1939 -2021 -2059 -2094 -2092 -2028 -1929 -1884 -1954 -2026 -2104 -2036 -1960 -1931 -2076 -2208 -2170 -2025 -1887 -1989 -2223 -2339 -2265 -2031 -1904 -1894 -1967 -1990 -1950 -1930 -1918 -2019 -2102 -2133 -2103 -2053 -2005 -1969 -2064 -2178 -2233 -2155 -2052 -2008 -2123 -2211 -2131 -1937 -1897 -2047 -2383 -2493 -2302 -2018 -1985 -2144 -2240 -2150 -1952 -1843 -2008 -2229 -2224 -2085 -1944 -1990 -2123 -2161 -2091 -2109 -2149 -2307 -2308 -2133 -1990 -1939 -2030 -2106 -2032 -2016 -2142 -2302 -2255 -2145 -2022 -2101 -2206 -2234 -2081 -2008 -2063 -2174 -2253 -2167 -2005 -1891 -1967 -2075 -2093 -2133 -2148 -2208 -2130 -1964 -1899 -1963 -2066 -2076 -1941 -1882 -1942 -2190 -2241 -2084 -1879 -1815 -1989 -2164 -2200 -2012 -1922 -2021 -2190 -2211 -2080 -1912 -1855 -2005 -2210 -2290 -2199 -2116 -2036 -2042 -2063 -2081 -2107 -2068 -1960 -1908 -2005 -2154 -2245 -2117 -1906 -1838 -1942 -2161 -2251 -2192 -2037 -2003 -2051 -2128 -2157 -2120 -2063 -2089 -2163 -2226 -2293 -2305 -2235 -2230 -2157 -2122 -2158 -2133 -2100 -2077 -2139 -2246 -2259 -2167 -2040 -2049 -2206 -2338 -2281 -2186 -2146 -2159 -2206 -2276 -2181 -2071 -2064 -2160 -2235 -2334 -2342 -2356 -2364 -2351 -2326 -2295 -2295 -2256 -2219 -2222 -2250 -2307 -2254 -2103 -2023 -2143 -2353 -2419 -2275 -2085 -2127 -2406 -2584 -2446 -2105 -1921 -1964 -2260 -2345 -2234 -1980 -1970 -2117 -2233 -2260 -2136 -2091 -2050 -2124 -2126 -2117 -2156 -2222 -2163 -2099 -1983 -2075 -2223 -2306 -2179 -2067 -2143 -2252 -2298 -2186 -1947 -1870 -1962 -2135 -2151 -2066 -1985 -2005 -2086 -2108 -2032 -2016 -2054 -2022 -2030 -1984 -2099 -2241 -2184 -1994 -1802 -1826 -2084 -2307 -2238 -2016 -1897 -2070 -2298 -2327 -2081 -1834 -1859 -2064 -2230 -2186 -2069 -2017 -2105 -2164 -2125 -2060 -2007 -2073 -2101 -2152 -2150 -2145 -2101 -2037 -1953 -1942 -2051 -2183 -2258 -2144 -2121 -2152 -2259 -2323 -2168 -2041 -2015 -2165 -2253 -2299 -2223 -2195 -2226 -2275 -2278 -2241 -2240 -2295 -2410 -2442 -2357 -2268 -2178 -2109 -2096 -2071 -2126 -2168 -2165 -2143 -2174 -2313 -2372 -2287 -2148 -2039 -2104 -2280 -2424 -2375 -2154 -2052 -2060 -2152 -2235 -2184 -2116 -2112 -2174 -2310 -2343 -2330 -2217 -2068 -2061 -2093 -2216 -2219 -2061 -1993 -2021 -2208 -2289 -2117 -1868 -1811 -2005 -2271 -2356 -2104 -1859 -1835 -2036 -2213 -2148 -1956 -1854 -2015 -2180 -2267 -2222 -2158 -2104 -2103 -2021 -1988 -2066 -2142 -2218 -2114 -1966 -1931 -2018 -2161 -2093 -1990 -1929 -2077 -2175 -2195 -2066 -1989 -2009 -2083 -2044 -1949 -1930 -2032 -2168 -2205 -2087 -2064 -2078 -2059 -2016 -1920 -2013 -2176 -2226 -2135 -1965 -1952 -2177 -2348 -2322 -2066 -1934 -2093 -2406 -2460 -2290 -2014 -1912 -2057 -2228 -2205 -2051 -2023 -2136 -2296 -2292 -2181 -2095 -2109 -2171 -2190 -2133 -2103 -2117 -2125 -2102 -2099 -2118 -2191 -2175 -2116 -2156 -2260 -2449 -2473 -2346 -2172 -2092 -2229 -2326 -2252 -2087 -1983 -2061 -2217 -2295 -2215 -2167 -2115 -2178 -2246 -2277 -2236 -2218 -2215 -2171 -2169 -2137 -2143 -2131 -2104 -2105 -2162 -2271 -2290 -2256 -2143 -2108 -2217 -2242 -2218 -2074 -1995 -2084 -2186 -2275 -2211 -2097 -2080 -2152 -2230 -2287 -2264 -2188 -2150 -2115 -2154 -2179 -2120 -2016 -1876 -1916 -2075 -2163 -2132 -1962 -1908 -2032 -2238 -2276 -2057 -1854 -1893 -2123 -2289 -2243 -1996 -1873 -1963 -2132 -2265 -2144 -2041 -2006 -2073 -2174 -2177 -2113 -2065 -2056 -1991 -1924 -1976 -2070 -2149 -2085 -2009 -1955 -2049 -2144 -2080 -1947 -1867 -1982 -2135 -2166 -2057 -2019 -2047 -2179 -2181 -2146 -2061 -2159 -2229 -2243 -2188 -2170 -2195 -2201 -2102 -1909 -1916 -2143 -2410 -2421 -2167 -1944 -2062 -2349 -2487 -2303 -1983 -1864 -2077 -2363 -2368 -2163 -1944 -1973 -2089 -2212 -2149 -2115 -2229 -2358 -2351 -2284 -2225 -2172 -2197 -2152 -2119 -2139 -2254 -2289 -2240 -2184 -2214 -2331 -2359 -2244 -2110 -2134 -2308 -2494 -2435 -2184 -1981 -2028 -2152 -2292 -2193 -2097 -2146 -2277 -2358 -2322 -2175 -2101 -2118 -2124 -2131 -2105 -2108 -2193 -2199 -2175 -2156 -2098 -2112 -2101 -2096 -2185 -2320 -2395 -2274 -2098 -1965 -1983 -2110 -2125 -2091 -1957 -1948 -2076 -2216 -2242 -2101 -1927 -1840 -1902 -2060 -2211 -2248 -2144 -2010 -1923 -2053 -2153 -2133 -1992 -1898 -2013 -2250 -2426 -2265 -2046 -1847 -1962 -2136 -2197 -2071 -1920 -2034 -2243 -2335 -2297 -2064 -1996 -1988 -2073 -2181 -2224 -2205 -2180 -2162 -2039 -2020 -2072 -2155 -2147 -2088 -2101 -2236 -2371 -2348 -2185 -2021 -1971 -2041 -2170 -2189 -2188 -2228 -2349 -2364 -2277 -2204 -2253 -2370 -2422 -2324 -2258 -2357 -2462 -2439 -2237 -2040 -2037 -2219 -2406 -2283 -2136 -2183 -2409 -2540 -2390 -2103 -1950 -2081 -2354 -2375 -2239 -2073 -2161 -2299 -2361 -2257 -2064 -2134 -2308 -2411 -2408 -2334 -2251 -2273 -2257 -2147 -2083 -2105 -2132 -2145 -2151 -2212 -2298 -2324 -2215 -2057 -2018 -2197 -2330 -2326 -2094 -1918 -1985 -2230 -2284 -2147 -1873 -1778 -2003 -2277 -2354 -2222 -2067 -2062 -2163 -2166 -2129 -2030 -2003 -2089 -2163 -2227 -2197 -2180 -2109 -2066 -2018 -2115 -2167 -2177 -2103 -2043 -2049 -2175 -2258 -2110 -1931 -1864 -2018 -2252 -2338 -2265 -2067 -1987 -2008 -2101 -2179 -2139 -2045 -1971 -1975 -2091 -2241 -2285 -2197 -2007 -1992 -2107 -2297 -2314 -2202 -2112 -2190 -2279 -2304 -2166 -1998 -2030 -2197 -2313 -2332 -2164 -2153 -2200 -2283 -2258 -2161 -2046 -2008 -2102 -2145 -2210 -2278 -2285 -2233 -2166 -2128 -2245 -2396 -2430 -2320 -2230 -2248 -2333 -2357 -2233 -2062 -2055 -2140 -2261 -2320 -2255 -2268 -2318 -2343 -2275 -2125 -2088 -2175 -2247 -2204 -2114 -2141 -2251 -2274 -2146 -1993 -2009 -2238 -2446 -2405 -2189 -2109 -2210 -2409 -2395 -2115 -1845 -1865 -2177 -2408 -2391 -2172 -2071 -2117 -2271 -2256 -2226 -2153 -2207 -2261 -2270 -2254 -2223 -2169 -2063 -1951 -1961 -2077 -2230 -2283 -2161 -2059 -2123 -2250 -2214 -1983 -1754 -1848 -2132 -2368 -2271 -2020 -1875 -1979 -2154 -2212 -2095 -1982 -2042 -2201 -2301 -2239 -2077 -1933 -1966 -1969 -1957 -1964 -2038 -2144 -2198 -2137 -2082 -2059 -2007 -1965 -1921 -1986 -2149 -2261 -2172 -2021 -1925 -1966 -2134 -2162 -2057 -1960 -1988 -2155 -2285 -2287 -2143 -1934 -1872 -1960 -2128 -2258 -2315 -2292 -2203 -2199 -2261 -2345 -2248 -2121 -2020 -2038 -2222 -2354 -2277 -2102 -1995 -2040 -2166 -2251 -2165 -2079 -2196 -2334 -2438 -2363 -2171 -2021 -2030 -2082 -2171 -2253 -2294 -2239 -2175 -2215 -2309 -2382 -2405 -2287 -2185 -2239 -2372 -2471 -2449 -2256 -2092 -2057 -2119 -2175 -2251 -2282 -2349 -2360 -2297 -2233 -2207 -2259 -2293 -2185 -2060 -2062 -2194 -2341 -2311 -2137 -1995 -2090 -2279 -2327 -2212 -2070 -2198 -2391 -2420 -2247 -1955 -1928 -2083 -2305 -2281 -2125 -2017 -2130 -2316 -2348 -2185 -2097 -2043 -2129 -2130 -2121 -2109 -2129 -2107 -2036 -1989 -1991 -2067 -2094 -2063 -2057 -2149 -2306 -2312 -2147 -2009 -1990 -2237 -2347 -2240 -1969 -1909 -2132 -2394 -2439 -2158 -1883 -1918 -2170 -2390 -2330 -2131 -2010 -2085 -2260 -2267 -2232 -2144 -2100 -2108 -2179 -2229 -2307 -2302 -2185 -2072 -2001 -2086 -2201 -2196 -2065 -2014 -2119 -2374 -2493 -2367 -2201 -2122 -2191 -2408 -2459 -2370 -2290 -2205 -2259 -2373 -2392 -2333 -2299 -2224 -2255 -2370 -2506 -2549 -2426 -2242 -2181 -2231 -2352 -2368 -2242 -2123 -2178 -2317 -2436 -2387 -2288 -2197 -2267 -2410 -2442 -2413 -2329 -2311 -2312 -2368 -2314 -2229 -2153 -2154 -2178 -2274 -2376 -2397 -2313 -2213 -2164 -2208 -2210 -2215 -2141 -2117 -2134 -2239 -2207 -2167 -2141 -2096 -2158 -2136 -2110 -2111 -2229 -2318 -2287 -2092 -1936 -1941 -2095 -2143 -2074 -2010 -2118 -2292 -2330 -2258 -2057 -2084 -2235 -2308 -2220 -2079 -2052 -2236 -2385 -2269 -2014 -1774 -1864 -2113 -2316 -2221 -2081 -2019 -2075 -2173 -2103 -2028 -2004 -2021 -2054 -2089 -2196 -2311 -2299 -2175 -2017 -2012 -2172 -2281 -2201 -2064 -2074 -2298 -2412 -2314 -1994 -1861 -2059 -2353 -2500 -2365 -2111 -2064 -2286 -2445 -2344 -2050 -1853 -1914 -2170 -2352 -2301 -2224 -2144 -2153 -2207 -2243 -2232 -2306 -2331 -2306 -2286 -2291 -2316 -2318 -2201 -2078 -2089 -2262 -2390 -2414 -2313 -2319 -2364 -2447 -2381 -2213 -2039 -2094 -2298 -2368 -2365 -2259 -2203 -2164 -2236 -2237 -2271 -2306 -2318 -2285 -2269 -2300 -2360 -2319 -2167 -1978 -1965 -2102 -2330 -2398 -2307 -2243 -2227 -2302 -2339 -2296 -2162 -2177 -2278 -2374 -2363 -2286 -2192 -2117 -2127 -2205 -2246 -2255 -2195 -2252 -2292 -2361 -2385 -2203 -2011 -1935 -1987 -2137 -2196 -2190 -2107 -2086 -2124 -2187 -2168 -2121 -2152 -2199 -2276 -2285 -2238 -2237 -2255 -2230 -2108 -2008 -2042 -2196 -2286 -2273 -2119 -2074 -2159 -2232 -2131 -1983 -1908 -2067 -2270 -2305 -2145 -1994 -2056 -2211 -2244 -2156 -2021 -2007 -2145 -2286 -2256 -2151 -2067 -2046 -2070 -2067 -2131 -2206 -2247 -2275 -2234 -2240 -2329 -2339 -2238 -2061 -2031 -2194 -2400 -2370 -2149 -1960 -2108 -2370 -2465 -2297 -1998 -1950 -2251 -2579 -2562 -2239 -1929 -1928 -2142 -2317 -2273 -2145 -2082 -2192 -2329 -2366 -2379 -2347 -2275 -2214 -2185 -2239 -2378 -2401 -2327 -2146 -2152 -2279 -2394 -2344 -2164 -2115 -2262 -2422 -2521 -2338 -2153 -2088 -2183 -2278 -2216 -2097 -1997 -2095 -2254 -2327 -2324 -2274 -2232
+-125 -86 -235 -343 -360 -174 35 5 -144 -281 -319 -321 -365 -364 -414 -337 -274 -406 -422 -402 -319 -266 -341 -463 -448 -292 -100 -227 -460 -668 -686 -609 -491 -601 -634 -603 -387 -280 -337 -539 -590 -614 -547 -501 -627 -668 -568 -523 -563 -733 -813 -809 -661 -515 -511 -585 -564 -530 -552 -755 -977 -1013 -898 -734 -622 -730 -744 -663 -560 -539 -747 -874 -860 -596 -481 -581 -796 -924 -946 -900 -919 -929 -912 -732 -578 -557 -625 -716 -734 -803 -875 -993 -1050 -935 -822 -779 -906 -1028 -948 -806 -803 -912 -975 -761 -558 -624 -901 -1126 -1198 -1020 -967 -1121 -1217 -1136 -788 -576 -683 -1020 -1171 -1033 -881 -939 -1139 -1217 -1107 -999 -1098 -1342 -1468 -1300 -1040 -937 -1076 -1192 -1121 -872 -802 -1075 -1438 -1595 -1333 -1141 -1211 -1355 -1435 -1183 -1024 -1045 -1280 -1496 -1353 -1136 -1098 -1277 -1406 -1323 -1258 -1255 -1447 -1631 -1523 -1311 -1126 -1133 -1156 -1143 -1133 -1274 -1456 -1617 -1568 -1418 -1433 -1520 -1615 -1539 -1350 -1352 -1558 -1697 -1601 -1315 -1147 -1212 -1419 -1529 -1496 -1462 -1553 -1734 -1752 -1631 -1292 -1194 -1289 -1511 -1480 -1431 -1413 -1537 -1684 -1708 -1623 -1534 -1509 -1643 -1724 -1655 -1633 -1606 -1459 -1388 -1273 -1189 -1265 -1491 -1606 -1663 -1641 -1671 -1704 -1670 -1584 -1406 -1325 -1402 -1526 -1547 -1479 -1451 -1485 -1502 -1541 -1461 -1538 -1630 -1767 -1793 -1699 -1595 -1572 -1510 -1461 -1396 -1336 -1387 -1619 -1745 -1819 -1766 -1770 -1819 -1797 -1718 -1571 -1502 -1572 -1759 -1727 -1611 -1406 -1423 -1542 -1682 -1609 -1544 -1617 -1849 -2034 -1973 -1709 -1481 -1465 -1599 -1670 -1514 -1463 -1613 -1876 -1963 -1860 -1709 -1695 -1874 -2008 -1908 -1775 -1753 -1916 -1937 -1796 -1569 -1492 -1616 -1785 -1901 -1844 -1930 -2083 -2209 -2082 -1755 -1614 -1693 -1843 -1958 -1861 -1701 -1786 -2019 -2078 -1921 -1773 -1784 -1982 -2155 -2201 -2039 -1999 -1967 -1913 -1798 -1697 -1775 -1895 -2040 -2014 -2002 -2062 -2170 -2157 -1959 -1754 -1752 -1912 -2132 -2053 -1798 -1766 -1895 -2075 -1989 -1845 -1708 -1855 -2227 -2281 -2188 -1924 -1813 -1856 -1858 -1787 -1650 -1667 -1849 -2020 -2058 -2066 -2029 -2056 -2081 -1942 -1881 -1930 -2014 -2098 -2020 -1840 -1798 -1824 -1888 -1852 -1825 -1873 -2042 -2198 -2212 -2052 -1902 -1864 -1908 -1831 -1708 -1687 -1754 -2001 -2041 -2037 -1926 -1908 -1981 -2084 -2068 -2029 -2003 -2144 -2143 -2069 -1891 -1761 -1765 -1782 -1872 -1917 -2054 -2163 -2284 -2256 -2120 -1942 -1880 -1898 -2033 -2089 -1986 -1950 -2035 -2117 -2094 -1957 -1909 -1988 -2229 -2368 -2339 -2236 -2121 -2064 -2076 -1956 -1844 -1803 -1867 -2047 -2196 -2220 -2193 -2132 -2133 -2164 -2137 -2123 -2150 -2208 -2267 -2161 -2098 -2042 -2070 -2063 -1994 -2024 -2116 -2353 -2407 -2276 -2137 -1997 -1980 -2086 -2032 -1986 -1976 -2042 -2152 -2220 -2261 -2151 -2118 -2148 -2225 -2253 -2244 -2225 -2233 -2142 -2005 -1969 -2028 -2120 -2086 -1965 -1991 -2128 -2328 -2380 -2200 -1995 -1995 -2136 -2162 -2059 -1896 -1942 -2071 -2234 -2245 -2027 -1934 -2066 -2253 -2299 -2198 -2072 -2099 -2228 -2199 -2024 -1794 -1840 -1981 -2091 -2084 -2089 -2224 -2246 -2317 -2167 -2066 -2099 -2164 -2136 -2041 -1970 -2024 -2101 -2091 -1997 -1906 -1951 -2168 -2325 -2269 -2174 -2120 -2191 -2251 -2163 -1895 -1805 -1917 -2079 -2201 -2117 -2096 -2174 -2290 -2283 -2191 -2036 -2189 -2324 -2310 -2185 -2056 -2030 -2074 -2088 -2030 -1964 -2088 -2267 -2475 -2457 -2277 -2222 -2234 -2252 -2219 -2102 -2024 -2000 -2160 -2178 -2148 -2098 -2097 -2132 -2230 -2299 -2294 -2298 -2294 -2254 -2204 -2199 -2129 -2068 -2065 -2137 -2214 -2328 -2354 -2337 -2292 -2298 -2305 -2313 -2217 -2122 -2114 -2150 -2212 -2181 -2116 -2094 -2131 -2199 -2290 -2322 -2371 -2380 -2406 -2409 -2358 -2236 -2132 -2083 -2045 -2133 -2102 -2170 -2203 -2294 -2316 -2250 -2166 -2212 -2268 -2274 -2223 -2095 -2057 -2089 -2181 -2248 -2133 -2037 -2044 -2180 -2409 -2425 -2292 -2150 -2229 -2268 -2192 -1984 -1784 -1861 -2065 -2203 -2220 -2030 -2019 -2146 -2322 -2335 -2267 -2130 -2211 -2346 -2333 -2182 -2042 -1949 -1938 -2010 -2097 -2160 -2272 -2350 -2313 -2241 -2159 -2194 -2290 -2218 -2062 -2029 -2106 -2216 -2185 -2083 -1990 -2166 -2337 -2472 -2405 -2329 -2392 -2514 -2535 -2309 -2054 -1963 -2083 -2248 -2175 -2109 -2058 -2212 -2404 -2401 -2257 -2134 -2245 -2356 -2403 -2287 -2183 -2134 -2211 -2246 -2151 -2091 -2226 -2383 -2444 -2459 -2373 -2372 -2396 -2414 -2274 -2165 -2170 -2235 -2317 -2214 -2151 -2176 -2313 -2380 -2408 -2324 -2354 -2477 -2490 -2401 -2277 -2305 -2264 -2193 -2060 -2044 -2159 -2297 -2402 -2302 -2243 -2312 -2454 -2574 -2410 -2166 -2047 -2179 -2352 -2357 -2192 -2028 -2076 -2144 -2321 -2323 -2255 -2297 -2444 -2486 -2441 -2256 -2147 -2107 -2141 -2136 -2039 -2056 -2201 -2374 -2326 -2321 -2190 -2219 -2312 -2337 -2299 -2231 -2213 -2188 -2182 -2101 -2017 -2021 -2059 -2250 -2345 -2405 -2397 -2431 -2423 -2449 -2293 -2122 -2088 -2097 -2176 -2304 -2261 -2188 -2120 -2228 -2269 -2303 -2299 -2285 -2334 -2321 -2277 -2287 -2179 -2090 -2038 -2007 -2088 -2169 -2316 -2366 -2368 -2332 -2348 -2385 -2300 -2262 -2191 -2224 -2306 -2393 -2216 -2028 -2039 -2160 -2380 -2346 -2304 -2238 -2334 -2541 -2662 -2465 -2153 -2088 -2116 -2319 -2262 -2180 -2152 -2316 -2414 -2446 -2379 -2360 -2420 -2424 -2386 -2317 -2294 -2325 -2288 -2189 -2087 -2066 -2197 -2322 -2313 -2287 -2395 -2523 -2628 -2503 -2333 -2186 -2292 -2387 -2281 -2190 -2060 -2156 -2393 -2436 -2294 -2154 -2209 -2262 -2314 -2245 -2157 -2279 -2386 -2332 -2096 -1867 -1968 -2150 -2381 -2294 -2131 -2205 -2445 -2651 -2493 -2190 -1979 -2091 -2316 -2395 -2221 -2043 -2082 -2252 -2264 -2088 -1951 -2059 -2365 -2491 -2408 -2206 -2132 -2210 -2175 -2070 -1891 -1914 -2112 -2226 -2315 -2261 -2213 -2238 -2277 -2210 -2105 -2085 -2252 -2340 -2275 -2072 -1975 -2032 -2203 -2231 -2130 -2124 -2240 -2498 -2576 -2493 -2357 -2272 -2276 -2215 -2177 -2114 -2150 -2300 -2359 -2312 -2259 -2282 -2308 -2331 -2234 -2262 -2317 -2389 -2445 -2335 -2156 -2114 -2187 -2255 -2246 -2228 -2222 -2387 -2583 -2621 -2440 -2259 -2192 -2205 -2327 -2335 -2191 -2140 -2231 -2413 -2492 -2415 -2225 -2198 -2309 -2488 -2494 -2493 -2427 -2359 -2314 -2295 -2104 -2047 -2088 -2163 -2342 -2398 -2456 -2473 -2448 -2340 -2241 -2227 -2244 -2393 -2389 -2287 -2224 -2280 -2305 -2250 -2157 -2063 -2219 -2480 -2597 -2534 -2286 -2219 -2197 -2226 -2166 -1902 -1905 -2145 -2365 -2460 -2296 -2264 -2240 -2360 -2258 -2168 -2125 -2215 -2410 -2428 -2109 -1862 -1836 -2014 -2122 -1994 -1901 -2028 -2379 -2520 -2411 -2077 -1923 -2058 -2262 -2231 -2017 -1960 -2094 -2330 -2336 -2169 -1995 -2101 -2286 -2383 -2235 -2152 -2139 -2224 -2164 -1993 -1807 -1842 -1957 -2032 -2014 -2048 -2214 -2405 -2481 -2270 -2025 -2004 -2227 -2339 -2216 -2090 -2063 -2183 -2267 -2217 -2089 -1964 -2133 -2293 -2375 -2339 -2290 -2262 -2328 -2217 -2013 -1843 -1981 -2173 -2286 -2246 -2227 -2311 -2460 -2452 -2273 -2155 -2193 -2372 -2446 -2345 -2124 -2165 -2218 -2301 -2142 -1960 -1949 -2243 -2545 -2581 -2442 -2322 -2369 -2433 -2384 -2144 -2007 -2093 -2293 -2512 -2486 -2352 -2282 -2318 -2419 -2396 -2342 -2316 -2428 -2433 -2416 -2283 -2131 -2075 -2030 -2047 -2138 -2265 -2419 -2570 -2553 -2391 -2290 -2320 -2379 -2319 -2201 -2083 -2147 -2304 -2368 -2260 -2063 -2016 -2148 -2377 -2475 -2413 -2290 -2384 -2402 -2393 -2235 -2005 -1972 -2084 -2227 -2219 -2172 -2197 -2342 -2474 -2347 -2167 -2046 -2201 -2308 -2333 -2170 -2027 -2058 -2211 -2186 -2053 -1924 -2047 -2306 -2503 -2414 -2223 -2227 -2289 -2413 -2245 -1976 -1877 -2034 -2237 -2297 -2196 -2094 -2228 -2407 -2377 -2265 -2146 -2260 -2392 -2409 -2274 -2096 -2150 -2189 -2132 -1990 -1945 -2121 -2407 -2557 -2426 -2300 -2323 -2357 -2317 -2079 -1931 -2064 -2285 -2465 -2310 -2126 -2104 -2269 -2483 -2395 -2226 -2184 -2452 -2681 -2606 -2231 -2017 -2029 -2242 -2245 -2085 -2049 -2263 -2528 -2517 -2364 -2173 -2224 -2406 -2405 -2190 -2015 -2152 -2364 -2471 -2275 -1966 -1976 -2194 -2503 -2442 -2302 -2188 -2424 -2616 -2512 -2140 -1907 -1956 -2110 -2259 -2189 -2130 -2190 -2353 -2404 -2357 -2208 -2234 -2383 -2408 -2313 -2266 -2260 -2308 -2193 -2115 -1931 -2025 -2285 -2418 -2363 -2235 -2195 -2383 -2450 -2346 -2025 -1860 -1971 -2207 -2249 -2143 -1968 -2146 -2274 -2434 -2309 -2144 -2145 -2356 -2491 -2512 -2274 -2031 -2008 -2109 -2076 -1997 -1870 -1993 -2211 -2381 -2397 -2240 -2161 -2247 -2288 -2258 -2065 -2121 -2191 -2318 -2283 -2123 -2078 -2112 -2239 -2315 -2305 -2285 -2354 -2515 -2501 -2350 -2126 -2048 -2085 -2196 -2180 -2146 -2252 -2335 -2421 -2434 -2299 -2318 -2347 -2441 -2391 -2352 -2399 -2450 -2404 -2322 -2086 -2061 -2256 -2518 -2497 -2398 -2351 -2479 -2653 -2511 -2204 -2019 -2059 -2385 -2457 -2305 -2127 -2215 -2424 -2602 -2476 -2217 -2206 -2531 -2759 -2677 -2340 -2116 -2209 -2390 -2382 -2106 -2024 -2198 -2498 -2534 -2399 -2256 -2360 -2494 -2503 -2252 -2034 -2180 -2424 -2468 -2267 -2046 -2055 -2366 -2520 -2369 -2168 -2187 -2413 -2678 -2576 -2346 -2130 -2170 -2310 -2284 -2106 -2068 -2238 -2455 -2470 -2387 -2286 -2333 -2444 -2394 -2266 -2134 -2203 -2332 -2297 -2145 -2022 -2094 -2322 -2392 -2252 -2048 -2260 -2585 -2741 -2534 -2154 -2049 -2139 -2332 -2302 -2074 -1918 -2055 -2375 -2485 -2329 -2127 -2117 -2316 -2504 -2497 -2360 -2340 -2334 -2378 -2305 -2102 -2100 -2249 -2355 -2425 -2443 -2474 -2520 -2456 -2365 -2327 -2232 -2246 -2328 -2326 -2263 -2205 -2299 -2380 -2376 -2265 -2257 -2343 -2504 -2636 -2553 -2372 -2344 -2390 -2317 -2284 -2178 -2211 -2355 -2495 -2468 -2367 -2433 -2402 -2506 -2414 -2265 -2207 -2270 -2437 -2435 -2342 -2133 -2131 -2327 -2487 -2443 -2309 -2368 -2547 -2718 -2591 -2323 -2091 -2224 -2383 -2407 -2113 -1947 -2152 -2453 -2603 -2433 -2163 -2090 -2365 -2592 -2512 -2290 -2177 -2266 -2460 -2383 -2190 -2080 -2222 -2329 -2363 -2296 -2322 -2499 -2596 -2460 -2127 -1926 -2019 -2274 -2286 -2101 -1929 -2118 -2340 -2456 -2299 -2030 -2123 -2431 -2633 -2501 -2284 -2217 -2308 -2383 -2266 -1934 -1923 -2109 -2380 -2445 -2337 -2325 -2420 -2535 -2423 -2228 -2146 -2283 -2471 -2470 -2183 -2050 -2184 -2408 -2458 -2212 -2065 -2215 -2588 -2759 -2608 -2246 -2157 -2256 -2315 -2215 -1996 -1981 -2216 -2469 -2546 -2327 -2191 -2295 -2454 -2480 -2366 -2338 -2392 -2497 -2435 -2294 -2138 -2115 -2215 -2320 -2350 -2364 -2523 -2653 -2596 -2489 -2393 -2352 -2482 -2439 -2325 -2173 -2230 -2403 -2490 -2439 -2204 -2185 -2337 -2511 -2557 -2428 -2388 -2450 -2488 -2439 -2248 -2070 -2165 -2385 -2497 -2508 -2420 -2430 -2648 -2687 -2547 -2423 -2268 -2345 -2440 -2396 -2307 -2209 -2300 -2359 -2341 -2234 -2190 -2309 -2565 -2616 -2539 -2337 -2265 -2375 -2453 -2325 -2089 -1962 -2100 -2434 -2527 -2430 -2283 -2273 -2382 -2443 -2423 -2283 -2208 -2294 -2400 -2251 -2088 -2063 -2141 -2237 -2210 -2205 -2301 -2546 -2703 -2677 -2455 -2236 -2244 -2325 -2246 -2162 -2062 -2173 -2347 -2364 -2215 -2048 -2100 -2336 -2524 -2477 -2241 -2255 -2382 -2440 -2285 -1990 -1944 -2084 -2307 -2370 -2293 -2329 -2482 -2582 -2475 -2285 -2212 -2280 -2463 -2372 -2134 -2042 -2171 -2361 -2269 -2120 -2012 -2205 -2540 -2592 -2445 -2263 -2344 -2504 -2509 -2293 -2042 -2065 -2280 -2475 -2446 -2263 -2141 -2370 -2600 -2593 -2422 -2304 -2357 -2438 -2433 -2313 -2213 -2284 -2314 -2305 -2192 -2222 -2402 -2592 -2593 -2456 -2357 -2478 -2557 -2483 -2278 -2074 -2124 -2323 -2408 -2275 -2171 -2135 -2438 -2536 -2357 -2184 -2144 -2384 -2563 -2529 -2266 -2063 -2143 -2306 -2326 -2159 -2191 -2288 -2534 -2618 -2488 -2378 -2284 -2357 -2311 -2251 -2054 -2107 -2237 -2323 -2239 -2062 -2029 -2191 -2382 -2449 -2347 -2242 -2267 -2390 -2322 -2251 -1993 -1946 -2024 -2149 -2269 -2209 -2233 -2315 -2375 -2378 -2309 -2186 -2180 -2234 -2264 -2263 -2198 -2125 -2147 -2051 -2073 -2099 -2163 -2291 -2363 -2352 -2332 -2358 -2338 -2226 -2050 -1926 -1982 -2119 -2224 -2189 -2129 -2039 -2156 -2357 -2359 -2267 -2177 -2275 -2380 -2455 -2371 -2203 -2072 -2091 -2165 -2137 -2109 -2157 -2352 -2485 -2440 -2346 -2365 -2420 -2414 -2292 -2168 -2156 -2304 -2445 -2450 -2198 -2118 -2270 -2377 -2401 -2272 -2176 -2309 -2485 -2525 -2333 -2036 -2011 -2126 -2276 -2232 -2188 -2233 -2383 -2588 -2493 -2420 -2329 -2395 -2455 -2340 -2254 -2298 -2371 -2391 -2257 -2082 -2052 -2240 -2324 -2332 -2291 -2316 -2443 -2566 -2367 -2097 -1920 -2087 -2315
+-33 26 29 95 253 389 371 298 242 305 384 340 229 150 204 379 360 242 116 97 245 468 543 537 507 510 477 463 342 198 162 190 309 415 458 410 462 509 627 604 531 379 412 579 692 570 247 33 87 328 442 420 361 401 595 780 764 579 423 383 442 502 498 427 411 422 430 373 362 340 406 438 518 611 629 504 404 290 350 409 382 300 262 411 576 656 556 329 274 367 453 435 375 385 505 498 374 250 245 420 556 455 371 386 586 726 587 243 42 121 366 505 427 290 373 570 678 577 373 288 449 619 628 500 411 442 481 476 476 432 483 564 632 749 799 816 654 452 357 460 674 700 603 495 634 893 1001 837 585 503 690 946 934 719 581 610 688 677 541 489 576 827 989 1014 933 918 954 944 805 677 728 802 896 862 771 786 841 907 870 827 868 1004 1170 1136 1038 855 716 695 679 700 667 691 734 894 1001 1061 981 786 716 770 971 1063 934 722 698 789 877 825 636 525 637 881 1043 979 811 685 718 678 634 569 558 646 753 872 874 749 674 644 663 734 781 738 685 664 737 733 654 484 385 445 699 877 860 756 684 702 740 709 570 532 620 769 784 742 691 772 821 760 601 574 728 948 996 828 600 587 752 795 697 548 645 893 1102 1075 895 734 791 840 879 755 676 757 908 996 992 956 952 923 950 1043 1174 1279 1242 1027 834 842 912 954 787 624 702 1018 1293 1331 1140 998 1072 1263 1242 1109 960 1043 1167 1210 1067 829 833 994 1116 1119 1048 1083 1252 1340 1251 1068 919 908 929 941 876 920 1033 1090 1071 961 964 984 1058 1049 1040 1037 1036 1005 958 828 790 736 717 793 900 1037 1102 1024 954 886 911 885 840 701 723 830 1010 998 854 749 746 910 1028 962 799 782 894 1027 971 773 520 487 669 800 857 806 755 794 879 921 881 737 616 621 773 972 1031 947 796 723 834 965 1015 887 823 889 1030 1047 924 756 663 693 771 799 838 989 1156 1176 1076 961 982 1065 1080 946 832 853 1054 1136 1026 809 739 909 1162 1256 1181 1141 1258 1345 1377 1149 949 807 892 1032 1110 1125 1134 1156 1159 1209 1260 1281 1258 1141 1065 1152 1301 1279 1084 788 708 874 1135 1230 1106 1020 1181 1402 1416 1181 927 855 998 1203 1123 985 967 1075 1209 1194 1028 929 1028 1196 1280 1190 1125 1073 1016 854 726 733 824 923 982 958 1002 1112 1186 1122 963 853 867 979 1062 1061 954 901 842 819 813 851 922 914 915 922 1016 1080 1030 828 637 700 875 1038 980 865 884 1036 1142 1069 825 656 772 980 1105 1007 789 722 797 942 988 918 892 941 1083 1186 1250 1132 935 781 775 848 944 944 973 968 1068 1213 1246 1151 1067 1061 1168 1265 1235 1100 1044 1073 1087 1004 889 928 1061 1236 1272 1285 1241 1292 1356 1214 1032 976 1082 1198 1217 1090 1019 1126 1304 1365 1289 1186 1247 1420 1525 1461 1240 1120 1061 1094 1066 993 987 1046 1164 1268 1334 1379 1416 1261 1142 1167 1264 1341 1241 1033 945 1022 1219 1275 1085 927 1036 1318 1492 1399 1128 1000 1117 1200 1139 907 739 859 1085 1176 1041 981 950 1089 1151 1103 1008 998 1064 1072 1057 951 937 946 901 835 830 895 1015 1061 1013 918 925 923 908 882 840 885 961 976 1014 1040 1067 1087 1022 964 936 1020 1067 1038 943 839 882 972 899 782 779 967 1178 1269 1127 983 970 1127 1239 1089 872 785 932 1231 1365 1259 1054 989 1096 1288 1342 1246 1166 1067 1062 1173 1201 1101 988 904 1014 1269 1413 1422 1306 1303 1354 1441 1360 1198 1054 1092 1255 1332 1219 1116 1181 1250 1352 1365 1345 1399 1502 1487 1431 1296 1282 1321 1249 1024 894 1025 1280 1426 1383 1215 1173 1324 1456 1481 1314 1157 1168 1223 1271 1185 1097 1015 947 978 1050 1185 1251 1241 1175 1100 1160 1226 1191 960 838 917 1144 1270 1176 975 964 1156 1287 1251 972 838 950 1203 1260 1005 785 755 945 1096 1051 939 920 1072 1190 1160 1015 905 910 950 935 908 957 1008 1056 1040 1029 1010 1064 1022 1046 975 1035 1125 1102 1023 943 940 1013 1029 977 968 1039 1170 1230 1193 1131 1076 1089 1076 969 979 1056 1184 1227 1167 1062 1154 1291 1380 1316 1134 1123 1292 1460 1442 1220 933 957 1114 1286 1352 1309 1274 1409 1602 1684 1590 1440 1265 1238 1296 1327 1340 1222 1123 1136 1273 1334 1303 1228 1259 1423 1599 1617 1461 1258 1241 1283 1266 1170 1019 1058 1269 1454 1442 1335 1280 1292 1322 1333 1249 1271 1308 1345 1240 1057 1000 1026 1065 1100 1012 1052 1192 1332 1362 1225 1021 1005 1014 1014 986 1011 1083 1151 1151 1069 1043 1074 1116 1087 1026 1075 1145 1164 1061 897 834 906 972 902 797 848 1144 1420 1343 1024 764 805 1080 1165 1045 841 786 1025 1240 1186 927 769 828 1011 1155 1103 1051 1001 1048 1039 936 888 908 1014 1072 1168 1285 1337 1332 1168 1054 1044 1122 1133 1071 986 988 1153 1265 1188 1028 958 1044 1236 1381 1373 1346 1328 1283 1184 1028 948 1021 1088 1087 1073 1168 1379 1516 1502 1261 1130 1211 1429 1536 1399 1160 1065 1151 1240 1172 977 882 1019 1310 1498 1529 1351 1237 1208 1247 1234 1095 971 966 1066 1241 1346 1285 1082 974 1099 1283 1431 1333 1193 1127 1196 1249 1149 948 760 831 1027 1167 1240 1233 1248 1183 1176 1085 1051 1027 1075 1011 974 957 1042 1037 930 778 718 820 1054 1160 1124 1040 1007 1047 974 855 746 726 810 923 976 1015 1067 1047 971 882 883 981 1074 1045 928 935 1048 1097 992 737 605 793 1127 1254 1106 855 849 1009 1112 947 700 586 867 1198 1332 1223 1076 1098 1198 1227 1100 1003 1033 1147 1205 1152 1013 932 924 925 939 1029 1185 1328 1348 1375 1319 1347 1327 1143 963 914 1095 1289 1343 1211 1028 1081 1236 1276 1259 1198 1247 1398 1430 1383 1224 1139 1152 1078 990 998 1178 1408 1562 1495 1292 1249 1369 1418 1314 1121 1054 1160 1360 1316 1092 882 848 1071 1267 1244 1152 1110 1267 1388 1358 1144 903 742 811 974 1140 1135 1045 1005 985 1129 1202 1112 963 938 1033 1207 1210 1096 843 764 795 859 903 905 961 1048 1119 1109 991 909 910 862 895 886 938 1034 1089 1028 980 897 990 971 934 983 988 1104 1154 1017 915 838 868 926 980 1031 1108 1209 1199 1151 1088 1075 1041 989 907 896 1072 1250 1211 1035 901 1016 1213 1351 1187 1052 1088 1310 1457 1306 969 776 892 1165 1327 1223 1079 1190 1423 1559 1528 1277 1178 1220 1305 1364 1334 1294 1226 1186 1162 1218 1311 1390 1324 1299 1296 1443 1555 1466 1144 967 1035 1244 1331 1218 1078 1155 1366 1532 1505 1352 1299 1370 1479 1400 1306 1249 1268 1271 1079 923 929 1129 1312 1293 1160 1118 1320 1482 1428 1123 929 994 1153 1253 1136 933 930 1073 1256 1240 1073 991 1088 1264 1359 1274 1119 983 963 1045 1084 1079 979 954 991 1166 1244 1261 1125 956 948 1062 1153 1143 1027 977 998 1113 1154 1084 1022 1019 1114 1185 1147 1031 966 982 1024 976 948 929 963 1071 1150 1242 1217 1187 1191 1174 1176 1225 1221 1147 1138 1147 1140 1171 1159 1150 1164 1184 1226 1211 1280 1349 1351 1262 1089 1050 1094 1251 1305 1200 1126 1220 1453 1620 1521 1309 1233 1373 1512 1465 1214 1036 1079 1267 1343 1221 1045 1093 1318 1520 1565 1419 1324 1334 1370 1351 1263 1140 1156 1124 1120 1191 1278 1355 1297 1211 1242 1376 1497 1470 1256 1104 1147 1308 1281 1088 883 927 1144 1379 1310 1116 1112 1266 1435 1403 1159 989 1085 1235 1201 1049 933 993 1140 1200 1023 927 1023 1209 1272 1083 921 893 1060 1126 951 754 773 978 1150 1151 966 854 1001 1156 1205 1095 916 825 881 943 978 935 871 848 879 976 1096 1123 1062 926 877 1012 1071 1098 898 725 804 997 1156 1134 987 961 1107 1271 1275 1107 947 965 1098 1180 1150 994 978 1076 1198 1257 1192 1166 1157 1186 1245 1282 1225 1147 1077 1043 1048 1109 1134 1159 1223 1256 1320 1376 1371 1392 1366 1291 1227 1119 1132 1219 1202 1088 1005 1013 1136 1348 1383 1348 1319 1437 1582 1551 1340 1112 1118 1218 1297 1205 1014 1009 1159 1383 1414 1248 1104 1112 1264 1364 1339 1228 1105 1012 994 1048 1133 1141 1132 1047 1080 1255 1468 1435 1169 944 906 1138 1231 1083 821 803 956 1185 1143 927 805 917 1193 1290 1125 927 929 1048 1050 895 709 770 919 1022 954 903 988 1094 1146 979 817 822 1045 1150 1060 849 724 840 1030 1045 895 771 852 1080 1194 1155 1079 972 928 944 956 962 1010 1043 1031 1031 1089 1177 1157 1031 922 956 1081 1148 1047 871 870 1041 1189 1174 1008 997 1181 1442 1497 1302 1115 1075 1209 1260 1182 986 941 1076 1302 1389 1329 1262 1242 1309 1364 1388 1339 1296 1250 1243 1165 1145 1116 1126 1101 1144 1224 1355 1401 1431 1442 1369 1332 1291 1255 1235 1206 1216 1176 1164 1214 1218 1205 1152 1147 1212 1344 1363 1261 1087 1038 1113 1158 1048 897 792 950 1199 1323 1308 1147 1034 1088 1203 1220 1137 999 903 883 970 1047 1036 903 760 786 917 1195 1279 1123 959 973 1074 1211 1047 818 709 882 1112 1141 936 763 845 1060 1139 978 790 747 968 1080 1064 888 798 866 956 967 920 979 1120 1182 1108 956 930 1068 1158 1054 884 838 1011 1265 1326 1186 1037 1026 1187 1245 1217 1098 1057 1113 1094 1072 987 976 1024 1071 1116 1254 1392 1460 1389 1293 1303 1344 1392 1206 981 933 1197 1431 1420 1148 1005 1078 1415 1595 1445 1194 1129 1318 1524 1444 1207 984 1011 1169 1322 1354 1334 1316 1388 1399 1366 1309 1276 1235 1198 1096 1148 1176 1178 1130 1054 1089 1175 1268 1310 1318 1337 1378 1397 1308 1220 1095 1046 1000 1042 1080 1119 1170 1166 1101 1097 1211 1279 1235 1112 1030 1057 1183 1181 1020 826 756 890 1077 1129 1044 994 1011 1163 1212 1122 981 862 885 958 1082 1104 994 907 901 965 1084 1111 963 844 873 1035 1178 1087 873 712 851 1089 1180 1044 930 963 1177 1339 1225 970 796 903 1040 1076 992 914 1005 1130 1139 1065 1030 1173 1290 1290 1166 1097 1187 1292 1216 1029 917 952 1119 1276 1252 1194 1240 1340 1406 1340 1236 1247 1204 1225 1187 1165 1194 1235 1216 1140 1171 1273 1378 1391 1331 1369 1428 1520 1373 1133 1007 1111 1319 1429 1280 1085 1213 1495 1674 1559 1263 1131 1299 1484 1439 1155 970 979 1128 1189 1090 995 1068 1233 1427 1434
+152 170 107 122 212 353 362 295 224 271 392 446 360 205 239 433 515 488 307 209 332 526 552 488 408 419 477 556 484 421 406 512 556 565 513 510 602 664 647 554 512 565 662 724 720 623 554 517 554 612 613 652 703 659 642 698 765 740 760 669 714 763 869 803 713 657 704 747 783 682 663 698 797 871 787 671 648 755 902 936 783 630 659 849 906 860 765 727 803 875 881 787 815 888 996 934 806 769 818 951 930 795 716 753 950 1034 984 804 802 877 970 966 908 873 929 970 973 923 900 903 997 1023 999 982 1036 1088 1117 1074 1079 1061 1044 1069 1061 1132 1178 1210 1088 985 970 1143 1283 1250 1132 1083 1223 1372 1389 1230 1065 1096 1263 1424 1350 1216 1158 1246 1336 1287 1175 1152 1234 1396 1393 1304 1182 1242 1388 1465 1363 1276 1345 1454 1574 1512 1393 1372 1411 1525 1499 1405 1341 1428 1542 1503 1456 1328 1356 1428 1431 1411 1363 1405 1420 1473 1460 1433 1445 1463 1429 1409 1477 1545 1587 1507 1440 1428 1462 1538 1479 1342 1268 1330 1452 1513 1459 1352 1348 1420 1491 1463 1337 1303 1396 1540 1524 1375 1265 1270 1407 1481 1450 1328 1332 1440 1567 1537 1403 1306 1312 1360 1452 1444 1383 1440 1440 1446 1386 1352 1390 1494 1605 1583 1552 1546 1565 1579 1520 1459 1376 1461 1557 1579 1577 1518 1509 1504 1542 1541 1514 1542 1622 1650 1629 1583 1575 1539 1547 1535 1585 1645 1715 1719 1702 1701 1749 1815 1764 1643 1615 1713 1841 1907 1769 1575 1519 1659 1809 1814 1695 1595 1691 1864 1899 1800 1686 1697 1805 1873 1835 1743 1743 1861 1899 1847 1746 1708 1842 1941 1897 1765 1732 1792 1902 1934 1834 1733 1757 1834 1859 1811 1741 1776 1886 1917 1818 1756 1755 1793 1842 1867 1827 1837 1869 1856 1805 1746 1723 1768 1751 1722 1686 1740 1817 1786 1729 1664 1670 1767 1866 1830 1768 1788 1859 1944 1871 1722 1637 1726 1855 1892 1777 1663 1692 1855 1888 1797 1600 1561 1718 1849 1877 1738 1631 1629 1748 1776 1711 1667 1681 1804 1874 1871 1808 1793 1804 1791 1779 1777 1826 1837 1860 1795 1738 1724 1772 1805 1831 1798 1786 1844 1894 1906 1893 1855 1874 1881 1891 1903 1867 1884 1937 1930 1938 1946 1982 1985 1947 1895 1907 1967 1995 2001 1945 1865 1945 2002 2049 1938 1862 1908 2023 2187 2131 2008 1925 2010 2117 2152 2038 1911 1894 2032 2138 2080 1917 1844 1888 1976 2018 1919 1910 1930 2035 2072 1962 1888 1899 1980 2046 2028 1952 1994 2076 2095 2015 1926 1861 1949 2014 2064 2016 1964 1988 1973 1927 1831 1802 1896 1985 1966 1930 1889 1913 1942 1927 1886 1844 1922 1997 2020 1977 1917 1934 1936 1883 1826 1803 1891 1982 1941 1850 1744 1806 1968 2006 1877 1721 1811 1957 2098 2023 1814 1751 1882 1981 1986 1845 1732 1828 2012 2077 1968 1812 1786 1898 2015 1975 1880 1836 1891 1979 1944 1892 1857 1889 1927 1969 1925 1932 1960 2027 2020 1972 1979 2024 2041 2017 1937 1957 2034 2074 2077 2005 2008 2044 2093 2059 2009 2002 2090 2141 2167 2032 1949 1981 2015 2051 2002 1969 2028 2115 2137 2055 1960 1967 2097 2215 2185 2090 2061 2112 2204 2165 2039 1923 1977 2127 2185 2096 1967 1915 2024 2117 2133 2028 1921 2000 2154 2230 2203 2062 2018 2075 2131 2096 2063 2048 2061 2109 2094 2032 1980 1976 1979 2018 2003 2000 2000 2002 2015 1964 1930 1919 1966 1942 1968 2025 2057 2052 2012 1951 1927 2011 2032 2025 1969 1900 1888 1942 1895 1838 1807 1855 1926 1985 1926 1867 1902 1976 2038 1978 1853 1842 2008 2087 2049 1875 1794 1877 2048 2085 1988 1872 1870 2029 2104 1993 1864 1833 1965 2054 2026 1891 1838 1913 2081 2189 2088 1973 1965 2068 2212 2189 2078 2040 2023 2085 2107 2078 2031 2028 1979 1921 1986 2081 2107 2098 1991 1979 2110 2206 2180 2045 2009 2054 2209 2279 2183 2064 2044 2172 2268 2181 2038 2056 2127 2289 2302 2172 2036 2077 2151 2198 2118 2092 2153 2258 2226 2085 2034 2043 2160 2230 2143 2015 2021 2166 2291 2210 1989 1900 1989 2200 2243 2184 2023 1950 2017 2091 2074 1982 1939 1995 2040 2092 2056 2031 2035 2036 2069 2066 2054 2061 2046 2051 2066 2064 2043 1977 1956 1953 1995 2082 2052 1966 1915 1930 2019 2064 1991 1877 1845 1934 2042 2061 1976 1922 1913 2028 2094 2058 1990 1979 2029 2101 2056 1973 1898 1976 2028 2020 1936 1869 1922 2082 2137 2067 1961 1947 2023 2064 2031 1945 1919 2033 2132 2144 2053 1965 2024 2118 2155 2086 2022 2057 2200 2270 2186 2044 1918 1988 2131 2175 2196 2137 2127 2122 2160 2127 2176 2210 2171 2165 2172 2277 2346 2298 2144 2042 2077 2233 2315 2266 2168 2086 2226 2310 2249 2079 1970 2056 2255 2331 2234 2071 2110 2258 2277 2214 2030 2051 2230 2342 2337 2227 2122 2139 2188 2159 2073 2053 2158 2224 2252 2057 1993 2008 2104 2180 2030 1914 1956 2095 2223 2167 2047 1980 2016 2105 2125 2084 2092 2089 2130 2081 2043 2026 2033 2046 2011 1972 1979 2022 2048 2024 1999 1996 2015 1993 1949 1912 1949 2105 2147 2048 1908 1846 1966 2104 2125 1987 1862 1935 2135 2212 2100 1928 1804 1882 2033 2084 2015 1939 1997 2104 2110 2043 1973 1977 2115 2185 2163 2078 2116 2129 2197 2126 2040 1985 2053 2151 2163 2136 2133 2116 2172 2135 2017 1985 2046 2156 2235 2202 2114 2075 2103 2161 2154 2083 2027 2116 2226 2280 2269 2223 2105 2104 2076 2140 2223 2259 2227 2138 2091 2144 2171 2177 2084 2040 2058 2177 2254 2215 2072 2023 2093 2205 2199 2115 1972 2015 2168 2320 2223 2034 1923 2067 2204 2257 2132 1994 1995 2157 2232 2168 2023 1970 2034 2086 2071 2016 2046 2108 2129 2087 2000 1984 2050 2170 2086 1994 1970 2033 2112 2071 1974 1854 1849 1925 1984 1930 1908 1908 1992 2000 1953 1867 1858 1932 1948 1976 1974 2055 2069 2049 1990 1907 1930 2026 2033 2021 1990 2048 2126 2110 1918 1723 1777 1937 2090 2044 1876 1792 1933 2082 2099 1948 1794 1935 2118 2241 2168 2030 1990 2073 2124 2061 1940 1951 2040 2174 2168 2034 1956 2000 2078 2080 2020 1990 2033 2145 2212 2169 2072 2099 2107 2109 2097 2089 2122 2226 2240 2111 2035 2033 2070 2155 2125 2078 2073 2110 2194 2172 2123 2100 2084 2123 2141 2148 2148 2185 2253 2184 2131 2182 2217 2244 2195 2095 2093 2183 2258 2207 2052 1917 1979 2097 2141 2041 1881 1928 2131 2259 2236 2059 1905 1936 2095 2206 2157 2017 1975 2026 2141 2122 1964 1878 1963 2057 2156 2096 2073 2041 2058 2014 1931 1898 1960 2015 2057 1994 1967 1932 1981 2027 1948 1940 1943 1999 2065 2060 1977 1974 1997 2027 1939 1857 1918 1988 2101 2085 1981 1940 1959 2013 1994 1940 1954 2019 2097 2018 1931 1911 1979 2035 2019 1946 1924 2062 2207 2195 2046 1884 1954 2100 2215 2090 1929 1899 2048 2157 2136 1961 1855 1994 2198 2288 2091 1962 2014 2160 2255 2248 2078 2041 2137 2195 2242 2168 2132 2118 2193 2183 2179 2119 2183 2146 2106 2080 2109 2177 2228 2113 2045 2024 2148 2227 2192 2074 2038 2108 2219 2239 2158 2087 2096 2172 2188 2173 2116 2117 2196 2139 2084 2046 2068 2103 2129 2052 1963 2024 2128 2189 2058 1956 1935 2094 2223 2200 2073 1963 2004 2166 2196 2024 1887 1894 2054 2218 2155 1990 1906 1941 2079 2133 2060 1923 1934 1965 2067 2039 1978 1944 1957 2025 2097 2089 2098 2068 2087 2057 2085 2104 2089 2060 1998 1950 2008 2017 1974 1925 1883 1965 2059 2121 2041 1978 1979 2065 2148 2121 2041 1995 2033 2137 2192 2091 1998 2017 2131 2185 2120 2061 2085 2172 2174 2084 1960 2007 2110 2197 2144 1998 1996 2119 2259 2241 2100 2011 2087 2302 2432 2281 2123 2074 2192 2309 2253 2123 2036 2115 2260 2292 2157 2035 2013 2154 2212 2220 2136 2099 2168 2210 2200 2186 2192 2239 2195 2205 2194 2238 2222 2164 2081 2068 2166 2260 2247 2080 1992 2057 2185 2229 2162 2006 1997 2095 2228 2173 2037 1966 2039 2185 2192 2111 2028 2108 2144 2120 2042 1957 2040 2137 2145 1983 1913 1929 2057 2134 1988 1881 1890 2068 2202 2111 1965 1895 1988 2074 2082 1935 1828 1944 2097 2159 2093 1919 1850 1929 2051 2128 2059 2016 1995 2006 1998 1979 1946 1911 1888 1887 1957 2042 2135 2047 1904 1887 1982 2105 2159 2094 1979 2010 2125 2171 2120 1968 1909 2010 2149 2181 2047 1962 1979 2140 2182 2084 1959 1911 2039 2155 2174 2084 2031 2083 2149 2141 2079 2031 2114 2198 2245 2156 2101 2132 2222 2240 2134 2015 1985 2111 2245 2217 2106 2019 2028 2120 2211 2123 2075 2100 2215 2296 2257 2167 2137 2160 2205 2167 2105 2065 2118 2187 2228 2168 2116 2026 1978 2020 2055 2154 2206 2149 2077 2043 2078 2179 2159 2060 1909 1983 2169 2371 2309 2101 1944 1951 2120 2185 2100 1976 1965 2064 2147 2007 1855 1815 1858 1977 2069 1972 1952 2061 2136 2096 1960 1863 1966 2051 2044 1970 1937 1992 2045 2034 1892 1820 1844 2033 2163 2069 1972 1940 2040 2118 2057 1900 1829 1890 2023 2103 2053 2026 1980 1987 2008 1986 2000 2052 2084 2027 2007 2023 2085 2099 2006 1928 1923 2033 2129 2097 2002 1962 2091 2189 2217 2050 1966 2012 2198 2318 2192 2038 2033 2186 2297 2259 2072 1972 2113 2317 2364 2264 2146 2083 2144 2181 2158 2080 2091 2190 2267 2211 2145 2109 2129 2190 2169 2124 2144 2220 2313 2349 2253 2186 2185 2233 2227 2214 2214 2221 2295 2264 2173 2110 2051 2082 2162 2159 2119 2133 2143 2187 2202 2148 2083 2043 2011 2061 2116 2186 2237 2170 2018 1943 2001 2125 2203 2137 2019 1988 2098 2216 2198 1997 1815 1862 1967 2165 2135 2015 1980 2055 2182 2204 2080 2009 2052 2161 2194 2084 1964 1936 2035 2086 2014 1887 1867 1910 2033 2053 2053 2018 2062 2042 2019 1951 1937 2029 2144 2117 2024 1988 2061 2174 2172 2050 2014 2063 2188 2320 2228 2134 2058 2044 2057 2017 1990 2017 2111 2174 2129 2117 2105 2116 2146 2106 2057 2150 2258 2334 2266 2152 2169 2217 2238 2108 1988 2029 2259 2467 2395 2151 1980 2044 2262 2338 2223 2048 2083 2282 2456 2364 2162 2045 2086 2222 2308 2269 2230 2265 2335 2267 2199 2063 2096 2187 2249 2189 2207 2182 2203 2213 2129 2092 2137 2163 2206 2171 2185 2228 2305 2279 2203 2084 2119 2174 2237 2209 2147 2108 2153 2139 2098 2064 2037 2058 2073 2085 2081 2127 2206 2166 2074 1928 1935 2058 2200 2139 2012 1967 2017 2162 2200 2046 1935 1932 2087 2253 2213 2014 1949 1999 2100 2085 1971 1838 1884 2048 2204 2194 2071 1970 2004 2109 2154 2063 1974 2009 2067 2132 2140 2046 1989 1912 1944 1981 2052 2130 2198 2160 2126 2054 2069 2100 2124 2099 2029 2101 2165 2210 2176 2064 2011 2061 2127 2191 2188 2191 2229 2287 2250 2139 2096 2116 2191 2163 2127 2136 2182 2247 2199 2087 2033 2133 2206 2212 2129 2071 2180 2298 2351 2190 2024 2044 2204 2408 2367 2199 2091 2191 2365 2386 2249 2081 2070 2228 2316 2271 2102 2031 2106 2197 2183 2091 2013 2080 2158 2246 2189
+-40 -43 -85 -27 35 156 230 269 219 148 140 139 109 2 10 70 111 124 45 -37 10 82 90 107 127 172 190 196 98 53 16 59 34 25 29 116 242 243 145 14 20 107 217 183 129 70 128 165 146 84 37 107 232 264 198 168 217 225 248 138 117 104 188 191 148 144 242 311 381 311 247 248 286 310 234 132 128 198 245 227 116 60 145 306 372 375 406 450 454 404 282 197 237 307 353 318 245 309 342 390 305 244 281 350 444 433 390 339 356 291 231 185 231 340 430 429 384 352 403 396 379 296 238 261 358 422 407 345 304 274 286 332 376 437 482 501 421 335 256 269 267 226 232 271 371 411 392 356 309 325 393 450 429 396 383 388 330 254 202 218 279 333 333 307 293 345 413 431 354 294 316 342 402 403 370 403 422 457 428 388 326 380 404 343 332 288 322 353 307 259 263 348 473 512 490 445 489 537 509 406 326 318 399 440 453 376 344 439 496 466 400 416 458 589 602 531 480 439 422 377 281 256 337 509 580 525 456 448 502 515 474 415 446 505 568 511 410 357 382 412 439 468 524 658 693 593 436 343 387 446 484 443 458 545 616 610 518 493 514 613 639 566 534 540 577 515 403 285 296 428 532 530 483 484 566 586 564 485 489 527 588 583 505 481 518 580 521 431 400 509 601 641 557 471 455 498 527 502 461 436 496 543 518 492 478 520 537 489 433 432 473 494 463 423 407 491 580 569 502 449 521 618 654 611 507 456 490 472 438 358 354 444 596 656 618 592 582 580 597 599 579 591 600 568 479 422 425 448 453 492 507 575 640 643 615 522 444 464 557 566 561 553 585 666 682 619 539 556 647 747 735 685 589 581 574 561 447 385 453 561 658 683 697 661 677 638 559 603 673 693 644 531 431 475 560 558 493 470 574 740 846 771 626 550 595 639 643 528 500 546 587 585 553 525 593 670 680 610 578 628 743 681 593 531 568 630 631 527 476 509 616 687 585 448 449 512 622 546 460 436 520 681 690 614 538 559 632 663 606 560 580 582 571 492 433 464 519 477 432 423 563 681 710 602 457 466 567 620 566 485 448 595 691 661 512 430 475 630 728 740 671 608 613 592 495 426 456 550 637 658 661 672 706 688 598 566 566 670 720 662 560 467 483 534 575 600 623 683 806 823 783 671 610 627 625 564 506 513 574 629 633 556 562 633 666 729 748 755 772 766 726 624 548 539 543 558 501 510 567 657 697 589 540 559 642 715 720 598 529 530 609 619 560 545 572 650 680 618 593 613 672 670 599 531 522 596 600 574 560 604 672 729 670 517 468 497 579 559 490 453 502 572 522 449 385 464 624 706 666 584 555 597 600 526 444 462 567 654 617 529 495 548 565 564 476 480 566 675 668 600 503 542 598 598 525 509 649 776 791 646 491 478 561 566 508 383 432 556 629 634 524 482 556 716 736 741 761 780 767 675 525 455 520 573 609 585 559 628 711 726 669 638 695 751 792 691 592 570 588 587 537 482 523 671 737 715 632 630 680 787 754 652 578 546 617 634 600 572 591 642 619 609 585 635 678 714 701 636 619 651 659 627 554 532 605 670 734 673 594 597 643 637 518 502 509 532 573 509 462 514 535 571 553 559 572 671 754 729 641 566 562 585 560 492 498 550 666 736 647 496 458 504 555 553 535 557 625 662 617 553 487 535 628 679 648 607 630 639 572 431 364 422 570 646 648 600 595 678 698 665 568 590 685 683 631 484 467 529 591 573 514 476 616 770 838 764 697 686 746 765 667 572 564 597 592 539 505 525 627 672 641 615 643 772 823 779 627 544 602 690 675 596 564 612 729 754 720 671 731 755 715 614 522 562 645 641 551 503 541 645 673 639 595 635 777 807 777 657 600 620 621 568 466 501 603 733 743 619 540 537 648 702 674 655 669 713 674 578 463 487 572 590 597 556 610 691 686 602 502 527 626 703 705 651 554 607 658 655 539 469 482 616 687 661 556 516 551 569 591 563 564 660 724 774 775 716 673 632 579 546 550 604 601 592 469 451 505 605 725 662 627 647 699 795 729 613 541 540 625 641 647 631 645 690 704 702 709 727 775 758 678 628 614 653 651 629 581 587 658 720 711 670 714 791 827 774 642 573 601 679 649 542 491 584 704 779 760 647 644 727 833 847 749 698 675 630 578 520 516 609 661 674 639 759 846 928 807 668 624 707 782 765 625 533 536 612 628 519 465 517 662 786 750 653 593 637 663 602 550 554 675 748 706 641 585 589 631 596 559 584 693 734 666 526 487 521 664 696 657 596 629 700 724 626 549 515 581 618 635 556 533 594 664 661 610 566 651 701 750 706 652 617 649 595 559 549 601 653 654 633 616 699 784 766 715 624 610 688 742 694 606 577 569 673 714 734 688 709 692 699 647 635 614 615 588 502 477 516 623 659 723 737 816 859 886 846 740 665 680 698 724 654 600 588 619 579 527 571 646 787 867 848 730 683 668 674 661 585 587 601 662 681 642 621 628 665 687 695 741 751 773 742 625 525 522 593 602 572 546 610 717 781 714 583 571 627 693 679 608 561 633 695 630 550 509 540 693 737 669 618 582 629 602 516 443 481 595 681 655 564 581 693 723 696 655 628 675 735 682 610 566 632 672 641 565 544 594 666 646 560 534 618 679 700 631 532 532 627 693 722 735 738 747 730 658 580 600 675 689 654 567 589 649 694 638 579 598 735 848 884 808 732 673 664 636 546 525 523 603 635 651 612 671 739 786 734 714 768 804 848 751 606 521 507 525 535 554 606 701 776 756 692 616 648 670 685 672 659 691 695 680 605 530 527 566 668 707 692 681 651 585 549 511 488 538 559 584 531 542 587 633 641 640 613 641 700 696 674 596 573 542 588 550 531 464 543 626 681 692 643 650 661 628 615 535 515 544 594 578 543 556 572 596 576 525 533 596 660 688 598 509 554 602 630 623 578 579 673 733 702 644 561 549 520 516 508 577 653 720 724 690 643 687 723 676 657 667 721 753 668 540 464 446 489 530 524 586 699 791 793 694 578 626 700 746 754 702 691 659 684 617 583 603 665 734 773 742 804 822 750 638 539 538 622 708 653 583 573 649 751 744 685 630 647 756 798 728 631 582 597 595 531 506 543 649 709 737 699 712 722 702 637 545 569 619 637 593 518 537 603 671 697 635 613 652 750 760 719 659 633 603 582 539 458 471 499 575 571 600 590 584 595 572 567 591 657 718 712 691 649 621 578 549 555 590 660 723 746 613 498 457 485 521 557 570 568 635 716 761 714 610 536 548 620 689 680 654 560 499 521 529 579 629 659 656 712 756 781 758 616 527 497 543 639 668 652 637 633 644 659 631 591 675 734 765 746 653 594 592 588 604 572 617 660 712 712 667 634 655 654 612 586 586 644 639 576 521 518 604 698 741 658 638 687 787 830 766 626 564 578 567 481 414 402 499 579 622 589 575 632 681 645 597 600 642 674 617 540 474 504 546 558 495 514 599 725 737 656 549 487 528 585 562 502 522 568 611 625 528 507 528 541 545 532 561 654 639 577 457 411 461 585 639 628 607 656 646 625 544 453 491 572 594 584 556 579 629 618 577 509 593 704 823 829 747 674 595 591 577 549 535 552 591 615 586 605 649 625 579 596 571 638 703 685 621 561 556 664 700 668 668 716 756 759 732 665 621 592 607 602 531 568 616 708 707 696 654 668 723 744 736 667 629 613 601 580 519 492 519 566 556 554 598 680 735 777 729 664 632 631 612 551 503 526 577 643 601 550 503 572 657 681 699 678 712 737 698 591 508 517 588 629 645 659 641 642 609 553 507 519 602 650 591 528 508 559 619 597 535 555 650 759 807 734 668 608 605 577 529 550 582 661 664 618 581 627 666 706 658 594 635 689 738 680 582 525 558 607 615 620 642 728 785 687 574 556 625 718 716 658 595 642 707 718 671 612 642 675 770 750 703 727 684 694 653 613 666 728 736 671 605 659 788 876 825 714 645 667 666 640 533 519 554 630 617 600 588 641 748 800 779 745 778 816 787 690 585 587 635 668 671 611 613 679 693 675 592 571 629 717 735 654 605 584 603 632 608 570 594 655 729 739 694 679 640 604 548 538 566 628 681 647 604 584 594 627 650 650 652 703 753 747 622 525 486 514 582 618 602 642 689 763 684 642 562 613 689 753 687 657 554 536 540 540 567 626 677 711 679 702 700 738 686 628 554 606 723 763 732 643 603 694 742 743 682 615 611 653 665 603 546 553 607 639 611 633 706 817 856 783 732 694 716 761 667 620 603 652 706 652 568 608 710 785 781 689 671 772 866 864 733 626 591 669 699 621 538 569 683 758 735 703 682 716 683 638 583 636 706 769 662 563 507 607 683 696 656 618 736 786 749 585 436 432 570 659 678 638 628 707 760 725 622 592 620 690 678 634 593 573 517 456 398 442 582 653 640 582 584 652 681 647 539 502 567 667 713 650 559 526 579 617 586 575 625 694 744 703 620 537 511 546 565 562 579 626 682 682 652 604
+-85 -212 -393 -359 -211 -25 66 33 -126 -247 -231 -188 -182 -296 -366 -379 -310 -315 -378 -497 -513 -466 -421 -405 -425 -395 -307 -248 -313 -464 -606 -595 -485 -376 -413 -463 -489 -495 -496 -546 -565 -603 -555 -520 -476 -449 -402 -395 -427 -459 -468 -507 -495 -437 -388 -369 -394 -483 -611 -662 -555 -461 -398 -461 -497 -376 -141 -7 -5 -173 -293 -213 -116 -110 -252 -429 -422 -347 -346 -449 -550 -452 -217 -29 17 -64 -40 93 195 139 -109 -310 -325 -177 -44 -16 -141 -181 -184 -74 -24 21 13 -29 -27 -17 -25 -21 -109 -251 -302 -241 -147 -69 -70 -72 -3 61 37 -88 -221 -246 -139 -115 -188 -335 -401 -365 -290 -329 -397 -459 -353 -212 -132 -222 -322 -362 -386 -422 -533 -687 -696 -556 -434 -477 -620 -664 -598 -446 -357 -415 -529 -591 -530 -434 -526 -664 -809 -877 -792 -698 -676 -742 -797 -801 -732 -664 -699 -792 -847 -832 -695 -621 -669 -755 -773 -721 -617 -621 -799 -931 -937 -838 -714 -734 -871 -935 -900 -755 -649 -628 -602 -610 -562 -523 -486 -537 -604 -683 -730 -743 -743 -730 -604 -593 -571 -531 -510 -508 -434 -316 -303 -295 -425 -488 -433 -396 -420 -556 -707 -667 -495 -268 -169 -256 -322 -251 -118 -86 -226 -335 -329 -260 -208 -302 -473 -506 -367 -192 -173 -241 -277 -209 -15 2 -173 -368 -478 -474 -418 -356 -361 -367 -326 -283 -239 -154 -123 -128 -178 -233 -241 -263 -325 -456 -595 -647 -598 -559 -595 -639 -554 -399 -306 -385 -489 -493 -380 -275 -374 -556 -744 -715 -606 -575 -719 -889 -925 -746 -656 -697 -805 -813 -659 -586 -617 -756 -834 -803 -696 -661 -789 -940 -973 -858 -761 -807 -922 -987 -984 -949 -876 -876 -927 -893 -855 -843 -798 -813 -806 -806 -781 -796 -858 -940 -960 -971 -916 -906 -906 -930 -889 -734 -562 -483 -592 -750 -770 -671 -573 -591 -747 -861 -858 -725 -572 -638 -731 -689 -562 -431 -379 -477 -551 -603 -563 -546 -551 -649 -661 -590 -452 -341 -358 -420 -447 -374 -193 -129 -236 -344 -488 -492 -404 -397 -531 -636 -580 -443 -315 -258 -230 -212 -144 -123 -225 -286 -252 -270 -308 -500 -695 -715 -574 -407 -405 -495 -544 -412 -207 -93 -188 -381 -493 -486 -433 -492 -583 -698 -801 -749 -656 -591 -578 -595 -602 -580 -473 -437 -455 -614 -740 -687 -578 -577 -711 -935 -952 -816 -679 -684 -942 -1056 -1006 -813 -675 -826 -992 -1033 -900 -754 -742 -871 -936 -899 -797 -807 -892 -963 -875 -855 -852 -977 -1051 -983 -827 -848 -952 -1026 -965 -777 -704 -762 -904 -946 -888 -795 -783 -811 -946 -865 -777 -691 -689 -748 -778 -748 -653 -550 -565 -692 -790 -821 -776 -662 -599 -672 -771 -690 -478 -307 -285 -460 -667 -643 -488 -363 -446 -675 -802 -720 -549 -433 -438 -461 -375 -229 -81 -95 -171 -259 -331 -344 -411 -541 -602 -652 -563 -522 -505 -543 -485 -359 -246 -155 -135 -164 -199 -223 -234 -311 -430 -548 -568 -555 -609 -614 -635 -538 -430 -419 -443 -521 -542 -421 -347 -415 -614 -780 -755 -645 -554 -564 -707 -767 -704 -580 -527 -580 -656 -771 -756 -732 -747 -790 -893 -941 -883 -806 -763 -788 -837 -933 -893 -861 -844 -943 -1023 -993 -877 -810 -935 -1058 -1139 -1025 -838 -785 -949 -1082 -1060 -894 -820 -929 -1071 -1106 -968 -797 -814 -923 -974 -927 -852 -851 -999 -1063 -999 -832 -782 -826 -973 -943 -817 -716 -719 -815 -794 -672 -584 -640 -733 -820 -819 -846 -831 -903 -859 -773 -710 -616 -580 -526 -488 -408 -394 -327 -292 -326 -428 -574 -660 -636 -566 -636 -743 -723 -575 -282 -161 -194 -314 -327 -163 -79 -148 -393 -575 -549 -452 -445 -550 -663 -602 -412 -298 -318 -367 -368 -272 -137 -192 -395 -577 -642 -550 -475 -462 -567 -615 -605 -548 -455 -425 -470 -558 -557 -548 -510 -504 -561 -678 -764 -775 -795 -767 -700 -606 -593 -644 -703 -726 -692 -711 -724 -850 -995 -1042 -974 -881 -868 -1009 -1109 -1086 -934 -814 -762 -801 -839 -849 -818 -840 -908 -1023 -1096 -1051 -948 -920 -951 -1067 -1137 -1074 -987 -981 -1100 -1178 -1096 -894 -704 -722 -922 -1047 -1000 -790 -677 -758 -958 -1029 -953 -875 -898 -1041 -1094 -1010 -838 -741 -716 -713 -617 -530 -513 -675 -754 -730 -677 -647 -779 -873 -906 -810 -678 -627 -712 -665 -521 -358 -299 -296 -343 -347 -356 -458 -506 -589 -618 -639 -623 -558 -506 -454 -477 -457 -392 -286 -196 -252 -367 -513 -473 -370 -370 -544 -742 -699 -494 -258 -207 -326 -419 -328 -235 -275 -446 -622 -618 -547 -549 -653 -766 -740 -585 -483 -491 -596 -588 -446 -345 -297 -487 -652 -745 -757 -728 -778 -831 -865 -812 -754 -731 -741 -779 -797 -806 -776 -745 -721 -774 -828 -903 -951 -1016 -1080 -1139 -1058 -958 -885 -882 -995 -1042 -985 -809 -758 -843 -955 -988 -916 -805 -820 -958 -1147 -1165 -1110 -992 -1013 -1052 -1097 -1083 -1029 -997 -990 -950 -925 -805 -749 -733 -770 -772 -753 -736 -826 -969 -1079 -1066 -949 -845 -836 -946 -944 -809 -602 -534 -551 -646 -670 -545 -480 -542 -706 -823 -729 -633 -539 -627 -697 -634 -426 -273 -305 -452 -560 -521 -415 -378 -478 -596 -671 -592 -516 -449 -404 -398 -437 -390 -324 -212 -181 -256 -369 -479 -523 -528 -545 -600 -626 -548 -471 -413 -374 -390 -306 -234 -221 -300 -430 -462 -443 -516 -686 -874 -919 -781 -644 -624 -685 -599 -416 -248 -333 -544 -654 -603 -487 -462 -691 -985 -1056 -940 -751 -781 -953 -1088 -992 -745 -601 -621 -810 -918 -946 -878 -779 -774 -827 -937 -973 -948 -927 -925 -988 -1074 -1089 -1042 -906 -892 -953 -1039 -1009 -948 -896 -931 -981 -961 -879 -813 -864 -1001 -1050 -1030 -911 -916 -928 -976 -936 -813 -805 -811 -872 -886 -808 -785 -783 -860 -849 -776 -743 -837 -950 -980 -858 -673 -559 -601 -725 -701 -578 -439 -405 -579 -766 -774 -691 -490 -465 -564 -729 -664 -464 -286 -270 -346 -468 -427 -345 -319 -458 -651 -686 -683 -573 -515 -525 -519 -347 -191 -76 -142 -260 -302 -287 -281 -322 -441 -515 -586 -617 -631 -617 -628 -577 -496 -380 -274 -208 -174 -242 -314 -390 -484 -551 -600 -634 -622 -604 -657 -762 -797 -736 -560 -480 -525 -567 -574 -491 -447 -588 -825 -975 -910 -805 -776 -872 -920 -843 -710 -692 -878 -1019 -1004 -810 -685 -747 -924 -1047 -949 -820 -784 -887 -1046 -996 -868 -774 -761 -899 -1014 -1111 -1141 -1102 -1058 -1023 -986 -982 -985 -897 -879 -919 -972 -998 -950 -864 -815 -871 -902 -855 -762 -733 -824 -939 -936 -792 -638 -673 -822 -962 -908 -791 -746 -774 -857 -815 -643 -498 -478 -483 -501 -462 -467 -544 -619 -624 -554 -469 -535 -682 -793 -752 -602 -480 -431 -445 -412 -297 -155 -122 -225 -407 -585 -620 -555 -493 -557 -590 -614 -492 -378 -317 -309 -343 -257 -99 -4 -102 -290 -494 -546 -501 -536 -691 -820 -729 -518 -306 -285 -405 -531 -570 -403 -337 -373 -489 -532 -504 -526 -557 -670 -771 -830 -763 -668 -551 -562 -564 -620 -686 -718 -789 -859 -890 -892 -842 -808 -837 -902 -901 -848 -825 -812 -836 -817 -736 -697 -758 -905 -1057 -1037 -1007 -993 -1120 -1149 -1028 -805 -667 -787 -972 -1097 -1013 -784 -780 -954 -1088 -1058 -903 -771 -816 -1013 -1148 -1116 -987 -821 -792 -844 -894 -940 -926 -859 -801 -826 -819 -788 -677 -567 -587 -692 -802 -901 -887 -847 -859 -848 -768 -604 -480 -473 -557 -606 -528 -378 -338 -397 -462 -491 -485 -563 -669 -831 -864 -772 -637 -574 -512 -428 -243 -186 -253 -424 -457 -379 -253 -184 -276 -470 -615 -592 -515 -484 -513 -539 -495 -294 -150 -44 -150 -356 -505 -503 -455 -390 -467 -557 -587 -494 -397 -383 -491 -539 -466 -355 -289 -405 -527 -585 -581 -587 -737 -921 -980 -833 -646 -509 -528 -606 -624 -603 -594 -666 -798 -819 -809 -778 -805 -928 -969 -953 -928 -913 -914 -927 -897 -757 -664 -659 -755 -884 -1014 -1010 -932 -935 -970 -1075 -1117 -1042 -916 -934 -1049 -1147 -1112 -939 -815 -792 -895 -914 -833 -794 -863 -1003 -1059 -933 -781 -736 -834 -930 -995 -932 -918 -951 -1041 -1007 -852 -670 -576 -629 -663 -672 -671 -675 -741 -745 -732 -691 -684 -753 -816 -820 -751 -643 -524 -452 -450 -428 -388 -336 -362 -482 -652 -777 -793 -612 -436 -442 -558 -662 -561 -371 -230 -301 -410 -473 -364 -213 -268 -421 -558 -624 -537 -482 -480 -516 -459 -341 -285 -358 -434 -480 -474 -435 -455 -539 -620 -649 -624 -573 -568 -583 -581 -579 -466 -381 -346 -379 -413 -533 -613 -699 -838 -965 -977 -939 -889 -905 -915 -879 -757 -619 -596 -754 -871 -817 -688 -592 -722 -965 -1140 -1106 -968 -919 -1019 -1129 -1125 -932 -801 -795 -904 -1050 -1079 -983 -929 -972 -1022 -1046 -1012 -958 -988 -1078 -1196 -1242 -1169 -1042 -981 -967 -1074 -1091 -1027 -938 -900 -904 -974 -952 -846 -754 -784 -878 -983 -1014 -980 -960 -991 -980 -922 -789 -760 -831 -867 -793 -616 -524 -616 -719 -748 -610 -532 -586 -769 -905 -849 -666 -552 -561 -639 -582 -475 -393 -472 -560 -643 -597 -539 -523 -566 -594 -593 -467 -329 -279 -282 -306 -347 -312 -222 -222 -343 -537 -691 -666 -575 -495 -544 -613 -620 -440 -253 -224 -283 -392 -397 -389 -418 -523 -630 -653 -623 -633 -708 -808 -805 -697 -521 -478 -541 -565 -497 -433 -449 -549 -764 -911 -910 -886 -814 -844 -911 -970 -951 -871 -828 -788 -746 -767 -741 -701 -772 -874 -953 -992 -976 -1019 -1086 -1108 -1039 -914 -864 -954 -1095 -1192 -1064 -930 -906 -1032 -1154 -1109 -931 -851 -914 -1037 -1086 -1006 -873 -866 -959 -1094 -1134 -1038 -990 -1024 -1118 -1136 -1031 -868 -766 -750 -882 -936 -866 -783 -716 -789 -888 -973 -876 -739 -636 -663 -798 -844 -758 -622 -552 -638 -757 -744 -644 -598 -645 -722 -719 -614 -542 -556 -591 -526 -403 -328 -363 -522 -632 -566 -541 -510 -576 -685 -637 -528 -469 -491 -541 -437 -262 -138 -162 -276 -363 -379 -389 -457 -619 -776 -827 -759 -605 -479 -439 -510 -540 -479 -334 -196 -206 -381 -580 -636 -543 -487 -595 -824 -984 -906 -711 -532 -626 -716 -733 -633 -537 -589 -737 -834 -774 -689 -696 -816 -941 -924 -836 -770 -828 -915 -952 -874 -796 -799 -883 -929 -971 -1025 -1045 -1044 -1021 -971 -962 -977 -1009 -1039 -979 -943 -894 -942 -1048 -1136 -1128 -1017 -909 -914 -1053 -1152 -1132 -954 -807 -774 -926 -1018 -984 -828 -755 -829 -1068 -1149 -1076 -881 -767 -824 -919 -916 -844 -752 -814 -916 -999 -920 -775 -685 -668 -765 -796 -718 -580 -485 -548 -655 -701 -638 -602 -583 -723 -869 -913 -824 -636 -563 -549 -506 -411 -308 -248 -324 -405 -404 -385 -366 -497 -636 -627 -534 -465 -557 -680 -634 -442 -224 -126 -296 -370 -352 -244 -259 -406 -607 -666 -615 -512 -565 -652 -641 -570 -413 -373 -375 -419 -417 -380 -374 -421 -480 -645 -730 -779 -743 -694 -649 -731 -795 -742 -650 -515 -574 -751 -938 -929 -811 -723 -795 -886 -909 -815 -740 -783 -864 -897 -805 -724 -784 -943 -1009 -975 -886 -970 -1145 -1308 -1205 -997 -838 -900 -1055 -1104 -1022 -892 -856 -911 -997 -955 -883 -875 -925 -1031 -1101 -1071 -1048 -1061 -1051 -1019 -977 -919 -885 -891 -947 -1008 -1006 -900 -785 -732 -751 -826 -852 -801 -732
+318 209 20 -9 25 81 68 69 -10 68 202 335 423 422 420 355 311 372 345 445 457 430 340 221 220 212 375 499 585 539 494 586 730 901 818 654 440 514 774 1004 970 804 660 709 910 1043 1043 1061 1109 1221 1267 1092 922 947 1116 1276 1285 1135 1065 1159 1350 1498 1494 1474 1516 1701 1780 1795 1719 1597 1604 1654 1680 1732 1845 1844 1844 1764 1737 1732 1906 2111 2245 2241 2145 2031 2025 2112 2310 2415 2422 2344 2298 2371 2540 2630 2584 2441 2357 2475 2666 2768 2728 2515 2420 2445 2505 2523 2467 2537 2648 2751 2721 2607 2543 2670 2863 2959 2861 2730 2808 2996 3210 3174 2883 2627 2535 2721 2945 2967 2944 2795 2667 2642 2692 2742 2811 2932 3032 3011 2963 2894 2884 2886 2877 2790 2722 2766 2846 2974 2985 2836 2651 2642 2758 2953 3116 3079 2940 2792 2725 2785 2849 2913 2873 2796 2803 2921 2967 2999 2997 3030 3094 3182 3148 3067 3007 3065 3151 3150 3022 2873 2898 3113 3290 3270 3112 2984 3094 3308 3448 3348 3189 3133 3181 3314 3332 3282 3284 3397 3546 3614 3552 3535 3692 3808 3850 3733 3613 3616 3745 3939 3860 3665 3453 3484 3631 3772 3839 3889 3943 4021 4090 4022 3979 3961 4022 4145 4231 4223 4182 4171 4143 4090 4050 4023 4091 4143 4263 4375 4410 4229 4059 3928 4083 4358 4568 4543 4375 4225 4272 4389 4437 4351 4209 4290 4430 4552 4513 4398 4319 4348 4386 4331 4226 4211 4352 4473 4395 4184 4009 4023 4219 4369 4352 4209 4182 4309 4484 4487 4397 4296 4232 4301 4346 4307 4284 4254 4233 4166 4043 3985 4079 4259 4400 4378 4266 4190 4196 4225 4309 4299 4297 4138 4067 4061 4208 4282 4268 4218 4182 4253 4452 4566 4384 4199 4088 4153 4314 4375 4333 4196 4112 4199 4212 4231 4267 4354 4519 4691 4657 4489 4338 4352 4477 4564 4493 4395 4392 4464 4570 4529 4393 4354 4505 4713 4819 4827 4729 4709 4761 4743 4628 4540 4589 4703 4868 4900 4804 4713 4713 4859 4907 4928 4922 4943 5036 5149 5212 5089 4902 4876 4905 4971 5083 5101 5082 5074 5064 4974 4941 4859 4902 4971 5130 5236 5177 5063 4977 4946 5065 5166 5167 5112 5096 5170 5291 5267 5031 4820 4862 5060 5261 5277 5094 4944 4952 5010 5035 4981 4908 4947 5073 5098 4984 4818 4888 5015 5162 5104 4938 4869 4938 5024 4972 4786 4671 4690 4777 4838 4773 4667 4620 4717 4793 4823 4838 4837 4877 4900 4843 4800 4753 4786 4765 4744 4737 4721 4736 4805 4828 4863 4892 4854 4844 4825 4861 4977 4991 4855 4617 4501 4548 4727 4829 4777 4699 4727 4903 5075 5009 4835 4754 4902 5140 5308 5217 4997 4888 4912 4997 4981 4979 5037 5189 5369 5329 5160 5024 4994 5132 5228 5233 5184 5198 5241 5300 5261 5092 5133 5222 5412 5562 5545 5443 5388 5390 5431 5381 5316 5348 5408 5468 5415 5380 5346 5358 5406 5439 5457 5436 5454 5485 5592 5665 5608 5528 5462 5473 5564 5688 5704 5637 5493 5498 5527 5563 5499 5366 5261 5335 5455 5517 5384 5182 5159 5227 5407 5451 5310 5230 5276 5431 5467 5307 5203 5210 5382 5511 5454 5276 5153 5165 5322 5345 5263 5121 5142 5139 5084 4958 4794 4858 5075 5246 5276 5158 5091 5179 5226 5276 5172 5103 5142 5217 5262 5103 4953 4797 4753 4881 4974 5038 5124 5112 5037 5000 5019 5052 5113 5125 5119 5156 5169 5185 5123 5046 5086 5158 5253 5209 5162 5227 5374 5462 5401 5094 4918 4976 5200 5407 5318 5237 5124 5290 5460 5524 5383 5258 5357 5524 5677 5586 5493 5428 5456 5412 5375 5341 5473 5671 5815 5757 5499 5369 5452 5652 5777 5733 5638 5579 5573 5616 5610 5497 5447 5512 5566 5699 5734 5688 5633 5603 5588 5631 5671 5707 5724 5680 5676 5586 5536 5490 5537 5629 5702 5696 5527 5374 5322 5463 5622 5659 5498 5389 5426 5533 5637 5558 5437 5308 5357 5488 5521 5411 5299 5265 5341 5353 5240 5195 5259 5368 5453 5366 5159 5098 5182 5301 5311 5191 5027 5053 5209 5272 5275 5120 5068 5116 5240 5324 5344 5339 5307 5307 5238 5044 4881 4917 5094 5234 5267 5133 4981 4938 5041 5149 5200 5218 5160 5189 5198 5243 5269 5160 5039 5032 5151 5358 5567 5555 5388 5226 5210 5271 5416 5420 5457 5434 5426 5361 5292 5233 5341 5496 5616 5579 5434 5393 5599 5805 5809 5595 5396 5426 5592 5762 5728 5574 5475 5515 5628 5695 5631 5652 5710 5836 5786 5652 5585 5668 5801 5842 5689 5502 5534 5741 5957 5977 5796 5597 5562 5756 5928 5953 5895 5799 5634 5657 5652 5751 5803 5808 5718 5608 5563 5583 5550 5455 5441 5464 5549 5540 5506 5482 5416 5518 5538 5518 5513 5573 5769 5877 5702 5415 5215 5248 5454 5582 5521 5327 5229 5260 5271 5257 5178 5236 5338 5426 5441 5274 5158 5253 5372 5343 5227 5113 5191 5402 5560 5463 5231 5044 5064 5204 5315 5234 5113 5092 5110 5132 5112 5083 5053 5177 5291 5372 5365 5377 5448 5477 5480 5410 5270 5228 5268 5464 5569 5497 5380 5201 5121 5280 5495 5615 5563 5427 5317 5384 5441 5487 5466 5358 5350 5458 5652 5677 5621 5600 5582 5643 5668 5698 5689 5814 5858 5766 5606 5432 5484 5761 5954 5861 5647 5456 5549 5716 5870 5874 5746 5726 5798 5817 5807 5724 5723 5816 5884 5845 5732 5754 5872 5966 5902 5669 5508 5553 5708 5909 5871 5699 5549 5495 5628 5804 5820 5794 5686 5600 5669 5724 5796 5694 5538 5415 5421 5547 5709 5733 5618 5588 5505 5481 5409 5383 5371 5506 5650 5543 5314 5093 5141 5311 5431 5397 5308 5291 5441 5598 5530 5332 5130 5147 5317 5429 5419 5345 5309 5343 5284 5191 5132 5163 5386 5540 5425 5168 5023 5040 5204 5275 5211 5113 5121 5273 5414 5400 5341 5315 5327 5440 5472 5489 5411 5387 5360 5305 5253 5230 5201 5264 5415 5471 5475 5442 5382 5433 5565 5730 5715 5633 5513 5469 5631 5776 5798 5616 5455 5471 5690 5870 5865 5737 5586 5548 5580 5630 5667 5699 5788 5808 5812 5682 5631 5690 5862 6009 5966 5786 5754 5836 5929 5902 5759 5642 5646 5858 6032 6081 5892 5753 5695 5732 5793 5840 5865 5900 5894 5828 5598 5514 5503 5694 5786 5839 5737 5611 5639 5735 5772 5682 5600 5479 5550 5666 5806 5779 5618 5460 5410 5473 5663 5788 5719 5552 5482 5467 5491 5537 5377 5244 5238 5345 5425 5413 5326 5348 5446 5481 5391 5266 5244 5391 5558 5545 5287 5108 5054 5177 5325 5265 5172 5148 5280 5355 5311 5146 5113 5174 5352 5409 5309 5211 5180 5296 5375 5302 5265 5296 5493 5672 5692 5520 5363 5346 5433 5533 5563 5478 5410 5287 5299 5280 5299 5376 5485 5526 5585 5603 5597 5526 5555 5594 5729 5787 5789 5776 5696 5774 5802 5811 5653 5572 5650 5828 5940 5877 5680 5524 5579 5713 5837 5865 5802 5792 5886 5961 5913 5821 5815 5863 5870 5860 5776 5757 5864 5952 5911 5676 5517 5507 5709 5910 5908 5722 5620 5576 5686 5690 5674 5580 5624 5771 5929 5970 5852 5742 5641 5663 5701 5722 5728 5742 5713 5620 5508 5411 5338 5406 5532 5645 5690 5579 5444 5349 5400 5464 5487 5413 5341 5316 5385 5382 5374 5249 5196 5298 5422 5564 5531 5405 5320 5337 5420 5352 5279 5179 5227 5255 5233 5075 5021 5086 5326 5412 5351 5244 5250 5335 5521 5491 5333 5194 5215 5363 5522 5403 5266 5196 5274 5434 5502 5377 5273 5306 5407 5523 5520 5387 5302 5348 5421 5480 5546 5581 5631 5654 5659 5639 5580 5584 5620 5701 5785 5811 5706 5582 5472 5464 5549 5641 5680 5744 5757 5812 5782 5669 5540 5575 5712 5913 6001 5936 5821 5832 5869 5871 5787 5721 5812 5965 6039 5981 5791 5668 5728 5828 5845 5789 5731 5797 5923 5921 5812 5670 5649 5753 5850 5816 5693 5634 5682 5816 5821 5728 5621 5610 5708 5832 5843 5746 5611 5541 5538 5572 5540 5522 5447 5456 5503 5584 5642 5607 5538 5517 5570 5658 5667 5605 5454 5347 5338 5351 5291 5277 5284 5385 5418 5400 5268 5217 5327 5511 5577 5471 5309 5245 5268 5315 5245 5156 5125 5193 5389 5472 5431 5251 5228 5318 5414 5485 5522 5547 5594 5551 5430 5219 5157 5270 5538 5627 5601 5414 5350 5387 5509 5508 5366 5286 5286 5446 5566 5628 5515 5361 5354 5472 5660 5751 5727 5733 5757 5773 5810 5723 5626 5591 5633 5689 5741 5737 5705 5653 5689 5723 5671 5622 5662 5763 5949 6031 5979 5777 5669 5688 5879 5977 5943 5832 5787 5825 5786 5698 5607 5566 5647 5774 5798 5697 5679 5686 5829 5793 5697 5679 5727 5885 5963 5848 5642 5519 5581 5767 5848 5725 5652 5664 5675 5721 5636 5570 5546 5619 5635 5547 5379 5205 5210 5332 5393 5414 5349 5294 5383 5479 5554 5510 5433 5341 5365 5389 5493 5504 5366 5151 5068 5094 5279 5365 5321 5273 5304 5324 5402 5348 5211 5160 5186 5192 5170 5167 5213 5305 5403 5290 5122 5037 5234 5508 5689 5610 5400 5249 5225 5264 5297 5222 5227 5301 5409 5411 5339 5272 5254 5391 5491 5490 5525 5604 5726 5766 5621 5387 5218 5338 5547 5802 5841 5693 5541 5459 5597 5781 5796 5744 5625 5554 5663 5716 5769 5674 5563 5523 5620 5768 5880 5815 5837 5844 5916 5907 5879 5891 5927 6054 6060 5962 5820 5737 5825 5922 5930 5782 5628 5606 5735 5887 5840 5739 5693 5749 5863 5912 5894 5869 5900 5909 5848 5750 5632 5670 5812 5877 5762 5506 5362 5445 5598 5743 5714 5541 5520 5589 5624 5594 5483 5415 5365 5514 5631 5646 5535 5518 5442 5427 5442 5455 5509 5565 5606 5518 5313 5092 4987 5051 5204 5347 5410 5301 5193 5178 5296 5416 5450 5374 5306 5343 5443 5507 5415 5191 5054 5065 5168 5231 5301 5371 5481 5489 5449 5315 5228 5349 5525 5551 5408 5175 5110 5315 5510 5473 5269 5128 5176 5459 5704 5774 5649 5522 5505 5519 5540 5561 5602 5669 5691 5636 5490 5417 5534 5642 5765 5772 5683 5691 5799 5871 5878 5773 5685 5602 5670 5782 5952 5998 5810 5646 5555 5700 5883 6037 5961 5798 5727 5717 5781 5809 5789 5838 5860 5871 5785 5644 5621 5701 5818 5842 5709 5587 5688 5884 5981 5894 5689 5575 5775 5998 6065 5867 5613 5525 5571 5651 5604 5520 5507 5634 5704 5634 5487 5405 5440 5566 5568 5521 5323 5276 5389 5487 5518 5375 5208 5155 5261 5436 5610 5563 5488 5371 5317 5242 5252 5261 5266 5248 5236 5201 5175 5099 5120 5183 5244 5308 5373 5422 5506 5533 5511 5303 5095 5031 5124 5318 5412 5378 5138 4980 5013 5204 5340 5374 5390 5376 5436 5412 5326 5236 5244 5327 5361 5307 5210 5299 5471 5655 5635 5455 5325 5449 5719 5899 5886 5565 5412 5395 5599 5739 5693 5576 5479 5528 5620 5697 5718 5714 5728 5690 5683 5654 5726 5771 5859 5845 5776 5712 5675 5796 5896 5984 5922 5835 5746 5718 5785 5882 5897 5825 5660 5624 5672 5776 5787 5672 5534 5572 5773 5901 5851 5801 5765 5747 5778 5742 5760 5840 5975 5966 5774 5446 5305 5398 5626 5696 5590 5388 5357 5427 5512 5496 5390 5355 5485 5704 5813 5705 5481 5406 5414 5421 5334 5298 5327 5374 5472 5448 5267 5133 5118 5248 5362 5437 5341 5195 5135 5136 5149 5150 5166 5068 5114 5164 5384 5495 5440 5362 5327 5333 5366 5365 5345 5328 5322 5313 5215 5076 4961 5009 5192 5367 5373 5307 5288 5396 5507 5505 5379 5238 5279 5406 5530
+-19 -43 -43 -66 -143 -251 -361 -354 -279 -124 -39 -18 -36 -25 11 26 2 -27 -114 -145 -189 -207 -233 -279 -303 -382 -383 -333 -221 -149 -114 -113 -121 -106 -128 -158 -254 -252 -190 -138 -183 -258 -334 -312 -258 -236 -302 -337 -302 -216 -151 -192 -299 -355 -350 -316 -298 -316 -309 -284 -290 -303 -329 -286 -220 -192 -287 -403 -478 -466 -401 -382 -455 -516 -484 -437 -374 -363 -349 -349 -295 -278 -289 -324 -351 -400 -474 -550 -555 -515 -408 -383 -418 -484 -503 -468 -424 -414 -418 -398 -349 -349 -371 -441 -473 -486 -488 -536 -601 -575 -542 -507 -529 -606 -640 -603 -536 -478 -461 -446 -386 -349 -342 -391 -485 -540 -563 -533 -539 -576 -569 -569 -598 -622 -641 -638 -602 -523 -433 -383 -361 -385 -458 -537 -590 -588 -577 -583 -638 -672 -699 -692 -679 -635 -617 -599 -523 -495 -480 -493 -556 -602 -647 -643 -657 -671 -658 -611 -587 -566 -567 -551 -550 -516 -533 -573 -640 -665 -693 -678 -649 -653 -658 -634 -623 -623 -603 -596 -555 -538 -579 -669 -743 -743 -714 -686 -756 -823 -827 -721 -603 -550 -586 -644 -654 -587 -514 -518 -558 -615 -662 -673 -733 -799 -848 -836 -849 -839 -816 -706 -583 -532 -542 -652 -705 -679 -630 -605 -638 -704 -725 -751 -778 -837 -855 -818 -739 -720 -730 -730 -689 -690 -716 -785 -800 -742 -630 -589 -609 -666 -687 -728 -762 -843 -887 -813 -741 -724 -778 -843 -819 -716 -641 -661 -746 -778 -693 -611 -632 -740 -849 -886 -863 -841 -836 -834 -806 -765 -755 -799 -795 -745 -684 -684 -726 -771 -738 -680 -670 -728 -820 -831 -774 -713 -725 -768 -793 -764 -727 -754 -801 -856 -822 -821 -804 -851 -832 -800 -741 -705 -665 -621 -583 -566 -663 -748 -774 -748 -729 -798 -913 -1005 -1018 -909 -838 -815 -823 -807 -716 -581 -544 -602 -732 -822 -855 -843 -828 -844 -881 -918 -923 -934 -925 -888 -821 -780 -781 -747 -749 -749 -759 -815 -885 -902 -892 -850 -830 -837 -888 -890 -901 -890 -924 -938 -877 -795 -718 -717 -792 -898 -933 -869 -800 -819 -878 -934 -914 -844 -803 -872 -946 -1031 -1034 -978 -887 -813 -834 -915 -990 -991 -887 -760 -706 -779 -876 -909 -834 -759 -833 -981 -1061 -1038 -903 -816 -826 -894 -917 -878 -855 -852 -861 -886 -860 -852 -885 -936 -906 -872 -844 -856 -900 -885 -840 -847 -937 -1014 -1010 -921 -900 -948 -1034 -1045 -918 -766 -729 -814 -888 -851 -756 -702 -764 -888 -992 -989 -965 -950 -965 -978 -981 -957 -952 -885 -818 -767 -749 -806 -848 -788 -736 -793 -947 -1107 -1151 -1073 -984 -992 -1055 -1076 -989 -862 -809 -879 -922 -881 -787 -698 -746 -888 -1007 -1055 -1027 -978 -953 -950 -941 -922 -926 -919 -888 -918 -965 -1013 -1012 -940 -830 -818 -877 -992 -1059 -968 -863 -813 -840 -924 -1002 -1004 -973 -961 -1018 -1079 -1078 -1037 -957 -937 -910 -913 -929 -926 -920 -907 -898 -860 -869 -864 -869 -922 -974 -998 -1013 -991 -959 -951 -959 -979 -949 -909 -849 -857 -906 -978 -963 -880 -822 -853 -949 -1037 -1074 -989 -893 -896 -957 -1020 -1018 -991 -973 -941 -934 -927 -910 -912 -918 -875 -815 -768 -807 -901 -960 -926 -928 -978 -1079 -1180 -1153 -1004 -901 -852 -889 -877 -818 -799 -790 -839 -840 -815 -815 -870 -1021 -1091 -1089 -1057 -1002 -1018 -1018 -947 -887 -879 -915 -950 -941 -903 -907 -953 -960 -930 -881 -924 -1012 -1066 -1049 -952 -901 -911 -945 -934 -881 -845 -946 -1038 -1067 -1008 -936 -972 -999 -1010 -925 -864 -889 -993 -1063 -995 -910 -869 -952 -1050 -1091 -1073 -1074 -1071 -1075 -1001 -922 -858 -880 -933 -931 -907 -905 -976 -1046 -1066 -1038 -1034 -1072 -1148 -1195 -1139 -1041 -1026 -989 -980 -973 -946 -953 -986 -967 -922 -900 -906 -981 -1038 -1046 -995 -973 -984 -1052 -1084 -1093 -1080 -1070 -1072 -1024 -1003 -978 -1010 -965 -914 -873 -887 -977 -1051 -1071 -987 -918 -932 -1032 -1149 -1180 -1102 -1019 -1017 -1047 -1057 -1003 -927 -860 -878 -899 -923 -942 -962 -973 -1002 -1010 -1029 -1066 -1109 -1150 -1123 -1045 -950 -891 -882 -859 -849 -854 -895 -987 -1059 -1046 -991 -979 -1000 -1030 -1024 -985 -971 -1025 -1086 -1065 -996 -908 -934 -1032 -1100 -1119 -1061 -995 -953 -927 -885 -861 -880 -918 -930 -954 -959 -1026 -1067 -1084 -1047 -985 -1036 -1122 -1136 -1083 -952 -883 -921 -992 -960 -882 -818 -880 -1000 -1051 -1039 -996 -1037 -1126 -1183 -1151 -1082 -1036 -1067 -1058 -1025 -962 -944 -971 -974 -952 -949 -969 -1064 -1107 -1055 -951 -937 -1019 -1105 -1097 -1001 -903 -945 -1057 -1122 -1070 -1017 -960 -978 -985 -984 -947 -950 -974 -988 -985 -1050 -1134 -1172 -1130 -1056 -1018 -1060 -1122 -1163 -1073 -955 -902 -913 -932 -907 -859 -915 -1002 -1102 -1119 -1052 -1005 -1013 -1074 -1071 -1022 -1000 -1010 -1054 -1031 -977 -903 -840 -860 -908 -957 -987 -1028 -1034 -993 -944 -927 -979 -1065 -1080 -1045 -979 -959 -1014 -1097 -1099 -994 -922 -925 -1021 -1086 -1109 -988 -868 -802 -837 -893 -928 -928 -930 -946 -1021 -1083 -1147 -1103 -1046 -999 -966 -974 -1001 -964 -895 -838 -809 -863 -945 -991 -1019 -1037 -1068 -1139 -1176 -1143 -1029 -996 -1016 -1056 -1060 -998 -909 -867 -929 -967 -1000 -972 -930 -964 -1011 -1016 -1027 -1055 -1066 -1076 -1064 -1078 -1091 -1104 -1093 -993 -924 -933 -1017 -1090 -1064 -946 -853 -873 -970 -1024 -978 -925 -950 -1040 -1130 -1139 -1083 -1028 -1055 -1097 -1119 -1088 -1032 -975 -961 -921 -896 -901 -913 -925 -942 -993 -1098 -1175 -1178 -1056 -921 -919 -1031 -1080 -1070 -947 -856 -895 -1015 -1072 -974 -898 -891 -1020 -1116 -1128 -1065 -973 -921 -907 -907 -932 -1004 -1070 -1073 -1016 -943 -949 -1005 -1024 -954 -849 -862 -944 -1010 -1018 -900 -832 -887 -1009 -1069 -1091 -1049 -1085 -1136 -1160 -1095 -996 -934 -982 -1005 -979 -914 -836 -844 -859 -943 -968 -984 -1013 -1045 -1089 -1138 -1175 -1159 -1104 -997 -914 -922 -973 -999 -961 -902 -846 -895 -1018 -1087 -1085 -1013 -994 -1020 -1060 -1073 -1044 -1018 -975 -1003 -1048 -1096 -1099 -1079 -1031 -981 -978 -983 -1027 -1031 -1032 -966 -932 -951 -1043 -1075 -1113 -1105 -1122 -1118 -1094 -1057 -970 -915 -912 -975 -1002 -993 -951 -943 -969 -1011 -1018 -1009 -1017 -1101 -1178 -1229 -1160 -1096 -1021 -1000 -1024 -1020 -1003 -1010 -1027 -994 -973 -927 -925 -954 -988 -1005 -1002 -1023 -1058 -1041 -995 -950 -936 -954 -992 -1020 -995 -1058 -1110 -1101 -1019 -904 -865 -910 -958 -956 -901 -891 -916 -1014 -1042 -1033 -1021 -1075 -1147 -1194 -1167 -1098 -1062 -1028 -960 -848 -792 -831 -932 -985 -985 -909 -918 -986 -1063 -1129 -1081 -1047 -1060 -1069 -1038 -984 -931 -926 -950 -924 -871 -856 -923 -996 -1039 -988 -983 -1004 -1100 -1154 -1133 -1043 -997 -990 -1027 -1046 -1103 -1073 -1031 -959 -918 -935 -986 -1070 -1059 -997 -931 -953 -1017 -1030 -997 -959 -951 -1047 -1134 -1171 -1160 -1081 -1017 -984 -965 -929 -930 -953 -994 -981 -980 -989 -1005 -1055 -1082 -1082 -1092 -1115 -1153 -1142 -1113 -1060 -1021 -1004 -998 -967 -1010 -1057 -1146 -1135 -1082 -977 -887 -889 -940 -1010 -1009 -1003 -1010 -1021 -1065 -1061 -1035 -987 -989 -1024 -1075 -1112 -1083 -998 -906 -848 -879 -943 -997 -1001 -999 -1024 -1057 -1078 -1092 -1062 -1063 -1042 -1086 -1101 -1052 -984 -893 -870 -887 -937 -918 -864 -877 -946 -1094 -1169 -1207 -1148 -1129 -1098 -1115 -1094 -1040 -955 -888 -878 -919 -924 -959 -952 -941 -927 -939 -992 -1113 -1157 -1125 -1031 -935 -952 -1054 -1094 -1057 -988 -972 -1021 -1098 -1121 -1057 -981 -947 -951 -969 -973 -997 -1039 -1066 -1084 -1045 -1021 -1025 -1042 -1043 -1084 -1108 -1134 -1135 -1075 -1023 -983 -958 -961 -938 -922 -988 -1106 -1181 -1156 -1018 -896 -938 -1074 -1175 -1198 -1126 -1050 -1014 -1012 -991 -971 -933 -929 -941 -978 -1040 -1112 -1122 -1059 -957 -916 -985 -1120 -1198 -1179 -1071 -1039 -1096 -1165 -1158 -1077 -976 -948 -992 -996 -968 -902 -854 -865 -892 -935 -971 -1040 -1092 -1161 -1181 -1178 -1137 -1083 -1001 -929 -903 -928 -942 -898 -842 -832 -886 -1002 -1066 -1046 -981 -1006 -1053 -1143 -1133 -1088 -997 -957 -951 -955 -951 -917 -916 -899 -926 -996 -1061 -1092 -1056 -1001 -975 -976 -1020 -1048 -1057 -1000 -960 -943 -919 -889 -893 -892 -957 -1028 -1103 -1119 -1060 -948 -914 -908 -936 -952 -968 -966 -1010 -1097 -1144 -1147 -1074 -1021 -980 -1072 -1171 -1164 -1063 -897 -832 -883 -939 -962 -955 -921 -966 -1059 -1155 -1199 -1155 -1052 -984 -966 -1027 -1114 -1136 -1089 -1001 -921 -921 -950 -985 -966 -970 -972 -1028 -1073 -1084 -1083 -1084 -1066 -1062 -1097 -1132 -1136 -1152 -1116 -1048 -991 -991 -974 -973 -941 -972 -1007 -1008 -995 -960 -983 -1050 -1122 -1149 -1081 -1011 -1025 -1108 -1137 -1082 -973 -881 -880 -901 -930 -926 -921 -934 -976 -1067 -1158 -1181 -1190 -1125 -1053 -1011 -1008 -1043 -1042 -987 -900 -876 -903 -992 -993 -960 -960 -1015 -1090 -1127 -1078 -1008 -983 -955 -950 -960 -939 -991 -1066 -1090 -1069 -1035 -1000 -968 -949 -940 -925 -979 -1016 -1006 -917 -858 -852 -930 -1013 -1028 -1025 -1065 -1125 -1195 -1181 -1072 -975 -965 -1004 -1031 -1035 -966 -910 -876 -840 -852 -876 -957 -1054 -1100 -1141 -1133 -1162 -1127 -1090 -1038 -976 -979 -966 -940 -943 -927 -954 -988 -972 -965 -968 -1030 -1113 -1139 -1102 -1015 -980 -1039 -1074 -1092 -1025 -995 -988 -981 -1002 -973 -955 -935 -924 -924 -929 -959 -1013 -1073 -1090 -1073 -1063 -1063 -1130 -1139 -1102 -1014 -916 -888 -918 -952 -956 -951 -922 -919 -961 -993 -1006 -1030 -1033 -1051 -1075 -1078 -1079 -1034 -1021 -989 -921 -895 -932 -1016 -1069 -1060 -1003 -927 -913 -967 -1049 -1046 -1017 -973 -948 -962 -946 -930 -895 -919 -972 -1041 -1101 -1128 -1126 -1083 -991 -947 -923 -958 -964 -947 -899 -889 -929 -970 -993 -983 -1031 -1130 -1174 -1171 -1082 -1018 -960 -938 -884 -828 -775 -781 -876 -945 -975 -1007 -1044 -1086 -1131 -1123 -1140 -1182 -1199 -1156 -1072 -979 -942 -959 -967 -909 -828 -844 -927 -1014 -1029 -973 -957 -1011 -1124 -1182 -1139 -1100 -1056 -1032 -1027 -989 -941 -945 -958 -996 -978 -977 -977 -981 -957 -950 -1004 -1072 -1089 -1024 -960 -924 -1020 -1129 -1153 -1086 -1016 -1020 -1085 -1100 -1046 -929 -870 -913 -1007 -1065 -1068 -1017 -986 -1006 -1021 -1045 -1086 -1086 -1054 -995 -894 -903 -954 -1018 -1018 -949 -917 -950 -1060 -1065 -1025 -917 -881 -923 -987 -1013 -995 -983 -1024 -1037 -1100 -1089 -1066 -1073 -1065 -1071 -1053 -1002 -960 -915 -860 -882 -891 -898 -874 -920 -972 -1054 -1095 -1094 -1041 -1006 -1020 -1119 -1155 -1106 -967 -828 -753 -775 -839 -879 -863 -858 -893 -980 -1090 -1142 -1132 -1063 -1073 -1115 -1159 -1132 -1044 -932 -840 -840 -857 -945 -999 -1025 -1016 -1011 -1033 -1066 -1095 -1044 -997 -952 -977 -1041 -1054 -979 -905 -893 -942 -1051 -1040 -1010 -951 -957 -1039 -1066 -1034 -948 -916 -972 -1024 -1073 -1044 -1028 -1000 -1032 -1025 -1056 -1045 -1036 -1006 -977 -979 -1018 -1058 -1034 -963 -877 -909 -1012 -1090 -1092 -1006 -977 -1054 -1132 -1152 -1077 -1007 -1018 -1063 -1068 -969 -893 -927 -959 -983 -999 -959 -972 -993 -1020 -987 -995 -1040 -1115 -1131 -1079 -1021 -1040 -1109 -1114 -1041 -968 -956 -1034 -1074 -1005 -836 -706 -722 -881 -1051 -1077 -1050 -1037 -1094 -1143 -1167 -1139 -1068 -1007 -972 -951 -969 -958 -948 -854 -802 -815 -925 -1074 -1170 -1157 -1080 -1077 -1085 -1119 -1056 -986 -946 -953 -950 -942 -913 -877 -872 -908 -925 -923 -970 -1036 -1126 -1159 -1126 -1053 -1008 -988 -983 -988 -993 -1009 -1037 -1031 -1012 -1002 -1020
+-348 -401 -360 -395 -456 -569 -685 -700 -636 -545 -516 -544 -573 -576 -552 -555 -606 -716 -836 -954 -1036 -1075 -1097 -1119 -1174 -1243 -1289 -1273 -1201 -1156 -1154 -1216 -1235 -1212 -1166 -1121 -1201 -1329 -1421 -1462 -1439 -1419 -1482 -1543 -1641 -1688 -1701 -1725 -1736 -1737 -1695 -1608 -1548 -1538 -1616 -1717 -1772 -1751 -1675 -1611 -1629 -1729 -1801 -1820 -1828 -1859 -1920 -2007 -2041 -2012 -1978 -1985 -2047 -2133 -2142 -2080 -1991 -1892 -1826 -1801 -1809 -1884 -1959 -2005 -2008 -2009 -2055 -2138 -2235 -2280 -2237 -2234 -2262 -2326 -2380 -2324 -2276 -2212 -2210 -2211 -2213 -2197 -2185 -2172 -2203 -2292 -2311 -2311 -2349 -2416 -2556 -2645 -2669 -2642 -2567 -2556 -2580 -2609 -2597 -2520 -2483 -2530 -2623 -2706 -2710 -2691 -2671 -2715 -2852 -2961 -3005 -2976 -2929 -2874 -2876 -2908 -2960 -3016 -3039 -2984 -2915 -2886 -2945 -3028 -3118 -3157 -3201 -3270 -3387 -3449 -3457 -3384 -3321 -3321 -3379 -3429 -3422 -3375 -3311 -3310 -3351 -3409 -3466 -3500 -3550 -3602 -3638 -3638 -3592 -3501 -3483 -3532 -3645 -3734 -3728 -3677 -3646 -3689 -3825 -3878 -3818 -3704 -3659 -3687 -3785 -3829 -3777 -3678 -3638 -3646 -3741 -3822 -3872 -3877 -3858 -3844 -3869 -3893 -3917 -3904 -3883 -3864 -3817 -3828 -3878 -3866 -3799 -3731 -3691 -3713 -3805 -3904 -3966 -3973 -3957 -3933 -3955 -3933 -3910 -3861 -3850 -3869 -3853 -3787 -3694 -3621 -3689 -3842 -3969 -4038 -3968 -3944 -3938 -4042 -4106 -4041 -3955 -3889 -3924 -3998 -4023 -3942 -3850 -3737 -3755 -3860 -3973 -4048 -4027 -3962 -3923 -4001 -4108 -4264 -4310 -4305 -4256 -4236 -4204 -4220 -4167 -4098 -4043 -4018 -4044 -4080 -4098 -4145 -4192 -4242 -4284 -4314 -4368 -4433 -4480 -4485 -4493 -4492 -4506 -4507 -4485 -4462 -4460 -4521 -4534 -4480 -4405 -4362 -4441 -4510 -4545 -4473 -4415 -4491 -4672 -4842 -4858 -4752 -4619 -4610 -4736 -4910 -4990 -4936 -4815 -4747 -4716 -4743 -4728 -4741 -4699 -4633 -4624 -4627 -4665 -4694 -4695 -4726 -4782 -4895 -5032 -5105 -5112 -5040 -4930 -4860 -4823 -4843 -4825 -4810 -4769 -4716 -4699 -4692 -4737 -4803 -4850 -4926 -4964 -4947 -4906 -4840 -4807 -4839 -4911 -4932 -4869 -4754 -4681 -4706 -4811 -4868 -4802 -4662 -4609 -4638 -4807 -4945 -4955 -4836 -4719 -4724 -4814 -4906 -4885 -4780 -4646 -4602 -4693 -4791 -4817 -4750 -4669 -4669 -4754 -4861 -4912 -4906 -4857 -4817 -4774 -4753 -4771 -4824 -4890 -4889 -4866 -4817 -4753 -4731 -4733 -4777 -4813 -4863 -4907 -4919 -4883 -4849 -4896 -4972 -5061 -5065 -4992 -4942 -4932 -5013 -5018 -4940 -4851 -4817 -4908 -5103 -5231 -5194 -5076 -4927 -4929 -5071 -5243 -5304 -5232 -5103 -5046 -5100 -5261 -5368 -5364 -5269 -5210 -5264 -5321 -5355 -5270 -5145 -5072 -5109 -5172 -5205 -5235 -5254 -5285 -5376 -5435 -5450 -5433 -5445 -5516 -5546 -5543 -5500 -5454 -5394 -5369 -5348 -5332 -5311 -5254 -5234 -5233 -5338 -5446 -5522 -5525 -5448 -5398 -5463 -5616 -5714 -5643 -5433 -5216 -5119 -5155 -5284 -5374 -5316 -5224 -5192 -5273 -5402 -5509 -5458 -5380 -5324 -5371 -5488 -5508 -5435 -5250 -5138 -5157 -5231 -5275 -5249 -5194 -5145 -5129 -5145 -5091 -5091 -5121 -5259 -5360 -5364 -5330 -5250 -5216 -5258 -5329 -5366 -5321 -5235 -5133 -5102 -5117 -5169 -5173 -5126 -5038 -5022 -5073 -5149 -5230 -5201 -5125 -5069 -5113 -5242 -5409 -5481 -5445 -5373 -5308 -5322 -5374 -5410 -5343 -5207 -5094 -5093 -5193 -5274 -5281 -5211 -5105 -5120 -5239 -5385 -5468 -5476 -5469 -5500 -5517 -5522 -5500 -5486 -5522 -5563 -5576 -5504 -5402 -5322 -5284 -5355 -5424 -5484 -5459 -5499 -5576 -5651 -5740 -5717 -5659 -5595 -5612 -5674 -5716 -5671 -5582 -5460 -5417 -5450 -5555 -5678 -5764 -5774 -5721 -5658 -5641 -5687 -5759 -5778 -5706 -5635 -5559 -5573 -5654 -5687 -5648 -5552 -5528 -5622 -5721 -5760 -5714 -5691 -5660 -5665 -5719 -5723 -5700 -5662 -5637 -5608 -5524 -5466 -5433 -5455 -5493 -5518 -5505 -5497 -5525 -5528 -5544 -5589 -5583 -5524 -5482 -5447 -5511 -5596 -5630 -5603 -5499 -5411 -5392 -5441 -5512 -5496 -5448 -5359 -5288 -5244 -5251 -5290 -5377 -5434 -5444 -5442 -5465 -5520 -5566 -5568 -5464 -5357 -5337 -5472 -5567 -5564 -5426 -5240 -5194 -5241 -5327 -5375 -5358 -5305 -5287 -5326 -5346 -5376 -5398 -5474 -5576 -5641 -5662 -5607 -5546 -5540 -5524 -5517 -5437 -5415 -5363 -5390 -5433 -5480 -5485 -5502 -5510 -5540 -5625 -5716 -5769 -5784 -5739 -5699 -5684 -5700 -5737 -5721 -5649 -5562 -5482 -5510 -5586 -5657 -5666 -5625 -5592 -5648 -5804 -5978 -6045 -6011 -5897 -5831 -5812 -5818 -5830 -5796 -5711 -5645 -5664 -5706 -5758 -5744 -5725 -5734 -5803 -5904 -5930 -5863 -5752 -5699 -5761 -5830 -5885 -5849 -5797 -5804 -5853 -5860 -5781 -5669 -5619 -5688 -5783 -5821 -5727 -5592 -5521 -5526 -5630 -5726 -5781 -5793 -5749 -5702 -5649 -5703 -5738 -5743 -5717 -5638 -5574 -5588 -5642 -5625 -5535 -5374 -5311 -5390 -5508 -5596 -5575 -5547 -5542 -5605 -5699 -5725 -5701 -5613 -5565 -5540 -5550 -5525 -5451 -5370 -5295 -5326 -5358 -5438 -5446 -5441 -5456 -5520 -5585 -5583 -5508 -5440 -5456 -5598 -5704 -5713 -5604 -5448 -5393 -5405 -5472 -5524 -5493 -5454 -5459 -5523 -5559 -5627 -5605 -5595 -5582 -5647 -5699 -5744 -5699 -5592 -5477 -5410 -5474 -5618 -5714 -5778 -5756 -5713 -5706 -5791 -5865 -5888 -5863 -5811 -5794 -5788 -5786 -5739 -5664 -5619 -5646 -5728 -5781 -5782 -5689 -5629 -5704 -5831 -5907 -5916 -5844 -5830 -5914 -6012 -6073 -5984 -5848 -5790 -5821 -5915 -5931 -5872 -5779 -5743 -5773 -5820 -5854 -5813 -5728 -5678 -5693 -5767 -5833 -5859 -5825 -5801 -5801 -5866 -5969 -6051 -6059 -5977 -5873 -5812 -5724 -5653 -5599 -5545 -5518 -5519 -5569 -5586 -5605 -5577 -5582 -5656 -5776 -5861 -5908 -5822 -5740 -5690 -5681 -5687 -5641 -5549 -5459 -5477 -5522 -5560 -5472 -5330 -5278 -5322 -5501 -5659 -5708 -5637 -5533 -5531 -5609 -5688 -5681 -5595 -5472 -5421 -5433 -5458 -5435 -5383 -5331 -5332 -5389 -5482 -5529 -5504 -5486 -5496 -5512 -5572 -5596 -5580 -5591 -5606 -5605 -5622 -5594 -5570 -5532 -5509 -5489 -5488 -5530 -5586 -5572 -5591 -5587 -5656 -5740 -5773 -5701 -5622 -5629 -5719 -5801 -5767 -5647 -5493 -5521 -5668 -5855 -5889 -5792 -5677 -5648 -5717 -5779 -5791 -5751 -5726 -5740 -5819 -5896 -5906 -5886 -5837 -5824 -5868 -5952 -5981 -5930 -5807 -5695 -5701 -5745 -5774 -5770 -5772 -5819 -5878 -5908 -5882 -5852 -5828 -5905 -5992 -6078 -6066 -5995 -5886 -5812 -5790 -5788 -5792 -5761 -5725 -5658 -5645 -5690 -5774 -5817 -5827 -5811 -5814 -5872 -5977 -6003 -5890 -5711 -5587 -5587 -5659 -5732 -5694 -5594 -5529 -5581 -5715 -5798 -5776 -5704 -5624 -5650 -5708 -5745 -5728 -5638 -5562 -5552 -5551 -5519 -5484 -5467 -5513 -5581 -5589 -5564 -5493 -5472 -5507 -5624 -5682 -5722 -5719 -5692 -5610 -5571 -5546 -5522 -5496 -5454 -5438 -5441 -5464 -5508 -5515 -5473 -5434 -5468 -5592 -5710 -5765 -5710 -5575 -5544 -5557 -5652 -5734 -5776 -5715 -5627 -5563 -5564 -5632 -5651 -5630 -5568 -5520 -5546 -5638 -5690 -5690 -5638 -5666 -5735 -5812 -5866 -5836 -5791 -5809 -5846 -5863 -5824 -5778 -5781 -5802 -5820 -5763 -5667 -5577 -5596 -5684 -5750 -5782 -5777 -5829 -5915 -6033 -6118 -6164 -6151 -6094 -6015 -5916 -5867 -5860 -5859 -5794 -5669 -5533 -5499 -5583 -5758 -5876 -5925 -5881 -5844 -5885 -5938 -6004 -5994 -5975 -5903 -5905 -5921 -5924 -5864 -5737 -5615 -5573 -5655 -5741 -5813 -5760 -5713 -5679 -5673 -5690 -5714 -5753 -5802 -5824 -5786 -5688 -5627 -5595 -5603 -5696 -5723 -5716 -5691 -5669 -5665 -5671 -5692 -5629 -5570 -5482 -5482 -5536 -5588 -5640 -5603 -5559 -5537 -5514 -5546 -5597 -5628 -5580 -5499 -5436 -5438 -5486 -5573 -5597 -5555 -5474 -5458 -5558 -5696 -5760 -5660 -5505 -5423 -5476 -5591 -5669 -5632 -5550 -5480 -5510 -5548 -5550 -5526 -5514 -5539 -5577 -5614 -5609 -5571 -5580 -5702 -5791 -5842 -5829 -5792 -5753 -5745 -5731 -5685 -5650 -5598 -5588 -5642 -5696 -5743 -5740 -5662 -5631 -5679 -5850 -5991 -6053 -6008 -5875 -5788 -5752 -5842 -5931 -5961 -5888 -5772 -5704 -5698 -5810 -5849 -5834 -5783 -5769 -5887 -6044 -6177 -6159 -6048 -5899 -5869 -5915 -5947 -5899 -5791 -5751 -5755 -5790 -5798 -5777 -5782 -5803 -5891 -5975 -5981 -5949 -5898 -5894 -5884 -5901 -5850 -5739 -5706 -5745 -5874 -5945 -5895 -5754 -5589 -5556 -5636 -5789 -5884 -5845 -5740 -5694 -5704 -5771 -5815 -5820 -5776 -5711 -5672 -5708 -5730 -5738 -5671 -5544 -5457 -5479 -5585 -5691 -5668 -5521 -5378 -5371 -5480 -5650 -5714 -5663 -5638 -5645 -5714 -5752 -5720 -5653 -5618 -5622 -5590 -5521 -5425 -5337 -5322 -5397 -5496 -5521 -5511 -5473 -5500 -5617 -5728 -5761 -5732 -5691 -5671 -5770 -5900 -5910 -5784 -5596 -5486 -5478 -5586 -5608 -5559 -5461 -5395 -5453 -5559 -5715 -5797 -5833 -5790 -5795 -5879 -5979 -6028 -5995 -5858 -5694 -5630 -5658 -5774 -5822 -5831 -5812 -5761 -5788 -5852 -5887 -5845 -5864 -5882 -5956 -5990 -5985 -5921 -5823 -5805 -5848 -5912 -5916 -5902 -5865 -5920 -6001 -6003 -5917 -5794 -5770 -5878 -6076 -6152 -6044 -5834 -5694 -5728 -5884 -5980 -5967 -5909 -5828 -5843 -5929 -5997 -6002 -5937 -5843 -5790 -5787 -5800 -5841 -5834 -5748 -5676 -5682 -5771 -5869 -5945 -5894 -5777 -5726 -5736 -5752 -5755 -5670 -5611 -5596 -5594 -5604 -5642 -5669 -5712 -5724 -5736 -5748 -5738 -5687 -5689 -5685 -5676 -5678 -5604 -5502 -5400 -5426 -5505 -5610 -5583 -5465 -5350 -5355 -5534 -5725 -5802 -5732 -5641 -5637 -5729 -5792 -5741 -5595 -5466 -5422 -5485 -5557 -5555 -5478 -5358 -5326 -5366 -5497 -5647 -5739 -5754 -5738 -5683 -5642 -5697 -5743 -5785 -5816 -5779 -5753 -5708 -5652 -5630 -5571 -5549 -5550 -5615 -5682 -5694 -5701 -5706 -5793 -5853 -5895 -5865 -5831 -5831 -5881 -5922 -5876 -5779 -5692 -5708 -5827 -5956 -5969 -5886 -5799 -5795 -5880 -5966 -6015 -5914 -5838 -5778 -5851 -5958 -6048 -6063 -5984 -5893 -5864 -5888 -5928 -5937 -5875 -5816 -5780 -5787 -5798 -5793 -5798 -5848 -5906 -5947 -5974 -5963 -5946 -5961 -5948 -5956 -5965 -5926 -5923 -5903 -5830 -5728 -5629 -5596 -5598 -5604 -5627 -5679 -5712 -5754 -5762 -5705 -5728 -5855 -6003 -6057 -5981 -5797 -5629 -5588 -5626 -5641 -5579 -5480 -5425 -5450 -5532 -5573 -5561 -5511 -5497 -5550 -5652 -5708 -5718 -5683 -5624 -5592 -5582 -5629 -5647 -5627 -5583 -5517 -5497 -5481 -5492 -5479 -5476 -5493 -5528 -5571 -5592 -5628 -5672 -5687 -5680 -5597 -5585 -5563 -5550 -5567 -5600 -5618 -5647 -5674 -5635 -5611 -5618 -5666 -5739 -5781 -5738 -5647 -5621 -5651 -5712 -5731 -5739 -5697 -5721 -5772 -5833 -5849 -5780 -5746 -5710 -5814 -5930 -6019 -5968 -5869 -5788 -5765 -5816 -5917 -5919 -5881 -5840 -5840 -5847 -5864 -5876 -5876 -5921 -5974 -5982 -5958 -5903 -5855 -5848 -5853 -5855 -5891 -5963 -6040 -6094 -6071 -6047 -6064 -6052 -6047 -5972 -5883 -5820 -5820 -5804 -5736 -5646 -5577 -5644 -5787 -5912 -5968 -5959 -5918 -5968 -6039 -6120 -6080 -5970 -5838 -5806 -5843 -5880 -5844 -5714 -5559 -5458 -5493 -5589 -5668 -5683 -5668 -5690 -5769 -5855 -5838 -5789 -5758 -5769 -5787 -5785 -5736 -5634 -5552 -5476 -5461 -5481 -5510 -5548 -5569 -5571 -5578 -5551 -5575 -5532 -5519 -5527 -5587 -5655 -5689 -5679 -5574 -5528 -5508 -5582 -5668 -5645 -5564 -5458 -5383 -5452 -5506 -5574 -5570 -5522 -5526 -5605 -5710 -5765 -5694 -5580 -5542 -5598 -5751 -5814 -5746 -5624 -5548 -5597 -5674 -5702 -5618 -5542 -5529 -5595 -5676 -5678 -5638 -5615 -5671 -5801 -5893 -5943 -5899 -5880 -5896 -5891 -5870 -5804 -5717 -5676 -5654 -5699 -5771 -5832 -5837 -5762 -5721 -5738 -5859 -5978 -6044 -6011 -5937 -5895 -5903 -5949 -5939 -5847 -5745 -5708 -5744 -5816 -5845 -5804 -5720 -5676 -5759 -5929 -6082 -6124 -6088 -5988 -5927 -5932 -5928 -5872 -5789 -5736 -5757 -5781 -5811 -5729 -5655 -5641 -5764 -5865 -5914 -5842 -5742 -5696 -5745 -5802 -5826 -5778 -5694 -5683 -5738 -5816 -5815 -5713 -5615 -5566 -5605 -5682 -5723 -5665 -5589 -5546 -5553 -5589 -5663 -5655 -5621 -5553 -5507 -5508 -5572 -5637 -5639 -5563 -5499 -5471 -5567 -5661 -5703 -5631 -5500 -5474 -5516 -5565 -5564 -5516 -5509 -5580 -5656 -5674 -5556 -5441 -5423 -5459 -5546 -5589 -5541 -5493 -5476 -5495 -5579 -5585 -5599 -5603 -5675 -5736 -5837 -5856 -5784 -5671 -5566 -5605 -5727 -5857 -5839 -5721 -5556 -5483 -5517 -5591 -5613 -5605 -5567 -5611 -5702 -5804 -5874 -5927 -5947 -5975 -6007 -6046 -6032 -6017 -5936 -5789 -5671 -5636 -5668 -5703 -5704 -5661 -5670 -5750 -5881 -5938 -5944 -5963 -5988 -6075 -6114 -6088 -6008 -5934 -5943 -5966 -5958 -5874 -5735 -5654 -5720 -5835 -5895 -5882 -5759 -5696 -5759 -5909 -6046 -6070 -5997 -5864 -5804 -5826 -5889 -5915 -5868 -5827 -5801 -5816
+-328 -246 -57 -62 -98 -290 -427 -450 -310 -155 -73 -111 -222 -362 -501 -530 -544 -551 -495 -505 -540 -587 -672 -744 -818 -828 -815 -751 -612 -557 -566 -747 -875 -950 -879 -723 -587 -611 -746 -901 -977 -993 -1009 -1025 -1053 -1031 -978 -1012 -1083 -1175 -1152 -1017 -881 -851 -898 -964 -898 -762 -714 -775 -929 -1100 -1108 -1006 -914 -979 -1039 -1133 -1125 -1090 -1068 -1070 -1082 -1127 -1110 -989 -894 -826 -853 -936 -1010 -1044 -983 -861 -786 -793 -852 -1010 -1172 -1268 -1179 -1079 -985 -964 -1072 -1137 -1219 -1227 -1209 -1160 -1095 -979 -871 -808 -853 -1029 -1111 -1066 -969 -920 -992 -1064 -1121 -1171 -1209 -1322 -1400 -1401 -1269 -1116 -1094 -1243 -1425 -1532 -1467 -1391 -1270 -1242 -1300 -1346 -1420 -1488 -1528 -1494 -1476 -1463 -1454 -1547 -1685 -1659 -1607 -1567 -1605 -1699 -1785 -1788 -1742 -1690 -1721 -1808 -1912 -1937 -1915 -1901 -1851 -1833 -1849 -1871 -1866 -1905 -1887 -1961 -2001 -2063 -2083 -2032 -1996 -1978 -2000 -2035 -2132 -2233 -2301 -2277 -2075 -1920 -1878 -2047 -2345 -2489 -2403 -2182 -2013 -1982 -2138 -2218 -2209 -2164 -2159 -2182 -2209 -2148 -2035 -1935 -1925 -1988 -2095 -2194 -2295 -2327 -2258 -2113 -1947 -1962 -2204 -2389 -2442 -2277 -2044 -1900 -1914 -2030 -2083 -2051 -1994 -1984 -2016 -2013 -1986 -1968 -1970 -2023 -2066 -2027 -1981 -1957 -2000 -2074 -2063 -2055 -1968 -1945 -1961 -2064 -2129 -2068 -1980 -1860 -1833 -1861 -1954 -1917 -1885 -1774 -1780 -1914 -2060 -2098 -2030 -1918 -1894 -2048 -2199 -2344 -2330 -2296 -2157 -2100 -2017 -2068 -2154 -2253 -2242 -2148 -1981 -1866 -1895 -2069 -2226 -2322 -2340 -2369 -2382 -2403 -2383 -2331 -2377 -2450 -2563 -2604 -2583 -2526 -2492 -2470 -2381 -2265 -2255 -2373 -2607 -2716 -2654 -2368 -2198 -2283 -2537 -2870 -2980 -2908 -2710 -2554 -2633 -2799 -2929 -2898 -2751 -2665 -2683 -2803 -2885 -2909 -2797 -2573 -2524 -2567 -2661 -2767 -2780 -2759 -2707 -2663 -2712 -2792 -2893 -2945 -2905 -2800 -2771 -2855 -2896 -2933 -2813 -2619 -2502 -2500 -2618 -2769 -2771 -2703 -2557 -2427 -2414 -2451 -2590 -2777 -2918 -2909 -2711 -2519 -2393 -2482 -2685 -2805 -2693 -2475 -2373 -2373 -2483 -2557 -2502 -2384 -2301 -2432 -2579 -2675 -2585 -2387 -2192 -2189 -2382 -2578 -2648 -2578 -2430 -2304 -2274 -2360 -2478 -2557 -2588 -2530 -2460 -2302 -2250 -2281 -2352 -2405 -2420 -2403 -2322 -2327 -2402 -2500 -2541 -2516 -2515 -2557 -2609 -2668 -2715 -2698 -2695 -2605 -2495 -2432 -2437 -2594 -2694 -2678 -2552 -2450 -2476 -2681 -2897 -2895 -2775 -2575 -2631 -2903 -3149 -3126 -2869 -2605 -2486 -2590 -2847 -3050 -3123 -3034 -2854 -2754 -2698 -2809 -2950 -3070 -3094 -3030 -2966 -2909 -2902 -2926 -2953 -3033 -3055 -3133 -3162 -3192 -3234 -3194 -3077 -2995 -3029 -3141 -3320 -3435 -3358 -3133 -2839 -2741 -2857 -3078 -3230 -3206 -3117 -2973 -2891 -2976 -3131 -3263 -3234 -3093 -2909 -2790 -2854 -3061 -3151 -3005 -2772 -2651 -2789 -3052 -3287 -3201 -3008 -2820 -2847 -3024 -3111 -3087 -2900 -2742 -2730 -2737 -2745 -2714 -2682 -2621 -2616 -2624 -2576 -2712 -2821 -2974 -2953 -2805 -2667 -2628 -2707 -2868 -2953 -2880 -2697 -2507 -2360 -2394 -2519 -2723 -2782 -2740 -2586 -2506 -2483 -2545 -2657 -2655 -2656 -2644 -2709 -2789 -2853 -2854 -2755 -2686 -2704 -2836 -3019 -3134 -3067 -2832 -2546 -2423 -2540 -2722 -2862 -2844 -2657 -2531 -2516 -2622 -2735 -2796 -2897 -3042 -3105 -3136 -3071 -3021 -3074 -3148 -3166 -3043 -2893 -2869 -2955 -3116 -3136 -2993 -2771 -2761 -2911 -3116 -3286 -3246 -3135 -3104 -3167 -3261 -3344 -3333 -3287 -3178 -3166 -3169 -3293 -3419 -3439 -3327 -3084 -2926 -3019 -3222 -3465 -3456 -3228 -3024 -2878 -2908 -3100 -3274 -3361 -3303 -3172 -3154 -3203 -3238 -3258 -3283 -3230 -3210 -3315 -3378 -3341 -3178 -3025 -2895 -2819 -2893 -2978 -3054 -3051 -2996 -2856 -2735 -2789 -2966 -3247 -3409 -3373 -3076 -2866 -2797 -2935 -3082 -3050 -2975 -2816 -2750 -2767 -2775 -2759 -2731 -2714 -2731 -2776 -2799 -2845 -2846 -2888 -2796 -2712 -2657 -2707 -2831 -2918 -2914 -2744 -2617 -2612 -2737 -2859 -2888 -2821 -2677 -2673 -2710 -2806 -2841 -2780 -2645 -2528 -2552 -2620 -2777 -2878 -2955 -2993 -2941 -2850 -2773 -2785 -2915 -3038 -3128 -3019 -2853 -2677 -2682 -2734 -2851 -2888 -2958 -2986 -3052 -3099 -3107 -3040 -2985 -2993 -3103 -3207 -3271 -3312 -3233 -3055 -2896 -2794 -2830 -3069 -3325 -3446 -3346 -3149 -2992 -3085 -3343 -3540 -3581 -3456 -3342 -3268 -3266 -3325 -3308 -3247 -3173 -3190 -3264 -3353 -3349 -3326 -3272 -3232 -3284 -3322 -3351 -3365 -3343 -3330 -3217 -3204 -3166 -3161 -3227 -3282 -3287 -3225 -3166 -3157 -3302 -3387 -3406 -3239 -3057 -2972 -3041 -3203 -3324 -3238 -3107 -2929 -2883 -2942 -3102 -3246 -3307 -3270 -3166 -3017 -2967 -2987 -3037 -3046 -2941 -2821 -2799 -2866 -2872 -2791 -2637 -2600 -2731 -3046 -3255 -3276 -3029 -2825 -2762 -2836 -2913 -2927 -2907 -2834 -2805 -2726 -2647 -2540 -2544 -2672 -2874 -3012 -3029 -2928 -2847 -2815 -2861 -2926 -2981 -3016 -2938 -2925 -2870 -2897 -2901 -2880 -2799 -2767 -2860 -2971 -3069 -3007 -2916 -2831 -2846 -2881 -2923 -2919 -2891 -2866 -2883 -2950 -3080 -3140 -3274 -3303 -3255 -3210 -3283 -3375 -3465 -3443 -3271 -3088 -2984 -3025 -3052 -3065 -3076 -3127 -3185 -3214 -3156 -3038 -3043 -3266 -3546 -3668 -3586 -3401 -3322 -3352 -3434 -3489 -3344 -3259 -3259 -3355 -3422 -3311 -3132 -3011 -3045 -3213 -3384 -3516 -3422 -3273 -3123 -3078 -3155 -3306 -3457 -3488 -3446 -3336 -3289 -3331 -3358 -3424 -3371 -3320 -3335 -3304 -3226 -3161 -3070 -2933 -2884 -2913 -2953 -3043 -3051 -3040 -3015 -3030 -3051 -3150 -3169 -3171 -3149 -3174 -3147 -3118 -2993 -2832 -2756 -2778 -2820 -2800 -2728 -2684 -2704 -2832 -2958 -2904 -2774 -2681 -2779 -2981 -3112 -3089 -2929 -2759 -2667 -2681 -2678 -2675 -2723 -2837 -2915 -2905 -2844 -2716 -2693 -2767 -2861 -2944 -2977 -2963 -2840 -2789 -2735 -2695 -2774 -2853 -2969 -3033 -3064 -3045 -2982 -2930 -2887 -2864 -2979 -3077 -3153 -3188 -3044 -2881 -2785 -2815 -2974 -3197 -3207 -3175 -3007 -2993 -3093 -3286 -3386 -3303 -3161 -3078 -3142 -3267 -3306 -3206 -3062 -3003 -3103 -3293 -3372 -3411 -3361 -3317 -3360 -3404 -3375 -3360 -3306 -3310 -3337 -3304 -3266 -3216 -3236 -3284 -3265 -3184 -3150 -3201 -3326 -3478 -3507 -3455 -3368 -3284 -3281 -3291 -3397 -3453 -3430 -3327 -3172 -3050 -2987 -3051 -3195 -3270 -3310 -3253 -3212 -3157 -3197 -3192 -3134 -3069 -3067 -3171 -3236 -3260 -3098 -2910 -2796 -2863 -3079 -3275 -3301 -3216 -2999 -2920 -2880 -2904 -2909 -2892 -2866 -2903 -2874 -2742 -2614 -2584 -2704 -2899 -3028 -3022 -2974 -2936 -3014 -3112 -3072 -2980 -2898 -2857 -2807 -2804 -2834 -2793 -2717 -2658 -2635 -2692 -2774 -2894 -3001 -2992 -2915 -2868 -2931 -3015 -3068 -3024 -2815 -2749 -2761 -2905 -3026 -3074 -2942 -2771 -2699 -2798 -3015 -3161 -3220 -3106 -2926 -2782 -2818 -2928 -3081 -3136 -3192 -3199 -3186 -3204 -3176 -3167 -3231 -3299 -3340 -3343 -3295 -3256 -3212 -3175 -3058 -2905 -2877 -3058 -3344 -3493 -3382 -3137 -3015 -3141 -3438 -3688 -3798 -3716 -3529 -3383 -3274 -3206 -3214 -3247 -3285 -3221 -3114 -3012 -3077 -3215 -3319 -3316 -3214 -3178 -3288 -3475 -3590 -3508 -3385 -3232 -3215 -3337 -3431 -3403 -3324 -3228 -3143 -3178 -3182 -3198 -3160 -3084 -3031 -2984 -3014 -3094 -3171 -3128 -3053 -2910 -2809 -2819 -2952 -3138 -3305 -3302 -3197 -3036 -2949 -2993 -3074 -3216 -3158 -3050 -2856 -2752 -2724 -2698 -2727 -2729 -2787 -2894 -2946 -2939 -2935 -2941 -2923 -2897 -2845 -2894 -3027 -3133 -3125 -2916 -2617 -2449 -2557 -2880 -3092 -3075 -2869 -2687 -2637 -2739 -2878 -2943 -2971 -2894 -2882 -2827 -2815 -2785 -2817 -2880 -2901 -2913 -2934 -2983 -3049 -3205 -3210 -3099 -2996 -2986 -3087 -3196 -3258 -3155 -3033 -2873 -2879 -3002 -3149 -3234 -3224 -3106 -3066 -3115 -3332 -3456 -3473 -3294 -3100 -2982 -3042 -3259 -3447 -3495 -3340 -3091 -2960 -3022 -3300 -3529 -3620 -3491 -3278 -3189 -3279 -3416 -3457 -3346 -3173 -3189 -3334 -3440 -3425 -3228 -3061 -3031 -3197 -3371 -3499 -3563 -3481 -3410 -3310 -3189 -3179 -3241 -3399 -3468 -3451 -3218 -2948 -2871 -2938 -3151 -3299 -3308 -3211 -3058 -3062 -3082 -3189 -3222 -3178 -3059 -3043 -3110 -3178 -3200 -3136 -3015 -2889 -2833 -2928 -3030 -3091 -3041 -2894 -2758 -2722 -2855 -2973 -3004 -2885 -2706 -2635 -2706 -2900 -3046 -3014 -2926 -2814 -2886 -2993 -3068 -3069 -3003 -2929 -2830 -2678 -2545 -2513 -2587 -2783 -2930 -2835 -2677 -2523 -2573 -2835 -3032 -3123 -3078 -3011 -2993 -3063 -3144 -3082 -2920 -2773 -2765 -2891 -3076 -3127 -3008 -2765 -2579 -2630 -2851 -3191 -3398 -3395 -3192 -2948 -2906 -3026 -3222 -3371 -3391 -3272 -3181 -3154 -3268 -3331 -3349 -3295 -3197 -3174 -3259 -3363 -3338 -3273 -3129 -3005 -3063 -3174 -3306 -3334 -3384 -3388 -3407 -3352 -3338 -3353 -3524 -3639 -3595 -3404 -3231 -3205 -3325 -3509 -3471 -3282 -2990 -2950 -3178 -3481 -3638 -3536 -3335 -3173 -3205 -3376 -3526 -3578 -3520 -3391 -3273 -3191 -3155 -3195 -3187 -3140 -3064 -3037 -3102 -3173 -3220 -3047 -2966 -2920 -2994 -3166 -3269 -3246 -3083 -2948 -2835 -2858 -2986 -3135 -3261 -3241 -3147 -3009 -2907 -2847 -2885 -2971 -3031 -3060 -2954 -2807 -2631 -2638 -2738 -2888 -2931 -2854 -2777 -2801 -2972 -3127 -3105 -2953 -2792 -2809 -3001 -3127 -3077 -2877 -2637 -2510 -2613 -2746 -2788 -2826 -2759 -2814 -2823 -2854 -2955 -3034 -3105 -3085 -3006 -2902 -2952 -3083 -3217 -3218 -3044 -2914 -2845 -2926 -3094 -3187 -3248 -3166 -3102 -3010 -2940 -2956 -3008 -3168 -3237 -3217 -3144 -3085 -3103 -3178 -3216 -3172 -3156 -3194 -3347 -3519 -3558 -3394 -3160 -3021 -3061 -3266 -3486 -3604 -3455 -3203 -3031 -3103 -3282 -3529 -3614 -3515 -3372 -3312 -3303 -3311 -3332 -3280 -3255 -3290 -3337 -3351 -3306 -3328 -3380 -3352 -3290 -3302 -3373 -3474 -3532 -3439 -3285 -3195 -3141 -3235 -3276 -3230 -3127 -3016 -2997 -3037 -3061 -3095 -3105 -3113 -3125 -3120 -3079 -3104 -3242 -3270 -3282 -3146 -2987 -2936 -2994 -3136 -3182 -3056 -2900 -2736 -2669 -2759 -2822 -2904 -2890 -2881 -2901 -2908 -2883 -2820 -2759 -2797 -2885 -2998 -3083 -3068 -3000 -2867 -2797 -2774 -2800 -2887 -2944 -2998 -2972 -2851 -2676 -2525 -2556 -2729 -2934 -3031 -2960 -2889 -2823 -2821 -2884 -2967 -3072 -3163 -3240 -3197 -3124 -3041 -3000 -3007 -3026 -2955 -2881 -2895 -2989 -3072 -3070 -3000 -2899 -2953 -3103 -3278 -3378 -3317 -3244 -3154 -3231 -3338 -3449 -3382 -3274 -3204 -3183 -3256 -3401 -3404 -3311 -3210 -3104 -3072 -3144 -3283 -3365 -3422 -3402 -3327 -3254 -3257 -3316 -3454 -3564 -3559 -3524 -3490 -3529 -3536 -3481 -3385 -3379 -3373 -3463 -3453 -3356 -3165 -3079 -3095 -3149 -3245 -3271 -3341 -3413 -3408 -3329 -3212 -3137 -3202 -3409 -3570 -3520 -3315 -3077 -2984 -3053 -3142 -3179 -3129 -3074 -3072 -3046 -3015 -2978 -2930 -2937 -3029 -3131 -3215 -3180 -3110 -3028 -2988 -2992 -3000 -3047 -3047 -3037 -2966 -2911 -2884 -2843 -2802 -2769 -2806 -2855 -2869 -2893 -2841 -2794 -2760 -2826 -2814 -2824 -2860 -2800 -2856 -2858 -2928 -3015 -2971 -2880 -2693 -2569 -2668 -2861 -3037 -3031 -2858 -2663 -2651 -2798 -2969 -3033 -2986 -2974 -3070 -3189 -3198 -3008 -2829 -2784 -2936 -3119 -3177 -3108 -2982 -2917 -2881 -2893 -2873 -2987 -3105 -3246 -3294 -3236 -3112 -2990 -3038 -3187 -3315 -3357 -3310 -3206 -3117 -3061 -3132 -3197 -3297 -3360 -3344 -3292 -3267 -3286 -3292 -3269 -3218 -3188 -3234 -3312 -3423 -3452 -3356 -3239 -3203 -3256 -3373 -3446 -3438 -3317 -3193 -3190 -3270 -3375 -3379 -3340 -3208 -3169 -3259 -3365 -3385 -3275 -3183 -3167 -3190 -3250 -3190 -3118 -3110 -3225 -3297 -3219 -3014 -2867 -2873 -3051 -3248 -3318 -3249 -3118 -3067 -3053 -3094 -3092 -3085 -3099 -3137 -3137 -3124 -3027 -2888 -2802 -2814 -2826 -2929 -3080 -3078 -2984 -2757 -2539 -2517 -2667 -2903 -3056 -3059 -2936 -2800 -2748 -2795 -2905 -2953 -2957 -2963 -2950 -2935 -2833 -2756 -2697 -2699 -2721 -2747 -2726 -2710 -2719 -2718 -2740 -2788 -2728 -2712 -2818 -2983 -3160 -3155 -3038 -2892 -2928 -3052 -3198 -3234 -3044 -2873 -2769 -2910 -3055 -3156 -3017 -2864 -2679 -2732 -2898 -3082 -3171 -3189 -3068 -3036 -3034 -3126 -3267 -3403 -3442 -3380 -3319 -3302 -3283 -3291 -3274 -3192 -3134 -3158 -3266 -3280 -3220 -3047 -2981 -3020 -3169 -3355 -3449 -3516 -3441 -3406 -3334 -3318 -3356 -3437 -3549 -3640 -3604 -3420 -3150 -2972 -3048 -3204 -3354 -3364 -3201 -3035 -3045 -3154 -3318 -3325 -3283 -3210 -3273 -3376 -3452 -3354 -3228 -3133 -3077 -3129
+22 110 124 119 91 18 73 113 141 107 55 51 48 82 81 117 154 249 384 574 643 582 401 243 176 239 290 275 154 58 80 195 302 307 269 230 381 586 692 618 385 208 212 337 490 508 353 151 46 85 187 248 218 102 186 345 611 775 701 481 335 325 427 476 394 155 36 39 220 321 349 288 283 340 463 593 579 437 273 155 147 240 301 290 158 85 148 175 216 84 -34 2 180 426 532 433 295 192 288 420 465 366 234 113 130 223 165 74 11 50 281 486 547 429 204 106 203 419 552 471 351 242 326 489 570 498 338 233 294 421 409 275 82 -27 55 241 487 542 439 339 341 466 683 711 623 470 446 596 774 776 622 340 245 299 495 573 497 349 320 503 828 946 902 787 711 790 913 944 712 344 123 65 267 448 519 454 410 530 750 919 893 758 676 758 904 991 819 550 403 364 470 608 627 518 425 433 521 573 594 566 579 734 878 967 893 696 465 397 502 596 590 480 359 338 445 561 620 510 382 292 360 532 553 446 255 201 391 668 850 795 570 469 422 591 658 453 223 81 171 416 559 532 426 307 408 595 739 753 658 515 468 546 623 636 523 373 349 395 495 495 310 148 182 315 538 705 692 665 697 750 809 748 635 514 480 492 490 478 425 372 385 537 644 822 867 832 729 686 756 778 760 684 575 635 780 807 688 511 422 510 704 845 890 801 762 852 967 989 916 808 718 707 719 692 582 455 372 400 568 771 867 880 824 825 837 915 907 833 740 716 785 880 908 843 746 701 711 787 807 750 608 490 423 458 586 641 693 669 706 759 870 902 802 667 567 519 643 711 682 530 401 334 411 454 484 482 467 469 570 669 752 774 780 836 906 903 787 671 548 452 343 314 324 359 489 543 617 625 653 710 734 753 758 775 771 723 635 553 515 528 541 531 439 408 358 450 484 494 495 546 689 909 1021 1038 943 809 734 729 750 763 695 602 542 587 703 786 758 697 730 840 994 1043 920 723 607 714 819 893 928 866 792 783 821 813 760 688 702 744 849 929 948 896 838 823 854 967 1066 1070 909 814 834 936 1002 955 837 756 805 882 889 785 612 535 594 766 956 1026 976 963 960 1071 1101 1027 856 684 574 602 635 562 442 373 443 598 772 826 840 822 786 855 849 769 687 630 673 702 763 691 567 478 459 535 668 720 710 648 651 687 815 882 795 694 656 676 711 690 508 285 213 323 630 831 891 774 656 626 768 896 894 772 629 616 749 878 847 680 489 490 672 913 916 753 535 482 621 839 992 991 938 914 1007 1138 1187 1061 862 759 776 919 941 907 843 730 781 841 945 853 778 759 816 936 1033 1028 974 962 994 1118 1262 1269 1115 961 762 755 768 745 653 633 699 911 1140 1209 1093 975 1010 1235 1420 1424 1221 1011 879 904 973 922 778 643 612 702 819 789 674 581 681 868 1141 1312 1251 1028 795 833 910 980 900 686 554 525 712 795 757 641 585 707 958 1040 993 811 624 610 714 896 942 886 762 717 810 899 908 808 579 425 460 700 847 803 659 547 612 828 940 874 722 621 657 801 798 671 445 418 519 718 868 805 708 634 676 766 821 858 838 860 988 1118 1121 1012 816 711 754 857 916 881 683 523 559 751 930 995 975 931 951 1085 1158 1067 887 727 731 921 1112 1142 985 779 671 780 949 1002 936 804 715 840 1050 1188 1188 1092 1008 1043 1177 1249 1134 863 619 584 758 972 1010 867 808 875 1102 1249 1182 982 886 905 1036 1120 1039 860 746 722 802 838 842 747 697 685 700 807 803 748 744 793 934 1055 1152 1075 912 717 698 771 790 782 667 657 728 817 849 721 613 632 761 884 928 888 735 659 687 842 913 932 863 730 695 663 608 489 374 281 376 667 847 886 750 680 718 909 1019 1029 874 734 735 793 781 740 591 526 502 630 727 807 754 645 622 681 859 1025 1102 1073 1071 1066 1119 1151 1102 943 767 681 708 730 742 705 629 630 744 883 976 1044 1009 939 988 1028 1057 1038 976 946 1061 1107 1089 1029 862 821 796 829 904 911 939 992 1034 1056 1059 1032 1020 1034 1070 1075 1003 883 749 731 785 856 933 995 982 922 881 887 831 830 801 776 840 897 962 974 889 843 777 811 863 861 796 661 552 557 615 781 806 835 832 923 977 1073 984 823 702 606 687 757 800 740 601 549 565 663 690 645 582 613 687 795 837 847 739 680 689 750 824 731 598 477 369 421 455 604 669 730 749 828 882 929 891 844 749 728 823 930 879 772 620 643 736 891 832 720 599 597 679 751 735 656 699 815 1051 1138 1092 990 920 947 932 906 833 775 718 718 759 799 814 799 785 831 972 1105 1119 1049 924 934 1037 1154 1140 1030 931 915 978 961 913 711 613 629 852 1017 1150 1107 1019 944 989 1127 1223 1167 976 802 721 829 878 802 689 561 627 851 1040 1028 820 613 610 806 1086 1201 1100 959 891 1021 1112 1071 854 650 601 655 740 744 612 512 529 660 873 997 969 873 824 811 898 898 814 697 658 726 819 908 840 653 528 466 566 637 605 533 505 590 830 967 941 827 741 824 959 1069 958 744 598 556 681 827 870 773 671 689 790 922 955 826 688 715 953 1158 1190 1027 742 669 769 911 964 774 533 468 602 812 917 841 812 847 1063 1237 1270 1078 841 711 728 909 1060 1038 887 766 757 919 1070 1135 1064 892 858 997 1128 1119 961 766 772 896 1119 1150 1008 774 687 723 827 870 801 756 726 907 1044 1093 1053 897 836 879 1018 1037 994 774 722 743 851 829 693 593 611 737 891 924 805 653 575 754 966 1085 1114 979 893 939 974 908 732 482 376 457 631 731 636 481 355 395 607 814 883 768 610 592 687 781 858 780 707 678 770 877 830 651 390 247 330 585 751 767 625 599 710 994 1145 1076 951 821 796 840 862 699 474 397 520 700 839 846 729 657 739 865 970 1011 968 934 952 1027 1097 1044 869 718 687 769 816 781 763 687 735 860 987 1049 1081 1052 1106 1210 1230 1206 1032 877 825 910 1064 1147 1149 1047 958 953 955 922 874 781 775 930 1079 1106 1016 884 837 936 1133 1284 1223 1028 809 727 751 825 834 734 716 840 994 1070 1027 888 759 803 958 1161 1244 1108 981 925 908 953 936 880 725 627 605 613 656 716 685 706 733 871 988 1082 1023 876 754 772 785 800 645 517 510 615 691 718 694 652 666 766 814 840 864 832 817 838 823 851 801 791 769 755 745 661 605 587 580 723 928 1046 1035 978 903 875 906 873 792 721 658 676 674 652 609 640 667 799 971 1027 1035 940 785 730 790 896 1025 1054 1085 1073 1017 1051 1077 1028 892 825 845 945 961 909 818 709 717 882 1016 1109 1093 1013 992 1117 1162 1176 1051 966 923 1042 1114 1028 880 687 565 610 625 749 846 888 941 1081 1204 1311 1273 1204 1080 994 951 925 901 758 604 548 589 745 917 962 856 825 890 934 1002 928 967 956 984 978 996 891 785 658 600 621 649 570 570 503 522 634 735 802 758 796 837 957 936 833 670 553 620 731 851 800 664 594 647 754 805 728 639 606 662 761 895 830 761 649 669 819 969 1017 896 751 607 591 729 767 746 706 661 750 927 975 946 747 621 643 832 1032 1048 933 778 779 888 1024 972 833 694 658 776 876 844 753 706 809 1088 1327 1397 1307 1172 1118 1113 1153 1045 948 747 686 746 866 904 870 766 768 904 1078 1151 1094 984 896 983 1104 1147 1046 911 847 883 923 924 806 664 647 754 951 1086 1107 1072 1006 1018 1059 1145 1077 870 682 644 739 858 848 675 575 632 904 1103 1127 921 671 650 782 947 940 787 644 673 837 981 887 678 467 397 541 714 792 706 547 477 562 802 967 936 854 710 772 866 926 844 674 561 625 836 997 938 754 564 483 519 679 707 602 543 584 743 951 1015 979 890 911 999 1048 995 794 554 500 577 671 718 684 596 646 805 1035 1082 1028 963 974 1183 1349 1412 1213 998 827 857 951 932 728 516 455 654 890 1027 966 909 948 1146 1376 1498 1373 1112 916 842 904 935 937 801 772 797 917 1068 1073 952 812 773 931 1126 1159 980 752 669 816 1022 1187 1152 1016 898 967 1018 1041 926 792 744 908 1072 1098 1008 787 649 647 837 970 955 847 730 757 876 1000 914 779 712 719 787 803 658 500 447 486 682 832 902 886 870 931 1012 985 906 690 580 539 568 667 649 600 540 583 664 805 874 822 796 827 896 1027 935 819 711 674 756 847 873 712 481 314 358 496 708 768 786 799 886 1071 1102 1033 834 720 726 828 891 778 527 365 413 691 894 1037 997 951 961 971 1029 1007 963 946 994 1005 1047 1021 930 782 694 690 774 859 875 819 774 765 836 942 956 932 924 946 964 962 944 877 845 847 908 986 1022 1002 1021 984 954 935 835 754 694 757 867 972 1048 1020 983 984 1070 1104 1102 988 875 764 728 661 586 513 485 554 715 911 950 905 806 770 847 936 1032 958 911 821 738 651 584 516 469 463 481 502 532 595 646 676 736 854 1078 1210 1200 1031 798 720 678 665 621 526 442 472 561 656 560 508 533 577 718 841 874 840 748 684 745 784 862 879 821 694 696 681 710 695 613 524 635 763 952 981 944 845 805 799 832 787 780 738 781 824 883 947 927 937 911 921 928 999 921 815 710 693 744 858 927 975 970 1045 1167 1099 982 826 793 868 957 980 887 732 691 764 915 1002 1009 941 933 1043 1140 1209 1103 969 913 981 1095 1129 999 773 571 500 642 855 938 937 910 937
+87 90 108 180 140 -9 -137 -269 -304 -216 -186 -210 -326 -392 -276 -32 185 252 146 114 184 330 588 659 515 318 89 85 88 96 39 -115 -269 -320 -280 -203 -79 -74 -103 -72 -67 66 257 404 426 356 244 141 95 118 178 146 86 -14 -89 -189 -276 -308 -230 -260 -237 -204 -182 -126 -38 19 19 -12 -23 103 230 300 274 85 -134 -209 -123 16 117 -40 -299 -558 -619 -519 -344 -243 -290 -405 -360 -226 -77 94 118 68 -16 10 14 15 48 -49 -155 -296 -387 -504 -461 -322 -296 -302 -374 -373 -337 -250 -191 -177 -180 -172 -151 -116 -67 -55 11 -64 -191 -269 -272 -121 67 18 -110 -352 -473 -331 -68 107 22 -176 -379 -396 -212 -6 69 32 47 39 108 148 148 74 -36 -127 -79 -6 94 98 -15 -169 -179 -129 27 136 192 166 92 -18 -36 -36 29 121 167 103 -34 -194 -215 -105 53 103 91 37 21 84 147 256 272 164 98 -18 -89 -110 -14 -8 -78 -209 -236 -179 -17 53 39 -26 -13 175 379 404 186 -61 -126 -87 33 159 176 55 -81 -216 -318 -346 -224 -112 -29 -48 -194 -352 -406 -361 -192 -79 20 -33 -97 -116 -110 -9 -23 24 -30 -69 -104 -139 -147 -301 -459 -619 -671 -567 -323 -264 -285 -453 -484 -407 -101 116 295 292 118 -36 -97 7 69 54 -58 -273 -449 -432 -401 -336 -314 -354 -403 -308 -236 -175 -159 -155 -64 101 175 190 192 166 157 96 66 33 57 65 65 -61 -244 -441 -412 -279 -85 -52 -44 -208 -324 -264 -94 217 448 527 481 293 188 101 189 247 201 75 -110 -195 -244 -303 -295 -320 -342 -256 -64 62 96 74 37 37 193 380 470 423 321 207 -11 -5 -11 -23 40 -22 -131 -180 -342 -350 -331 -200 -7 -3 -25 -149 -194 -153 19 141 174 85 61 36 47 44 49 -107 -154 -197 -228 -278 -359 -455 -543 -548 -461 -273 -115 -86 -131 -258 -303 -184 55 271 286 176 -70 -281 -267 -200 -146 -157 -265 -351 -432 -486 -500 -405 -274 -166 -71 -100 -154 -211 -197 -61 59 70 -1 -65 -21 -75 -168 -281 -361 -261 -162 -5 39 -64 -235 -356 -324 -201 25 104 98 -31 -170 -231 -162 33 212 176 126 -62 -56 -45 36 -3 4 4 32 66 88 40 9 -79 -68 17 48 172 182 137 109 22 80 124 189 166 130 44 30 21 -8 68 184 272 281 152 57 5 94 263 364 235 2 -129 -205 -151 -38 -13 -20 3 -1 -39 -32 -35 79 137 200 163 158 129 129 59 -40 -110 -109 -34 7 -66 -305 -412 -443 -322 -147 22 -27 -24 -119 -124 -147 -175 -23 62 161 167 79 -40 -99 -14 14 28 -32 -203 -292 -309 -336 -326 -367 -415 -387 -327 -193 -66 22 -1 -54 -25 88 299 396 287 98 -103 -183 -190 -139 -228 -335 -468 -524 -489 -418 -326 -175 -124 -123 -124 -37 88 273 377 343 296 223 199 172 76 13 -35 1 -9 -7 -101 -233 -222 -201 -161 -98 -122 -73 75 221 188 146 82 241 408 634 630 427 201 43 35 119 176 176 16 -155 -306 -341 -195 -105 -37 14 60 86 215 324 397 396 353 331 363 377 306 240 144 48 -93 -167 -206 -244 -186 -140 -143 -143 -133 -185 -119 62 62 79 83 47 82 190 236 271 173 21 -114 -142 -49 66 -118 -340 -545 -565 -367 -115 -14 -105 -243 -262 -131 -11 90 75 6 27 127 190 175 31 -82 -271 -315 -328 -313 -251 -252 -274 -370 -430 -382 -286 -130 17 58 -41 -114 -122 -133 -86 4 30 17 -80 -144 -176 -143 -81 -28 -122 -174 -249 -140 61 148 142 -5 -178 -146 14 190 223 87 22 -5 15 127 142 44 -4 -64 17 179 269 193 94 -67 -108 -42 89 221 274 236 109 48 19 -12 61 150 272 216 85 -9 -108 13 99 206 243 145 141 121 222 218 181 100 75 39 93 109 124 77 -69 -195 -252 -134 90 155 127 -64 -146 -139 109 281 342 244 76 6 93 160 137 71 -109 -198 -205 -245 -244 -258 -167 -179 -187 -225 -237 -145 -33 47 14 -13 35 60 137 146 19 -117 -204 -220 -215 -159 -207 -348 -521 -631 -605 -438 -159 -4 -87 -257 -427 -351 -154 128 319 296 135 -19 -83 -92 -15 34 -63 -172 -346 -393 -380 -330 -422 -411 -433 -313 -40 140 149 124 23 51 218 330 344 369 234 137 -5 -112 -206 -120 -40 -36 -168 -333 -446 -424 -220 -29 22 -10 -16 4 88 158 239 277 286 207 188 141 146 194 104 -21 -161 -240 -202 -124 -218 -401 -474 -479 -243 66 256 250 87 -15 68 246 424 511 460 301 82 -125 -200 -153 -105 -117 -192 -343 -476 -516 -464 -311 -183 -43 26 -1 -19 -21 33 63 75 41 61 73 94 25 -157 -404 -561 -476 -288 -112 -99 -320 -519 -637 -549 -324 -128 12 -19 -228 -312 -284 -138 43 152 7 -226 -296 -267 -199 -196 -305 -441 -498 -423 -302 -194 -216 -272 -309 -243 -111 -56 -22 -25 -85 -147 -149 -163 -53 16 17 -91 -215 -377 -358 -220 -4 81 71 -119 -257 -270 -194 1 99 113 21 -86 -221 -196 -134 -26 -19 -18 34 8 81 94 43 -61 -126 -15 124 222 210 79 -122 -190 -38 188 366 404 182 -42 -139 -131 -7 134 207 215 89 85 -33 -23 85 183 293 285 248 146 49 88 161 174 87 -60 -135 -204 -143 -115 -187 -239 -246 -127 72 193 165 107 -54 -59 8 175 254 231 61 -168 -297 -260 -138 37 -30 -244 -410 -496 -410 -201 -96 -136 -180 -248 -189 -58 -4 11 4 73 67 123 20 -60 -152 -186 -265 -265 -341 -307 -311 -329 -404 -500 -515 -412 -174 38 133 98 -55 -159 -56 96 279 378 256 -53 -268 -301 -266 -174 -184 -271 -395 -433 -379 -289 -267 -197 -197 -118 64 182 257 238 181 140 109 116 233 288 217 64 -107 -228 -238 -164 -140 -251 -309 -306 -177 -85 -84 17 107 256 332 302 245 259 418 488 455 302 56 -14 23 118 98 -68 -245 -347 -329 -237 -125 -64 -51 -12 109 247 372 413 389 248 113 126 231 347 324 193 -124 -308 -347 -293 -155 -161 -156 -235 -240 -233 -215 -123 16 152 223 225 181 100 70 93 124 73 22 -22 -98 -93 -105 -139 -238 -341 -400 -314 -191 -50 -72 -209 -317 -294 -41 176 179 72 -79 -90 -31 85 154 -4 -197 -256 -262 -163 -115 -146 -202 -297 -376 -398 -291 -196 -10 5 -35 -67 -74 -30 18 61 45 28 -31 -13 -33 -133 -134 -132 -39 -34 4 -43 12 7 25 -17 -80 -72 15 131 185 145 51 -92 -109 4 167 244 216 116 34 98 149 174 169 85 58 61 135 198 179 83 -9 14 71 86 67 11 52 39 22 0 49 55 69 83 30 47 19 99 194 187 215 101 74 20 111 145 107 28 -181 -295 -335 -297 -103 133 205 88 39 -81 27 188 373 430 318 125 65 74 62 -32 -106 -270 -257 -196 -154 -172 -315 -376 -369 -240 -112 -8 106 60 -6 -46 -101 -55 33 147 148 -13 -110 -200 -239 -201 -166 -157 -212 -310 -346 -384 -405 -303 -279 -186 -138 -114 -42 119 253 309 277 203 152 121 105 71 -24 -118 -195 -258 -236 -202 -213 -308 -360 -383 -128 135 261 364 289 227 158 280 387 450 469 335 159 66 -48 -158 -167 -72 43 55 -32 -129 -252 -184 -66 118 186 334 345 420 396 307 233 244 358 427 455 390 252 58 -104 -191 -163 -101 -19 20 -49 -207 -212 -100 183 428 505 361 277 256 376 490 506 410 260 160 81 30 -79 -154 -203 -148 -215 -191 -133 -86 -26 -57 -40 68 173 243 214 192 58 40 -27 17 63 156 72 -12 -218 -405 -373 -211 -89 -45 -120 -292 -463 -421 -279 -51 42 86 96 3 2 14 45 91 58 -37 -39 -66 -43 -84 -241 -371 -427 -302 -41 32 -36 -236 -349 -220 5 225 342 330 176 61 -31 -137 -102 -12 104 126 21 -99 -236 -180 -80 49 72 59 30 1 4 29 106 140 133 113 99 66 113 131 79 -2 -47 38 191 340 264 100 -8 39 203 375 434 322 142 -17 -33 44 201 291 212 101 -20 -28 70 203 232 195 261 214 214 160 142 107 205 274 289 283 199 106 71 9 -66 -74 -124 10 69 62 -89 -297 -379 -213 95 298 319 184 -28 -77 -24 170 380 395 192 -42 -308 -367 -315 -258 -231 -303 -380 -420 -275 -208 -174 -190 -187 -127 108 279 282 208 41 -87 -41 6 63 20 -39 -198 -234 -280 -298 -240 -190 -172 -218 -278 -324 -351 -154 18 167 236 93 17 28 164 280 387 248 60 -68 -155 -109 -81 -143 -268 -416 -460 -323 -193 -145 -177 -135 -56 136 449 614 642 470 315 163 179 279 351 305 169 -103 -250 -294 -186 -78 12 62 46 62 57 99 214 313 443 501 512 417 310 292 360 399 282 140 145 129 198 209 9 -193 -260 -145 67 207 135 -29 -141 -61 126 414 538 518 398 308 230 279 342 303 192 -81 -264 -310 -225 -119 -126 -194 -249 -239 -131 -42 83 140 173 134 192 177 161 155 115 86 -6 -101 -182 -127 -176 -176 -276 -339 -325 -207 -64 20 52 -99 -191 -317 -263 2 144 289 49 -144 -226 -165 8 63 -8 -149 -268 -218 -133 -144 -267 -383 -442 -349 -254 -87 -47 14 -40 -36 -119 -51 48 176 185 12 -154 -276 -184 -60 -4 -89 -153 -147 -129 -55 0 111 114 118 88 24 -47 59 172 214 153 -89 -276 -246 -61 114 221 143 -6 -57 -1 111 185 200 145 64 31 75 88 30 -66 -186 -259 -115 66 143 124 16 -135 -194 -34 75 187 188 148 16 -35 -18 33 99 161 79 -31 -173 -181 -121 -70 -94 -166 -175 -205 -165 -108 -67 -116 -143 -172 -180 -153 -47 154 302 220 42 -74 -112 -22 34 -99 -321 -525 -563 -379 -260 -264 -412 -553 -592 -413 -180 9 74 32 -96 -96 -89 -34 113 139 -37 -183 -374 -437 -493 -504 -578 -569 -566 -483 -363 -367 -404 -417 -417 -307 -185 0 71 99 34 -35 -129 -207 -113 24 87 -9 -182 -407 -525 -515 -429 -324 -250 -346 -451 -468 -328 -88 123 216 173 127 128 233 379 367 244 45 -56 -85 -91 -143 -297 -395 -456 -433 -390 -234 -214 -128 -121 -80 87 158 340 376 372 270 131 22 31 118 168 88 -69 -191 -327 -319 -217 -84 -46 -29 -96 -162 -205 -191 23 130 159 155 127 176 285 260 184
+-118 22 62 91 94 216 358 532 575 362 189 120 234 435 511 402 261 291 392 518 588 562 512 531 538 587 481 347 238 216 364 513 645 636 503 321 302 359 468 554 518 486 532 616 675 646 594 532 546 696 798 762 634 546 605 801 995 997 911 826 801 850 881 795 712 611 592 658 804 919 899 842 863 1015 1121 1193 1093 921 795 907 1071 1201 1134 973 884 939 1112 1214 1216 1031 954 960 1036 1063 957 878 907 1060 1244 1374 1305 1124 955 970 1180 1415 1550 1553 1493 1394 1361 1367 1352 1295 1186 1129 1176 1172 1082 934 888 916 1141 1399 1511 1452 1285 1206 1234 1337 1417 1409 1333 1293 1333 1373 1418 1334 1299 1294 1413 1478 1511 1388 1286 1187 1176 1295 1377 1417 1369 1302 1297 1325 1446 1473 1385 1304 1278 1311 1438 1459 1432 1413 1489 1592 1589 1552 1359 1279 1337 1477 1612 1609 1563 1477 1424 1450 1492 1456 1428 1351 1232 1195 1170 1204 1247 1311 1403 1489 1656 1799 1793 1654 1543 1498 1646 1799 1842 1807 1678 1539 1532 1583 1645 1606 1514 1502 1554 1595 1542 1467 1429 1513 1643 1822 1906 1905 1863 1712 1665 1692 1722 1738 1697 1644 1627 1617 1704 1755 1714 1720 1747 1745 1801 1797 1752 1639 1693 1780 1944 1951 1900 1819 1788 1804 1854 1891 1813 1722 1754 1780 1868 1955 2018 1999 1958 1942 1985 2031 1923 1801 1710 1683 1782 1982 2017 1913 1752 1664 1741 1876 1919 1862 1763 1730 1774 1860 1820 1792 1804 1986 2098 2234 2176 2046 1870 1861 1938 2023 2136 2122 1948 1794 1769 1787 1878 1938 1819 1745 1694 1710 1714 1706 1604 1583 1705 1947 2131 2114 1910 1759 1726 1881 2089 2127 2076 1939 1954 2017 2153 2178 2089 1964 1890 1901 1907 1805 1711 1632 1706 1870 2116 2248 2175 2095 1976 2078 2261 2381 2369 2223 2036 1973 2065 2120 2038 1965 1940 2010 2092 2097 2007 1840 1829 1981 2241 2387 2343 2149 1996 1975 2120 2232 2240 2169 2006 1990 1993 2067 2097 2148 2108 2256 2451 2566 2504 2253 2060 1945 2079 2301 2400 2274 2068 1949 2066 2278 2394 2334 2199 2105 2071 2134 2103 1969 1911 1965 2217 2397 2387 2365 2217 2141 2226 2431 2542 2497 2310 2148 2041 2158 2208 2231 2124 1936 1872 1926 1993 1998 1950 1971 2105 2353 2533 2465 2331 2151 2136 2256 2396 2358 2218 2033 1981 2071 2113 2178 2158 2164 2246 2313 2361 2239 2080 1898 1867 1946 2101 2174 2135 1960 1914 2020 2189 2306 2323 2268 2142 2188 2272 2299 2274 2211 2183 2268 2419 2471 2360 2140 2042 2091 2225 2332 2339 2217 2107 2108 2194 2260 2182 2099 2013 1974 1964 2048 2101 2107 2120 2195 2279 2395 2468 2403 2284 2264 2263 2301 2371 2251 2070 1970 2009 2129 2268 2263 2243 2229 2266 2313 2344 2249 2187 2196 2292 2395 2463 2442 2368 2284 2279 2325 2412 2513 2473 2377 2282 2204 2233 2265 2251 2248 2196 2215 2231 2202 2014 1863 1857 1933 2178 2328 2419 2409 2364 2410 2509 2559 2483 2447 2371 2317 2296 2278 2212 2200 2184 2258 2280 2284 2280 2180 2041 2040 2150 2288 2371 2285 2222 2166 2211 2301 2415 2336 2182 2077 2044 2155 2210 2216 2118 2148 2251 2361 2400 2344 2193 2219 2245 2381 2460 2361 2305 2164 2122 2196 2295 2345 2332 2237 2123 2082 2077 2094 2075 2032 2126 2303 2507 2567 2502 2345 2251 2335 2526 2579 2467 2326 2140 2154 2201 2220 2190 2158 2179 2220 2230 2196 2118 2033 2037 2154 2372 2531 2601 2554 2415 2414 2501 2569 2625 2511 2321 2250 2282 2382 2377 2312 2179 2234 2369 2476 2486 2382 2272 2299 2401 2449 2419 2314 2185 2279 2382 2505 2520 2475 2351 2268 2252 2366 2410 2470 2433 2405 2446 2495 2506 2409 2216 2116 2086 2243 2337 2311 2136 2057 2153 2351 2577 2640 2540 2425 2372 2410 2420 2375 2271 2193 2272 2434 2556 2585 2475 2387 2342 2391 2423 2472 2444 2295 2182 2109 2117 2127 2124 2070 2098 2106 2259 2299 2284 2170 2125 2201 2459 2544 2521 2460 2327 2342 2393 2435 2374 2302 2259 2303 2302 2365 2347 2295 2291 2349 2411 2449 2388 2209 2055 1980 2006 2124 2236 2243 2228 2269 2419 2566 2676 2606 2458 2304 2298 2392 2404 2277 2185 2150 2245 2364 2417 2335 2239 2195 2267 2454 2571 2592 2563 2500 2444 2473 2492 2518 2468 2442 2365 2347 2297 2344 2258 2273 2371 2537 2706 2713 2574 2373 2261 2267 2425 2532 2481 2329 2163 2129 2232 2334 2362 2442 2435 2542 2577 2531 2382 2276 2256 2330 2449 2621 2680 2632 2538 2524 2578 2718 2730 2661 2542 2402 2346 2402 2379 2302 2169 2117 2154 2218 2273 2124 2096 2133 2364 2565 2693 2675 2529 2556 2604 2690 2682 2581 2430 2234 2182 2116 2140 2135 2097 2185 2289 2378 2392 2363 2313 2207 2228 2384 2557 2579 2377 2147 2044 2236 2455 2613 2598 2455 2386 2401 2455 2490 2327 2239 2241 2442 2544 2551 2419 2273 2162 2240 2355 2497 2433 2308 2205 2221 2383 2537 2621 2533 2474 2474 2444 2517 2428 2259 2169 2329 2523 2722 2692 2531 2373 2440 2538 2678 2609 2424 2282 2253 2384 2504 2528 2498 2430 2422 2482 2512 2517 2424 2368 2357 2445 2558 2619 2567 2424 2347 2440 2563 2717 2692 2491 2334 2328 2431 2511 2523 2334 2304 2425 2586 2609 2494 2289 2196 2284 2512 2635 2598 2460 2414 2473 2631 2766 2816 2730 2653 2626 2588 2551 2432 2264 2200 2188 2278 2422 2463 2276 2084 2008 2131 2339 2478 2431 2242 2176 2340 2486 2638 2563 2422 2393 2425 2481 2448 2324 2212 2216 2340 2486 2528 2564 2452 2399 2422 2564 2603 2580 2394 2238 2133 2145 2166 2262 2217 2230 2280 2426 2486 2466 2305 2200 2274 2491 2660 2629 2443 2285 2299 2416 2581 2571 2461 2346 2305 2295 2313 2278 2247 2229 2319 2454 2526 2490 2355 2191 2190 2283 2420 2585 2588 2558 2471 2489 2625 2749 2750 2710 2504 2413 2373 2338 2220 2083 2032 2098 2339 2424 2384 2334 2301 2425 2555 2659 2703 2610 2483 2411 2434 2511 2552 2549 2539 2443 2400 2371 2378 2373 2369 2346 2435 2553 2565 2512 2345 2254 2327 2416 2485 2430 2247 2079 2029 2209 2336 2417 2492 2508 2574 2503 2447 2408 2339 2298 2292 2308 2315 2349 2367 2307 2265 2325 2430 2558 2591 2478 2299 2220 2204 2264 2257 2185 2195 2241 2310 2376 2299 2242 2191 2309 2492 2616 2590 2543 2503 2492 2510 2547 2508 2435 2321 2236 2222 2230 2300 2268 2215 2214 2280 2332 2435 2404 2333 2209 2204 2295 2384 2324 2175 2138 2260 2522 2699 2768 2702 2600 2543 2602 2643 2538 2410 2240 2198 2218 2277 2223 2178 2183 2266 2387 2514 2592 2590 2505 2413 2482 2563 2734 2739 2585 2441 2391 2412 2408 2286 2126 2069 2230 2414 2513 2460 2302 2310 2380 2526 2569 2549 2438 2368 2295 2335 2388 2337 2372 2402 2516 2600 2678 2619 2511 2390 2303 2373 2540 2597 2518 2368 2289 2362 2546 2612 2522 2352 2257 2237 2294 2281 2149 2024 2024 2204 2433 2485 2380 2268 2240 2349 2515 2543 2516 2380 2379 2469 2636 2743 2698 2586 2402 2322 2323 2311 2273 2195 2155 2166 2322 2424 2440 2326 2213 2261 2443 2602 2604 2425 2208 2153 2242 2389 2467 2416 2372 2404 2409 2431 2338 2233 2168 2199 2363 2518 2535 2443 2304 2206 2258 2381 2505 2549 2451 2302 2206 2278 2359 2334 2396 2378 2501 2659 2729 2610 2420 2222 2304 2517 2606 2503 2299 2175 2294 2426 2526 2532 2436 2300 2272 2289 2322 2332 2304 2282 2311 2383 2470 2501 2430 2397 2350 2433 2522 2617 2541 2353 2276 2419 2591 2721 2684 2500 2359 2300 2344 2366 2248 2142 2157 2254 2358 2392 2379 2307 2289 2411 2568 2694 2695 2586 2414 2301 2356 2421 2570 2547 2500 2438 2366 2426 2376 2253 2143 2079 2184 2317 2345 2228 2104 2060 2114 2342 2464 2437 2369 2304 2339 2434 2439 2448 2504 2575 2605 2604 2493 2400 2165 2057 2007 2081 2160 2209 2177 2161 2162 2311 2422 2540 2492 2341 2235 2288 2278 2302 2236 2089 2085 2140 2298 2332 2235 2178 2209 2395 2513 2549 2458 2321 2275 2311 2445 2493 2475 2462 2330 2249 2287 2279 2293 2236 2171 2252 2327 2423 2466 2288 2166 2186 2352 2497 2557 2348 2202 2130 2231 2465 2563 2514 2480 2466 2488 2448 2306 2083 1972 1930 2102 2213 2351 2329 2270 2254 2364 2572 2790 2836 2726 2530 2408 2403 2541 2564 2536 2405 2385 2376 2419 2355 2164 2125 2199 2403 2570 2457 2308 2168 2159 2265 2446 2414 2285 2161 2121 2190 2246 2268 2287 2300 2408 2535 2600 2589 2518 2407 2356 2450 2517 2463 2286 2107 1948 1989 2255 2465 2495 2376 2216 2199 2254 2346 2257 2188 2143 2268 2433 2455 2338 2193 2160 2278 2427 2570 2515 2357 2190 2138 2168 2335 2425 2393 2342 2216 2202 2229 2192 2127 2057 2090 2161 2328 2410 2322 2163 2210 2341 2554 2653 2516 2295 2177 2215 2345 2432 2431 2392 2441 2455 2448 2428 2313 2243 2226 2253 2278 2205 2158 2044 2039 2167 2348 2566 2703 2597 2429 2318 2360 2469 2534 2583 2492 2441 2472 2499 2398 2299 2223 2218 2359 2389 2338 2241 2212 2224 2399 2574 2674 2616 2527 2457 2426 2426 2381 2350 2285 2273 2354 2410 2472 2414 2296 2250 2285 2398 2494 2507 2433 2235 2184 2259 2382 2444 2394 2295 2206 2280 2330 2310 2234 2109 2093 2169 2208 2266 2298 2329 2383 2468 2562 2608 2634 2543 2406 2365 2383 2467 2532 2499 2390 2268 2242 2282 2262 2192 2102 2036 2135 2145 2150 2057 2044 2169 2372 2543 2611 2525 2388 2357 2356 2416 2479 2446 2456 2501 2464 2423 2379 2304 2191 2190 2217 2308 2404 2411 2306 2201 2235 2422 2575 2682 2631 2505 2406 2394 2458 2399 2309 2257 2320 2396 2555 2544 2462 2398 2358 2455 2539 2513 2423 2272 2166 2195 2313 2456 2475 2465 2412 2450 2527 2577 2554 2550 2447 2440 2638 2708 2726 2588 2460 2451 2600 2667 2611 2372 2206 2182 2389 2544 2640 2566 2419 2357 2368 2401 2374 2322 2258 2266 2326 2384 2357 2297 2317 2312 2483 2635 2777 2760 2529 2314 2273 2375 2582 2555 2501 2395 2424 2451 2481 2407 2324 2241 2336 2450 2471 2331 2143 2062 2143 2338 2500 2565 2476 2410 2426 2486 2558 2528 2472 2423 2406 2532 2624 2530 2372 2143 2071 2159 2297 2308 2187 1959 1994 2193 2487 2672 2624 2479 2430 2494 2566 2584 2461 2268 2186 2250 2386 2444 2389 2321 2360 2449 2631 2672 2611 2468 2321 2347 2485 2590 2571 2474 2287 2181 2251 2364 2398 2316 2253 2276 2371 2555 2544 2391 2275 2352 2571 2817 2823 2628 2433 2311 2406 2551 2575 2494 2433 2447 2492 2596 2532 2387 2280 2261 2357 2444 2484 2422 2319 2304 2442 2613 2858 2929 2866 2765 2713 2705 2659 2508 2362 2234 2309 2420 2528 2455 2272 2166 2182 2386 2534 2528 2405 2339 2359 2483 2596 2644 2539 2532 2470 2532 2572 2569 2514 2449 2409 2505 2612 2664 2616 2434 2382 2462 2567 2596 2413 2192 2016 2048 2226 2407 2447 2383 2378 2387 2436 2452 2414 2329 2286 2343 2383 2374 2281 2214 2209 2277 2436 2625 2656 2601 2492 2328 2313 2342 2450 2473 2456 2425 2471 2455 2430 2310 2207 2217 2347 2449 2536 2445 2260 2191 2298
+69 23 -24 -61 -163 -237 -244 -277 -314 -536 -657 -706 -608 -445 -381 -464 -451 -324 -163 36 94 5 -14 37 -3 -65 -102 -129 -243 -324 -471 -582 -668 -677 -615 -707 -691 -638 -438 -266 -197 -226 -279 -186 -116 -3 68 58 58 91 108 -65 -278 -431 -435 -388 -298 -342 -414 -518 -545 -509 -480 -432 -335 -181 -36 15 -81 -79 -43 42 90 119 93 -4 -176 -390 -567 -651 -459 -286 -263 -429 -635 -662 -536 -340 -168 -155 -216 -133 -81 -4 -41 -72 -45 -41 -16 -177 -249 -284 -254 -201 -237 -289 -349 -381 -357 -379 -375 -343 -298 -189 -95 -91 -54 -29 33 34 -42 -101 10 53 32 -56 -280 -398 -347 -298 -272 -434 -473 -502 -373 -284 -194 -234 -170 -98 -90 -101 -206 -296 -359 -423 -379 -390 -279 -205 -194 -393 -525 -584 -447 -287 -173 -144 -228 -247 -304 -272 -336 -356 -329 -251 -228 -305 -490 -520 -375 -224 -117 -150 -229 -275 -289 -262 -250 -251 -216 -122 -86 -160 -185 -225 -209 -216 -243 -317 -288 -250 -164 -259 -344 -389 -326 -273 -274 -348 -404 -371 -221 -45 49 -14 -106 -163 -133 -218 -308 -329 -365 -343 -370 -462 -533 -502 -409 -276 -317 -323 -226 -112 29 31 -66 -51 22 82 55 -33 -72 -78 -175 -266 -390 -489 -383 -211 -102 -191 -320 -457 -476 -339 -210 -100 -9 72 72 52 41 39 67 83 76 23 -71 -94 -194 -246 -503 -658 -691 -568 -552 -549 -541 -503 -312 -127 -19 -13 -60 -17 49 132 105 -4 -40 -65 -145 -345 -456 -553 -470 -475 -469 -550 -597 -602 -418 -320 -209 -139 -55 3 60 -14 -49 41 137 125 -25 -121 -198 -191 -180 -319 -519 -727 -707 -604 -468 -407 -437 -422 -430 -343 -291 -229 -117 65 177 162 11 -79 -283 -320 -358 -453 -407 -488 -549 -611 -722 -704 -640 -508 -378 -423 -408 -414 -272 -180 -67 -60 -39 2 91 35 -50 -221 -229 -200 -163 -219 -360 -428 -440 -404 -383 -381 -388 -416 -381 -332 -245 -133 38 209 225 166 18 13 7 -46 -173 -263 -294 -280 -252 -283 -440 -554 -523 -432 -339 -341 -352 -265 -81 -48 -139 -248 -283 -191 -120 -161 -151 -294 -250 -258 -305 -302 -341 -319 -271 -274 -363 -374 -368 -378 -247 -232 -176 -108 -84 -122 -233 -329 -305 -243 -42 -56 -136 -284 -339 -276 -249 -238 -309 -353 -347 -272 -248 -281 -205 -117 -139 -229 -362 -419 -393 -384 -322 -364 -440 -382 -399 -462 -385 -409 -332 -214 -181 -247 -341 -350 -223 -137 -277 -400 -470 -471 -373 -334 -460 -537 -545 -444 -340 -337 -334 -350 -277 -230 -251 -324 -272 -157 -38 -9 -51 -175 -227 -203 -246 -291 -318 -284 -189 -245 -381 -586 -628 -541 -435 -346 -362 -357 -264 -158 -107 -157 -93 -48 58 69 -123 -264 -417 -416 -432 -449 -507 -545 -641 -676 -736 -690 -587 -439 -273 -243 -240 -217 -119 -29 -57 -102 -196 -219 -211 -200 -294 -406 -485 -489 -462 -446 -585 -679 -673 -574 -510 -434 -404 -292 -196 -195 -182 -187 -159 5 69 8 -85 -134 -205 -263 -407 -638 -731 -707 -670 -642 -741 -779 -677 -541 -427 -347 -322 -295 -101 -42 -123 -323 -370 -304 -150 -90 -141 -401 -623 -773 -761 -743 -632 -525 -455 -490 -646 -707 -671 -496 -334 -209 -226 -261 -223 -156 -77 -92 -131 -123 -102 -160 -314 -540 -575 -547 -479 -523 -631 -716 -664 -578 -491 -445 -317 -288 -152 -89 -82 -139 -60 -81 -100 -223 -297 -371 -462 -533 -569 -639 -557 -386 -395 -500 -609 -655 -622 -445 -278 -218 -234 -161 -97 -17 -193 -344 -367 -353 -241 -167 -144 -206 -269 -434 -519 -584 -584 -469 -335 -266 -300 -405 -404 -335 -286 -152 -89 -68 -94 -128 -281 -389 -437 -303 -212 -209 -393 -471 -503 -440 -431 -400 -478 -490 -409 -336 -353 -401 -470 -469 -438 -438 -458 -453 -496 -456 -375 -339 -335 -336 -365 -493 -566 -594 -515 -345 -270 -280 -497 -552 -567 -582 -529 -512 -455 -409 -372 -373 -458 -548 -483 -381 -302 -287 -433 -468 -354 -358 -259 -362 -396 -362 -308 -243 -235 -345 -448 -584 -645 -637 -650 -513 -416 -423 -533 -695 -737 -535 -343 -279 -178 -245 -258 -210 -263 -360 -450 -463 -412 -307 -431 -540 -605 -627 -553 -551 -553 -581 -567 -468 -422 -418 -354 -220 -150 -85 -128 -216 -231 -193 -120 -131 -184 -211 -318 -368 -460 -562 -553 -501 -474 -474 -575 -664 -597 -325 -172 -55 -15 -66 -59 -34 -81 -92 -153 -175 -211 -288 -423 -517 -629 -656 -734 -800 -800 -625 -474 -450 -417 -522 -489 -398 -293 -261 -222 -224 -169 -88 -171 -258 -366 -380 -379 -401 -459 -634 -655 -665 -624 -666 -686 -594 -509 -439 -402 -436 -409 -350 -188 -60 -27 -45 -36 -121 -236 -372 -558 -572 -508 -580 -742 -853 -905 -736 -545 -460 -565 -605 -587 -447 -326 -268 -204 -141 -117 -161 -240 -330 -356 -381 -439 -493 -585 -676 -665 -646 -669 -750 -731 -692 -604 -515 -485 -453 -347 -216 -74 -61 -61 -89 -73 -58 -195 -328 -418 -400 -356 -354 -494 -643 -632 -569 -545 -545 -516 -438 -313 -139 -78 -45 -48 -82 -153 -237 -264 -237 -176 -165 -265 -415 -527 -481 -398 -319 -382 -527 -534 -538 -455 -409 -405 -365 -341 -255 -251 -337 -360 -294 -215 -175 -258 -327 -415 -325 -263 -274 -322 -427 -508 -481 -450 -407 -332 -240 -180 -212 -300 -287 -242 -156 -129 -245 -273 -243 -153 -146 -294 -389 -433 -400 -242 -276 -228 -302 -252 -153 -117 -221 -370 -456 -430 -407 -398 -367 -355 -339 -353 -405 -465 -444 -308 -253 -261 -309 -428 -408 -391 -340 -342 -230 -221 -157 -272 -397 -535 -464 -366 -182 -253 -380 -425 -447 -385 -359 -402 -402 -341 -287 -117 -129 -106 -107 -47 -71 -99 -110 -149 -156 -157 -290 -354 -470 -452 -408 -495 -602 -629 -511 -303 -75 -84 -117 -109 -118 -83 -77 -60 -113 -41 -93 -139 -283 -343 -446 -391 -376 -415 -548 -577 -570 -544 -509 -472 -374 -304 -173 -80 -56 -55 3 -56 -122 -124 -47 64 177 124 -182 -446 -622 -608 -527 -491 -516 -440 -412 -313 -306 -318 -222 -89 102 131 83 64 100 38 -17 -65 -193 -228 -313 -371 -565 -651 -622 -533 -500 -525 -587 -639 -528 -378 -248 -171 -221 -197 -96 -15 -59 -116 -110 -45 -15 -190 -414 -593 -702 -659 -537 -537 -547 -578 -544 -556 -498 -441 -335 -162 -23 29 135 217 259 225 30 -164 -327 -269 -199 -192 -326 -500 -559 -583 -499 -481 -392 -323 -209 -184 -209 -287 -217 -105 100 90 45 -22 -132 -186 -242 -259 -302 -256 -283 -336 -420 -543 -495 -463 -434 -450 -430 -327 -198 -129 -126 -172 -177 -84 78 46 -110 -264 -253 -161 -110 -76 -236 -323 -448 -490 -498 -432 -310 -187 -58 -114 -155 -237 -258 -72 -79 -143 -207 -130 -121 -206 -273 -340 -263 -244 -177 -187 -318 -433 -337 -349 -310 -400 -399 -322 -243 -156 -238 -361 -478 -398 -251 -152 -213 -253 -275 -324 -428 -477 -550 -443 -336 -305 -294 -328 -277 -377 -388 -358 -376 -209 -165 -200 -331 -494 -530 -478 -300 -278 -398 -505 -505 -403 -325 -270 -196 -69 -109 -79 -105 -310 -307 -296 -230 -231 -350 -463 -517 -498 -446 -487 -419 -447 -406 -486 -560 -588 -336 -168 -66 -184 -240 -330 -258 -231 -212 -287 -356 -318 -221 -257 -421 -598 -711 -713 -598 -513 -498 -509 -438 -425 -330 -308 -258 -139 -26 54 10 -55 -108 -182 -212 -294 -428 -423 -376 -523 -585 -726 -736 -602 -517 -378 -293 -177 -88 -85 -160 -234 -305 -197 -106 42 -60 -199 -348 -419 -488 -501 -582 -686 -703 -739 -796 -782 -701 -591 -460 -471 -506 -508 -436 -231 -105 -69 -78 -126 -82 -113 -311 -440 -506 -510 -570 -641 -785 -955 -976 -811 -695 -585 -500 -458 -361 -222 -272 -234 -170 -52 -4 -25 -102 -318 -419 -464 -615 -701 -707 -710 -574 -570 -670 -818 -810 -664 -616 -569 -635 -542 -313 -181 -86 -231 -324 -362 -323 -258 -291 -360 -415 -512 -638 -733 -806 -716 -663 -678 -674 -756 -687 -617 -441 -343 -285 -229 -190 -135 -175 -165 -277 -259 -235 -235 -409 -496 -505 -489 -387 -401 -514 -631 -550 -475 -439 -389 -394 -313 -209 -190 -244 -303 -354 -344 -283 -253 -196 -246 -266 -317 -479 -656 -604 -520 -482 -489 -557 -620 -524 -406 -327 -411 -456 -488 -341 -197 -237 -257 -327 -404 -323 -321 -404 -468 -403 -350 -392 -455 -525 -554 -445 -287 -186 -231 -253 -344 -420 -463 -487 -421 -334 -304 -399 -498 -550 -463 -368 -303 -445 -451 -450 -388 -292 -258 -345 -419 -362 -366 -385 -462 -531 -567 -467 -349 -413 -479 -537 -583 -617 -585 -569 -526 -363 -360 -355 -447 -457 -404 -291 -268 -342 -304 -335 -368 -454 -540 -591 -542 -403 -442 -575 -667 -610 -533 -414 -315 -281 -299 -198 -29 18 -44 -181 -240 -316 -282 -357 -297 -352 -331 -432 -543 -673 -638 -533 -428 -421 -497 -494 -390 -271 -272 -283 -277 -245 -131 -68 -211 -239 -317 -267 -200 -201 -393 -543 -599 -704 -660 -713 -698 -576 -457 -408 -401 -400 -335 -203 -13 26 51 -30 19 -39 -21 -100 -98 -141 -242 -450 -664 -744 -598 -431 -357 -328 -393 -367 -318 -190 -133 -187 -106 -92 -27 -15 -2 -70 -71 -113 -187 -338 -393 -446 -452 -537 -656 -809 -752 -652 -526 -462 -504 -461 -377 -327 -256 -245 -84 33 134 73 -113 -316 -487 -441 -364 -472 -598 -601 -568 -565 -587 -687 -682 -551 -378 -206 -193 -161 -158 -86 -94 -87 -73 -35 -36 -98 -223 -391 -356 -264 -177 -203 -384 -567 -581 -541 -436 -397 -427 -407 -301 -298 -255 -281 -132 31 81 7 -67 -108 -195 -229 -286 -340 -373 -369 -466 -521 -652 -682 -581 -463 -335 -308 -151 -77 -128 -114 -247 -234 -221 -40 97 -9 -139 -328 -368 -335 -249 -356 -359 -353 -237 -163 -163 -295 -341 -324 -232 -176 -141 -141 -132 -166 -280 -288 -233 -164 12 -47 -173 -438 -477 -460 -324 -292 -362 -414 -379 -347 -397 -445 -406 -312 -212 -314 -480 -578 -480 -362 -215 -191 -361 -517 -628 -575 -529 -439 -290 -260 -217 -261 -373 -488 -482 -413 -430 -399 -388 -337 -381 -455 -573 -713 -615 -430 -285 -279 -298 -400 -354 -311 -304 -283 -269 -211 -167 -252 -374 -478 -492 -473 -376 -381 -478 -472 -485 -500 -582 -684 -710 -569 -425 -325 -306 -266 -209 -234 -265 -315 -301 -195 -70 -108 -233 -344 -420 -361 -303 -477 -574 -771 -725 -570 -453 -363 -360 -335 -254 -184 -164 -176 -137 -9 -18 16 -53 -113 -182 -280 -317 -383 -373 -413 -332 -504 -580 -675 -632 -503 -308 -240 -216 -231 -194 -199 -205 -189 -68 78 149 63 -165 -367 -501 -565 -547 -596 -659 -715 -742 -683 -693 -632 -563 -490 -399 -354 -316 -129 11 12 -48 -173 -229 -229 -234 -214 -373 -560 -595 -648 -543 -574 -578 -625 -488 -457 -450 -523 -486 -278 -169 -4 -28 -93 -123 -62 -10 -81 -156 -240 -301 -358 -438 -567 -661 -611 -585 -645 -698 -756 -656 -523 -394 -293 -179 -109 13 43 -138 -235 -246 -194
+45 -137 -284 -348 -210 -82 -110 -181 -239 -209 -85 15 -62 -138 -89 1 56 15 -174 -342 -389 -342 -296 -314 -382 -419 -430 -299 -238 -121 -101 -130 -202 -335 -349 -248 -133 -111 -182 -265 -292 -254 -266 -329 -405 -364 -204 -37 -30 -206 -341 -429 -373 -237 -279 -338 -408 -421 -407 -434 -500 -542 -512 -414 -282 -238 -308 -372 -573 -672 -657 -540 -404 -370 -389 -531 -515 -390 -253 -226 -206 -291 -277 -224 -203 -409 -663 -844 -874 -716 -663 -753 -843 -870 -781 -664 -613 -600 -716 -655 -568 -466 -425 -400 -434 -515 -565 -629 -622 -616 -590 -588 -540 -542 -492 -532 -576 -653 -713 -650 -594 -616 -785 -935 -934 -832 -642 -600 -724 -784 -735 -602 -489 -525 -682 -838 -820 -758 -651 -666 -699 -784 -796 -823 -820 -764 -704 -627 -591 -586 -600 -692 -806 -900 -967 -956 -823 -704 -615 -692 -798 -823 -781 -668 -666 -669 -611 -588 -506 -566 -750 -861 -883 -765 -636 -671 -796 -887 -892 -852 -771 -693 -685 -631 -604 -685 -786 -826 -882 -848 -822 -829 -756 -690 -595 -577 -630 -637 -670 -634 -677 -753 -861 -938 -964 -960 -946 -1018 -1132 -1185 -1148 -1052 -1026 -998 -893 -766 -686 -752 -905 -950 -928 -846 -710 -699 -703 -785 -819 -906 -950 -1000 -909 -809 -678 -724 -883 -961 -1028 -1091 -1033 -958 -945 -914 -998 -1079 -1191 -1215 -1097 -921 -787 -736 -810 -927 -995 -1100 -1227 -1171 -1043 -882 -798 -864 -1020 -1033 -900 -781 -735 -810 -932 -986 -950 -954 -1040 -1116 -1189 -1142 -1092 -1122 -1171 -1195 -1114 -1035 -899 -877 -892 -951 -944 -941 -935 -901 -900 -852 -895 -948 -1008 -991 -997 -931 -991 -985 -1000 -944 -933 -1040 -1179 -1179 -1028 -847 -795 -889 -1058 -1156 -1062 -899 -831 -747 -733 -723 -680 -700 -879 -1123 -1317 -1364 -1259 -1175 -1099 -1129 -1223 -1273 -1261 -1200 -1072 -959 -962 -1043 -1026 -994 -897 -906 -980 -1059 -1046 -945 -865 -946 -1092 -1232 -1141 -984 -854 -921 -1020 -1169 -1100 -1059 -1079 -1185 -1314 -1353 -1235 -1070 -1022 -1052 -1096 -1172 -1126 -1070 -1025 -1008 -1029 -1045 -1088 -1085 -998 -968 -1103 -1198 -1299 -1185 -985 -845 -863 -976 -1031 -978 -969 -948 -1206 -1398 -1474 -1350 -1227 -1153 -1268 -1357 -1338 -1212 -1086 -1048 -1066 -1117 -1071 -1004 -1010 -1091 -1166 -1205 -1110 -988 -951 -945 -1063 -1238 -1326 -1290 -1118 -853 -745 -887 -1047 -1248 -1263 -1278 -1210 -1288 -1259 -1163 -1000 -957 -1047 -1175 -1152 -1008 -824 -772 -796 -979 -1106 -1108 -1153 -1152 -1229 -1296 -1301 -1254 -1198 -1166 -1121 -1033 -990 -938 -938 -934 -953 -1075 -1097 -1146 -1123 -1024 -987 -1052 -1205 -1215 -1155 -984 -967 -986 -1110 -1188 -1127 -1060 -1094 -1143 -1183 -1163 -1065 -1119 -1227 -1398 -1443 -1346 -1172 -1006 -949 -935 -1024 -1098 -1248 -1275 -1225 -1084 -966 -899 -900 -1037 -1082 -1123 -1055 -1000 -943 -845 -845 -955 -1158 -1345 -1449 -1413 -1353 -1355 -1453 -1480 -1462 -1410 -1347 -1297 -1236 -1106 -969 -946 -1023 -1134 -1189 -1142 -1081 -1087 -1105 -1113 -1126 -1134 -1164 -1201 -1199 -1182 -1201 -1192 -1262 -1301 -1245 -1146 -1076 -1088 -1161 -1229 -1319 -1336 -1322 -1238 -1086 -976 -908 -945 -1032 -1138 -1159 -1174 -1141 -1162 -1216 -1163 -1151 -1205 -1302 -1339 -1241 -1082 -927 -941 -980 -1049 -1089 -1047 -1075 -1130 -1227 -1181 -1075 -1020 -1096 -1207 -1308 -1314 -1170 -1023 -1009 -990 -1038 -1053 -1095 -1181 -1216 -1208 -1166 -1115 -1111 -1106 -1108 -1138 -1205 -1304 -1315 -1225 -1033 -1004 -1068 -1170 -1178 -1105 -1036 -1023 -1128 -1200 -1160 -978 -858 -910 -1004 -1040 -995 -957 -997 -1064 -1192 -1289 -1315 -1317 -1331 -1396 -1379 -1370 -1353 -1238 -1152 -1096 -1059 -1094 -1161 -1070 -981 -938 -1009 -1105 -1139 -1063 -942 -908 -1074 -1276 -1323 -1156 -998 -946 -1063 -1266 -1348 -1389 -1356 -1344 -1367 -1344 -1190 -1091 -1076 -1168 -1300 -1290 -1174 -1016 -868 -766 -840 -948 -1088 -1179 -1214 -1109 -1047 -1054 -1123 -1178 -1151 -1076 -1054 -1047 -1042 -957 -814 -831 -962 -1261 -1426 -1355 -1146 -999 -1014 -1180 -1278 -1235 -1120 -1017 -1028 -1058 -1058 -1036 -973 -998 -1043 -1081 -1071 -1053 -1063 -1071 -1128 -1124 -1179 -1118 -1055 -940 -872 -906 -1098 -1247 -1253 -1107 -961 -938 -992 -997 -986 -948 -984 -1063 -1089 -938 -801 -724 -824 -1110 -1296 -1312 -1286 -1217 -1214 -1282 -1345 -1313 -1320 -1291 -1190 -1063 -884 -811 -733 -790 -839 -977 -1091 -1200 -1221 -1062 -887 -905 -1014 -1190 -1260 -1184 -1080 -1022 -1089 -1149 -1142 -1122 -1197 -1243 -1315 -1339 -1253 -1221 -1284 -1370 -1434 -1346 -1151 -1044 -1054 -1049 -1091 -1056 -1022 -1090 -1074 -1070 -1070 -1115 -1142 -1191 -1177 -1108 -975 -994 -1018 -1012 -1015 -1014 -1075 -1194 -1213 -1251 -1199 -1236 -1374 -1482 -1499 -1442 -1339 -1226 -1149 -1033 -935 -921 -1051 -1146 -1232 -1190 -1109 -1062 -1157 -1159 -1143 -1113 -1203 -1337 -1399 -1377 -1208 -1113 -1094 -1199 -1290 -1291 -1266 -1232 -1237 -1193 -1113 -1068 -1052 -1168 -1186 -1145 -999 -932 -876 -920 -954 -1059 -1120 -1270 -1429 -1406 -1306 -1221 -1282 -1356 -1334 -1264 -1160 -1086 -1161 -1113 -1017 -854 -799 -863 -1097 -1207 -1148 -1100 -1076 -1178 -1290 -1302 -1218 -1115 -1042 -1076 -1185 -1285 -1368 -1363 -1328 -1266 -1180 -1197 -1266 -1314 -1281 -1245 -1215 -1239 -1259 -1162 -1028 -962 -1085 -1228 -1294 -1187 -1052 -973 -1037 -1160 -1253 -1246 -1258 -1251 -1296 -1242 -1079 -914 -866 -1031 -1324 -1539 -1594 -1514 -1316 -1252 -1174 -1290 -1422 -1476 -1477 -1309 -1061 -1020 -1012 -1038 -1042 -985 -1058 -1150 -1253 -1239 -1116 -1005 -1105 -1324 -1498 -1424 -1240 -1092 -1087 -1329 -1508 -1464 -1362 -1321 -1346 -1360 -1338 -1253 -1186 -1232 -1256 -1272 -1193 -1081 -1024 -1066 -1133 -1267 -1316 -1278 -1207 -1075 -1052 -1150 -1381 -1508 -1475 -1295 -1078 -1023 -1053 -1078 -1014 -948 -1032 -1235 -1398 -1373 -1221 -1026 -1013 -1189 -1363 -1371 -1334 -1211 -1103 -1080 -1101 -1096 -1173 -1279 -1382 -1425 -1353 -1286 -1245 -1261 -1310 -1341 -1374 -1360 -1316 -1148 -1005 -959 -1094 -1232 -1254 -1134 -1026 -1013 -1165 -1229 -1191 -1053 -1023 -1137 -1282 -1318 -1233 -1099 -1047 -1215 -1367 -1400 -1358 -1278 -1300 -1431 -1478 -1543 -1482 -1426 -1295 -1152 -1024 -990 -1010 -1079 -1148 -1149 -1108 -1118 -1172 -1156 -1118 -1151 -1249 -1369 -1451 -1332 -1230 -1179 -1261 -1355 -1435 -1438 -1356 -1409 -1477 -1471 -1422 -1334 -1279 -1382 -1457 -1369 -1229 -1128 -1054 -1093 -1124 -1144 -1152 -1281 -1413 -1437 -1389 -1317 -1288 -1313 -1352 -1346 -1297 -1330 -1378 -1295 -1131 -951 -898 -991 -1162 -1267 -1320 -1325 -1371 -1418 -1396 -1330 -1275 -1311 -1358 -1277 -1136 -973 -930 -1088 -1224 -1273 -1224 -1163 -1230 -1300 -1279 -1246 -1172 -1258 -1350 -1328 -1273 -1173 -1150 -1285 -1291 -1249 -1218 -1111 -1146 -1222 -1257 -1239 -1220 -1257 -1241 -1146 -1062 -971 -984 -1073 -1139 -1229 -1308 -1375 -1444 -1394 -1259 -1200 -1234 -1439 -1595 -1526 -1307 -1049 -968 -920 -979 -888 -886 -984 -1152 -1191 -1151 -1049 -1051 -1151 -1308 -1417 -1458 -1358 -1271 -1200 -1161 -1205 -1327 -1470 -1574 -1508 -1467 -1370 -1310 -1321 -1319 -1274 -1279 -1333 -1374 -1318 -1126 -943 -951 -1125 -1303 -1335 -1175 -1069 -1155 -1359 -1502 -1421 -1290 -1225 -1247 -1284 -1282 -1204 -1211 -1231 -1309 -1403 -1341 -1254 -1205 -1229 -1275 -1379 -1485 -1490 -1423 -1226 -1001 -926 -1006 -1168 -1232 -1175 -1041 -1046 -1135 -1247 -1246 -1183 -1221 -1355 -1479 -1419 -1171 -974 -902 -1087 -1330 -1433 -1329 -1265 -1144 -1227 -1153 -1144 -1049 -1062 -1126 -1250 -1279 -1262 -1220 -1081 -984 -979 -1095 -1214 -1291 -1298 -1220 -1175 -1244 -1341 -1378 -1217 -1077 -1027 -1061 -1151 -1048 -899 -783 -913 -1032 -1107 -1027 -948 -944 -1039 -1205 -1231 -1178 -1086 -1067 -1172 -1222 -1239 -1245 -1229 -1212 -1181 -1192 -1191 -1256 -1345 -1318 -1261 -1176 -1166 -1143 -1045 -908 -879 -1005 -1199 -1321 -1295 -1095 -992 -1069 -1177 -1216 -1141 -1062 -1138 -1292 -1371 -1296 -1123 -1034 -1076 -1186 -1284 -1297 -1283 -1321 -1358 -1377 -1330 -1297 -1288 -1315 -1324 -1242 -1109 -985 -896 -820 -816 -852 -1056 -1183 -1301 -1205 -1059 -1044 -1149 -1278 -1377 -1344 -1302 -1260 -1317 -1272 -1207 -1101 -1134 -1239 -1287 -1294 -1189 -1089 -1102 -1082 -1098 -1038 -1026 -1011 -1060 -1036 -996 -1077 -1141 -1307 -1318 -1249 -1180 -1093 -1171 -1271 -1286 -1239 -1148 -1105 -1016 -913 -810 -744 -953 -1054 -1138 -1039 -950 -935 -1043 -1170 -1217 -1200 -1237 -1241 -1158 -1008 -864 -867 -998 -1200 -1298 -1218 -1178 -1150 -1138 -1120 -1057 -1011 -1076 -1205 -1235 -1116 -884 -730 -757 -891 -1038 -1076 -1063 -1120 -1158 -1112 -1056 -1052 -1118 -1222 -1224 -1135 -1082 -1064 -1062 -1084 -1118 -1109 -1215 -1306 -1322 -1269 -1124 -1165 -1264 -1373 -1380 -1211 -1016 -887 -945 -989 -899 -842 -806 -903 -941 -995 -954 -988 -1165 -1356 -1421 -1326 -1202 -1041 -1009 -1070 -1184 -1320 -1463 -1415 -1324 -1170 -1068 -1201 -1274 -1329 -1244 -1133 -1064 -1142 -1136 -1011 -859 -865 -1019 -1253 -1323 -1240 -1062 -1003 -1151 -1309 -1353 -1295 -1208 -1207 -1245 -1193 -1046 -963 -905 -1019 -1141 -1147 -1146 -1105 -1061 -1102 -1128 -1184 -1234 -1261 -1219 -1146 -1068 -1074 -1137 -1092 -980 -898 -942 -1114 -1333 -1334 -1184 -1050 -1066 -1188 -1227 -1145 -991 -879 -925 -1110 -1156 -1100 -1015 -966 -996 -1101 -1145 -1121 -1136 -1137 -1114 -1100 -1096 -1108 -1091 -1098 -1072 -1117 -1287 -1338 -1317 -1175 -1079 -1118 -1319 -1440 -1341 -1183 -1034 -1024 -1053 -1005 -796 -701 -765 -976 -1151 -1126 -1009 -897 -997 -1173 -1323 -1415 -1373 -1347 -1354 -1308 -1171 -1113 -1041 -1119 -1188 -1242 -1291 -1291 -1236 -1163 -1025 -976 -1021 -1162 -1252 -1145 -1000 -917 -983 -1123 -1181 -1224 -1196 -1236 -1270 -1289 -1184 -1075 -1132 -1252 -1374 -1382 -1249 -1075 -1092 -1127 -1261 -1260 -1216 -1204 -1203 -1232 -1270 -1336 -1356 -1345 -1259 -1122 -1030 -961 -1008 -1062 -1101 -1087 -1090 -1188 -1171 -1052 -937 -978 -1143 -1347 -1451 -1349 -1179 -1086 -984 -1022 -986 -1009 -1169 -1270 -1307 -1255 -1108 -1057 -1112 -1224 -1254 -1286 -1158 -1113 -1064 -1080 -1007 -1016 -1083 -1303 -1417 -1397 -1316 -1227 -1188 -1227 -1230 -1256 -1291 -1279 -1283 -1142 -932 -845 -798 -848 -925 -959 -914 -974 -1055 -1218 -1295 -1274 -1313 -1322 -1327 -1282 -1212 -1229 -1303 -1420 -1452 -1322 -1180 -1137 -1176 -1287 -1264 -1203 -1127 -1144 -1113 -1063 -959 -890 -938 -1086 -1152 -1251 -1235 -1246 -1235 -1270 -1271 -1389 -1443 -1481 -1406 -1268 -1119 -1115 -1167 -1277 -1344 -1366 -1365 -1421 -1408 -1329 -1229 -1180 -1312 -1490 -1541 -1414 -1259 -1129 -1133 -1211 -1146 -1032 -915 -973 -1161 -1284 -1357 -1342 -1292 -1258 -1276 -1326 -1353 -1340 -1334 -1260 -1158 -1162 -1171 -1205 -1213 -1213 -1159 -1225 -1328 -1333 -1260 -1073 -1065 -1164 -1268 -1276 -1146 -1023 -1045 -1169 -1323 -1294 -1285 -1257 -1352 -1469 -1476 -1370 -1197 -1129 -1121 -1171 -1154 -1096 -1017 -1054 -1101 -1129 -1116 -1115 -1062 -1009 -1061 -1178 -1377 -1478 -1452 -1292 -1160 -1082 -1138 -1227 -1142 -1010 -991 -1128 -1322 -1380 -1243 -1071 -1042 -1153 -1246 -1311 -1107 -956 -849 -891 -1004 -1067 -1080 -1137 -1228 -1240 -1212 -1109 -1059 -1064 -1194 -1289 -1368 -1415 -1348 -1189 -1061 -1018 -1169 -1325 -1434 -1386 -1300 -1240 -1310 -1384 -1309 -1189 -1066 -1029 -1137 -1166 -1076 -908 -852 -947 -1148 -1248 -1216 -1115 -1054 -1215 -1351 -1489 -1510 -1463 -1421 -1320 -1287 -1219 -1197 -1214 -1279 -1252 -1222 -1183 -1218 -1136 -1185 -1227 -1251 -1249 -1237 -1050 -851 -768 -879 -1193 -1348 -1348 -1234 -1210 -1219 -1276 -1278 -1270 -1267 -1338 -1463 -1446 -1265 -1056 -894 -893 -1026 -1112 -1171 -1189 -1121 -1134 -1103 -1135 -1279 -1335 -1364 -1423 -1318 -1260 -1241 -1197 -1144 -1036 -1013 -1097 -1195 -1164 -1107 -994 -1040 -1114 -1137 -1149 -1110 -1053 -1086 -1099 -1036 -913 -939 -1018 -1136 -1208 -1185 -1162 -1169 -1269 -1282 -1261 -1187 -1085 -1022 -1066 -1105 -1185 -1232 -1348 -1393 -1308 -1193 -1147 -1178 -1303 -1404 -1396 -1266 -1167 -1081
+-4 -56 -76 -54 97 236 225 146 78 162 332 430 395 330 340 411 430 350 215 63 77 165 211 175 161 182 251 318 342 385 445 509 545 517 470 527 553 516 415 308 304 387 462 401 294 227 289 390 444 349 331 359 487 583 504 423 371 428 488 527 479 456 491 547 563 491 405 399 416 396 372 367 408 452 472 426 451 604 766 868 821 708 664 739 853 785 613 487 452 563 602 505 400 359 461 582 629 622 583 656 699 711 661 646 679 721 752 683 657 644 683 701 706 625 625 673 658 649 574 579 605 648 565 448 453 563 756 840 734 661 665 823 939 958 861 776 751 769 781 721 725 756 842 868 856 832 867 922 897 861 847 869 923 885 818 735 754 810 894 867 819 804 892 992 1006 947 893 927 1030 1046 918 824 771 844 898 860 764 728 805 887 927 885 848 870 893 874 832 850 852 943 951 886 831 901 1014 1090 1049 1010 998 1076 1106 1083 954 829 785 856 890 903 830 885 950 997 988 918 990 1097 1223 1198 1084 971 968 988 1012 946 928 939 1013 994 917 794 826 931 1047 1044 984 992 1015 1030 1001 920 842 916 948 1018 972 917 925 972 1044 1016 944 871 904 926 881 879 919 995 1061 1015 967 990 1186 1349 1400 1298 1180 1137 1160 1169 1060 999 983 1027 1092 1025 922 873 922 1053 1137 1154 1159 1198 1264 1290 1211 1171 1181 1255 1289 1288 1183 1135 1110 1119 1042 986 967 1082 1138 1084 963 917 984 1091 1160 1102 1029 1097 1265 1439 1393 1309 1255 1271 1386 1419 1337 1219 1133 1121 1093 1023 982 1003 1066 1106 1197 1202 1246 1345 1366 1315 1288 1292 1397 1451 1440 1354 1269 1339 1355 1312 1193 1091 1143 1272 1329 1262 1099 1020 1074 1173 1199 1147 1095 1124 1206 1261 1214 1162 1208 1242 1315 1305 1314 1317 1280 1233 1127 1013 1017 1109 1151 1137 1089 1141 1262 1363 1319 1233 1218 1310 1441 1549 1404 1211 1126 1198 1280 1301 1223 1185 1272 1346 1376 1316 1271 1281 1342 1433 1391 1338 1313 1300 1347 1375 1318 1326 1354 1325 1313 1297 1295 1402 1442 1324 1198 1115 1183 1278 1322 1226 1132 1145 1225 1323 1313 1278 1312 1404 1523 1520 1460 1351 1308 1349 1323 1280 1250 1270 1304 1328 1308 1297 1316 1421 1482 1492 1473 1466 1466 1435 1410 1320 1307 1398 1501 1470 1349 1280 1354 1490 1539 1503 1388 1363 1454 1570 1519 1418 1318 1311 1390 1381 1315 1241 1278 1341 1368 1325 1271 1321 1363 1418 1346 1267 1263 1342 1399 1412 1373 1330 1408 1501 1551 1479 1463 1486 1557 1517 1405 1252 1188 1260 1322 1275 1192 1115 1203 1276 1375 1396 1461 1550 1637 1614 1555 1505 1520 1587 1622 1557 1466 1430 1403 1378 1332 1307 1327 1388 1421 1424 1327 1270 1325 1441 1506 1479 1399 1395 1425 1472 1471 1439 1482 1504 1570 1520 1457 1413 1433 1423 1367 1271 1188 1246 1346 1391 1368 1328 1401 1540 1637 1622 1605 1581 1674 1715 1644 1547 1431 1459 1516 1547 1435 1337 1366 1452 1533 1536 1472 1440 1474 1585 1642 1651 1643 1664 1640 1594 1531 1506 1564 1622 1633 1507 1436 1429 1493 1505 1405 1312 1316 1406 1464 1475 1377 1333 1404 1555 1627 1603 1573 1628 1727 1755 1670 1548 1483 1468 1444 1437 1371 1307 1316 1347 1310 1348 1358 1471 1578 1612 1589 1632 1687 1745 1677 1539 1519 1592 1732 1832 1735 1565 1459 1477 1531 1525 1445 1385 1443 1523 1523 1465 1338 1303 1399 1522 1579 1571 1530 1572 1614 1618 1613 1607 1605 1603 1548 1431 1389 1413 1456 1422 1358 1323 1397 1507 1591 1584 1543 1567 1658 1655 1590 1456 1422 1519 1667 1719 1627 1453 1404 1464 1585 1676 1687 1691 1713 1696 1669 1602 1565 1589 1620 1628 1599 1618 1654 1682 1621 1497 1459 1521 1672 1722 1635 1549 1523 1585 1605 1555 1489 1520 1618 1717 1692 1561 1500 1516 1670 1731 1634 1536 1454 1494 1491 1449 1358 1300 1301 1401 1471 1508 1578 1639 1712 1695 1671 1620 1661 1698 1709 1609 1481 1509 1589 1597 1517 1399 1405 1504 1620 1678 1593 1510 1542 1598 1570 1546 1524 1545 1607 1646 1540 1427 1359 1419 1514 1511 1507 1480 1486 1495 1462 1350 1330 1382 1448 1472 1460 1419 1456 1546 1622 1563 1514 1528 1611 1658 1625 1454 1373 1317 1344 1314 1236 1195 1258 1323 1402 1447 1432 1506 1597 1673 1660 1669 1681 1712 1719 1626 1488 1468 1508 1588 1571 1465 1376 1341 1429 1469 1437 1349 1357 1417 1484 1503 1450 1452 1549 1638 1629 1538 1499 1571 1687 1700 1653 1589 1541 1502 1466 1388 1273 1265 1321 1333 1258 1205 1270 1405 1561 1622 1577 1590 1663 1751 1764 1666 1494 1476 1590 1638 1625 1498 1387 1402 1460 1480 1475 1486 1490 1517 1512 1490 1507 1536 1619 1644 1577 1528 1565 1653 1665 1601 1510 1499 1568 1616 1558 1435 1343 1350 1399 1412 1362 1329 1375 1474 1512 1476 1390 1385 1506 1637 1673 1591 1462 1395 1370 1364 1374 1303 1333 1311 1264 1252 1296 1411 1539 1569 1524 1469 1487 1561 1618 1572 1493 1458 1547 1641 1566 1443 1371 1449 1527 1611 1577 1412 1344 1392 1444 1430 1410 1423 1496 1574 1556 1457 1400 1404 1496 1599 1623 1593 1553 1502 1433 1365 1323 1382 1464 1460 1356 1257 1277 1395 1490 1480 1425 1376 1500 1615 1604 1488 1337 1326 1380 1459 1457 1382 1336 1415 1498 1550 1550 1513 1512 1565 1561 1535 1486 1503 1542 1516 1467 1403 1372 1444 1480 1481 1456 1510 1585 1588 1495 1404 1392 1509 1636 1634 1536 1441 1461 1536 1545 1481 1390 1403 1519 1595 1584 1391 1222 1201 1245 1304 1303 1274 1287 1270 1247 1215 1255 1408 1528 1639 1619 1535 1530 1548 1589 1528 1401 1309 1342 1371 1366 1341 1278 1322 1356 1395 1318 1297 1317 1418 1521 1513 1394 1367 1378 1480 1532 1492 1506 1524 1566 1547 1487 1404 1394 1413 1450 1371 1294 1292 1307 1317 1263 1167 1158 1297 1445 1505 1452 1458 1520 1576 1553 1473 1353 1337 1383 1376 1333 1206 1166 1202 1238 1231 1233 1328 1453 1599 1599 1499 1419 1485 1543 1597 1534 1479 1514 1555 1580 1466 1320 1342 1404 1517 1530 1425 1354 1362 1411 1451 1386 1350 1420 1540 1637 1591 1555 1537 1586 1627 1631 1551 1534 1522 1508 1426 1323 1248 1237 1233 1221 1135 1112 1228 1417 1534 1481 1433 1435 1542 1647 1641 1553 1479 1472 1482 1471 1388 1332 1343 1396 1411 1400 1336 1355 1379 1372 1314 1262 1300 1364 1469 1471 1452 1412 1444 1486 1453 1459 1517 1627 1711 1644 1449 1325 1307 1441 1530 1459 1297 1210 1277 1364 1391 1333 1317 1343 1444 1459 1460 1396 1386 1404 1416 1393 1297 1320 1315 1328 1326 1284 1329 1433 1482 1454 1387 1387 1482 1557 1512 1405 1297 1321 1424 1490 1432 1391 1397 1505 1593 1584 1477 1380 1404 1520 1545 1543 1424 1442 1468 1522 1537 1508 1497 1550 1591 1546 1542 1550 1573 1562 1470 1477 1457 1489 1531 1458 1346 1302 1405 1531 1609 1577 1474 1501 1589 1676 1602 1460 1415 1477 1548 1524 1466 1365 1346 1460 1513 1500 1456 1492 1561 1574 1559 1490 1478 1502 1549 1538 1483 1491 1540 1606 1523 1442 1459 1577 1638 1637 1513 1450 1419 1562 1621 1542 1418 1398 1513 1647 1685 1606 1538 1505 1547 1556 1541 1516 1494 1519 1496 1385 1271 1230 1277 1356 1386 1388 1412 1442 1536 1556 1548 1631 1693 1752 1661 1553 1445 1438 1498 1492 1369 1294 1289 1396 1467 1506 1432 1397 1421 1481 1487 1496 1513 1580 1685 1749 1717 1656 1657 1703 1717 1725 1663 1684 1732 1688 1593 1398 1350 1386 1476 1509 1432 1380 1442 1498 1567 1565 1590 1646 1805 1918 1833 1733 1629 1635 1624 1587 1525 1439 1481 1517 1511 1420 1393 1410 1497 1598 1602 1559 1574 1669 1676 1633 1535 1522 1635 1742 1752 1683 1650 1694 1815 1804 1714 1578 1519 1596 1656 1645 1565 1568 1590 1621 1599 1564 1599 1698 1793 1799 1764 1720 1681 1690 1651 1588 1518 1531 1624 1554 1451 1324 1301 1389 1547 1637 1612 1603 1684 1735 1713 1626 1544 1563 1689 1755 1629 1513 1422 1473 1513 1548 1530 1504 1497 1513 1472 1489 1470 1519 1542 1496 1455 1471 1609 1761 1811 1725 1675 1700 1767 1813 1735 1632 1598 1641 1718 1681 1521 1400 1427 1519 1603 1588 1534 1551 1612 1684 1690 1610 1569 1631 1693 1699 1659 1533 1493 1506 1482 1471 1483 1551 1633 1645 1572 1527 1565 1632 1634 1559 1447 1462 1598 1675 1622 1482 1408 1447 1597 1734 1719 1590 1583 1599 1642 1650 1602 1565 1619 1681 1688 1660 1654 1662 1690 1712 1645 1614 1642 1661 1613 1557 1478 1505 1580 1550 1453 1396 1453 1592 1702 1670 1586 1554 1719 1820 1806 1706 1580 1552 1560 1601 1460 1346 1313 1332 1421 1459 1438 1406 1500 1505 1550 1517 1519 1582 1621 1622 1582 1555 1599 1662 1669 1560 1485 1542 1644 1699 1627 1504 1417 1443 1501 1525 1476 1447 1495 1611 1635 1581 1549 1580 1689 1796 1741 1628 1528 1533 1565 1546 1495 1413 1402 1393 1392 1326 1327 1368 1468 1518 1501 1520 1578 1673 1690 1588 1497 1498 1540 1587 1518 1401 1314 1354 1448 1457 1500 1428 1445 1476 1458 1419 1389 1432 1539 1575 1579 1534 1567 1640 1780 1758 1692 1619 1618 1657 1666 1552 1485 1457 1462 1447 1360 1275 1311 1426 1496 1550 1521 1535 1669 1785 1797 1744 1651 1665 1719 1733 1678 1496 1394 1398 1345 1312 1266 1302 1408 1430 1390 1277 1240 1308 1443 1510 1461 1422 1444 1538 1582 1508 1507 1484 1579 1685 1665 1525 1439 1411 1442 1385 1316 1281 1307 1323 1357 1378 1403 1485 1552 1569 1518 1497 1510 1593 1622 1554 1465 1395 1407 1402 1328 1270 1288 1401 1468 1471 1360 1251 1329 1454 1620 1606 1469 1388 1393 1383 1365 1301 1242 1267 1351 1395 1384 1369 1380 1377 1356 1331 1322 1375 1468 1481 1406 1317 1313 1428 1538 1526 1498 1461 1535 1641 1621 1508 1366 1390 1450 1485 1436 1357 1385 1481 1603 1547 1454 1412 1438 1617 1731 1716 1638 1584 1536 1490 1473 1478 1497 1514 1500 1436 1372 1409 1478 1504 1468 1429 1486 1610 1694 1614 1437 1316 1340 1409 1483 1444 1398 1381 1497 1529 1493 1420 1420 1463 1577 1594 1532 1459 1461 1516 1530 1521 1508 1551 1607 1612 1561 1538 1532 1551 1494 1389 1329 1354 1449 1517 1459 1340 1343 1403 1503 1562 1491 1507 1636 1770 1840 1724 1512 1408 1437 1519 1558 1440 1334 1265 1251 1225 1223 1262 1304 1402 1434 1418 1383 1407 1472 1503 1480 1457 1492 1540 1592 1587 1506 1478 1530 1581 1534 1390 1366 1398 1474 1447 1330 1234 1250 1351 1481 1507 1511 1512 1600 1712 1753 1732 1669 1666 1687 1656 1621 1548 1532 1508 1397 1308 1279 1300 1432 1490 1495 1450 1448 1560 1657 1643 1585 1522 1567 1635 1634 1528 1460 1417 1498 1548 1496 1438 1415 1518 1537 1480 1358 1299 1357 1466 1503 1496 1470 1494 1566 1621 1633 1618 1632 1668 1694 1565 1446 1417 1460 1478 1454 1353 1303 1353 1471 1524 1509
+-35 20 114 135 236 281 279 232 197 284 417 463 428 376 343 400 434 426 419 381 386 397 344 288 306 428 613 659 583 442 372 391 437 504 527 538 568 563 511 413 352 368 398 367 346 296 289 364 402 357 364 410 490 519 451 445 498 592 642 588 524 490 553 638 594 454 386 394 499 546 508 440 460 547 655 633 546 497 536 641 689 704 693 788 883 872 771 644 631 702 764 812 803 794 805 791 744 674 661 738 801 759 671 637 692 769 792 667 574 570 654 733 691 585 487 519 551 606 576 579 595 687 701 621 566 590 694 842 862 835 847 866 888 856 818 849 870 933 941 904 922 988 998 945 856 778 891 1002 1018 969 854 836 882 917 900 826 826 933 1001 996 882 788 814 903 955 942 899 868 938 952 945 881 855 849 831 822 783 798 854 897 861 747 690 729 773 808 817 820 839 905 871 803 752 849 1004 1109 1043 878 794 852 949 1064 1072 1027 1046 1130 1131 1082 972 1038 1103 1170 1207 1161 1140 1161 1186 1149 1075 980 986 998 974 907 886 971 1025 997 874 781 792 884 968 933 883 887 1002 1094 1064 970 886 947 1033 1116 1045 945 903 940 1013 1057 1011 936 962 1003 986 921 911 947 1038 1107 1146 1168 1200 1205 1168 1118 1085 1129 1223 1266 1221 1185 1190 1181 1211 1135 1055 1062 1122 1226 1215 1162 1104 1157 1262 1347 1273 1169 1158 1171 1235 1235 1133 1077 1116 1114 1062 986 931 947 941 944 928 947 970 1033 1080 1034 1014 1095 1197 1258 1174 1067 1094 1207 1345 1330 1245 1210 1209 1269 1241 1185 1144 1216 1319 1367 1351 1226 1162 1260 1396 1415 1341 1267 1264 1336 1385 1383 1312 1337 1342 1318 1223 1143 1163 1218 1210 1200 1110 1114 1158 1194 1180 1177 1179 1234 1312 1293 1214 1157 1237 1321 1325 1186 1089 1089 1190 1243 1230 1095 995 1036 1106 1116 1088 1107 1177 1274 1264 1214 1197 1198 1308 1424 1424 1360 1386 1459 1473 1483 1444 1406 1452 1474 1408 1383 1400 1418 1468 1433 1359 1299 1354 1442 1501 1447 1294 1218 1283 1325 1339 1288 1244 1265 1310 1247 1166 1085 1124 1208 1281 1271 1208 1221 1231 1267 1282 1271 1244 1235 1257 1252 1273 1338 1412 1448 1371 1270 1239 1312 1389 1427 1361 1336 1368 1441 1432 1345 1276 1285 1374 1485 1498 1390 1314 1345 1422 1453 1425 1399 1466 1547 1564 1450 1330 1292 1379 1524 1569 1586 1526 1458 1414 1350 1272 1294 1399 1448 1414 1294 1199 1258 1328 1358 1264 1140 1157 1236 1324 1331 1302 1277 1346 1402 1373 1228 1202 1273 1435 1537 1500 1380 1294 1372 1476 1497 1498 1447 1498 1507 1522 1505 1519 1567 1643 1631 1636 1617 1617 1631 1602 1495 1422 1433 1477 1508 1466 1410 1387 1371 1343 1300 1232 1278 1448 1585 1622 1511 1356 1326 1388 1470 1517 1499 1471 1465 1507 1437 1377 1369 1341 1371 1337 1277 1278 1320 1416 1453 1424 1383 1393 1439 1484 1500 1514 1535 1641 1619 1518 1475 1478 1609 1668 1647 1486 1374 1423 1512 1583 1581 1536 1543 1624 1703 1709 1633 1608 1655 1706 1689 1666 1645 1660 1674 1657 1507 1408 1377 1369 1399 1393 1359 1384 1412 1369 1343 1275 1299 1399 1458 1465 1432 1472 1562 1657 1624 1509 1443 1483 1626 1649 1609 1500 1449 1463 1550 1529 1532 1476 1542 1603 1601 1532 1507 1567 1690 1782 1724 1673 1593 1617 1641 1632 1627 1629 1629 1619 1549 1498 1536 1614 1677 1640 1556 1498 1505 1615 1663 1674 1622 1589 1637 1598 1525 1461 1447 1466 1553 1529 1406 1340 1350 1411 1427 1395 1344 1339 1377 1447 1478 1477 1500 1514 1500 1501 1538 1590 1661 1694 1678 1616 1589 1667 1690 1724 1701 1653 1669 1701 1684 1656 1671 1727 1804 1764 1669 1525 1470 1598 1740 1759 1611 1505 1445 1521 1562 1561 1560 1548 1579 1554 1501 1453 1459 1520 1588 1598 1510 1489 1444 1524 1501 1447 1496 1519 1595 1581 1531 1472 1457 1516 1543 1555 1523 1546 1604 1664 1649 1544 1486 1522 1606 1639 1583 1457 1470 1577 1674 1661 1582 1539 1516 1550 1602 1586 1599 1689 1754 1746 1719 1687 1696 1694 1703 1671 1668 1653 1629 1577 1489 1431 1443 1491 1509 1421 1320 1304 1334 1416 1434 1391 1372 1455 1547 1587 1493 1387 1315 1393 1463 1500 1486 1478 1477 1520 1504 1419 1370 1381 1443 1535 1596 1590 1624 1621 1635 1613 1632 1712 1697 1666 1613 1573 1613 1651 1661 1609 1469 1413 1403 1469 1516 1472 1409 1431 1462 1506 1490 1476 1505 1615 1686 1629 1502 1425 1440 1541 1586 1585 1539 1502 1458 1409 1361 1320 1351 1361 1356 1324 1327 1392 1446 1469 1418 1370 1372 1449 1539 1545 1531 1484 1523 1574 1540 1460 1406 1432 1596 1684 1646 1523 1430 1456 1543 1618 1661 1697 1736 1781 1773 1687 1597 1583 1668 1706 1677 1641 1543 1482 1436 1408 1361 1356 1369 1363 1341 1288 1347 1381 1455 1441 1382 1356 1402 1489 1523 1514 1464 1425 1480 1512 1502 1435 1407 1460 1516 1491 1447 1377 1353 1346 1443 1460 1493 1495 1533 1572 1509 1470 1411 1440 1520 1548 1597 1641 1672 1576 1508 1437 1430 1539 1626 1634 1565 1561 1565 1617 1642 1575 1509 1511 1562 1582 1564 1463 1377 1418 1496 1510 1465 1372 1347 1375 1356 1299 1254 1267 1336 1379 1400 1389 1319 1333 1348 1326 1296 1311 1356 1415 1412 1443 1469 1497 1544 1545 1461 1400 1424 1503 1615 1644 1648 1618 1656 1648 1559 1527 1470 1492 1614 1626 1564 1448 1400 1452 1507 1532 1504 1437 1385 1425 1414 1449 1477 1524 1533 1530 1477 1398 1384 1416 1399 1385 1330 1346 1368 1392 1382 1373 1357 1370 1372 1311 1243 1275 1384 1476 1523 1453 1312 1282 1357 1446 1435 1367 1275 1267 1295 1364 1389 1405 1379 1378 1392 1381 1371 1407 1500 1587 1621 1608 1617 1593 1616 1608 1609 1627 1579 1554 1490 1447 1465 1493 1467 1414 1311 1245 1297 1348 1385 1349 1298 1268 1328 1359 1402 1354 1310 1367 1371 1383 1347 1315 1306 1334 1363 1355 1306 1285 1295 1305 1327 1351 1354 1393 1411 1386 1400 1446 1557 1573 1550 1489 1456 1540 1605 1577 1516 1412 1461 1453 1481 1439 1381 1358 1400 1525 1545 1473 1414 1421 1500 1570 1570 1559 1569 1622 1646 1598 1472 1376 1376 1429 1477 1503 1435 1335 1265 1197 1196 1244 1299 1385 1346 1250 1193 1249 1315 1392 1391 1376 1341 1346 1330 1330 1345 1358 1436 1480 1444 1387 1332 1376 1474 1536 1509 1462 1393 1423 1549 1623 1687 1660 1625 1603 1525 1483 1492 1511 1520 1520 1504 1504 1484 1490 1430 1328 1261 1274 1342 1407 1437 1430 1402 1393 1389 1322 1289 1321 1416 1493 1518 1450 1285 1292 1335 1433 1470 1423 1355 1300 1260 1283 1313 1291 1411 1426 1434 1377 1352 1389 1390 1405 1451 1475 1478 1476 1504 1522 1530 1571 1594 1545 1443 1435 1471 1586 1691 1693 1673 1577 1557 1575 1609 1543 1520 1552 1620 1674 1607 1539 1450 1444 1477 1516 1480 1461 1446 1462 1474 1419 1349 1302 1365 1433 1428 1407 1342 1347 1335 1351 1353 1416 1447 1530 1489 1432 1425 1491 1556 1577 1544 1497 1560 1612 1695 1700 1612 1493 1463 1529 1543 1518 1511 1549 1563 1544 1464 1401 1361 1444 1578 1628 1603 1538 1521 1533 1581 1617 1638 1581 1541 1500 1539 1567 1590 1584 1534 1421 1368 1380 1461 1493 1456 1453 1418 1396 1408 1397 1381 1419 1484 1521 1479 1402 1336 1338 1391 1492 1461 1423 1389 1414 1412 1458 1463 1468 1481 1535 1571 1635 1675 1703 1713 1688 1675 1656 1725 1783 1814 1765 1678 1629 1623 1586 1526 1476 1504 1575 1631 1529 1429 1389 1436 1532 1611 1588 1534 1457 1533 1604 1645 1596 1570 1534 1525 1516 1506 1444 1460 1478 1550 1534 1485 1438 1442 1496 1525 1569 1594 1635 1639 1616 1587 1628 1720 1759 1712 1648 1583 1579 1633 1606 1576 1535 1588 1665 1699 1640 1545 1553 1594 1702 1768 1754 1755 1728 1766 1783 1772 1748 1736 1731 1762 1738 1679 1623 1627 1612 1596 1554 1527 1486 1465 1432 1418 1465 1524 1592 1582 1511 1453 1484 1544 1593 1519 1519 1513 1591 1630 1592 1542 1476 1447 1522 1573 1614 1588 1623 1647 1714 1685 1691 1686 1709 1771 1761 1762 1742 1702 1740 1731 1741 1728 1715 1690 1643 1587 1584 1644 1684 1696 1624 1571 1615 1616 1675 1639 1588 1548 1578 1644 1639 1624 1586 1634 1699 1671 1557 1410 1372 1441 1535 1590 1577 1551 1545 1515 1459 1424 1418 1482 1546 1546 1531 1475 1475 1498 1532 1540 1480 1494 1529 1548 1579 1591 1632 1713 1757 1724 1657 1637 1709 1805 1839 1711 1605 1575 1639 1744 1772 1684 1649 1638 1613 1551 1506 1448 1531 1626 1673 1660 1549 1487 1447 1441 1453 1425 1437 1462 1497 1479 1445 1489 1436 1442 1440 1432 1454 1541 1580 1584 1559 1518 1594 1630 1639 1600 1560 1566 1643 1688 1633 1518 1476 1517 1581 1572 1554 1484 1499 1535 1559 1560 1540 1545 1633 1733 1773 1772 1749 1722 1688 1659 1616 1637 1723 1757 1718 1604 1505 1449 1476 1475 1456 1455 1478 1531 1513 1415 1336 1351 1467 1530 1547 1490 1444 1427 1480 1465 1391 1306 1316 1383 1434 1475 1430 1383 1367 1425 1453 1481 1561 1584 1625 1657 1651 1714 1781 1815 1781 1692 1642 1634 1702 1740 1675 1622 1586 1563 1549 1490 1442 1509 1623 1678 1587 1454 1360 1446 1612 1747 1747 1606 1548 1499 1530 1523 1456 1471 1524 1542 1518 1448 1377 1385 1398 1394 1362 1371 1387 1465 1508 1500 1522 1499 1514 1482 1406 1415 1408 1483 1551 1538 1428 1349 1389 1503 1523 1471 1415 1383 1460 1501 1572 1569 1604 1638 1710 1683 1661 1613 1642 1648 1676 1647 1599 1597 1589 1604 1553 1530 1496 1418 1348 1290 1308 1423 1488 1524 1462 1315 1309 1387 1429 1404 1317 1275 1331 1427 1447 1384 1325 1301 1367 1377 1353 1301 1324 1419 1527 1533 1467 1416 1434 1546 1560 1546 1501 1466 1498 1541 1538 1509 1550 1587 1571 1498 1466 1496 1573 1665 1608 1530 1512 1541 1626 1659 1568 1487 1505 1553 1618 1595 1537 1508 1568 1588 1573 1467 1406 1487 1532 1509 1449 1361 1355 1449 1493 1500 1416 1334 1293 1273 1294 1292 1342 1371 1350 1282 1225 1245 1321 1419 1480 1433 1422 1473 1532 1531 1548 1537 1615 1696 1714 1605 1527 1495 1585 1651 1635 1544 1431 1418 1504 1565 1536 1510 1483 1517 1528 1439 1432 1446 1503 1559 1540 1478 1439 1457 1475 1433 1373 1320 1350 1396 1350 1360 1342 1343 1399 1388 1313 1339 1403 1524 1574 1484 1334 1322 1399 1524 1634 1543 1451 1354 1355 1305 1266 1297 1329 1431 1463 1441 1398 1387 1438 1499 1529 1558 1564 1593 1638 1697 1706 1695 1733 1687 1614 1501 1480 1532 1614 1648 1576 1486 1460 1516 1529 1499 1417 1392 1457 1567 1584 1511 1388 1361 1446 1560 1524 1431 1346 1338 1317 1287 1238 1221 1357 1453 1468 1418 1341 1283 1345 1386 1473 1520 1600 1687 1677 1607 1572 1543 1591 1633 1571 1489 1500 1583 1648 1632 1519 1432 1393 1456 1504 1490
+1 43 93 35 48 37 38 25 7 86 163 177 233 310 360 467 430 301 225 276 339 365 259 60 37 186 452 520 397 201 128 172 253 244 149 33 34 148 223 98 -37 -142 -203 -119 23 110 146 259 276 205 183 161 184 100 17 58 194 301 304 146 63 123 332 537 455 153 4 11 201 348 207 -4 -92 -5 137 132 -25 -125 -97 97 271 313 250 267 324 399 436 298 245 187 168 283 380 540 600 568 449 302 310 368 450 343 151 116 178 240 154 -81 -277 -164 46 288 236 65 -119 -60 92 186 160 84 -7 72 137 40 -55 -72 9 274 458 465 470 348 301 262 308 409 429 391 248 138 113 310 387 324 177 79 214 398 479 377 199 191 220 307 194 -47 -96 116 270 401 248 105 68 196 340 399 402 299 295 258 227 125 108 51 29 104 156 208 215 224 133 31 71 198 232 190 16 -112 -101 13 16 -47 -93 -31 221 346 264 26 -55 89 289 473 452 274 243 366 421 366 303 305 344 393 478 521 505 547 484 416 284 137 120 100 18 -29 -26 105 139 69 -81 -50 72 281 359 236 23 -80 50 265 277 165 67 104 257 311 205 95 108 286 485 555 455 262 158 209 237 117 57 15 42 133 235 279 259 230 188 211 229 298 347 323 287 231 307 359 330 169 44 110 326 603 583 448 256 256 376 505 418 225 190 159 204 132 -32 -136 74 221 334 342 250 91 -48 -38 4 96 64 89 49 -78 -107 -10 93 136 131 51 238 405 504 364 242 235 402 530 464 269 91 172 389 526 502 297 213 288 488 561 410 232 113 199 253 216 76 33 53 171 228 318 328 309 182 158 145 283 399 387 267 156 88 149 237 179 115 150 337 518 435 154 -70 -55 225 398 382 99 -183 -242 -144 -43 -13 -29 9 155 232 224 177 92 162 260 350 347 369 366 276 307 392 410 481 457 292 319 378 508 618 526 306 152 204 304 309 187 -25 -81 111 298 271 149 28 47 238 318 263 86 -39 -48 1 61 58 92 108 114 152 173 127 112 135 152 218 367 448 354 193 0 27 182 322 312 134 81 170 328 395 261 99 25 159 298 254 71 -53 -18 104 196 178 98 169 287 445 417 336 254 231 258 320 371 367 306 218 109 -4 101 231 301 308 211 173 277 321 195 -28 -257 -226 -74 79 -1 -184 -226 -131 36 79 -24 -55 19 221 376 311 161 95 185 364 412 401 288 248 313 374 415 486 511 475 380 347 329 318 317 207 73 -30 -60 47 118 106 120 178 268 251 146 -52 -12 224 439 469 200 -76 -170 -56 108 222 287 256 322 374 313 163 135 41 139 158 128 95 -21 -40 -68 -28 67 152 183 160 105 60 130 242 256 148 73 123 242 236 121 -92 -95 129 371 475 392 275 272 416 527 515 308 159 135 223 220 205 186 245 307 343 241 131 46 0 60 187 207 185 60 -133 -287 -342 -200 72 120 31 -29 14 189 327 272 155 78 191 402 374 179 -27 -44 141 380 457 348 169 160 213 250 212 89 123 195 313 276 166 -76 -96 -75 15 168 307 291 301 247 246 415 539 489 315 100 66 170 339 357 274 183 200 334 309 232 119 129 192 316 285 16 -207 -242 -69 55 78 -1 -146 -178 -123 25 149 238 209 159 144 177 199 224 120 73 65 212 390 436 351 277 253 402 519 469 318 227 309 454 408 234 -61 -210 -40 206 324 234 129 46 163 201 216 127 40 42 34 43 31 -71 -103 -80 -35 1 158 240 303 187 141 202 259 295 268 173 147 219 329 237 115 -37 25 258 406 409 161 -15 -26 133 177 123 -26 -98 -9 131 151 124 86 115 263 327 349 368 391 436 466 469 431 364 246 138 115 253 400 473 396 266 118 130 178 202 63 -45 -35 -13 41 -64 -265 -338 -152 107 233 179 41 -103 -66 42 151 219 233 261 305 257 121 0 -29 106 290 449 461 455 321 226 229 256 472 400 246 61 -41 25 146 204 191 86 89 148 198 235 142 145 224 313 329 128 -36 -80 -2 221 244 185 100 69 147 227 273 251 276 265 201 118 58 -28 -91 -83 -19 95 192 210 84 -74 -77 -32 111 237 114 36 -34 19 47 -9 -118 -90 78 397 560 440 211 100 219 499 629 549 434 300 274 304 285 227 216 228 294 318 355 314 214 186 175 139 110 13 -84 -170 -206 4 96 160 53 -71 -9 168 376 384 316 207 158 302 366 292 136 108 187 342 388 284 132 52 97 317 421 383 259 131 121 31 49 -10 -56 -19 60 212 372 404 287 199 158 309 495 566 434 235 203 259 388 385 248 122 150 343 460 448 268 153 199 354 404 322 131 7 1 -27 -30 -117 -161 -91 52 216 280 267 75 -48 -115 -52 97 205 247 118 57 126 214 308 325 231 200 312 484 563 548 437 409 530 510 377 228 30 56 281 351 345 222 130 182 301 385 362 221 33 18 -7 92 126 104 38 153 224 294 327 300 186 114 194 428 546 535 392 233 141 94 144 111 42 147 292 393 361 172 -33 -60 110 289 296 131 -103 -192 -145 26 124 215 217 288 305 353 303 325 440 496 565 590 531 383 278 191 272 375 416 356 265 195 307 452 476 393 207 84 73 121 82 7 2 28 161 163 189 54 -67 62 161 315 344 275 146 51 36 45 107 123 228 272 301 325 254 196 161 200 337 466 524 377 151 -2 -2 210 329 261 215 161 207 288 329 295 243 250 317 426 326 167 29 27 178 267 269 232 231 333 480 526 445 286 223 233 263 342 262 142 91 -1 79 138 113 86 -44 -99 -27 165 196 110 -38 -158 -161 -52 -29 -15 -4 3 127 246 264 248 255 325 510 616 520 354 167 157 315 442 499 415 299 301 268 283 349 307 255 250 295 348 327 231 50 -69 -84 -30 8 20 62 174 292 395 420 262 49 42 187 366 415 301 31 -49 31 231 326 294 203 144 102 217 209 104 108 57 102 131 146 97 -95 -155 -55 110 241 214 219 230 312 469 509 356 190 99 198 316 414 308 198 158 309 458 530 446 317 258 373 523 519 376 153 94 54 132 121 116 27 66 113 103 75 -69 -50 13 102 247 146 28 -153 -223 -215 22 201 328 268 167 202 305 418 440 371 358 388 432 430 330 138 -69 -3 171 339 311 172 125 114 224 232 186 102 54 161 190 199 81 -26 -23 103 284 382 354 224 211 340 452 572 466 298 87 8 81 231 264 205 146 108 125 86 71 54 27 130 156 111 -87 -248 -285 -180 89 179 151 54 -29 -34 73 277 362 416 423 386 357 280 240 199 132 193 275 401 415 393 314 282 351 412 413 260 213 181 230 284 103 -38 -79 -74 102 188 160 51 -23 71 154 289 190 82 -27 31 91 146 68 10 -29 132 234 248 279 281 318 341 359 302 191 159 111 148 260 343 246 64 -64 -82 56 204 269 243 157 216 257 284 144 21 20 90 262 344 281 276 218 369 499 557 522 421 375 422 485 408 263 137 144 119 155 192 179 74 41 23 128 125 139 74 10 -41 26 41 -9 -145 -126 -44 196 382 363 283 212 162 306 399 394 301 295 339 469 392 261 55 4 191 415 485 445 317 256 283 365 392 335 183 31 -12 51 163 235 244 176 175 296 312 350 279 205 202 304 359 249 61 -5 110 302 432 269 72 -33 42 173 355 370 262 213 176 91 24 -120 -218 -204 -66 130 176 196 134 32 -2 105 188 268 249 161 133 136 222 263 197 95 134 309 473 501 314 153 223 442 676 630 393 172 42 70 128 140 26 54 110 206 267 155 35 -56 -19 54 32 -38 -82 -117 16 97 266 221 168 38 12 168 342 517 496 420 250 272 277 218 96 38 40 194 293 266 89 -11 71 274 398 379 248 130 62 90 144 122 119 129 266 351 379 338 320 320 383 455 556 580 489 383 201 195 202 296 209 103 68 111 296 351 254 102 72 132 162 157 37 -52 -59 73 87 -11 -140 -160 -22 187 335 326 219 126 181 214 286 352 326 320 311 277 288 382 366 445 438 495 542 575 539 401 311 295 354 374 240 110 49 186 346 238 126 20 99 335 492 442 214 93 25 124 212 138 145 154 271 358 423 428 379 387 376 363 409 395 375 337 268 257 177 102 56 -35 80 169 302 360 285 107 56 240 456 528 397 205 49 143 238 365 359 396 520 703 725 625 503 456 500 676 637 557 444 304 390 353 385 378 274 167 152 253 400 446 369 208 52 63 172 217 108 -27 -27 152 372 430 307 157 140 370 518 494 324 171 177 292 317 275 224 220 382 433 437 351 245 243 365 515 581 552 507 341 144 136 184 313 415 358 284 328 402 484 460 311 284 361 457 557 391 192 133 285 462 501 317 158 288 459 552 518 282 126 143 194 295 229 98 15 -73 -27 -13 65 20 23 26 88 227 357 336 255 62 54 210 338 325 276 237 335 504 563 498 411 429 596 806 756 513 190 96 238 433 444 314 180 183 210 238 251 231 233 241 255 271 246 231 220 73 63 85 163 243 192 242 316 496 606 543 298 189 232 420 547 409 126 12 97 199 377 300 244 183 236 274 244 174 84 165 273 380 370 270 179 121 134 212 330 423 508 567 552 576 638 531 424 255 225 326 432 540 426 288 310 483 585 564 335 226 227 351 398 307 122 -13 39 157 100 -54 -79 -6 111 185 158 69 121 231 316 426 376 221 141 46 74 161 345 531 539 421 369 327 399 439 410 317 380 495 543 392 158 6 -23 123 268 252
+393 265 66 -25 -15 96 138 158 103 217 220 191 146 224 444 726 773 585 308 326 480 735 815 651 586 663 724 786 684 644 826 1028 1202 1060 887 873 955 1148 1144 1088 1062 1160 1350 1530 1616 1667 1764 1893 1894 1814 1828 1839 1951 1974 1886 1846 1858 1988 2022 1970 1865 1895 2085 2305 2379 2252 2158 2211 2256 2306 2195 2081 2131 2228 2311 2229 2082 2070 2202 2402 2513 2476 2432 2358 2390 2385 2376 2395 2489 2561 2537 2498 2511 2642 2799 2899 2822 2785 2835 2960 3146 3129 2972 2938 3019 3089 3032 2953 2870 3121 3326 3452 3412 3293 3324 3574 3755 3737 3570 3442 3470 3577 3653 3578 3486 3470 3541 3608 3590 3600 3692 3789 3756 3633 3515 3574 3652 3670 3624 3511 3436 3547 3659 3639 3550 3558 3679 3898 3975 3907 3747 3775 3860 3970 3846 3651 3663 3833 4056 4086 4073 4014 4065 4216 4323 4324 4303 4302 4399 4444 4344 4243 4282 4451 4505 4570 4566 4526 4634 4733 4717 4675 4741 4825 4935 4857 4643 4515 4559 4709 4756 4590 4460 4410 4610 4785 4805 4712 4666 4749 4803 4791 4572 4440 4502 4662 4775 4759 4676 4574 4636 4652 4709 4690 4752 4877 4934 4911 4835 4802 4883 4961 4949 4894 4883 4985 5087 5147 5097 5151 5240 5462 5523 5420 5280 5202 5311 5396 5393 5272 5216 5324 5499 5528 5474 5410 5520 5581 5696 5600 5496 5519 5593 5690 5679 5530 5377 5321 5341 5266 5196 5178 5318 5458 5501 5436 5299 5360 5366 5353 5244 5150 5248 5469 5578 5477 5329 5266 5473 5753 5807 5716 5581 5580 5699 5775 5658 5454 5527 5639 5791 5789 5658 5554 5676 5870 5981 6031 6055 6091 6190 6210 6130 6005 5850 5884 5900 5845 5792 5863 5966 6010 6024 5902 5961 5993 6080 5938 5836 5793 5938 6069 6068 5865 5662 5605 5744 5883 5850 5782 5810 5871 5951 5878 5728 5621 5645 5838 5885 5755 5661 5645 5719 5828 5879 6011 6142 6267 6268 6231 6142 6184 6227 6251 6196 6015 5988 6178 6300 6227 6116 6045 6109 6312 6366 6358 6292 6284 6418 6470 6340 6135 6101 6164 6291 6288 6218 6067 6081 6184 6348 6283 6142 6093 6071 6088 6033 6005 5962 5952 5918 5857 5782 5839 5996 6152 6158 6087 5982 6044 6130 6152 6003 5968 6059 6196 6171 6038 5874 5942 6152 6341 6336 6250 6194 6292 6476 6603 6498 6348 6234 6352 6410 6375 6299 6310 6411 6405 6362 6304 6308 6490 6622 6643 6479 6340 6310 6347 6329 6247 6173 6224 6365 6345 6189 6067 6089 6213 6334 6297 6150 6087 6181 6329 6261 6053 5881 5870 5995 6114 6080 5989 5979 6114 6257 6298 6263 6234 6228 6206 6203 6094 6108 6209 6362 6382 6332 6270 6332 6425 6518 6547 6580 6671 6674 6599 6422 6311 6355 6530 6632 6547 6231 6139 6184 6388 6520 6529 6443 6438 6509 6496 6395 6213 6257 6290 6349 6272 6146 6103 6191 6375 6373 6249 6153 6101 6161 6199 6116 6087 6090 6132 6088 5986 5896 5918 6118 6230 6171 6103 6139 6377 6615 6611 6440 6254 6291 6400 6479 6360 6206 6179 6296 6415 6355 6329 6324 6512 6732 6759 6565 6419 6347 6486 6616 6572 6498 6422 6353 6255 6228 6214 6293 6343 6378 6284 6128 6128 6211 6325 6248 6076 5964 5971 6061 6128 6131 6065 6229 6328 6355 6243 6099 6123 6295 6389 6302 6050 5908 5939 6102 6140 6036 5967 6045 6172 6347 6393 6371 6326 6426 6512 6513 6421 6475 6520 6596 6501 6284 6223 6377 6482 6562 6498 6367 6437 6506 6467 6336 6200 6194 6326 6410 6327 6116 6103 6273 6405 6414 6228 6080 6110 6280 6386 6335 6153 6091 6009 6019 5998 5957 5956 6009 6080 6031 5957 5984 6118 6304 6370 6316 6318 6344 6360 6308 6181 6119 6187 6313 6307 6175 6117 6224 6513 6652 6563 6370 6290 6388 6598 6741 6625 6432 6351 6508 6484 6406 6323 6355 6524 6581 6578 6435 6329 6407 6475 6476 6315 6168 6173 6215 6187 6108 6088 6099 6289 6256 6219 6175 6247 6431 6479 6305 6048 5917 6057 6282 6300 6185 6066 6077 6176 6276 6186 6153 6199 6422 6561 6511 6381 6293 6315 6442 6407 6308 6207 6236 6394 6520 6548 6590 6629 6713 6647 6646 6564 6616 6681 6707 6561 6430 6457 6567 6565 6499 6330 6297 6431 6572 6600 6392 6220 6218 6358 6450 6367 6247 6155 6199 6231 6169 6079 6073 6249 6528 6624 6516 6350 6265 6277 6268 6219 6132 6204 6301 6328 6270 6222 6297 6517 6613 6590 6442 6510 6641 6771 6764 6669 6580 6560 6653 6627 6468 6344 6398 6643 6746 6712 6611 6574 6681 6863 6888 6702 6565 6591 6695 6710 6595 6460 6472 6602 6674 6646 6519 6519 6470 6431 6364 6210 6285 6388 6455 6381 6174 6081 6179 6320 6326 6351 6336 6479 6670 6729 6589 6471 6481 6622 6776 6654 6405 6272 6346 6549 6634 6624 6535 6566 6708 6821 6764 6699 6733 6881 6964 6946 6816 6670 6718 6865 6919 6837 6740 6767 6802 6791 6737 6700 6766 6901 6884 6685 6496 6454 6543 6620 6554 6477 6494 6656 6860 6818 6626 6585 6608 6842 6851 6629 6430 6345 6437 6524 6521 6419 6440 6457 6590 6674 6651 6756 6888 6993 6975 6868 6787 6839 6912 6948 6857 6811 6858 6963 6969 6836 6835 6927 7110 7227 7143 6980 6917 7005 7155 7065 6893 6750 6852 7084 7233 7151 6958 6902 7070 7167 7140 6936 6824 6846 6909 6867 6732 6618 6592 6690 6720 6675 6603 6651 6761 6882 6807 6760 6779 6897 6917 6805 6671 6644 6792 6882 6830 6703 6653 6845 7108 7237 7140 7002 6979 7084 7205 7088 6970 6953 7105 7214 7289 7103 6975 7057 7175 7216 7188 7142 7202 7314 7318 7243 7125 7059 7109 7093 6976 6949 6989 7113 7230 7129 7030 6981 7151 7243 7189 6959 6817 6855 6898 6978 6789 6589 6637 6831 6991 7019 6861 6811 6901 7009 7048 6937 6874 6936 7072 7145 7030 6854 6725 6838 6980 7055 7161 7242 7354 7407 7299 7283 7317 7422 7512 7396 7201 7137 7231 7435 7466 7287 7137 7149 7272 7384 7292 7248 7255 7298 7382 7214 7015 6904 7089 7255 7310 7151 6983 6986 7151 7277 7267 7138 7102 7200 7194 7125 6950 6860 6888 6891 6836 6726 6797 7029 7196 7209 7074 6976 7052 7230 7363 7336 7208 7168 7333 7328 7177 6990 6992 7212 7429 7505 7317 7129 7261 7485 7601 7506 7338 7280 7316 7405 7398 7264 7243 7314 7419 7385 7311 7295 7334 7441 7452 7305 7130 7031 7062 7057 6942 6876 6925 7042 7060 6967 6899 6887 7089 7194 7194 7072 7037 7193 7252 7172 6943 6700 6768 6971 7109 7057 6960 6940 7042 7124 7173 7121 7206 7298 7384 7344 7198 7083 7178 7356 7438 7405 7308 7367 7408 7407 7359 7286 7395 7457 7379 7198 6996 7055 7249 7360 7265 7016 6838 6868 7145 7295 7263 7218 7202 7321 7331 7177 6971 6915 7007 7053 7046 6840 6716 6819 7051 7095 7031 6914 6904 7026 7141 7118 6996 7013 6999 6991 6930 6904 7002 7122 7152 7059 6929 6949 7183 7429 7512 7352 7187 7153 7277 7332 7187 7056 7047 7212 7326 7297 7207 7181 7411 7534 7561 7342 7089 6990 7128 7222 7180 7094 7034 6966 6901 6837 6786 6895 7002 7099 7001 6862 6882 7000 7108 7052 6850 6760 6778 6869 6850 6741 6675 6792 7006 7098 7044 6882 6845 7021 7169 7121 6888 6727 6790 6976 7110 7030 6943 6865 7000 7063 7116 7043 7075 7158 7258 7257 7245 7168 7214 7201 7068 6919 6891 6999 7181 7246 7103 7031 7085 7209 7172 6999 6873 6835 6965 6988 6767 6616 6546 6734 6914 6944 6786 6643 6664 6847 7028 6957 6797 6758 6776 6796 6638 6605 6629 6748 6821 6722 6603 6551 6789 6988 7125 7073 6929 6970 6997 6961 6831 6749 6849 7054 7141 7049 6871 6878 7094 7246 7199 7010 6819 6946 7093 7204 7009 6831 6735 6809 6948 6908 6791 6807 6884 7058 6968 6914 6807 6873 6929 6894 6720 6591 6519 6630 6590 6477 6418 6479 6554 6568 6550 6544 6662 6816 6888 6770 6581 6478 6596 6788 6810 6699 6620 6602 6717 6738 6645 6575 6670 6872 6972 6915 6726 6596 6685 6817 6824 6743 6754 6792 6915 6964 6915 6849 6961 7067 7064 6989 6864 6882 6861 6838 6640 6464 6436 6557 6677 6631 6456 6388 6569 6728 6752 6584 6431 6468 6630 6731 6560 6373 6343 6447 6564 6432 6275 6255 6335 6622 6713 6606 6548 6491 6585 6602 6597 6501 6517 6621 6731 6653 6596 6599 6768 6855 6895 6764 6803 6859 6924 6797 6611 6512 6619 6672 6769 6638 6550 6555 6706 6793 6738 6538 6632 6858 7023 7001 6801 6511 6471 6576 6615 6475 6336 6267 6355 6495 6462 6405 6370 6459 6469 6380 6333 6311 6401 6483 6398 6274 6221 6273 6454 6485 6427 6363 6539 6717 6841 6731 6511 6474 6672 6800 6796 6543 6478 6530 6695 6760 6645 6648 6740 6938 7014 6988 6815 6690 6720 6776 6761 6621 6563 6615 6656 6703 6604 6594 6658 6711 6680 6536 6596 6745 6855 6770 6566 6365 6266 6376 6463 6378 6218 6297 6452 6546 6477 6372 6265 6441 6690 6725 6551 6328 6276 6413 6529 6517 6441 6458 6551 6629 6641 6582 6613 6731 6829 6795 6715 6637 6713 6905 6862 6810 6700 6726 6844 6866 6789 6746 6797 7006 7152 7026 6827 6651 6728 6858 6797 6614 6468 6539 6704 6788 6718 6533 6525 6649 6863 6896 6752 6690 6681 6651 6636 6514 6430 6474 6542 6573 6422 6384 6403 6550 6650 6630 6655 6693 6764 6786 6693 6617 6670 6809 6961 6894 6700 6640 6866 7157 7250 7169 6976 6962 7029 7034 6961 6841 6825 6915 7073 7022 6888 6807 6911 7056 7127 7118 7049 7066 7172 7179 7023 6889 6843 6875 6927 6819 6694 6589 6657 6690 6720 6678 6764 6935 7033 6988 6741 6572 6634 6810 6923 6836 6671 6592 6745 6918 6904 6848 6805 6889 6980 6925 6799 6817 6927 7122 7190 7109 6983 6906 7005 7128 7180 7268 7365 7486 7462 7422 7261 7290 7386 7391 7278 7043 6968 6970 7121 7134 7037 6934 7006 7193 7317 7188 7033 7009 7148 7182 7101 6885 6853 6990 7138 7142 6935 6701 6638 6845 7051 7116 7036 7035 7016 7065 6997 6869 6830 6882 6947 6958 6891 6913 7040 7267 7309 7220 7095 7167 7292 7303 7240 7190 7197 7281 7349 7252 7110 7105 7280 7511 7497 7399 7243 7326 7488 7628 7543 7373 7245 7276 7302 7254 7146 7060 7036 7112 7126 7109 7122 7299 7333 7263 7083 6933 6900 7028 7094 7043 6962 6980 7006 6981 6862 6802 6910 7103 7269 7165 6992 6982 7098 7270 7286 7050 6895 6923 7103 7301 7269 7111 7124 7207 7385 7457 7369 7354 7456 7506 7408 7274 7207 7233 7381 7452 7391 7311 7328 7383 7503 7487 7385 7414 7522 7514 7333 7177 7119 7239 7338 7242 6954 6782 6850 7103 7295 7301 7183 7121 7184 7248 7171 7049 7020 7137 7229 7143 7024 6893 6939 7095 7190 7222 7130 7177 7244 7302 7220 7181 7158 7193 7221 7170 7138 7258 7410 7519 7435 7247 7251 7508 7757 7800 7665 7482 7412 7515 7540 7435 7299 7247 7352 7437 7351 7252 7235 7389 7577 7672 7524 7297 7232 7288 7367 7322 7194 7134 7044 6996 6948 6909 7013 7172 7220 7171 7004 6965 7067 7150 7195 7160 7050 7103 7205 7191 7104 7003 7091 7263 7462 7471 7346 7341 7411 7430 7322 7144 7100 7156 7329 7348 7281 7154 7187 7341 7511 7571 7559 7545 7517 7526 7451 7419 7472 7530 7538 7373 7171 7045 7169 7292 7320 7186 7102 7087 7208 7238 7148 7047 7103 7182 7223 7034 6780 6731 6881 7141 7199 7100
+47 2 -47 -33 -36 -15 -11 43 140 223 162 64 -5 82 217 367 384 269 217 261 364 475 473 383 337 343 325 308 278 293 400 485 537 481 358 314 320 376 417 477 505 543 631 710 783 843 815 794 766 790 918 983 1049 1045 1044 1041 1013 1031 1066 1036 995 1022 1071 1092 1121 1128 1127 1160 1104 1065 986 970 987 1010 1061 1038 1007 994 986 1043 1086 1094 1086 995 954 952 1038 1172 1289 1284 1228 1165 1232 1284 1311 1287 1296 1362 1451 1544 1519 1475 1416 1439 1522 1522 1431 1400 1401 1536 1579 1582 1529 1513 1535 1615 1678 1692 1651 1564 1616 1628 1712 1783 1818 1798 1761 1698 1686 1722 1775 1845 1802 1792 1777 1801 1786 1719 1740 1753 1728 1753 1694 1607 1571 1620 1681 1734 1703 1614 1558 1626 1686 1759 1724 1664 1705 1748 1803 1844 1891 1925 1962 1989 2021 2044 2061 2091 2104 2166 2177 2201 2199 2229 2156 2212 2228 2233 2230 2147 2127 2153 2203 2257 2244 2141 2077 2048 2099 2158 2150 2111 2020 2019 2039 2109 2205 2219 2240 2238 2230 2188 2152 2101 2136 2178 2232 2287 2274 2199 2157 2129 2209 2230 2219 2165 2100 2127 2189 2288 2292 2225 2175 2192 2229 2298 2304 2241 2275 2355 2403 2456 2465 2417 2457 2488 2546 2514 2486 2481 2518 2581 2688 2710 2735 2707 2711 2674 2654 2615 2617 2617 2595 2603 2624 2664 2614 2554 2442 2342 2300 2306 2347 2379 2355 2334 2329 2388 2357 2344 2288 2249 2364 2445 2488 2483 2469 2506 2592 2671 2661 2641 2623 2636 2681 2738 2681 2599 2622 2635 2741 2827 2837 2726 2658 2631 2659 2729 2755 2771 2796 2826 2847 2830 2747 2702 2678 2712 2746 2798 2801 2764 2800 2805 2871 2869 2866 2822 2775 2766 2845 2887 2912 2867 2795 2708 2747 2783 2787 2784 2759 2654 2631 2620 2639 2629 2602 2663 2695 2673 2651 2596 2578 2599 2697 2788 2843 2852 2885 2927 2969 3026 2987 2940 2927 2931 3020 3120 3190 3150 3075 3044 3031 3079 3099 3138 3133 3109 3074 3057 3011 3002 2997 3028 3035 3037 3016 2865 2819 2784 2866 2926 2904 2881 2843 2799 2839 2899 2873 2845 2754 2774 2792 2883 2984 3010 2995 2966 2956 2964 2951 2927 2922 2972 2973 3020 2968 2950 2924 2946 2995 3008 2975 2929 2928 2935 3024 3127 3122 3078 3016 3065 3162 3176 3168 3132 3131 3138 3179 3255 3281 3316 3315 3294 3276 3203 3160 3141 3137 3172 3167 3169 3155 3109 3049 3001 2976 2971 2975 2982 2952 2914 2937 2990 3017 2957 2877 2809 2890 2974 3041 3018 2933 2956 2999 3113 3178 3208 3135 3091 3110 3122 3147 3146 3199 3245 3309 3281 3257 3180 3182 3222 3287 3330 3262 3194 3168 3208 3256 3309 3284 3252 3127 3122 3143 3204 3285 3293 3240 3226 3206 3243 3286 3236 3239 3209 3224 3248 3230 3215 3187 3226 3258 3210 3172 3069 3055 3062 3087 3140 3112 3051 2973 2958 2985 3020 3118 3120 3049 2992 3013 3109 3219 3261 3244 3146 3124 3161 3255 3305 3316 3321 3357 3392 3362 3327 3293 3315 3481 3553 3524 3441 3310 3353 3461 3482 3461 3360 3249 3185 3201 3243 3223 3181 3139 3112 3079 3075 3080 3096 3068 3020 2998 3021 3115 3196 3212 3192 3213 3228 3235 3205 3160 3148 3223 3305 3301 3247 3180 3123 3190 3267 3229 3161 3032 3047 3137 3265 3336 3293 3254 3229 3213 3213 3223 3220 3299 3338 3330 3293 3300 3272 3323 3387 3343 3339 3332 3330 3297 3327 3308 3352 3417 3413 3334 3283 3290 3320 3331 3272 3177 3150 3231 3306 3324 3226 3064 2925 2890 2944 3007 3030 3031 3036 3048 3054 3037 3014 3080 3140 3156 3205 3196 3197 3190 3245 3293 3366 3367 3328 3249 3242 3295 3417 3494 3492 3399 3317 3295 3381 3496 3467 3386 3283 3290 3290 3293 3264 3269 3322 3361 3375 3265 3147 3114 3171 3236 3222 3184 3165 3181 3248 3261 3275 3235 3231 3195 3194 3227 3281 3332 3360 3293 3175 3068 3106 3222 3278 3277 3185 3103 3138 3155 3149 3104 3126 3184 3300 3306 3237 3143 3130 3237 3357 3396 3340 3311 3339 3432 3502 3499 3479 3464 3436 3507 3530 3513 3508 3509 3460 3428 3387 3394 3397 3384 3326 3285 3285 3284 3301 3240 3178 3172 3211 3256 3235 3145 3089 3122 3177 3206 3157 3072 3105 3247 3357 3355 3272 3180 3130 3184 3221 3220 3301 3310 3338 3333 3305 3277 3325 3324 3367 3352 3383 3433 3462 3430 3403 3331 3350 3381 3395 3332 3273 3238 3332 3424 3403 3354 3315 3382 3534 3576 3497 3374 3320 3372 3485 3562 3546 3504 3496 3480 3473 3402 3357 3318 3330 3313 3234 3198 3184 3215 3244 3199 3141 3132 3143 3090 3118 3096 3199 3297 3338 3259 3186 3130 3232 3377 3393 3300 3251 3271 3387 3501 3504 3460 3430 3507 3595 3581 3551 3441 3492 3577 3647 3606 3521 3460 3473 3506 3468 3406 3319 3348 3310 3311 3291 3333 3353 3339 3261 3191 3188 3263 3358 3354 3320 3256 3284 3395 3446 3420 3401 3372 3443 3429 3350 3243 3189 3252 3370 3403 3348 3269 3192 3235 3296 3276 3263 3247 3261 3301 3312 3331 3389 3403 3385 3352 3310 3343 3379 3422 3377 3401 3425 3512 3595 3566 3507 3473 3545 3608 3591 3503 3419 3465 3594 3684 3637 3544 3443 3476 3509 3489 3343 3245 3265 3327 3353 3320 3255 3223 3185 3156 3103 3053 3035 3122 3254 3277 3219 3199 3253 3287 3345 3271 3259 3320 3406 3437 3391 3339 3431 3572 3641 3559 3446 3392 3443 3537 3498 3415 3343 3440 3561 3649 3580 3432 3346 3366 3378 3391 3383 3439 3510 3570 3564 3478 3401 3385 3382 3398 3419 3451 3475 3510 3530 3471 3463 3527 3523 3427 3305 3235 3255 3346 3443 3366 3240 3213 3221 3297 3311 3239 3151 3162 3224 3264 3198 3191 3244 3365 3450 3378 3265 3166 3231 3315 3390 3476 3500 3537 3592 3607 3593 3574 3588 3573 3540 3500 3548 3642 3738 3714 3568 3438 3398 3464 3526 3494 3417 3435 3403 3444 3395 3335 3262 3365 3491 3494 3372 3214 3162 3258 3390 3446 3386 3358 3328 3347 3334 3286 3268 3312 3327 3290 3259 3304 3426 3470 3455 3386 3298 3283 3389 3432 3413 3346 3361 3454 3468 3394 3266 3207 3326 3487 3531 3435 3308 3365 3538 3629 3576 3445 3407 3517 3665 3743 3665 3573 3529 3575 3598 3591 3572 3576 3601 3563 3501 3358 3295 3295 3331 3294 3254 3238 3266 3243 3144 3125 3132 3203 3290 3261 3181 3148 3233 3337 3361 3311 3195 3217 3331 3436 3448 3377 3335 3370 3407 3462 3492 3521 3544 3589 3581 3526 3464 3497 3553 3584 3552 3495 3522 3529 3523 3484 3446 3458 3496 3425 3336 3282 3346 3500 3607 3524 3362 3271 3296 3482 3567 3543 3459 3419 3473 3530 3529 3469 3431 3479 3513 3466 3317 3209 3247 3355 3398 3384 3316 3283 3318 3332 3289 3238 3238 3239 3241 3250 3265 3359 3447 3487 3363 3239 3239 3347 3504 3629 3597 3506 3510 3599 3662 3601 3568 3561 3673 3692 3650 3533 3508 3632 3774 3796 3690 3528 3397 3461 3491 3469 3413 3364 3321 3303 3286 3273 3299 3325 3326 3256 3170 3165 3276 3357 3382 3323 3283 3330 3405 3423 3362 3299 3347 3431 3494 3465 3365 3347 3458 3553 3522 3407 3305 3331 3409 3497 3465 3366 3335 3363 3387 3471 3453 3444 3462 3441 3439 3408 3446 3536 3589 3572 3552 3515 3522 3557 3553 3517 3523 3586 3612 3574 3446 3415 3429 3528 3550 3423 3298 3268 3364 3445 3461 3302 3189 3209 3302 3380 3326 3221 3195 3211 3201 3168 3181 3217 3313 3359 3307 3243 3216 3315 3423 3475 3463 3421 3470 3526 3534 3504 3510 3597 3646 3661 3533 3389 3442 3572 3724 3673 3524 3352 3387 3510 3598 3490 3407 3367 3390 3401 3377 3311 3335 3418 3566 3547 3450 3329 3318 3383 3436 3461 3428 3401 3432 3355 3356 3335 3368 3367 3333 3277 3275 3379 3464 3462 3354 3239 3222 3275 3393 3383 3312 3249 3279 3339 3346 3288 3272 3316 3428 3519 3488 3394 3344 3432 3537 3595 3542 3589 3580 3614 3652 3643 3655 3668 3680 3614 3537 3500 3555 3579 3542 3396 3274 3246 3306 3328 3312 3232 3211 3292 3368 3321 3191 3126 3178 3328 3367 3286 3189 3195 3284 3384 3334 3266 3205 3244 3389 3461 3407 3379 3345 3350 3358 3364 3370 3411 3496 3469 3425 3373 3401 3475 3465 3421 3370 3410 3463 3482 3379 3311 3269 3381 3442 3487 3362 3307 3324 3406 3478 3445 3314 3374 3504 3622 3610 3533 3380 3362 3381 3418 3391 3356 3356 3387 3394 3336 3236 3180 3199 3224 3159 3127 3109 3177 3201 3164 3151 3134 3175 3179 3147 3091 3118 3261 3399 3463 3370 3289 3288 3434 3553 3568 3481 3447 3463 3528 3565 3563 3587 3618 3692 3673 3619 3518 3438 3406 3455 3479 3460 3452 3439 3395 3326 3280 3307 3317 3298 3260 3208 3309 3464 3503 3427 3291 3206 3241 3328 3383 3300 3242 3300 3421 3459 3400 3358 3342 3405 3449 3410 3278 3202 3247 3389 3438 3401 3339 3302 3285 3297 3292 3277 3320 3368 3407 3388 3391 3412 3508 3587 3508 3441 3384 3449 3564 3596 3547 3581 3629 3706 3701 3622 3463 3441 3547 3626 3572 3459 3396 3427 3512 3525 3439 3288 3273 3316 3356 3364 3284 3269 3296 3274 3286 3271 3252 3253 3222 3197 3141 3168 3221 3355 3352 3335 3351 3417 3411 3412 3402 3422 3505 3596 3595 3557 3451 3463 3600 3680 3622 3565 3455 3463 3573 3550 3502 3422 3421 3431 3525 3540 3485 3468 3456 3510 3493 3520 3529 3582 3607 3615 3535 3518 3514 3484 3475 3453 3421 3443 3476 3406 3301 3293 3331 3456 3490 3417 3229 3177 3251 3338 3357 3311 3229 3215 3261 3343 3339 3308 3306 3311 3351 3330 3288 3375 3478 3599 3606 3604 3549 3500 3560 3580 3622 3667 3717 3775 3704 3670 3636 3709 3745 3652 3539 3406 3449 3525 3602 3551 3425 3360 3402 3455 3480 3380 3363 3358 3419 3416 3354 3235 3297 3417 3538 3521 3385 3274 3214 3284 3375 3443 3449 3459 3437 3427 3388 3378 3420 3442 3430 3347 3330 3399 3489 3560 3534 3448 3394 3444 3426 3415 3390 3382 3438 3489 3511 3468 3402 3464 3553 3647 3610 3598 3513 3601 3640 3751 3721 3682 3640 3675 3672 3677 3659 3596 3508 3458 3425 3471 3526 3604 3540 3404 3296 3237 3225 3252 3234 3225 3243 3302 3312 3210 3111 3124 3253 3347 3401 3335 3288 3315 3421 3517 3523 3466 3432 3468 3563 3619 3611 3541 3564 3575 3582 3583 3610 3637 3700 3697 3614 3475 3456 3483 3506 3553 3520 3513 3551 3530 3512 3500 3458 3514 3499 3457 3343 3348 3438 3555 3582 3521 3367 3289 3363 3460 3512 3495 3441 3406 3417 3419 3432 3395 3448 3480 3448 3356 3266 3213 3246 3301 3347 3391 3377 3362 3310 3286 3254 3300 3351 3347 3339 3340 3401 3537 3631 3629 3568 3426 3463 3597 3697 3730 3705 3695 3698 3701 3667 3598 3577 3608 3619 3637 3509 3464 3425 3496 3592 3628 3588 3481 3389 3338 3347 3335 3334 3318 3277 3222 3273 3321 3357 3370 3304 3209 3170 3270 3343 3394 3419 3464 3480 3516 3526 3437 3373 3364 3445 3486 3517 3487 3474 3499 3543 3555 3446 3388 3362 3387 3433 3459 3494 3471 3468 3471 3512 3544 3618 3656 3610 3524 3471 3510 3598 3698 3704 3615 3568 3540 3541 3504 3421 3400 3448 3500 3545 3466 3345 3332 3378 3420 3386 3288 3149 3188 3263 3368 3378 3313
+-162 -161 -34 58 90 28 29 93 234 298 212 22 -26 117 204 231 210 149 205 340 383 363 284 240 231 250 237 181 193 255 293 318 347 354 294 149 80 72 195 331 383 365 287 283 305 392 290 149 84 173 317 400 408 394 387 422 428 376 356 346 387 415 430 384 376 459 523 548 463 420 339 366 378 414 491 511 535 499 389 376 378 484 484 384 267 247 409 567 657 574 494 482 559 564 479 326 406 531 696 750 606 504 449 558 641 644 552 506 524 548 548 494 499 513 469 418 393 404 449 431 440 393 502 646 753 682 578 468 506 556 597 600 588 640 731 747 658 542 594 738 817 808 659 522 512 592 684 643 561 448 437 523 554 615 644 674 686 659 595 607 642 709 696 644 669 714 764 742 684 704 809 905 865 744 586 638 725 801 717 541 475 533 627 696 630 526 545 592 657 713 674 696 655 663 601 614 721 772 822 810 793 738 810 783 789 758 789 841 869 799 710 652 784 885 834 666 500 517 695 826 784 616 555 638 743 791 713 539 575 708 726 732 684 673 742 827 825 773 718 737 825 822 886 912 943 933 874 802 768 809 846 783 640 609 720 935 1000 974 798 670 729 765 761 717 654 684 769 844 800 729 778 815 884 858 776 746 796 889 927 931 882 868 869 850 845 925 920 874 872 840 938 1078 1135 1038 882 755 766 859 883 818 707 727 876 991 980 912 812 839 912 923 839 777 830 946 1066 1077 1011 958 895 933 986 1011 1043 1086 1115 1068 1079 1080 1144 1173 1091 901 852 911 1025 1095 1004 1027 1070 1140 1133 1029 908 854 969 1045 994 914 907 1030 1147 1203 1083 928 924 1066 1214 1267 1234 1179 1150 1201 1173 1198 1184 1195 1186 1146 1051 1047 1113 1209 1196 1194 1141 1147 1165 1073 979 871 929 1090 1168 1131 1076 1008 1112 1237 1246 1165 1033 1094 1186 1310 1327 1269 1224 1290 1331 1330 1242 1172 1196 1202 1257 1287 1252 1276 1335 1293 1232 1174 1124 1129 1171 1145 1140 1225 1246 1253 1182 1176 1321 1398 1424 1257 1165 1176 1337 1534 1560 1440 1296 1259 1375 1380 1375 1332 1309 1364 1468 1456 1353 1321 1319 1378 1384 1338 1302 1312 1306 1274 1299 1322 1417 1476 1461 1421 1409 1446 1554 1548 1447 1356 1370 1509 1607 1579 1458 1375 1443 1543 1589 1513 1400 1421 1548 1588 1541 1378 1365 1383 1478 1489 1378 1315 1392 1509 1586 1596 1512 1494 1522 1544 1569 1577 1623 1592 1535 1498 1493 1593 1695 1719 1662 1555 1582 1726 1808 1778 1628 1555 1610 1730 1769 1665 1580 1564 1647 1783 1723 1619 1522 1576 1660 1711 1715 1673 1634 1623 1635 1641 1580 1619 1613 1588 1592 1598 1707 1785 1818 1793 1794 1776 1793 1761 1674 1630 1708 1812 1884 1869 1724 1723 1804 1897 1916 1780 1668 1671 1753 1858 1831 1741 1676 1665 1724 1728 1697 1624 1609 1685 1781 1835 1894 1878 1879 1840 1810 1797 1847 1867 1848 1779 1792 1919 2015 2096 2035 1917 1910 1970 1996 1934 1761 1691 1745 1889 2007 1913 1775 1688 1736 1759 1773 1687 1715 1804 1920 1930 1902 1787 1782 1847 1885 1844 1799 1794 1834 1954 2003 2044 2057 2065 2013 1919 1853 1877 1960 2013 1980 1934 1922 1987 2042 1983 1800 1688 1698 1793 1902 1858 1788 1804 1910 2060 2008 1859 1760 1755 1789 1890 1926 1876 1923 1984 2071 2098 2068 2013 1972 1977 1946 1938 1970 2066 2045 1989 1899 1905 1988 1970 1928 1838 1760 1832 1901 1945 1895 1909 1948 2019 1955 1821 1665 1678 1806 1962 2028 2008 1941 2006 2095 2163 2075 1943 1881 1910 1961 2009 2001 2026 2020 2014 1984 1961 1976 1989 1993 1931 1875 1950 1993 2056 1975 1891 1873 1924 1996 1960 1852 1800 1884 2103 2218 2132 1983 1900 1953 2048 2058 1961 1874 1816 1949 2032 2017 2008 1999 2021 2030 1940 1874 1871 1960 2008 1997 1967 1922 1933 1923 1929 1920 1975 2002 2003 1941 1884 1877 1990 2108 2110 1964 1839 1840 1925 2008 1974 1846 1820 1919 2033 2082 2071 1960 1948 1953 1990 1934 1907 1826 1897 1924 1949 1983 1914 1872 1881 1834 1884 1897 1892 1852 1806 1790 1837 1930 1908 1822 1744 1715 1842 1952 1992 1880 1797 1768 1903 2005 2034 1941 1906 1892 1970 1972 1876 1838 1901 1999 1984 1922 1787 1772 1845 1919 1929 1870 1806 1709 1713 1713 1756 1798 1834 1764 1699 1622 1679 1809 1834 1842 1780 1743 1835 1949 1968 1928 1842 1837 1906 1937 1896 1733 1671 1753 1904 1918 1838 1706 1638 1688 1772 1752 1631 1585 1507 1545 1549 1609 1601 1587 1572 1581 1614 1654 1746 1757 1741 1661 1642 1720 1805 1846 1764 1719 1718 1747 1779 1731 1679 1724 1859 1905 1860 1686 1610 1645 1690 1668 1528 1400 1318 1418 1582 1676 1684 1601 1505 1470 1493 1531 1529 1579 1560 1593 1578 1599 1651 1633 1624 1602 1663 1671 1661 1599 1578 1589 1674 1746 1699 1624 1490 1414 1492 1549 1496 1441 1405 1494 1569 1643 1610 1544 1413 1403 1413 1380 1353 1396 1462 1537 1514 1486 1501 1486 1559 1488 1444 1464 1505 1565 1583 1547 1597 1625 1590 1505 1383 1327 1419 1508 1532 1406 1273 1335 1491 1617 1632 1453 1282 1220 1287 1356 1380 1362 1346 1427 1481 1443 1358 1292 1284 1334 1413 1459 1423 1414 1471 1436 1435 1433 1397 1322 1250 1262 1309 1447 1524 1509 1440 1378 1374 1405 1415 1364 1239 1186 1254 1327 1345 1338 1323 1351 1391 1363 1295 1208 1210 1281 1330 1367 1287 1249 1244 1318 1362 1350 1273 1222 1196 1211 1322 1403 1463 1412 1320 1256 1230 1311 1334 1300 1164 1196 1203 1292 1338 1336 1228 1205 1288 1334 1286 1207 1130 1153 1271 1326 1312 1278 1217 1270 1309 1328 1325 1303 1304 1319 1360 1414 1449 1389 1326 1265 1209 1215 1253 1262 1222 1189 1211 1217 1256 1206 1178 1130 1190 1244 1258 1173 1076 1088 1238 1334 1293 1196 1137 1238 1392 1510 1491 1366 1255 1265 1344 1398 1345 1292 1244 1272 1327 1310 1314 1259 1241 1201 1195 1235 1255 1224 1157 1145 1159 1181 1244 1173 1129 1090 1123 1263 1327 1361 1333 1328 1383 1469 1476 1412 1328 1290 1335 1384 1424 1370 1328 1385 1360 1396 1333 1290 1240 1252 1248 1269 1288 1237 1260 1224 1211 1246 1244 1215 1182 1203 1250 1364 1424 1403 1344 1350 1400 1492 1504 1401 1284 1260 1341 1468 1560 1525 1493 1487 1536 1511 1467 1354 1320 1350 1373 1438 1471 1425 1406 1396 1353 1353 1334 1330 1269 1292 1342 1445 1535 1561 1454 1353 1296 1292 1319 1399 1383 1391 1460 1546 1604 1552 1490 1498 1560 1586 1541 1416 1361 1367 1532 1643 1668 1623 1500 1507 1481 1449 1448 1432 1425 1471 1550 1575 1587 1516 1465 1404 1397 1418 1473 1449 1475 1453 1527 1621 1715 1704 1648 1532 1532 1574 1605 1594 1556 1522 1643 1755 1751 1674 1556 1529 1527 1610 1592 1565 1555 1551 1557 1647 1647 1554 1518 1414 1389 1392 1442 1494 1580 1681 1739 1767 1745 1631 1536 1490 1573 1677 1705 1698 1598 1622 1705 1822 1857 1784 1698 1644 1697 1770 1791 1705 1592 1629 1680 1726 1680 1591 1512 1525 1560 1623 1678 1676 1708 1704 1746 1741 1708 1655 1607 1567 1597 1659 1775 1835 1800 1760 1801 1877 1906 1882 1716 1578 1622 1693 1821 1833 1790 1687 1695 1745 1786 1720 1673 1671 1681 1716 1751 1674 1715 1747 1862 1898 1820 1730 1677 1735 1866 1967 2008 1965 1942 1865 1927 1963 1998 1980 1863 1780 1783 1924 1991 1959 1824 1770 1768 1808 1872 1824 1776 1756 1828 1895 1883 1835 1776 1810 1888 1949 1999 1908 1848 1820 1898 1996 2042 2069 2009 1935 1943 1958 2025 2025 1979 1847 1819 1816 1877 1946 1942 1862 1835 1855 1929 1880 1855 1842 1849 1932 1931 1909 1829 1846 1945 2014 1975 1930 1857 1919 2032 2134 2161 2114 1979 1945 1980 2028 2059 2045 2019 1922 1866 1933 1976 2022 2074 2038 1980 1984 2001 2010 1924 1803 1828 1914 1981 1977 1891 1874 1890 2023 2098 2050 1909 1848 1889 1973 2058 2038 1911 1922 1937 2002 2060 2023 1979 1951 1960 2032 2084 2145 2170 2188 2128 2084 1991 1941 1904 1931 1947 1982 1986 2022 1940 1917 1984 2051 2049 1966 1813 1728 1775 1950 2067 2075 1964 1906 1952 2020 2075 2072 2057 2032 2091 2112 2151 2177 2127 2108 2115 2082 2072 2024 2023 1950 1993 2033 2116 2111 2065 1986 1903 1922 1926 1915 1816 1757 1804 1968 2115 2098 2004 1875 1861 1972 2055 2110 2051 1999 2056 2137 2180 2142 2126 2134 2130 2085 2007 1915 1929 2040 2190 2197 2154 2110 1985 1885 1860 1843 1923 1945 1913 1877 1848 1937 2038 2057 2016 1842 1799 1828 1962 2036 2035 1986 2023 2134 2128 2076 1977 1867 1910 2026 2063 2043 1953 1984 2027 2092 2063 1997 1859 1827 1852 1833 1848 1828 1796 1843 1849 1892 1969 1994 1938 1830 1765 1770 1852 1954 2025 1941 1889 1892 1935 1899 1822 1829 1865 1961 2019 1940 1864 1844 1904 1966 1947 1776 1651 1640 1723 1860 1855 1851 1774 1743 1722 1804 1854 1854 1830 1744 1703 1697 1790 1876 1891 1798 1813 1754 1824 1885 1825 1794 1805 1853 1915 1949 1814 1681 1686 1753 1818 1793 1701 1577 1635 1754 1800 1764 1718 1654 1690 1698 1725 1697 1704 1697 1667 1706 1719 1709 1737 1763 1746 1722 1751 1773 1752 1743 1696 1677 1708 1737 1700 1614 1556 1616 1724 1731 1582 1505 1511 1676 1818 1801 1675 1543 1496 1593 1645 1632 1561 1533 1514 1548 1594 1587 1543 1616 1647 1661 1661 1620 1636 1610 1560 1572 1614 1678 1644 1592 1533 1585 1681 1770 1783 1658 1533 1541 1602 1657 1607 1528 1462 1531 1612 1533 1442 1387 1387 1472 1503 1505 1464 1413 1466 1526 1542 1475 1487 1442 1510 1520 1553 1533 1555 1560 1637 1660 1699 1725 1659 1524 1451 1456 1557 1643 1638 1508 1406 1404 1469 1486 1415 1301 1267 1362 1465 1490 1357 1284 1329 1429 1478 1463 1417 1352 1406 1458 1454 1488 1508 1554 1588 1628 1565 1562 1496 1514 1498 1424 1434 1502 1520 1481 1436 1383 1356 1386 1373 1278 1260 1269 1346 1438 1360 1270 1235 1276 1357 1307 1203 1121 1205 1390 1541 1537 1480 1392 1401 1445 1486 1422 1367 1352 1371 1382 1391 1450 1429 1465 1405 1374 1344 1335 1307 1287 1248 1243 1374 1454 1407 1250 1110 1081 1184 1303 1280 1270 1250 1341 1460 1487 1384 1320 1253 1307 1362 1335 1279 1266 1391 1429 1449 1409 1364 1383 1422 1404 1383 1282 1291 1258 1283 1292 1337 1399 1398 1289 1199 1182 1264 1368 1371 1339 1248 1363 1479 1472 1400 1257 1121 1174 1323 1384 1382 1328 1385 1500 1560 1502 1350 1262 1280 1378 1385 1353 1320 1313 1371 1395 1408 1376 1376 1354 1320 1277 1292 1390 1442 1406 1317 1248 1258 1359 1387 1311 1203 1152 1294 1388 1430 1386 1368 1437 1515 1452 1332 1187 1234 1432 1544 1532 1396 1275 1303 1416 1470 1428 1371 1319 1352 1373 1372 1409 1403
+-221 -151 -55 -65 -153 -243 -188 -171 -69 -205 -428 -631 -614 -473 -527 -614 -751 -842 -842 -787 -834 -865 -960 -975 -1053 -1115 -1106 -1128 -1004 -876 -1007 -1102 -1144 -1159 -1052 -1078 -1119 -1108 -967 -892 -873 -920 -1024 -1027 -1047 -967 -1130 -1310 -1400 -1174 -1028 -916 -913 -1067 -1182 -1191 -1096 -1115 -1091 -1107 -1109 -1215 -1222 -1326 -1269 -1211 -1181 -1273 -1443 -1527 -1598 -1531 -1536 -1482 -1482 -1540 -1661 -1781 -1902 -1912 -1947 -1857 -1958 -2071 -2166 -2152 -1990 -1962 -1954 -2147 -2181 -2179 -2110 -2103 -2129 -2237 -2184 -2111 -2007 -1981 -2061 -2091 -2130 -2050 -2039 -2012 -1954 -1908 -1840 -1888 -1981 -2059 -2069 -2013 -2122 -2170 -2214 -2196 -2177 -2189 -2226 -2267 -2148 -2001 -1933 -2145 -2350 -2464 -2390 -2330 -2254 -2373 -2434 -2429 -2428 -2470 -2596 -2672 -2627 -2481 -2465 -2580 -2736 -2838 -2831 -2769 -2753 -2946 -3090 -3193 -3173 -3050 -2999 -2916 -2890 -2825 -2868 -2881 -2913 -2887 -2918 -2871 -2948 -3011 -2902 -2762 -2641 -2670 -2783 -2776 -2677 -2559 -2609 -2691 -2835 -2819 -2729 -2636 -2763 -2866 -2942 -2882 -2885 -2905 -2996 -3102 -3018 -2907 -2859 -2870 -2955 -3039 -3047 -3060 -3171 -3193 -3187 -3206 -3229 -3251 -3231 -3267 -3131 -3219 -3318 -3468 -3522 -3547 -3460 -3467 -3494 -3550 -3486 -3436 -3480 -3573 -3672 -3705 -3582 -3492 -3566 -3684 -3644 -3456 -3315 -3244 -3348 -3507 -3520 -3438 -3397 -3320 -3344 -3331 -3300 -3238 -3225 -3174 -3196 -3189 -3201 -3298 -3301 -3244 -3197 -3235 -3341 -3426 -3450 -3396 -3406 -3555 -3730 -3815 -3709 -3551 -3493 -3503 -3614 -3641 -3562 -3581 -3749 -3875 -3951 -3890 -3789 -3759 -3853 -3971 -3850 -3745 -3746 -3826 -3964 -4014 -4008 -3953 -3979 -3950 -3965 -3886 -3838 -3915 -3962 -3902 -3794 -3743 -3689 -3684 -3670 -3563 -3514 -3451 -3518 -3566 -3545 -3543 -3560 -3697 -3852 -3819 -3639 -3391 -3328 -3417 -3550 -3608 -3530 -3515 -3644 -3715 -3829 -3774 -3658 -3636 -3670 -3677 -3810 -3864 -3891 -3938 -3934 -3898 -3805 -3798 -3826 -3892 -3858 -3842 -3859 -4002 -4040 -4085 -4008 -3984 -3987 -3922 -3829 -3706 -3748 -3829 -3950 -3991 -3864 -3810 -3899 -4003 -4081 -3934 -3786 -3644 -3654 -3722 -3708 -3581 -3515 -3513 -3528 -3528 -3449 -3349 -3407 -3441 -3486 -3439 -3427 -3456 -3505 -3555 -3571 -3505 -3556 -3535 -3543 -3550 -3581 -3713 -3898 -4060 -3962 -3821 -3747 -3799 -3910 -4060 -3964 -3823 -3728 -3789 -3927 -3923 -3955 -3931 -3947 -3931 -3927 -3842 -3815 -3842 -3901 -3972 -3875 -3892 -3827 -3805 -3863 -3841 -3731 -3671 -3696 -3679 -3744 -3727 -3671 -3709 -3691 -3617 -3530 -3484 -3558 -3575 -3440 -3270 -3176 -3337 -3450 -3517 -3438 -3225 -3182 -3296 -3477 -3521 -3443 -3433 -3497 -3565 -3569 -3512 -3392 -3475 -3706 -3813 -3810 -3651 -3545 -3566 -3707 -3862 -3967 -3989 -3897 -3830 -3729 -3620 -3607 -3637 -3721 -3714 -3552 -3514 -3539 -3668 -3760 -3769 -3639 -3507 -3492 -3481 -3453 -3461 -3398 -3426 -3482 -3433 -3280 -3251 -3301 -3438 -3434 -3410 -3239 -3231 -3274 -3337 -3313 -3241 -3095 -3033 -3035 -3056 -3021 -3090 -3093 -3088 -3023 -3101 -3197 -3330 -3392 -3338 -3245 -3151 -3217 -3341 -3371 -3334 -3318 -3397 -3543 -3593 -3511 -3363 -3400 -3492 -3584 -3557 -3422 -3208 -3311 -3477 -3594 -3527 -3349 -3206 -3136 -3172 -3241 -3282 -3297 -3288 -3324 -3219 -3198 -3153 -3168 -3211 -3141 -2961 -2797 -2736 -2756 -2914 -2934 -2985 -2961 -2908 -2852 -2812 -2829 -2804 -2903 -2909 -2855 -2732 -2704 -2834 -2987 -3020 -2942 -2768 -2698 -2793 -2972 -3127 -3135 -3143 -3136 -3159 -3179 -3135 -3104 -3089 -3095 -3157 -3149 -3180 -3240 -3329 -3356 -3327 -3286 -3240 -3191 -3132 -3046 -2949 -2979 -3010 -2937 -2868 -2791 -2832 -2997 -3048 -3036 -2830 -2593 -2642 -2816 -3007 -2989 -2906 -2786 -2754 -2729 -2699 -2636 -2661 -2644 -2695 -2680 -2626 -2583 -2679 -2812 -2848 -2752 -2570 -2398 -2385 -2553 -2696 -2838 -2875 -2884 -2817 -2753 -2765 -2884 -2981 -3024 -3035 -2917 -2958 -3102 -3212 -3270 -3193 -3070 -3052 -3073 -3064 -3025 -2893 -2988 -3108 -3151 -3131 -3120 -3020 -2993 -3034 -2918 -2821 -2618 -2601 -2671 -2736 -2772 -2699 -2663 -2684 -2607 -2552 -2501 -2553 -2658 -2666 -2613 -2519 -2530 -2651 -2813 -2738 -2588 -2413 -2432 -2525 -2628 -2666 -2652 -2741 -2870 -2903 -2817 -2673 -2612 -2687 -2808 -2753 -2669 -2589 -2726 -3018 -3201 -3203 -3058 -2937 -2990 -3066 -3158 -3163 -3039 -3017 -3055 -3094 -3110 -3123 -3122 -3061 -2936 -2768 -2788 -2848 -2957 -3019 -2981 -2874 -2825 -2751 -2630 -2492 -2421 -2498 -2605 -2627 -2630 -2553 -2551 -2662 -2813 -2840 -2694 -2563 -2522 -2528 -2612 -2647 -2656 -2716 -2747 -2774 -2680 -2643 -2680 -2745 -2794 -2790 -2804 -2792 -2905 -2952 -2993 -2952 -2929 -2940 -2958 -2961 -2899 -2939 -3035 -3195 -3296 -3276 -3196 -3237 -3297 -3306 -3164 -2946 -2854 -2909 -3148 -3247 -3196 -3020 -2947 -2954 -3072 -3065 -3002 -2906 -2852 -2888 -2901 -2863 -2851 -2839 -2819 -2827 -2769 -2688 -2666 -2704 -2735 -2852 -2919 -2955 -2995 -2959 -2921 -2861 -2952 -3018 -3086 -2977 -2949 -2968 -3110 -3253 -3307 -3198 -3112 -3161 -3216 -3178 -3112 -3127 -3165 -3335 -3383 -3435 -3315 -3273 -3330 -3408 -3511 -3465 -3442 -3476 -3532 -3587 -3573 -3552 -3519 -3525 -3490 -3440 -3307 -3267 -3238 -3191 -3151 -3119 -3112 -3221 -3231 -3120 -3047 -2970 -3091 -3244 -3233 -3134 -3039 -3023 -3114 -3266 -3302 -3190 -3130 -3146 -3228 -3342 -3374 -3412 -3408 -3524 -3573 -3549 -3457 -3344 -3389 -3421 -3488 -3521 -3551 -3592 -3595 -3624 -3681 -3802 -3828 -3821 -3724 -3660 -3615 -3715 -3686 -3655 -3636 -3612 -3739 -3788 -3762 -3740 -3744 -3869 -4002 -4030 -3929 -3726 -3653 -3648 -3719 -3761 -3699 -3597 -3544 -3603 -3572 -3520 -3453 -3443 -3542 -3560 -3556 -3503 -3416 -3402 -3378 -3416 -3438 -3482 -3598 -3669 -3645 -3668 -3617 -3822 -4017 -4020 -3933 -3839 -3846 -3901 -4000 -3995 -3936 -3912 -3954 -4090 -4068 -4062 -4035 -4096 -4181 -4258 -4134 -4037 -4044 -4099 -4211 -4215 -4160 -4105 -4053 -4093 -4088 -4060 -4039 -4030 -4058 -4091 -4090 -4105 -4080 -4042 -3943 -3886 -3901 -3972 -3970 -3860 -3842 -3726 -3759 -3849 -3910 -3839 -3797 -3828 -3910 -4024 -3972 -3883 -3843 -3986 -4066 -4148 -4117 -3983 -3918 -4023 -4197 -4275 -4310 -4331 -4336 -4380 -4427 -4490 -4449 -4486 -4452 -4417 -4313 -4298 -4354 -4475 -4585 -4543 -4467 -4430 -4469 -4494 -4492 -4447 -4440 -4441 -4452 -4398 -4336 -4348 -4378 -4426 -4361 -4275 -4186 -4300 -4410 -4539 -4480 -4324 -4208 -4196 -4173 -4229 -4233 -4135 -4136 -4119 -4126 -4094 -4094 -4117 -4144 -4200 -4241 -4271 -4209 -4235 -4250 -4300 -4319 -4418 -4507 -4466 -4441 -4419 -4498 -4604 -4712 -4659 -4604 -4540 -4628 -4772 -4813 -4708 -4579 -4461 -4482 -4553 -4536 -4491 -4461 -4452 -4568 -4599 -4584 -4593 -4565 -4665 -4605 -4500 -4356 -4345 -4487 -4558 -4556 -4384 -4251 -4155 -4238 -4268 -4352 -4390 -4397 -4286 -4193 -4139 -4200 -4266 -4276 -4258 -4046 -3904 -3933 -4125 -4325 -4348 -4319 -4281 -4299 -4400 -4383 -4351 -4327 -4318 -4428 -4476 -4484 -4464 -4498 -4601 -4700 -4786 -4690 -4579 -4541 -4602 -4771 -4859 -4923 -4847 -4647 -4511 -4440 -4440 -4503 -4481 -4394 -4253 -4207 -4298 -4414 -4429 -4398 -4316 -4259 -4259 -4180 -4198 -4158 -4244 -4265 -4303 -4254 -4157 -4152 -4202 -4341 -4260 -4163 -3998 -3953 -4073 -4183 -4266 -4164 -4136 -4076 -4092 -4047 -4075 -4038 -4094 -4089 -4150 -4244 -4348 -4487 -4574 -4558 -4389 -4273 -4166 -4320 -4401 -4489 -4481 -4509 -4527 -4549 -4518 -4406 -4385 -4455 -4542 -4495 -4353 -4218 -4211 -4354 -4528 -4490 -4343 -4093 -3964 -3938 -4026 -4085 -4132 -4182 -4182 -4103 -4054 -4005 -3975 -3961 -3915 -3854 -3778 -3711 -3763 -3879 -3987 -4030 -4034 -4038 -3963 -3845 -3770 -3748 -3880 -3940 -3943 -3930 -3930 -4001 -4172 -4132 -4011 -3822 -3840 -4010 -4131 -4301 -4262 -4175 -4222 -4300 -4274 -4358 -4262 -4239 -4181 -4111 -4047 -4069 -4105 -4246 -4343 -4282 -4176 -4054 -3896 -3825 -3865 -3904 -3902 -3881 -3842 -3767 -3696 -3669 -3707 -3791 -3704 -3538 -3389 -3446 -3612 -3834 -3912 -3859 -3780 -3676 -3558 -3442 -3420 -3416 -3490 -3631 -3669 -3662 -3624 -3648 -3803 -3838 -3803 -3676 -3598 -3567 -3585 -3694 -3735 -3802 -3812 -3780 -3746 -3810 -3954 -4002 -3995 -3930 -3854 -3857 -4032 -4127 -4108 -3940 -3748 -3701 -3745 -3772 -3726 -3614 -3620 -3722 -3852 -3828 -3726 -3617 -3521 -3504 -3467 -3330 -3153 -3122 -3204 -3320 -3437 -3410 -3338 -3311 -3293 -3245 -3230 -3248 -3227 -3215 -3219 -3219 -3239 -3357 -3433 -3459 -3388 -3312 -3247 -3340 -3429 -3457 -3499 -3498 -3526 -3498 -3439 -3363 -3375 -3448 -3530 -3545 -3404 -3317 -3321 -3466 -3652 -3751 -3665 -3459 -3418 -3493 -3599 -3603 -3603 -3566 -3461 -3453 -3465 -3482 -3393 -3310 -3232 -3135 -3111 -3100 -3170 -3110 -3001 -2975 -2971 -3066 -3032 -2881 -2844 -2797 -2860 -2989 -2937 -2870 -2864 -2943 -3088 -3179 -3118 -3030 -3035 -3056 -3136 -3131 -3065 -2997 -2989 -3134 -3178 -3167 -3237 -3204 -3349 -3232 -3234 -3163 -3204 -3351 -3454 -3384 -3354 -3245 -3187 -3174 -3136 -3140 -3220 -3272 -3294 -3279 -3216 -3212 -3267 -3366 -3369 -3143 -2979 -3001 -3066 -3071 -3066 -2929 -2861 -2870 -2949 -3049 -2958 -2835 -2757 -2750 -2757 -2703 -2682 -2687 -2654 -2678 -2717 -2682 -2693 -2780 -2760 -2775 -2843 -2875 -3008 -3081 -3076 -3048 -3032 -3093 -3089 -3009 -2918 -2867 -2894 -3049 -3094 -3068 -3086 -3123 -3224 -3329 -3216 -3096 -3033 -3044 -3220 -3231 -3160 -3062 -3045 -3107 -3246 -3250 -3171 -3051 -3042 -3112 -3134 -3091 -2952 -2938 -2961 -2954 -2917 -2791 -2663 -2667 -2617 -2616 -2726 -2818 -2835 -2779 -2672 -2659 -2635 -2718 -2722 -2672 -2562 -2583 -2628 -2773 -2862 -2873 -2930 -2940 -3032 -3055 -2998 -2928 -2965 -3046 -3100 -3170 -3132 -3093 -3085 -3080 -3069 -3088 -3075 -3108 -3114 -3126 -3122 -3146 -3202 -3211 -3193 -3172 -3128 -3099 -3069 -3030 -2946 -2886 -3029 -3222 -3277 -3186 -2980 -2884 -2960 -3018 -3049 -2920 -2827 -2848 -2955 -3038 -2945 -2815 -2715 -2798 -2847 -2758 -2681 -2563 -2705 -2862 -2996 -3040 -2945 -2834 -2831 -2855 -2964 -2937 -2996 -3038 -3121 -3104 -3134 -3243 -3363 -3471 -3445 -3404 -3403 -3380 -3462 -3462 -3400 -3368 -3405 -3450 -3489 -3384 -3357 -3344 -3349 -3410 -3393 -3387 -3387 -3460 -3576 -3487 -3375 -3206 -3138 -3230 -3342 -3288 -3246 -3247 -3284 -3364 -3434 -3355 -3283 -3185 -3118 -2999 -2983 -3062 -3201 -3243 -3179 -3132 -3098 -3144 -3231 -3233 -3181 -3203 -3274 -3430 -3392 -3355 -3317 -3371 -3509 -3575 -3534 -3376 -3300 -3341 -3613 -3797 -3855 -3810 -3768 -3787 -3807 -3870 -3833 -3807 -3818 -3769 -3726 -3746 -3723 -3850 -3842 -3830 -3696 -3604 -3574 -3531 -3536 -3576 -3646 -3664 -3688 -3596 -3492 -3445 -3498 -3566 -3523 -3470 -3405 -3436 -3613 -3718 -3777 -3634 -3477 -3446 -3559 -3570 -3481 -3371 -3303 -3395 -3463 -3513 -3635 -3685 -3771 -3785 -3701 -3701 -3758 -3825 -3909 -3935 -3986 -3923 -3995 -4018 -4000 -3991 -4000 -4023 -4127 -4094 -4021 -4026 -4107 -4295 -4426 -4172 -3949 -3710 -3667 -3852 -4044 -4043 -4006 -3963 -3956 -3972 -3952 -3877 -3852 -3887 -3903 -3829 -3745 -3682 -3736 -3880 -3943 -3912 -3818 -3694 -3739 -3782 -3877 -3920 -3896 -3804 -3777 -3780 -3857 -3928 -3948 -3875 -3736 -3666 -3692 -3878 -4024 -4053 -4065 -4027 -4061 -4154 -4205 -4181 -4180 -4201 -4327 -4382 -4392 -4363 -4359 -4455 -4539 -4469 -4359 -4269 -4271 -4328 -4333 -4433 -4392 -4408 -4357 -4230 -4172 -4124 -4139 -4123 -4106 -3939 -3894 -3920 -4066 -4246 -4174 -4055 -3992 -4020 -4026 -4048 -4042 -3970 -3982 -4071 -4058 -4050 -3996 -4076 -4248 -4278 -4245 -4059 -3977 -4122 -4380 -4509 -4455 -4243 -4120 -4093 -4211 -4273 -4335 -4359 -4384 -4392 -4474 -4533 -4603 -4692 -4699 -4630 -4550 -4527 -4559 -4638 -4642 -4602 -4650 -4632 -4681 -4647 -4515 -4448 -4534 -4654 -4653 -4516 -4323 -4245 -4351 -4390 -4401 -4272 -4185 -4086 -4183 -4211 -4216 -4168 -4190 -4246 -4246 -4263 -4163 -4249 -4241 -4269 -4149 -4066 -4049 -4112 -4281 -4386 -4354 -4347 -4344 -4409 -4411 -4447 -4468 -4528 -4535 -4495 -4377 -4326 -4428 -4569 -4656 -4503 -4345 -4351 -4446 -4649 -4834 -4820 -4650 -4585 -4547 -4623 -4626 -4610 -4576 -4579 -4531 -4479 -4440 -4440 -4463 -4544 -4509 -4481 -4336 -4251 -4171 -4118 -4120 -4139 -4156 -4131 -3999 -3910 -3906 -4075 -4183 -4226 -4148 -4030 -4059 -4204 -4273 -4309 -4184 -4156 -4170 -4242 -4241 -4156 -4133 -4266 -4345 -4386 -4322 -4195 -4207 -4358 -4533 -4536 -4421 -4340 -4383 -4430 -4480 -4503 -4459 -4464 -4532 -4492 -4511
+408 526 574 495 341 247 227 268 319 260 203 265 405 471 481 410 309 285 246 151 -6 -13 126 396 531 533 364 330 472 663 618 423 266 213 570 975 1215 1191 1103 1076 1091 1048 987 954 1104 1365 1571 1549 1481 1507 1635 1791 1699 1517 1361 1376 1527 1669 1767 1802 1833 1863 1836 1718 1632 1640 1693 1852 1819 1671 1678 1793 1966 2012 1927 1763 1609 1585 1626 1612 1719 1763 1963 2023 2044 1983 1842 1791 1758 1769 1773 1747 1831 1907 2098 2287 2278 2246 2092 1932 1903 2095 2303 2415 2376 2296 2346 2519 2686 2766 2649 2584 2601 2650 2689 2698 2815 2986 3122 3217 3047 2936 2800 2787 2895 2936 2868 2858 2869 3021 3130 3122 2984 2937 2794 2796 2772 2715 2757 2886 2938 2869 2854 2801 2913 2951 2962 2890 2781 2775 2862 2909 2897 2920 2959 3129 3135 3035 2957 2936 3102 3216 3249 3203 3101 3201 3359 3505 3539 3531 3433 3418 3429 3452 3552 3703 3810 3940 3876 3843 3830 3878 3960 3938 3810 3777 3797 3890 3971 3900 3804 3837 3919 3909 3760 3607 3500 3613 3758 3704 3571 3478 3512 3692 3757 3653 3497 3433 3449 3548 3674 3673 3607 3682 3799 3863 3937 3827 3796 3846 3902 3934 3985 3983 4037 4139 4272 4420 4356 4202 4121 4078 4116 4296 4417 4430 4364 4321 4438 4513 4476 4438 4326 4241 4219 4195 4236 4329 4487 4603 4584 4513 4384 4268 4323 4295 4265 4223 4227 4392 4519 4623 4525 4454 4448 4410 4369 4224 4073 4173 4388 4560 4535 4363 4178 4211 4330 4327 4264 4092 4101 4286 4449 4492 4422 4403 4536 4721 4655 4524 4302 4317 4524 4843 4884 4877 4874 4910 5088 5180 5108 4986 4810 4868 4875 4919 5078 5144 5217 5211 5072 4889 4822 4832 4851 4798 4730 4748 4797 4883 4871 4796 4714 4584 4541 4455 4350 4402 4502 4670 4785 4816 4774 4617 4654 4674 4681 4606 4594 4638 4693 4843 4953 5089 5141 5068 5014 4855 4770 4832 4955 5045 5160 5269 5341 5278 5191 5094 5062 5110 5139 5217 5119 5049 5132 5382 5462 5437 5319 5125 5065 5103 5197 5133 5030 5043 5086 5200 5228 5277 5287 5185 5015 4905 4771 4847 4926 5061 5081 5067 5018 5022 4943 4858 4740 4704 4820 4802 4784 4769 4903 5040 5213 5228 4978 4774 4730 4884 5019 5135 5082 5092 5262 5383 5401 5362 5249 5238 5363 5403 5281 5140 5213 5458 5629 5740 5534 5349 5278 5314 5347 5403 5353 5374 5413 5431 5355 5313 5329 5426 5367 5183 5004 4977 5133 5328 5402 5260 5072 5002 5023 5128 5125 4996 4931 4962 4937 4982 4984 4973 5048 5161 5121 5043 4905 4901 4974 5128 5271 5340 5314 5181 5254 5261 5433 5495 5481 5379 5367 5434 5570 5689 5678 5638 5609 5619 5632 5616 5511 5500 5578 5695 5677 5576 5398 5363 5510 5631 5545 5347 5168 5107 5262 5392 5366 5277 5148 5074 5022 4994 5011 5080 5199 5192 5051 4936 4963 5106 5269 5264 5105 4967 5050 5192 5330 5326 5193 5193 5267 5299 5335 5246 5291 5490 5644 5633 5449 5242 5208 5451 5560 5567 5409 5407 5416 5559 5687 5729 5599 5599 5525 5490 5472 5404 5492 5553 5600 5581 5505 5477 5522 5565 5554 5535 5438 5389 5411 5337 5365 5450 5560 5560 5334 5052 4894 5009 5214 5278 5189 5044 4974 5063 5167 5221 5168 5024 4999 4923 4955 5022 5150 5298 5416 5464 5414 5392 5396 5444 5552 5529 5528 5549 5645 5699 5792 5879 5929 5865 5769 5579 5483 5595 5762 5897 5827 5755 5650 5626 5671 5646 5529 5474 5361 5342 5293 5362 5393 5479 5551 5479 5306 5080 5010 5031 5182 5250 5349 5394 5326 5358 5280 5308 5306 5368 5333 5184 5024 5067 5293 5613 5699 5544 5314 5238 5334 5449 5444 5328 5354 5378 5566 5623 5602 5534 5655 5692 5781 5628 5486 5450 5627 5872 5959 5827 5778 5739 5846 5858 5816 5686 5587 5616 5697 5673 5789 5804 5866 5829 5680 5433 5304 5301 5381 5414 5387 5333 5324 5314 5383 5334 5274 5272 5265 5167 5126 5089 5084 5186 5360 5432 5313 5245 5195 5240 5412 5545 5510 5527 5419 5425 5580 5711 5796 5690 5581 5471 5474 5660 5771 5832 5774 5804 5826 5882 5839 5705 5677 5765 5857 5844 5684 5575 5631 5760 5884 5883 5674 5541 5532 5605 5640 5567 5406 5335 5367 5431 5413 5368 5340 5314 5360 5265 5163 5118 5178 5297 5379 5308 5260 5278 5213 5266 5238 5219 5273 5398 5474 5411 5339 5406 5666 5793 5732 5607 5395 5465 5682 5793 5868 5764 5661 5781 5875 5995 5975 5921 5934 5899 5819 5749 5675 5726 5830 5865 5803 5745 5735 5683 5624 5573 5543 5512 5499 5463 5407 5408 5483 5591 5647 5581 5413 5303 5348 5409 5466 5399 5341 5430 5496 5617 5617 5489 5420 5472 5466 5469 5395 5343 5439 5626 5743 5726 5643 5463 5446 5510 5529 5600 5584 5606 5661 5805 5872 6005 6035 5901 5787 5667 5762 5870 5991 6009 5965 6095 6121 6153 6082 5995 5937 5906 5918 5838 5707 5731 5758 5872 5859 5711 5571 5476 5486 5402 5321 5296 5313 5423 5474 5442 5413 5408 5479 5427 5339 5179 5123 5251 5516 5764 5738 5725 5718 5835 5862 5686 5464 5466 5634 5905 5970 5892 5829 5901 6147 6239 6027 5715 5487 5629 5866 6025 5953 5823 5868 5918 6001 5905 5698 5623 5580 5643 5624 5622 5726 5848 5940 5977 5807 5609 5450 5458 5462 5495 5583 5656 5796 5764 5779 5746 5693 5653 5573 5461 5406 5385 5521 5628 5735 5774 5704 5680 5589 5476 5490 5492 5502 5572 5575 5699 5740 5895 5967 6008 5940 5848 5774 5730 5717 5790 5933 6165 6365 6316 6177 5956 5955 6070 6012 5856 5767 5724 5968 6203 6270 6117 5907 5762 5717 5647 5657 5585 5660 5804 5864 5803 5704 5563 5598 5657 5534 5440 5319 5305 5449 5590 5688 5766 5789 5746 5655 5530 5458 5524 5644 5742 5719 5674 5798 5936 6063 6032 5896 5730 5742 5792 5851 5864 5901 6076 6305 6316 6147 5872 5806 5917 6044 6098 5962 5838 5905 6053 6115 6201 6049 5945 5864 5765 5694 5691 5697 5808 5819 5761 5709 5733 5832 5876 5737 5557 5383 5380 5543 5704 5721 5693 5708 5671 5737 5591 5458 5459 5579 5676 5746 5690 5656 5702 5896 6051 6021 5903 5792 5691 5789 5925 6058 6091 6094 6068 6031 6069 6061 6108 6105 6095 6031 6029 5950 5891 5885 5958 6112 6146 6030 5859 5716 5732 5934 6064 6023 5770 5699 5726 5963 6061 5975 5838 5649 5673 5755 5748 5713 5720 5856 5861 5801 5602 5526 5633 5750 5810 5622 5421 5442 5588 5701 5778 5700 5689 5778 5855 5830 5712 5761 5874 6040 6046 5929 5840 5950 6165 6352 6305 6070 5908 5970 6158 6314 6349 6265 6241 6284 6242 6128 5948 5919 5998 6040 6006 5845 5700 5743 5859 5957 5925 5766 5567 5438 5374 5456 5564 5607 5530 5524 5378 5529 5655 5752 5737 5573 5407 5352 5467 5639 5795 5872 5916 5898 5920 5837 5729 5739 5836 5955 5951 5880 5922 6047 6173 6215 6167 5949 5840 5799 5850 5890 5980 6069 6117 6060 5990 5897 5909 6000 6012 5886 5734 5659 5713 5919 6052 6073 6004 5926 5893 5797 5669 5599 5630 5746 5846 5789 5646 5639 5710 5823 5828 5648 5414 5295 5297 5378 5509 5613 5657 5674 5534 5492 5394 5529 5681 5685 5623 5563 5596 5798 6028 6046 5987 5882 5848 5893 5962 5890 5882 6138 6301 6385 6252 6098 6013 6163 6249 6143 5912 5690 5797 6047 6237 6245 6048 5888 5868 5835 5730 5593 5611 5621 5738 5703 5614 5452 5498 5554 5653 5542 5470 5350 5366 5427 5530 5571 5648 5691 5636 5574 5423 5442 5567 5711 5657 5561 5546 5611 5769 6003 6014 5918 5758 5686 5690 5794 5869 5925 5972 5973 5995 6055 6131 6148 6092 5958 5979 5963 6003 6016 6006 6034 6086 6107 6088 5839 5694 5749 5860 5968 5944 5705 5559 5644 5823 5825 5731 5494 5353 5406 5482 5529 5366 5403 5510 5596 5587 5422 5278 5255 5497 5599 5621 5525 5412 5406 5576 5686 5651 5636 5655 5694 5619 5646 5701 5816 5889 5886 5680 5642 5625 5842 5998 5957 5791 5691 5778 5889 5907 5876 5786 5799 5892 5889 5834 5783 5851 5926 5966 5904 5761 5677 5623 5762 5766 5729 5602 5548 5500 5471 5459 5459 5465 5474 5398 5302 5241 5258 5362 5290 5254 5126 5182 5285 5332 5292 5262 5294 5377 5543 5535 5462 5476 5584 5688 5707 5616 5476 5497 5677 5950 6121 6084 5965 5913 5898 5937 5908 5928 5912 5901 5863 5872 5885 5993 5999 5951 5796 5692 5596 5619 5534 5467 5549 5633 5691 5646 5430 5267 5291 5365 5329 5282 5194 5259 5379 5598 5619 5535 5418 5415 5421 5331 5275 5204 5314 5523 5680 5678 5590 5557 5582 5640 5525 5437 5341 5453 5584 5648 5630 5602 5754 5801 5766 5585 5434 5497 5737 5818 5817 5655 5641 5819 6056 6071 5871 5604 5500 5674 5785 5870 5795 5829 5932 6029 5948 5751 5575 5510 5587 5631 5560 5418 5410 5515 5584 5623 5447 5353 5190 5117 5089 5108 5189 5304 5441 5443 5455 5429 5350 5323 5294 5213 5239 5366 5501 5610 5711 5766 5858 5862 5755 5628 5536 5574 5755 5874 5934 5931 5965 5983 5982 5945 5953 5807 5718 5680 5638 5719 5838 6002 5990 5869 5666 5519 5583 5625 5572 5399 5371 5387 5569 5664 5627 5566 5515 5585 5511 5333 5156 5098 5198 5430 5603 5474 5371 5351 5387 5495 5419 5309 5259 5295 5405 5504 5501 5564 5562 5649 5620 5457 5415 5456 5585 5666 5704 5725 5730 5839 5862 5923 5864 5862 5820 5797 5717 5612 5668 5886 6084 6116 5847 5613 5534 5689 5833 5778 5666 5542 5579 5659 5661 5630 5572 5566 5500 5477 5373 5341 5412 5545 5567 5503 5374 5401 5422 5450 5479 5453 5358 5380 5330 5306 5369 5505 5643 5766 5683 5537 5423 5447 5571 5675 5641 5570 5551 5670 5794 5888 5844 5824 5789 5794 5740 5734 5784 5830 5988 6049 6039 5913 5794 5790 5777 5787 5906 5826 5774 5670 5663 5739 5916 5924 5794 5530 5356 5409 5524 5576 5429 5351 5294 5402 5551 5581 5537 5457 5404 5399 5361 5277 5294 5426 5669 5741 5657 5503 5496 5627 5767 5786 5720 5697 5665 5765 5920 5986 6030 6163 6268 6155 5913 5754 5850 6005 6129 6142 6000 5884 5997 6092 6104 6017 5832 5737 5739 5665 5578 5541 5666 5870 6036 5922 5727 5461 5461 5596 5765 5680 5615 5547 5637 5768 5856 5852 5664 5543 5451 5452 5494 5595 5673 5724 5692 5627 5592 5627 5652 5665 5563 5509 5441 5500 5493 5633 5754 5956 6030 5898 5688 5585 5663 5770 5916 5947 5944 5967 6086 6220 6243 6188 6091 6100 5895 5833 5833 5906 6130 6273 6291 6145 5934 5858 5780 5719 5637 5615 5618 5588 5658 5569 5601 5619 5675 5639 5487 5227 5124 5284 5506 5656 5673 5509 5487 5615 5706 5684 5581 5446 5445 5558 5696 5889 6057 6192 6229 6143 5918 5759 5723 5850 5941 5983 6091 6080 6195 6230 6168 6167 6125 6092 6026 5873 5713 5793 6014 6164 6128 5953 5797 5921 5942 6028 5846 5659 5597 5702 5785 5864 5883 5800 5819 5853 5661 5497 5343 5355 5619 5810 5879 5776 5656 5655 5634 5604 5571 5475 5366 5370 5449 5577 5759 5946 5990 5876 5728 5589 5603 5771 5868 5940 5931 5911 5940 6083 6129 6098 6087 6040 6000 5916 5914 5963 6119 6199 6223 6224 6156 6013 5955 5882 5860 5875 5910 5893 5879 5864 5975 6019 6029 5813 5606 5450 5525 5686 5758 5697 5614 5649 5679 5789 5692 5527 5450 5529
+13 -49 -83 -57 48 94 22 -153 -297 -336 -115 -36 -119 -183 -197 -115 -42 -41 -113 -200 -143 -149 -191 -202 -206 -161 -35 45 -30 -98 -187 -125 -118 -117 -221 -228 -185 -184 -192 -324 -462 -504 -477 -464 -575 -649 -710 -617 -519 -489 -599 -743 -767 -775 -746 -839 -944 -938 -869 -774 -674 -675 -644 -608 -609 -642 -775 -890 -803 -685 -634 -624 -616 -622 -550 -431 -481 -538 -651 -795 -799 -739 -693 -661 -643 -655 -624 -680 -721 -769 -774 -782 -756 -725 -830 -750 -684 -579 -523 -588 -689 -746 -703 -679 -657 -700 -710 -694 -624 -599 -694 -870 -948 -943 -848 -849 -901 -1018 -995 -834 -725 -709 -912 -1090 -1108 -1076 -1033 -1110 -1110 -1038 -885 -705 -717 -836 -945 -897 -830 -820 -1008 -1138 -1118 -939 -797 -757 -903 -935 -849 -678 -656 -787 -891 -948 -850 -676 -710 -806 -850 -850 -757 -782 -891 -964 -896 -704 -675 -757 -923 -963 -898 -790 -864 -1099 -1342 -1334 -1138 -974 -965 -1031 -1045 -1002 -907 -963 -1271 -1358 -1385 -1228 -1181 -1168 -1191 -1221 -1091 -982 -934 -1000 -1088 -1125 -1045 -1009 -1020 -1027 -994 -922 -819 -812 -919 -994 -1046 -981 -952 -969 -1012 -1090 -1077 -1060 -1001 -1108 -1155 -1236 -1254 -1251 -1220 -1243 -1165 -1104 -1067 -1041 -1008 -1104 -1184 -1203 -1227 -1179 -1184 -1137 -1053 -990 -999 -1121 -1200 -1218 -1188 -1196 -1253 -1415 -1446 -1420 -1301 -1150 -1175 -1232 -1278 -1264 -1242 -1263 -1334 -1420 -1411 -1290 -1271 -1300 -1347 -1347 -1258 -1236 -1294 -1393 -1423 -1361 -1259 -1248 -1273 -1335 -1297 -1235 -1217 -1340 -1452 -1478 -1387 -1328 -1234 -1297 -1379 -1293 -1287 -1317 -1424 -1549 -1538 -1505 -1448 -1479 -1577 -1590 -1431 -1364 -1375 -1490 -1610 -1618 -1544 -1520 -1587 -1702 -1681 -1603 -1466 -1542 -1738 -1878 -1823 -1717 -1678 -1736 -1894 -1953 -1819 -1735 -1640 -1675 -1744 -1746 -1696 -1706 -1808 -1858 -1765 -1640 -1472 -1497 -1667 -1718 -1679 -1612 -1573 -1654 -1794 -1907 -1872 -1846 -1905 -1973 -2032 -2083 -2006 -2007 -2036 -2112 -2171 -2115 -2012 -2005 -2082 -2168 -2185 -2152 -2178 -2204 -2237 -2232 -2175 -2080 -2009 -2043 -2158 -2161 -2100 -2059 -2105 -2280 -2415 -2355 -2250 -2121 -2186 -2240 -2281 -2194 -2087 -2156 -2355 -2477 -2489 -2291 -2178 -2257 -2447 -2555 -2518 -2375 -2377 -2417 -2490 -2501 -2419 -2378 -2466 -2526 -2552 -2426 -2401 -2423 -2651 -2780 -2723 -2592 -2525 -2563 -2756 -2767 -2697 -2662 -2677 -2845 -2957 -2946 -2914 -2914 -2956 -2967 -2919 -2800 -2765 -2824 -2961 -3018 -2995 -2985 -2996 -3130 -3224 -3142 -2987 -2940 -2991 -3138 -3140 -3056 -3013 -3056 -3223 -3324 -3327 -3252 -3178 -3209 -3245 -3248 -3199 -3093 -3056 -3109 -3152 -3171 -3099 -3061 -3149 -3152 -3219 -3194 -3205 -3288 -3340 -3380 -3327 -3286 -3318 -3444 -3520 -3525 -3535 -3563 -3683 -3777 -3911 -3915 -3907 -3853 -3757 -3774 -3775 -3784 -3795 -3856 -3889 -3867 -3886 -3885 -3974 -3985 -4017 -3972 -3821 -3754 -3769 -3842 -3889 -3928 -3858 -3774 -3835 -3884 -3905 -3869 -3799 -3848 -3976 -4033 -4005 -3905 -3846 -3956 -4100 -4160 -4100 -4008 -3936 -4058 -4203 -4240 -4239 -4215 -4194 -4242 -4265 -4161 -4113 -4153 -4275 -4316 -4324 -4247 -4296 -4407 -4525 -4503 -4434 -4301 -4380 -4529 -4613 -4600 -4566 -4595 -4700 -4887 -4835 -4724 -4557 -4557 -4631 -4620 -4619 -4529 -4608 -4707 -4842 -4787 -4623 -4551 -4651 -4792 -4825 -4690 -4559 -4534 -4675 -4901 -4913 -4821 -4696 -4722 -4765 -4799 -4717 -4637 -4699 -4776 -4903 -4853 -4731 -4593 -4602 -4724 -4788 -4702 -4655 -4662 -4777 -4911 -4977 -5005 -4993 -5025 -5053 -5003 -4900 -4883 -4945 -5091 -5292 -5333 -5273 -5233 -5322 -5405 -5468 -5443 -5249 -5194 -5218 -5346 -5447 -5429 -5414 -5425 -5449 -5504 -5379 -5204 -5209 -5190 -5229 -5220 -5153 -5047 -5137 -5189 -5285 -5218 -5041 -4984 -5047 -5187 -5244 -5169 -5127 -5130 -5298 -5406 -5424 -5284 -5192 -5190 -5316 -5360 -5372 -5335 -5346 -5464 -5516 -5454 -5278 -5161 -5195 -5340 -5443 -5405 -5385 -5425 -5485 -5623 -5644 -5580 -5566 -5524 -5584 -5537 -5454 -5417 -5562 -5747 -5852 -5797 -5668 -5595 -5632 -5704 -5755 -5638 -5537 -5508 -5526 -5554 -5519 -5484 -5530 -5623 -5633 -5512 -5406 -5360 -5491 -5623 -5626 -5568 -5405 -5374 -5443 -5492 -5365 -5271 -5269 -5340 -5424 -5529 -5461 -5331 -5355 -5383 -5370 -5345 -5242 -5242 -5271 -5319 -5317 -5333 -5356 -5439 -5560 -5485 -5444 -5375 -5411 -5458 -5517 -5549 -5575 -5570 -5641 -5691 -5722 -5633 -5619 -5584 -5639 -5681 -5706 -5692 -5579 -5653 -5764 -5763 -5704 -5576 -5458 -5395 -5427 -5376 -5349 -5324 -5359 -5361 -5375 -5253 -5163 -5117 -5166 -5240 -5184 -5120 -5011 -5072 -5252 -5332 -5345 -5206 -5090 -5080 -5185 -5304 -5226 -5194 -5172 -5334 -5412 -5387 -5240 -5158 -5136 -5168 -5150 -5060 -5015 -5053 -5166 -5295 -5267 -5208 -5170 -5248 -5292 -5239 -5018 -5001 -5028 -5222 -5281 -5262 -5150 -5103 -5177 -5276 -5246 -5109 -4959 -5001 -5080 -5098 -5064 -4902 -4898 -4976 -5083 -5042 -4924 -4767 -4839 -4938 -5036 -4986 -4846 -4759 -4766 -4866 -4805 -4664 -4550 -4633 -4774 -4809 -4711 -4612 -4568 -4658 -4785 -4774 -4637 -4482 -4470 -4511 -4589 -4625 -4623 -4619 -4735 -4740 -4669 -4576 -4491 -4483 -4515 -4545 -4544 -4491 -4503 -4548 -4633 -4686 -4620 -4591 -4648 -4732 -4760 -4692 -4598 -4562 -4637 -4669 -4678 -4587 -4432 -4418 -4405 -4478 -4481 -4392 -4308 -4264 -4286 -4250 -4097 -4069 -3984 -3953 -3951 -3913 -3834 -3875 -4026 -4163 -4218 -4206 -4058 -4015 -4008 -3986 -3957 -3874 -3780 -3880 -4007 -4114 -4108 -3989 -3981 -4026 -4057 -4040 -3910 -3854 -3825 -3819 -3867 -3851 -3814 -3860 -3915 -3866 -3838 -3722 -3753 -3800 -3868 -3841 -3707 -3668 -3730 -3761 -3768 -3653 -3528 -3532 -3608 -3741 -3676 -3622 -3565 -3669 -3754 -3715 -3612 -3381 -3369 -3412 -3434 -3443 -3372 -3417 -3486 -3552 -3458 -3405 -3283 -3259 -3342 -3387 -3280 -3127 -3119 -3283 -3456 -3470 -3407 -3276 -3264 -3257 -3268 -3241 -3174 -3180 -3243 -3310 -3314 -3200 -3120 -3182 -3228 -3220 -3117 -3020 -3003 -3029 -3152 -3159 -3097 -3150 -3175 -3239 -3239 -3158 -2999 -3065 -3226 -3343 -3315 -3217 -3104 -3057 -3193 -3178 -3038 -2909 -2833 -2877 -2926 -2974 -2925 -2863 -2833 -2897 -2865 -2815 -2667 -2560 -2588 -2688 -2812 -2782 -2732 -2775 -2886 -2971 -2952 -2784 -2737 -2760 -2922 -2951 -2910 -2769 -2771 -2928 -3055 -3122 -2949 -2810 -2740 -2809 -2810 -2791 -2744 -2782 -2881 -2932 -2866 -2774 -2674 -2627 -2722 -2737 -2637 -2520 -2518 -2607 -2735 -2690 -2591 -2559 -2596 -2664 -2663 -2501 -2422 -2488 -2688 -2857 -2829 -2630 -2537 -2546 -2664 -2690 -2633 -2551 -2546 -2634 -2721 -2795 -2741 -2712 -2681 -2659 -2611 -2436 -2345 -2461 -2599 -2764 -2785 -2732 -2709 -2767 -2824 -2843 -2762 -2606 -2557 -2598 -2652 -2668 -2663 -2653 -2693 -2718 -2681 -2614 -2577 -2541 -2535 -2560 -2532 -2534 -2616 -2692 -2707 -2620 -2590 -2570 -2596 -2674 -2723 -2693 -2697 -2745 -2784 -2846 -2755 -2696 -2608 -2559 -2562 -2559 -2511 -2438 -2524 -2666 -2788 -2809 -2744 -2600 -2528 -2529 -2544 -2603 -2586 -2639 -2674 -2720 -2767 -2828 -2840 -2888 -2968 -2869 -2770 -2668 -2731 -2854 -3035 -3109 -3026 -2974 -2954 -2969 -2980 -3042 -2933 -2889 -2950 -3019 -2937 -2911 -2901 -2932 -2958 -2910 -2821 -2682 -2694 -2792 -2848 -2852 -2734 -2690 -2815 -2851 -2865 -2786 -2716 -2717 -2903 -3035 -3030 -2980 -2942 -3054 -3181 -3139 -3006 -2870 -2889 -3038 -3162 -3195 -3056 -3075 -3153 -3273 -3348 -3244 -3085 -3043 -3166 -3238 -3248 -3298 -3311 -3404 -3495 -3496 -3348 -3272 -3325 -3517 -3582 -3469 -3324 -3250 -3343 -3514 -3595 -3473 -3314 -3208 -3226 -3311 -3343 -3344 -3331 -3380 -3488 -3429 -3351 -3262 -3338 -3490 -3535 -3457 -3355 -3324 -3445 -3627 -3690 -3615 -3479 -3438 -3492 -3573 -3557 -3475 -3477 -3577 -3729 -3811 -3768 -3678 -3629 -3701 -3736 -3770 -3673 -3589 -3615 -3744 -3883 -3964 -3891 -3885 -3928 -3998 -4028 -3955 -3939 -3986 -4066 -4137 -4140 -4117 -4158 -4277 -4311 -4338 -4279 -4238 -4292 -4323 -4353 -4280 -4167 -4097 -4047 -4113 -4081 -4047 -3967 -3956 -4002 -4105 -4059 -4098 -4096 -4106 -4079 -3961 -3841 -3854 -3903 -4094 -4191 -4189 -4138 -4198 -4314 -4471 -4491 -4401 -4284 -4289 -4370 -4369 -4386 -4342 -4391 -4535 -4635 -4597 -4556 -4512 -4591 -4704 -4753 -4726 -4672 -4669 -4733 -4753 -4755 -4736 -4759 -4863 -4830 -4836 -4772 -4755 -4810 -4961 -4927 -4857 -4770 -4683 -4706 -4741 -4687 -4646 -4623 -4743 -4858 -4852 -4825 -4717 -4726 -4752 -4749 -4733 -4663 -4687 -4815 -4909 -4920 -4808 -4794 -4847 -4944 -5016 -4905 -4766 -4773 -4872 -5009 -5121 -5070 -4939 -4969 -5025 -5127 -5187 -5134 -5076 -5144 -5157 -5222 -5165 -5156 -5189 -5257 -5321 -5259 -5172 -5181 -5243 -5364 -5445 -5492 -5476 -5472 -5525 -5540 -5437 -5391 -5374 -5454 -5571 -5568 -5520 -5461 -5477 -5554 -5612 -5548 -5334 -5199 -5124 -5186 -5221 -5199 -5170 -5187 -5224 -5288 -5258 -5137 -5147 -5208 -5338 -5323 -5296 -5188 -5180 -5377 -5532 -5552 -5483 -5339 -5373 -5500 -5600 -5467 -5400 -5388 -5570 -5745 -5804 -5671 -5524 -5604 -5645 -5743 -5687 -5592 -5578 -5676 -5792 -5846 -5762 -5729 -5754 -5834 -5885 -5687 -5522 -5595 -5686 -5825 -5772 -5692 -5596 -5571 -5639 -5633 -5599 -5510 -5535 -5632 -5739 -5683 -5553 -5543 -5542 -5654 -5622 -5543 -5433 -5336 -5387 -5408 -5479 -5484 -5544 -5607 -5712 -5687 -5530 -5470 -5518 -5586 -5652 -5631 -5526 -5509 -5601 -5743 -5826 -5764 -5678 -5610 -5672 -5697 -5728 -5616 -5602 -5600 -5634 -5634 -5652 -5588 -5551 -5576 -5658 -5728 -5744 -5786 -5817 -5846 -5848 -5780 -5745 -5789 -5767 -5778 -5688 -5684 -5702 -5753 -5837 -5882 -5818 -5691 -5611 -5537 -5474 -5406 -5355 -5376 -5373 -5447 -5477 -5427 -5376 -5424 -5374 -5403 -5317 -5263 -5217 -5267 -5372 -5444 -5427 -5407 -5440 -5494 -5554 -5481 -5391 -5323 -5417 -5540 -5594 -5568 -5497 -5465 -5582 -5648 -5580 -5480 -5347 -5397 -5490 -5513 -5468 -5457 -5461 -5486 -5472 -5370 -5217 -5167 -5209 -5292 -5294 -5278 -5288 -5335 -5457 -5432 -5276 -5121 -5091 -5162 -5176 -5143 -5011 -5010 -5067 -5251 -5361 -5247 -5133 -5044 -5032 -5038 -4950 -4888 -4874 -4948 -5076 -5063 -4969 -4918 -4968 -5141 -5138 -5049 -4940 -4837 -4936 -5104 -5189 -5143 -5005 -4919 -4947 -4993 -4977 -4859 -4799 -4880 -4972 -5044 -4931 -4820 -4756 -4794 -4765 -4775 -4656 -4611 -4639 -4754 -4844 -4841 -4847 -4826 -4820 -4831 -4743 -4714 -4629 -4654 -4759 -4785 -4734 -4626 -4626 -4677 -4671 -4600 -4385 -4283 -4284 -4413 -4475 -4442 -4325 -4269 -4360 -4394 -4426 -4310 -4193 -4116 -4128 -4231 -4238 -4218 -4241 -4314 -4404 -4339 -4233 -4190 -4205 -4332 -4429 -4373 -4212 -4116 -4240 -4388 -4461 -4341 -4170 -4095 -4137 -4244 -4249 -4188 -4159 -4185 -4160 -4149 -3983 -3833 -3797 -3834 -3892 -3870 -3773 -3786 -3896 -4018 -3975 -3920 -3850 -3814 -3817 -3771 -3667 -3630 -3702 -3825 -3950 -3863 -3736 -3682 -3703 -3794 -3787 -3643 -3582 -3559 -3610 -3711 -3712 -3631 -3601 -3590 -3665 -3654 -3630 -3628 -3676 -3739 -3734 -3713 -3661 -3636 -3655 -3626 -3583 -3436 -3337 -3378 -3498 -3609 -3572 -3515 -3437 -3377 -3373 -3355 -3305 -3280 -3256 -3224 -3226 -3271 -3370 -3366 -3477 -3470 -3359 -3251 -3194 -3162 -3208 -3244 -3272 -3263 -3273 -3296 -3331 -3288 -3244 -3245 -3286 -3250 -3241 -3113 -3012 -3007 -3081 -3237 -3237 -3111 -3006 -2923 -2975 -3047 -3016 -3007 -2988 -3023 -3084 -3051 -2955 -2924 -2948 -3000 -3058 -3046 -3010 -2999 -3132 -3299 -3252 -3137 -2946 -2953 -2977 -2984 -2957 -2801 -2733 -2822 -2950 -3029 -2935 -2793 -2759 -2775 -2756 -2719 -2592 -2613 -2647 -2695 -2679 -2590 -2570 -2666 -2784 -2796 -2675 -2551 -2570 -2706 -2869 -2870 -2703 -2650 -2659 -2725 -2741 -2623 -2514 -2575 -2755 -2884 -2894 -2756 -2676 -2740 -2874 -2882 -2820 -2631 -2555 -2658 -2776 -2835 -2804 -2765 -2823 -2864 -2815 -2679 -2601 -2609 -2676 -2735 -2708 -2598 -2472 -2524 -2610 -2668 -2605 -2422 -2316 -2291 -2319 -2421 -2415 -2437 -2476 -2488 -2562 -2508 -2429 -2431 -2369 -2430 -2418 -2351 -2403 -2485 -2586 -2706 -2685 -2630 -2582 -2649 -2661 -2693 -2582 -2582 -2574 -2738 -2777 -2744 -2674 -2626 -2608 -2676 -2692 -2629 -2581 -2592 -2713 -2769 -2766 -2672 -2631 -2643 -2677 -2817 -2742 -2693 -2633 -2764 -2855 -2982 -2933 -2917 -2919 -2958 -2836 -2713 -2638 -2626 -2768 -2831 -2830 -2734 -2644 -2666 -2751 -2728 -2661 -2558 -2460 -2516 -2524 -2579 -2603 -2683 -2776
+121 120 74 21 31 59 -29 -68 -95 9 113 180 230 260 298 369 361 276 198 222 345 434 479 452 423 513 633 647 546 305 251 351 510 583 500 427 371 432 462 411 286 260 229 258 225 203 221 377 446 492 380 273 262 218 291 255 201 177 413 534 641 552 465 417 408 399 300 221 254 384 525 598 585 573 511 538 574 471 389 410 502 598 597 558 518 537 625 606 504 354 348 537 646 757 640 545 544 605 649 640 535 442 491 605 709 768 760 758 726 758 674 575 526 590 696 660 591 546 582 668 722 715 618 526 565 620 626 539 469 472 575 606 593 524 435 487 531 572 557 502 594 732 781 730 609 535 593 682 719 699 679 780 967 1033 993 818 748 812 920 941 826 664 684 825 995 947 834 708 726 779 780 722 644 592 679 751 707 697 646 722 746 734 626 585 593 778 817 769 677 662 771 866 854 773 725 757 850 922 851 795 815 923 1042 1031 947 893 877 902 854 792 716 741 865 1031 1009 896 790 792 852 817 759 638 642 766 931 989 875 804 742 835 917 928 868 747 835 891 980 1036 995 998 1003 1021 1019 970 980 977 1002 1019 994 939 989 1081 1041 945 847 763 815 856 887 848 812 837 889 929 862 735 699 704 791 805 791 817 907 1021 1128 1091 1014 920 937 1006 944 935 948 1111 1250 1259 1166 1039 942 1022 1071 1005 944 866 967 1071 1142 1102 952 814 746 768 736 751 784 905 1008 1035 994 969 974 990 927 846 749 791 945 1097 1147 1128 1072 1103 1176 1188 1066 950 926 981 1109 1139 1104 1091 1145 1224 1183 1053 935 865 902 981 995 925 929 1008 1098 1095 1020 886 792 826 869 899 848 850 971 1147 1264 1204 1022 828 817 895 1007 1045 1044 1110 1202 1289 1254 1104 1028 1002 1000 1047 1079 1116 1184 1280 1263 1207 1015 938 1005 1096 1070 971 855 891 1059 1185 1123 956 870 887 907 972 924 836 876 1013 1136 1114 1015 922 1007 1096 1074 988 933 979 1132 1265 1204 1080 1016 1041 1096 1056 964 858 883 1045 1144 1117 1034 930 925 1030 1075 1023 875 761 831 911 984 1016 1064 1081 1082 1058 998 910 915 937 975 1004 1015 1133 1259 1290 1246 1084 971 978 1069 1163 1177 1154 1140 1168 1211 1167 1065 1025 1013 1006 1040 1032 978 967 967 986 980 908 869 874 909 954 928 880 819 857 921 921 888 827 867 938 1016 985 911 884 891 1021 1027 1072 1021 1042 1090 1159 1148 1128 1113 1113 1130 1077 1098 1169 1259 1272 1256 1134 1045 1047 1125 1143 1048 909 883 988 1102 1094 1031 918 918 998 1046 1016 936 872 926 1018 973 920 861 894 1049 1151 1107 1022 975 1053 1159 1145 1040 984 1024 1110 1127 1038 921 873 943 1068 1065 988 897 917 1063 1086 1031 880 798 787 885 926 925 927 1002 1134 1134 1105 1034 1046 1093 1110 1081 1010 1021 1192 1359 1435 1350 1146 1052 1067 1157 1209 1202 1084 1131 1176 1264 1178 1031 887 829 871 918 897 814 824 932 1000 988 867 756 772 824 856 806 656 654 814 963 1041 981 940 930 1017 1039 978 830 810 982 1157 1226 1186 1142 1129 1184 1249 1156 1042 1009 1037 1113 1142 1125 1105 1153 1146 1121 982 870 902 1026 1042 1030 908 854 980 1133 1130 980 836 768 813 921 1020 1011 1052 1117 1186 1130 1043 922 853 924 972 982 996 1048 1112 1204 1132 1017 932 904 972 999 992 942 964 1008 1022 974 940 879 907 937 950 978 984 1002 1040 1126 1183 1147 1138 1148 1102 1018 1004 1059 1163 1253 1362 1303 1272 1320 1320 1368 1233 1096 981 994 1109 1216 1268 1198 1150 1184 1179 1165 1101 999 938 928 970 1006 951 928 1006 1042 1032 941 872 836 912 1038 1041 987 950 1009 1124 1114 998 888 886 1019 1132 1181 1127 1090 1181 1240 1302 1253 1157 1109 1197 1247 1235 1138 1117 1120 1248 1251 1195 1162 1196 1254 1290 1166 969 918 999 1147 1159 1002 903 923 1077 1221 1156 1011 861 899 1011 1090 1065 983 927 1054 1152 1092 1034 1004 1032 1124 1169 1100 1076 1093 1162 1218 1162 1070 994 1008 1097 1158 1088 1051 1065 1095 1129 1046 949 905 926 989 1052 1045 977 961 1054 1150 1169 1181 1147 1160 1226 1212 1196 1181 1155 1259 1324 1351 1318 1335 1389 1387 1377 1236 1088 1089 1170 1286 1297 1257 1155 1117 1126 1167 1113 994 920 911 1051 1124 1129 1065 1054 1053 1031 948 866 826 919 1029 1113 1164 1176 1229 1294 1294 1196 1065 1006 1031 1143 1221 1234 1274 1364 1473 1454 1301 1171 1121 1183 1218 1217 1192 1163 1269 1295 1238 1160 1053 1086 1177 1138 1068 958 991 1156 1279 1283 1129 1023 1028 1158 1160 1050 945 917 1076 1250 1374 1388 1312 1264 1259 1195 1093 1097 1136 1242 1309 1337 1273 1309 1294 1323 1204 1065 946 998 1083 1149 1195 1126 1142 1144 1126 1103 942 886 909 1013 1116 1186 1218 1239 1291 1317 1276 1188 1062 1062 1144 1251 1310 1315 1360 1433 1454 1326 1199 1115 1059 1150 1261 1246 1218 1145 1168 1307 1319 1243 1031 885 932 1049 1145 1091 1029 1024 1123 1205 1196 1093 1029 1015 1060 1042 1000 986 1138 1283 1371 1298 1180 1088 1150 1185 1199 1110 1026 1103 1275 1401 1318 1218 1149 1174 1214 1136 1032 961 1042 1195 1334 1242 1172 1140 1167 1184 1092 948 864 999 1147 1202 1152 1055 1123 1185 1212 1079 926 899 1002 1181 1322 1237 1143 1076 1142 1224 1230 1184 1136 1199 1252 1319 1303 1282 1254 1274 1256 1210 1131 1181 1219 1231 1210 1142 1094 1120 1195 1228 1196 1083 1006 995 1031 1044 1044 1065 1086 1174 1169 1157 1138 1049 1049 1087 1134 1138 1148 1183 1207 1321 1302 1240 1215 1229 1246 1253 1202 1152 1205 1314 1387 1367 1271 1195 1227 1281 1311 1178 1063 1016 1068 1220 1294 1259 1144 1066 1087 1164 1165 1132 1142 1158 1238 1231 1171 1167 1194 1282 1280 1218 1153 1169 1309 1333 1318 1178 1150 1230 1327 1359 1268 1174 1190 1203 1151 1084 1003 1047 1159 1275 1286 1237 1100 1102 1176 1107 1013 899 951 1025 1159 1168 1099 1051 1140 1261 1243 1125 1008 1013 1153 1306 1329 1267 1199 1237 1352 1398 1380 1321 1290 1289 1311 1336 1317 1330 1359 1328 1277 1144 1114 1156 1210 1209 1114 1039 1007 1069 1158 1100 1035 920 876 906 958 982 948 936 997 1090 1170 1159 1073 1080 1085 1119 1125 1082 1084 1181 1332 1403 1378 1235 1174 1229 1241 1205 1130 1147 1141 1250 1330 1273 1121 1037 1042 1130 1184 1057 927 957 1167 1279 1332 1195 1105 1081 1070 1121 1082 1095 1143 1302 1392 1362 1334 1297 1322 1317 1193 1011 962 1058 1207 1350 1262 1189 1124 1182 1265 1188 1055 926 933 1045 1085 1045 950 964 1068 1134 1112 983 899 950 1055 1041 995 952 991 1179 1243 1204 1068 1001 1018 1102 1156 1137 1195 1292 1438 1532 1473 1310 1169 1127 1193 1247 1311 1260 1281 1288 1323 1307 1317 1235 1184 1167 1094 1057 1084 1139 1145 1099 1056 986 926 975 973 964 922 899 910 1054 1092 1079 1014 948 880 889 919 978 1007 1065 1126 1213 1203 1183 1122 1102 1125 1148 1094 1058 1040 1094 1188 1185 1117 1106 1118 1156 1171 1140 1053 975 1055 1088 1113 1062 1058 1047 1162 1207 1169 1039 1007 1040 1101 1098 1124 1161 1173 1212 1147 1045 1038 1060 1195 1162 1071 999 1070 1199 1280 1215 1024 898 963 1062 1124 1044 956 935 1045 1100 1087 962 881 931 1028 1043 922 840 882 975 1042 997 938 917 1017 1206 1226 1174 1113 1107 1153 1235 1170 1062 1064 1182 1323 1444 1443 1379 1339 1370 1350 1300 1223 1180 1229 1270 1299 1284 1247 1237 1197 1182 1116 1041 1015 1034 1141 1075 970 860 889 938 1018 992 876 792 859 1021 1152 1146 1088 1012 1054 1114 1140 1093 1007 991 1123 1233 1256 1209 1156 1190 1317 1301 1254 1115 1068 1145 1216 1190 1104 985 1055 1159 1229 1154 1006 959 1042 1140 1127 1063 1019 1099 1196 1232 1182 1036 966 1007 1113 1212 1164 1209 1329 1346 1324 1184 1078 1058 1066 1062 1010 1013 1131 1287 1394 1363 1136 939 893 961 993 955 858 850 971 1043 1071 1000 937 943 932 875 846 840 922 1033 1150 1179 1144 1166 1158 1171 1093 1028 1041 1099 1214 1294 1274 1295 1257 1274 1285 1237 1156 1128 1119 1179 1207 1242 1292 1302 1248 1198 1134 1080 1078 1045 979 948 970 965 1068 1173 1199 1124 956 867 867 952 950 1007 952 1016 1185 1311 1289 1222 1062 1001 1048 1146 1221 1220 1244 1303 1353 1324 1200 1096 1047 1104 1168 1195 1125 1143 1218 1327 1301 1201 1032 1040 1068 1152 1099 1003 1031 1132 1277 1286 1242 1108 1124 1147 1205 1158 1052 1045 1124 1286 1320 1258 1196 1172 1241 1244 1140 1031 1068 1170 1325 1295 1165 1107 1133 1267 1280 1121 908 817 917 1091 1226 1151 1057 1087 1197 1228 1146 964 913 928 1042 1090 1079 1047 1147 1213 1246 1177 1049 1012 1075 1152 1221 1177 1140 1177 1257 1306 1276 1215 1163 1140 1249 1245 1241 1194 1216 1303 1313 1262 1220 1128 1112 1170 1148 1119 1106 1083 1051 1114 1041 1055 1062 1106 1153 1127 1060 1016 1011 1120 1172 1177 1091 1073 1136 1265 1314 1315 1187 1113 1192 1296 1336 1358 1302 1289 1358 1341 1287 1186 1155 1190 1236 1234 1237 1244 1269 1295 1212 1108 1012 983 1031 1116 1125 1046 1032 1119 1160 1144 1129 1130 1148 1190 1224 1148 1128 1152 1269 1369 1386 1344 1346 1364 1382 1332 1238 1196 1334 1435 1473 1410 1289 1269 1324 1352 1290 1117 962 991 1139 1246 1305 1244 1189 1221 1234 1237 1109 1024 1004 1042 1080 1131 1206 1292 1385 1426 1304 1166 1073 1048 1125 1206 1223 1256 1319 1427 1481 1473 1303 1167 1141 1135 1187 1234 1192 1235 1280 1347 1274 1199 1085 1018 1072 1128 1124 1067 1087 1143 1259 1216 1144 1098 1094 1181 1207 1101 1092 1050 1259 1444 1514 1392 1304 1225 1278 1309 1287 1180 1071 1208 1344 1465 1485 1375 1283 1280 1255 1194 1079 1020 1036 1161 1171 1136 1077 1086 1162 1128 1053 902 825 907 1064 1209 1173 1130 1073 1127 1139 1052 962 975 1059 1232 1368 1419 1393 1371 1375 1365 1238 1098 1041 1104 1228 1320 1305 1296 1354 1378 1389 1220 1096 1028 1043 1160 1166 1096 1040 1115 1247 1307 1275 1128 1026 989 1072 1158 1149 1192 1143 1194 1214 1218 1152 1133 1095 1079 1070 1053 1115 1218 1304 1318 1273 1162 1194 1166 1173 1148 1111 1073 1078 1203 1289 1294 1267 1271 1226 1202 1127 1042 1020 1045 1159 1217 1258 1249 1239 1268 1276 1197 1116 1114 1197 1281 1357 1342 1274 1304 1324 1338 1326 1250
+78 117 92 12 -73 -85 -112 -7 35 64 74 84 164 235 263 246 201 126 114 181 238 280 328 310 299 342 365 331 296 139 135 202 287 363 357 318 222 249 272 322 338 323 262 271 290 342 384 469 461 463 445 457 458 433 454 497 505 516 625 677 703 641 547 515 512 483 485 526 497 530 615 645 675 660 566 527 547 525 546 653 711 742 699 688 629 663 736 756 705 592 624 765 825 942 879 782 734 755 728 740 743 725 725 771 865 935 941 951 858 881 857 904 951 969 1007 945 954 992 997 1000 966 966 1007 1007 1077 1111 1132 1107 1049 1033 980 938 928 950 923 938 969 984 1096 1137 1212 1225 1174 1125 1105 1100 1052 1030 1019 1087 1158 1275 1378 1325 1345 1223 1232 1269 1317 1333 1320 1195 1194 1211 1303 1346 1362 1298 1236 1243 1278 1388 1460 1404 1339 1333 1273 1314 1296 1313 1312 1347 1391 1476 1501 1560 1558 1496 1455 1479 1485 1458 1444 1416 1429 1485 1491 1552 1516 1493 1503 1521 1544 1537 1531 1535 1517 1508 1442 1415 1420 1484 1574 1693 1651 1606 1569 1617 1675 1615 1544 1470 1447 1485 1577 1625 1545 1511 1513 1575 1668 1654 1623 1494 1494 1506 1573 1691 1744 1724 1713 1726 1774 1807 1857 1843 1764 1688 1685 1682 1731 1821 1750 1675 1662 1648 1652 1627 1645 1643 1639 1645 1650 1655 1620 1597 1549 1513 1520 1515 1538 1600 1634 1675 1750 1786 1771 1734 1690 1656 1567 1593 1625 1752 1837 1831 1793 1791 1684 1712 1726 1694 1706 1615 1628 1662 1710 1739 1697 1579 1459 1433 1466 1546 1559 1590 1598 1614 1681 1731 1717 1681 1556 1502 1530 1582 1691 1682 1703 1695 1675 1707 1708 1699 1651 1561 1515 1483 1482 1507 1572 1596 1600 1608 1525 1461 1424 1454 1446 1477 1514 1475 1528 1593 1629 1560 1519 1463 1398 1377 1348 1323 1330 1397 1508 1601 1675 1629 1501 1307 1243 1227 1302 1362 1373 1447 1463 1499 1489 1467 1467 1394 1306 1266 1307 1364 1420 1440 1350 1301 1267 1299 1332 1282 1197 1129 1133 1235 1309 1345 1274 1136 1124 1102 1061 1062 1091 1070 1099 1120 1141 1170 1193 1164 1173 1127 1052 1028 1056 1127 1144 1192 1174 1189 1171 1183 1164 1110 1036 931 905 964 984 999 987 933 892 926 983 994 930 773 711 726 803 899 926 875 803 801 837 815 833 771 741 764 797 870 908 867 785 674 577 580 580 639 668 648 672 686 679 678 609 592 540 483 519 554 534 543 513 516 565 547 596 571 583 534 448 425 349 379 389 429 389 336 361 398 450 442 389 318 233 264 270 346 302 335 286 305 298 293 305 238 203 130 211 289 363 296 209 159 168 167 206 140 35 -44 1 126 190 134 68 11 -3 -21 -42 -76 -53 -76 -70 -72 -80 -86 -65 -52 -20 -20 -34 -16 16 42 54 58 20 74 81 63 -38 -133 -201 -259 -237 -213 -178 -181 -170 -212 -197 -236 -233 -289 -344 -443 -477 -460 -402 -288 -227 -169 -279 -296 -334 -314 -347 -360 -420 -419 -392 -250 -200 -218 -318 -408 -471 -503 -456 -428 -385 -430 -359 -325 -260 -327 -445 -594 -686 -715 -646 -581 -524 -516 -497 -458 -430 -499 -540 -570 -647 -666 -700 -798 -735 -616 -503 -429 -454 -500 -561 -589 -600 -679 -775 -824 -736 -686 -572 -562 -561 -634 -681 -660 -709 -703 -724 -767 -739 -731 -666 -592 -532 -643 -715 -844 -866 -811 -699 -733 -732 -761 -789 -728 -736 -808 -871 -933 -960 -956 -866 -749 -692 -595 -546 -511 -587 -662 -763 -857 -825 -835 -770 -639 -530 -529 -539 -650 -696 -697 -729 -737 -797 -849 -831 -818 -809 -804 -822 -788 -850 -848 -916 -924 -833 -737 -720 -734 -751 -722 -716 -689 -749 -851 -929 -897 -781 -627 -608 -597 -649 -662 -601 -634 -633 -747 -847 -906 -893 -815 -719 -625 -590 -619 -660 -693 -681 -678 -737 -771 -802 -767 -684 -661 -681 -635 -629 -642 -679 -693 -721 -647 -648 -588 -538 -562 -536 -519 -605 -699 -787 -800 -726 -654 -560 -597 -629 -613 -617 -593 -568 -634 -657 -626 -682 -627 -586 -537 -531 -515 -572 -590 -520 -423 -408 -424 -509 -598 -570 -514 -456 -497 -610 -665 -661 -557 -486 -482 -521 -588 -543 -465 -433 -385 -403 -477 -465 -420 -449 -369 -318 -312 -324 -307 -284 -220 -193 -223 -257 -311 -302 -328 -321 -318 -281 -283 -230 -219 -224 -282 -296 -284 -274 -259 -292 -280 -270 -281 -300 -297 -254 -190 -97 -67 -92 -107 -146 -95 -25 -41 -10 -49 -21 -7 82 169 166 212 135 74 41 52 110 136 116 80 35 21 98 100 69 64 25 120 226 296 319 277 226 167 168 137 138 186 159 241 320 398 472 506 428 355 279 236 175 238 248 288 335 393 454 507 503 475 480 510 502 455 471 452 529 489 432 437 470 589 634 573 481 462 527 624 700 656 539 483 515 623 622 573 546 525 603 708 864 934 913 865 780 719 659 728 773 802 810 842 883 957 977 966 852 787 774 827 814 791 809 798 860 867 847 873 786 807 797 800 876 918 998 1033 1024 955 935 976 1021 1044 1076 1094 1120 1182 1218 1271 1258 1177 1081 1104 1070 1081 1165 1157 1199 1143 1143 1173 1215 1187 1096 966 987 1092 1207 1236 1181 1146 1157 1272 1350 1355 1338 1257 1237 1199 1231 1254 1346 1368 1367 1364 1312 1270 1297 1281 1296 1261 1215 1248 1303 1357 1350 1343 1336 1352 1359 1339 1316 1319 1371 1410 1465 1431 1482 1474 1477 1422 1332 1319 1345 1478 1523 1490 1402 1374 1482 1508 1514 1420 1332 1365 1426 1507 1583 1512 1478 1445 1436 1445 1438 1471 1505 1543 1527 1554 1602 1625 1593 1545 1491 1481 1569 1687 1740 1618 1519 1494 1539 1580 1629 1593 1565 1546 1551 1561 1580 1547 1543 1564 1531 1503 1434 1495 1567 1580 1608 1592 1631 1653 1716 1744 1721 1712 1671 1613 1649 1669 1658 1665 1642 1628 1646 1700 1712 1692 1620 1579 1579 1623 1684 1603 1529 1478 1451 1606 1670 1668 1610 1521 1540 1608 1664 1672 1608 1571 1563 1522 1502 1489 1479 1484 1538 1551 1580 1625 1701 1631 1554 1458 1429 1484 1561 1594 1605 1526 1549 1578 1541 1526 1475 1481 1468 1495 1521 1561 1555 1583 1595 1479 1402 1301 1390 1448 1482 1465 1407 1387 1426 1514 1466 1384 1280 1270 1295 1345 1360 1363 1361 1336 1358 1378 1416 1425 1405 1305 1267 1272 1385 1447 1459 1392 1314 1287 1310 1345 1281 1200 1105 1109 1128 1199 1195 1126 1128 1106 1088 1036 998 986 1010 1029 1058 1142 1194 1235 1214 1207 1125 1069 1112 1161 1183 1197 1198 1187 1203 1166 1151 1131 1014 931 884 936 929 1007 1058 1011 922 839 765 796 877 831 771 745 807 881 989 965 924 799 687 697 721 764 774 861 892 895 989 978 947 876 723 609 574 672 713 778 681 704 732 783 798 714 614 571 547 566 586 553 500 544 625 605 597 590 541 572 607 524 524 490 544 611 578 473 362 339 337 356 325 284 333 453 555 607 592 419 268 142 133 150 276 307 330 345 312 341 403 409 372 274 120 94 148 190 171 82 64 64 67 84 34 12 3 55 83 154 89 107 42 -37 -88 -128 -89 13 41 83 44 84 105 153 93 -18 -93 -143 -175 -163 -214 -188 -151 -95 -101 -117 -149 -150 -192 -214 -289 -370 -368 -352 -263 -279 -275 -346 -272 -239 -222 -270 -371 -478 -468 -400 -312 -241 -302 -343 -390 -449 -380 -354 -308 -381 -431 -430 -359 -312 -304 -372 -456 -543 -541 -519 -466 -507 -522 -473 -406 -371 -401 -470 -537 -554 -517 -532 -577 -597 -537 -503 -503 -552 -567 -528 -508 -481 -595 -658 -696 -673 -643 -638 -677 -724 -719 -649 -615 -531 -491 -479 -517 -532 -608 -655 -611 -550 -487 -579 -589 -577 -538 -517 -598 -704 -758 -746 -642 -599 -608 -735 -798 -866 -773 -788 -752 -779 -839 -855 -760 -713 -604 -613 -607 -685 -723 -740 -728 -714 -690 -748 -704 -661 -658 -667 -702 -699 -658 -671 -635 -681 -745 -764 -735 -779 -767 -855 -842 -862 -841 -859 -968 -968 -931 -789 -756 -785 -846 -847 -811 -758 -746 -812 -894 -924 -859 -714 -655 -586 -498 -585 -607 -707 -732 -750 -824 -842 -864 -840 -739 -602 -567 -598 -750 -877 -858 -787 -756 -757 -806 -798 -732 -721 -708 -717 -754 -776 -803 -857 -836 -748 -677 -637 -635 -657 -701 -701 -750 -783 -840 -874 -818 -702 -660 -615 -613 -598 -595 -636 -671 -721 -739 -675 -662 -626 -590 -523 -431 -390 -462 -581 -654 -642 -532 -535 -598 -698 -670 -570 -448 -377 -404 -514 -618 -628 -609 -526 -533 -487 -486 -443 -342 -315 -376 -380 -462 -516 -463 -376 -251 -174 -154 -198 -235 -274 -339 -358 -438 -451 -380 -292 -237 -141 -124 -114 -172 -256 -341 -387 -403 -335 -303 -314 -263 -210 -137 -81 -47 -97 -93 -151 -170 -122 -89 -36 -22 52 30 56 35 22 6 -24 -67 -91 22 68 115 82 -3 7 25 103 84 -13 -114 -116 -11 122 248 203 147 173 220 197 182 112 101 78 119 164 212 219 259 221 167 141 143 164 207 197 239 267 299 381 348 356 317 283 294 294 355 377 440 461 481 492 471 477 564 559 519 443 407 483 596 600 519 472 426 482 580 630 618 595 561 542 524 531 503 506 491 530 561 629 699 801 766 711 740 788 817 880 805 737 775 811 843 894 818 793 791 839 925 948 916 885 807 779 781 753 751 828 837 857 874 901 960 967 1027 1023 1039 1021 1057 1034 1026 1023 1041 1078 1115 1176 1252 1280 1263 1161 1073 1047 1160 1227 1254 1186 1102 1134 1215 1305 1261 1201 1088 1059 1122 1180 1224 1232 1208 1245 1249 1264 1176 1169 1180 1161 1188 1259 1343 1400 1436 1388 1288 1235 1243 1256 1248 1281 1244 1328 1430 1544 1569 1572 1441 1351 1346 1258 1298 1360 1379 1438 1501 1517 1472 1508 1519 1477 1427 1393 1367 1384 1446 1467 1453 1388 1401 1452 1484 1509 1427 1331 1347 1331 1466 1564 1619 1561 1536 1437 1445 1464 1519 1498 1443 1477 1527 1644 1750 1775 1702 1615 1527 1516 1496 1436 1382 1430 1454 1530 1580 1621 1586 1526 1487 1450 1404 1442 1458 1544 1568 1621 1605 1617 1619 1568 1521 1514 1498 1558 1686 1779 1831 1789 1700 1616 1535 1517 1518 1485 1500 1498 1498 1528 1635 1675 1663 1562 1453 1456 1436 1479 1498 1394 1365 1427 1504 1581 1584 1519 1486 1439 1465 1530 1572 1608 1578 1545 1542 1528 1589 1606 1566 1475 1413 1426 1492 1582 1617 1597 1544 1487 1611 1581 1509 1450 1416 1392 1473 1519 1554 1576 1602 1674 1671 1587 1545 1467 1466 1432 1416 1392 1437 1533 1555 1572 1502 1372 1322 1365 1426 1429 1411 1339 1284 1359 1361 1384 1397 1416
+120 3 -66 -19 68 122 99 108 144 189 230 159 7 -104 -54 13 63 3 -97 -87 -47 30 7 -143 -210 -245 -177 -148 -157 -163 -137 -44 -21 -40 -114 -149 -96 71 163 209 235 210 338 490 548 461 375 326 408 435 491 452 461 667 768 856 748 677 530 619 538 473 332 330 544 675 820 801 610 566 617 633 541 353 322 426 561 632 613 606 667 773 788 731 528 547 662 856 912 793 744 731 858 913 869 745 672 754 813 807 750 765 773 859 886 725 549 578 706 858 879 886 941 958 1044 1102 1089 1040 979 1035 1083 1040 1047 1067 1225 1397 1476 1437 1335 1302 1294 1342 1270 1204 1201 1254 1433 1439 1428 1410 1387 1374 1424 1350 1320 1321 1286 1305 1286 1224 1152 1146 1193 1190 1204 1139 1141 1240 1320 1335 1325 1248 1207 1211 1235 1307 1302 1339 1359 1497 1586 1626 1682 1699 1684 1700 1603 1472 1450 1493 1655 1784 1802 1795 1875 1844 1900 1842 1732 1707 1688 1696 1717 1634 1550 1607 1657 1757 1761 1629 1536 1533 1562 1600 1537 1479 1501 1638 1788 1774 1704 1645 1721 1822 1830 1790 1753 1835 2011 2111 2018 1920 1794 1791 1843 1824 1732 1646 1798 1893 1999 1853 1732 1690 1704 1806 1754 1662 1624 1650 1822 1922 1882 1812 1814 1945 1990 1902 1741 1690 1731 1886 1990 1949 1892 1964 2031 2112 2121 2005 1940 1950 2088 2133 2070 2051 1998 2095 2147 2118 2031 1902 1883 1931 1953 1939 1823 1852 1930 1974 1948 1816 1684 1664 1765 1822 1803 1791 1793 1846 1968 2004 1938 1815 1738 1836 1902 1864 1857 1904 2012 2119 2143 2067 1898 1819 1893 1943 1992 1907 1866 1962 2050 2093 2084 1945 1958 1917 1937 1826 1688 1664 1709 1842 1912 1799 1671 1644 1659 1707 1707 1550 1491 1542 1652 1756 1698 1690 1763 1889 2019 1958 1839 1770 1854 1930 1943 1851 1790 1831 1925 1930 1894 1800 1736 1735 1821 1785 1688 1631 1644 1704 1693 1573 1416 1391 1424 1506 1509 1507 1503 1551 1640 1593 1475 1293 1263 1316 1399 1389 1418 1500 1544 1543 1525 1483 1507 1553 1602 1628 1590 1483 1465 1512 1634 1593 1535 1484 1453 1464 1461 1479 1439 1446 1437 1410 1388 1333 1257 1287 1257 1287 1282 1309 1312 1386 1458 1471 1365 1247 1176 1203 1212 1220 1145 1157 1220 1307 1341 1304 1273 1281 1288 1315 1225 1104 965 989 1079 1150 1140 1085 1144 1226 1270 1175 975 817 788 877 880 796 698 679 750 822 765 586 583 683 808 894 796 678 672 798 906 897 762 729 852 1026 1097 1039 1000 990 1144 1109 961 809 744 842 939 997 836 696 719 846 891 818 692 592 582 616 604 473 342 395 423 489 368 218 190 281 370 396 275 119 152 216 242 263 222 194 299 390 408 331 300 338 442 486 414 319 242 247 332 336 261 233 199 272 421 397 290 213 173 172 227 153 136 128 214 341 335 324 219 207 212 217 104 -20 -27 -19 157 157 91 -1 -17 68 116 86 6 -4 6 45 -4 -74 -147 -94 -67 -87 -208 -329 -359 -207 -168 -193 -364 -485 -495 -476 -513 -512 -559 -538 -400 -377 -424 -480 -495 -349 -217 -210 -311 -398 -340 -239 -161 -172 -172 -178 -125 -3 2 -102 -104 -72 -42 -61 -147 -225 -167 -92 5 -99 -221 -323 -309 -297 -277 -438 -504 -556 -506 -577 -588 -713 -751 -739 -674 -695 -776 -772 -745 -668 -586 -658 -734 -710 -635 -538 -533 -641 -668 -639 -504 -428 -458 -495 -561 -567 -617 -639 -662 -699 -626 -497 -402 -467 -566 -630 -562 -404 -389 -485 -600 -627 -516 -458 -487 -534 -559 -543 -474 -437 -496 -545 -534 -492 -479 -577 -667 -793 -706 -521 -426 -500 -625 -638 -603 -494 -497 -598 -668 -626 -614 -606 -730 -837 -912 -768 -624 -668 -800 -842 -863 -819 -810 -923 -1071 -1067 -958 -774 -780 -879 -950 -895 -734 -674 -696 -807 -908 -708 -557 -562 -659 -666 -534 -369 -287 -358 -509 -523 -388 -263 -233 -364 -400 -299 -262 -219 -246 -374 -383 -362 -325 -382 -507 -596 -578 -500 -476 -606 -732 -800 -690 -634 -659 -780 -808 -733 -722 -632 -621 -668 -599 -562 -538 -573 -646 -627 -528 -437 -349 -336 -376 -353 -315 -304 -307 -438 -533 -471 -426 -314 -343 -347 -283 -148 -141 -137 -227 -274 -316 -228 -211 -210 -236 -225 -187 -112 -103 -178 -182 -148 -120 -112 -152 -218 -169 -111 -54 -82 -111 -134 -33 52 135 96 62 121 174 135 29 -81 -103 -60 19 28 -69 -122 -107 -20 -5 -49 -127 -129 -90 -76 -121 -236 -275 -209 20 159 139 120 65 122 200 161 -3 -8 70 241 367 316 256 364 490 581 579 489 495 571 699 710 709 591 647 710 673 605 445 418 525 658 634 537 447 470 488 550 395 225 131 176 272 442 500 500 585 683 753 661 543 452 491 581 665 656 654 744 866 976 926 872 808 778 888 874 790 712 707 834 962 1031 973 868 873 928 972 858 793 783 896 1025 1032 906 818 828 924 977 973 856 903 1046 1200 1270 1240 1158 1132 1195 1195 1123 1031 1080 1251 1417 1494 1402 1283 1229 1363 1319 1207 1044 960 1044 1189 1284 1321 1268 1309 1343 1307 1202 1038 1027 1145 1350 1358 1311 1250 1271 1405 1406 1350 1247 1259 1285 1418 1444 1423 1417 1487 1659 1686 1650 1531 1548 1627 1783 1774 1723 1742 1770 1880 1862 1792 1711 1646 1646 1608 1620 1522 1519 1614 1719 1713 1636 1548 1524 1532 1509 1526 1473 1519 1656 1782 1853 1819 1762 1804 1815 1791 1768 1725 1693 1812 1900 1934 1939 1944 1981 1997 1984 1854 1766 1745 1830 1955 2035 1960 1881 1879 1923 1881 1800 1719 1727 1775 1825 1793 1706 1653 1659 1771 1781 1768 1667 1628 1730 1854 1915 1947 1856 1948 1997 2000 1950 1901 1870 2001 2083 2094 2040 2053 2141 2288 2328 2195 1967 1857 1866 1960 2011 1955 1972 2091 2213 2280 2148 2008 1973 2048 2033 1993 1812 1775 1850 1992 2088 1994 1878 1803 1869 1951 1891 1768 1716 1749 1949 2042 1990 1928 1909 1956 2086 2030 1911 1879 1983 2185 2217 2137 2008 1893 1985 1991 1923 1794 1675 1711 1802 1927 1895 1828 1847 1918 1951 1835 1731 1620 1659 1787 1842 1851 1725 1752 1957 2139 2156 2022 1851 1785 1824 1881 1841 1865 1899 1993 2107 2094 1963 1898 1979 2010 1993 1879 1749 1633 1723 1847 1846 1722 1596 1549 1559 1590 1533 1434 1359 1406 1486 1460 1368 1340 1331 1444 1476 1473 1375 1409 1541 1692 1764 1643 1526 1494 1587 1643 1620 1538 1564 1610 1753 1740 1707 1672 1682 1741 1716 1656 1529 1491 1644 1703 1669 1581 1487 1496 1600 1656 1582 1438 1348 1304 1310 1278 1224 1209 1256 1293 1248 1158 1034 1078 1198 1279 1224 1154 1106 1149 1243 1301 1254 1187 1153 1166 1201 1174 1160 1172 1175 1260 1195 1100 969 930 1021 1032 981 944 918 1004 1121 1116 1055 930 926 947 969 867 796 882 1006 1081 1078 993 975 1021 1046 1140 1053 923 906 1005 1076 1060 1088 1005 1055 1145 1178 1132 943 910 855 886 794 655 593 621 709 721 575 359 326 367 444 508 369 244 212 315 348 282 238 186 350 453 490 378 376 463 552 585 495 325 277 397 525 531 461 446 482 619 687 625 519 497 543 566 577 407 287 393 515 585 476 313 222 248 341 346 236 114 83 163 144 38 -89 -125 8 84 131 50 -81 -56 85 85 -31 -174 -177 -100 27 63 -86 -179 -167 -71 -16 -87 -142 -231 -226 -135 -100 -242 -323 -330 -217 -129 -209 -276 -291 -252 -155 -136 -233 -328 -372 -296 -216 -174 -273 -363 -293 -143 -123 -213 -214 -173 -54 -3 -46 -172 -270 -168 -47 -12 -101 -177 -160 -79 -4 -102 -230 -326 -384 -433 -530 -701 -748 -682 -592 -493 -538 -707 -805 -800 -752 -774 -861 -954 -873 -728 -673 -665 -694 -652 -637 -569 -605 -691 -730 -637 -563 -493 -532 -543 -500 -461 -360 -365 -462 -473 -434 -342 -305 -383 -434 -399 -376 -338 -410 -522 -579 -569 -501 -501 -598 -607 -638 -567 -591 -666 -814 -842 -755 -696 -680 -719 -742 -702 -665 -586 -661 -732 -726 -701 -689 -760 -876 -935 -814 -706 -531 -597 -714 -794 -730 -692 -678 -792 -804 -760 -666 -636 -703 -742 -787 -705 -659 -652 -748 -763 -703 -600 -540 -603 -777 -905 -806 -728 -715 -698 -725 -548 -369 -260 -328 -456 -460 -377 -315 -339 -419 -507 -483 -305 -185 -238 -314 -382 -371 -362 -449 -652 -820 -831 -693 -557 -627 -775 -758 -706 -620 -597 -783 -898 -873 -756 -678 -701 -760 -799 -661 -520 -494 -609 -725 -635 -496 -414 -451 -502 -483 -306 -193 -200 -246 -399 -372 -249 -197 -195 -278 -248 -108 27 -17 -153 -327 -389 -330 -310 -332 -406 -413 -235 -111 -121 -207 -345 -389 -309 -229 -264 -352 -371 -304 -170 -135 -122 -174 -152 -81 -37 -119 -200 -286 -168 -82 4 -83 -149 -159 -67 62 35 -25 -43 1 62 107 90 54 67 99 95 44 -45 -47 21 94 148 75 39 64 105 163 104 20 14 88 208 267 260 321 415 545 533 440 329 340 423 472 511 504 460 517 593 637 533 415 342 357 399 394 371 355 410 478 472 410 329 388 436 513 403 252 261 383 482 537 463 415 434 524 602 582 590 612 720 757 747 749 715 814 955 934 927 774 791 940 1026 1059 943 810 817 872 875 793 718 700 767 839 872 829 836 944 1027 1047 925 781 707 795 931 1016 962 997 1003 1116 1181 1131 1053 999 1062 1160 1226 1161 1136 1175 1272 1355 1279 1205 1173 1259 1460 1520 1413 1224 1269 1352 1452 1388 1219 1128 1146 1213 1242 1195 1125 1187 1316 1369 1300 1130 1018 1086 1253 1259 1180 1102 1218 1452 1641 1690 1595 1464 1480 1569 1530 1445 1356 1422 1590 1714 1688 1622 1623 1697 1842 1854 1638 1434 1425 1474 1621 1624 1579 1588 1627 1711 1619 1489 1379 1412 1545 1661 1628 1523 1516 1561 1688 1677 1586 1478 1570 1728 1849 1876 1874 1864 1923 1944 1905 1819 1736 1759 1806 1856 1861 1778 1772 1824 1858 1906 1719 1643 1596 1629 1661 1643 1546 1501 1625 1746 1829 1756 1739 1690 1772 1817 1755 1774 1771 1833 1893 1948 1895 1804 1829 1940 2021 2021 1987 2012 2026 2154 2174 2148 2062 2103 2092 2073 2008 1952 2005 2118 2234 2221 2113 1955 1887 1906 1871 1768 1687 1689 1740 1841 1872 1840 1805 1772 1800 1730 1620 1484 1542 1727 1861 1952 1791 1796 1859 1951 2020 1852 1723 1682 1805 1938 1921 1849 1879 1989 2110 2089 2018 1828 1857 1922 2053 1946 1901 1847 1969 2042 2043 1919 1746 1694 1781 1866 1833 1712 1722 1805 1922 1932 1780 1651 1750 1823 1879 1838 1703 1716 1896 2008 2116 1982 1871 1833 1810 1830 1722 1648 1617 1712 1790 1811 1694 1555 1552 1607 1585 1428 1262 1233 1342 1546 1591 1543
+-399 -417 -540 -581 -552 -516 -418 -500 -608 -796 -998 -1095 -1133 -1113 -1130 -1204 -1242 -1277 -1272 -1264 -1237 -1306 -1539 -1701 -1742 -1800 -1795 -1856 -1889 -1905 -2006 -1939 -2022 -1988 -2083 -2193 -2235 -2267 -2327 -2364 -2460 -2505 -2547 -2632 -2663 -2607 -2642 -2683 -2811 -2961 -3060 -3190 -3136 -3091 -3031 -3160 -3254 -3324 -3308 -3298 -3315 -3432 -3543 -3574 -3568 -3414 -3414 -3520 -3633 -3806 -3832 -3822 -3819 -3788 -3838 -3941 -3934 -4009 -4038 -4099 -4247 -4398 -4474 -4470 -4483 -4439 -4366 -4376 -4419 -4420 -4524 -4655 -4723 -4789 -4918 -5010 -5075 -5079 -5033 -4895 -4910 -4950 -5040 -5097 -5090 -5072 -5103 -5263 -5381 -5481 -5495 -5584 -5511 -5569 -5578 -5545 -5556 -5460 -5423 -5487 -5518 -5702 -5924 -6047 -6063 -6053 -6045 -6059 -6127 -6116 -6132 -6060 -5983 -5952 -5998 -6139 -6188 -6247 -6246 -6375 -6531 -6703 -6800 -6712 -6611 -6412 -6281 -6277 -6304 -6431 -6493 -6647 -6796 -7022 -7131 -7124 -7171 -7115 -7031 -6971 -6917 -6831 -6831 -6861 -6898 -7027 -7122 -7267 -7327 -7451 -7489 -7513 -7489 -7456 -7480 -7368 -7343 -7231 -7179 -7275 -7481 -7656 -7827 -7796 -7814 -7763 -7805 -7897 -7918 -7728 -7586 -7482 -7477 -7610 -7741 -7820 -7859 -7824 -7862 -7932 -8049 -8202 -8177 -8164 -8095 -8039 -7964 -7893 -7901 -7922 -7973 -8032 -8194 -8393 -8588 -8682 -8641 -8506 -8358 -8250 -8257 -8264 -8241 -8265 -8286 -8357 -8474 -8643 -8783 -8827 -8838 -8731 -8746 -8716 -8577 -8527 -8432 -8448 -8571 -8597 -8691 -8768 -8843 -8949 -8948 -9010 -8899 -8881 -8870 -8841 -8788 -8804 -8830 -8898 -9059 -9033 -9018 -8917 -8924 -9043 -9129 -9233 -9145 -9063 -8989 -9028 -9075 -9072 -8984 -8938 -8862 -8946 -9139 -9354 -9470 -9433 -9437 -9352 -9332 -9289 -9316 -9301 -9229 -9288 -9264 -9366 -9447 -9534 -9517 -9441 -9406 -9344 -9430 -9486 -9613 -9574 -9543 -9472 -9450 -9490 -9533 -9536 -9537 -9568 -9650 -9640 -9814 -9866 -9843 -9769 -9738 -9740 -9770 -9770 -9778 -9739 -9734 -9714 -9708 -9758 -9871 -9910 -9894 -9885 -9878 -9887 -9917 -9930 -9874 -9800 -9791 -9796 -9808 -9927 -9907 -9876 -9827 -9812 -9916 -10012 -10063 -9986 -9996 -9981 -9995 -10090 -10080 -10072 -9908 -9860 -9852 -9908 -10042 -10155 -10251 -10304 -10373 -10324 -10278 -10217 -10050 -9910 -9867 -9858 -9905 -10051 -10216 -10283 -10324 -10261 -10236 -10240 -10277 -10263 -10221 -10169 -10095 -10074 -10080 -10162 -10193 -10218 -10196 -10234 -10318 -10394 -10504 -10479 -10319 -10193 -10108 -10074 -10100 -10071 -10040 -9981 -10053 -10234 -10352 -10428 -10442 -10359 -10273 -10242 -10189 -10213 -10157 -10189 -10059 -10010 -10019 -10152 -10243 -10326 -10408 -10388 -10352 -10375 -10307 -10278 -10196 -10078 -9945 -9961 -10011 -10176 -10289 -10375 -10474 -10535 -10501 -10525 -10448 -10306 -10218 -10166 -10226 -10219 -10190 -10265 -10214 -10243 -10276 -10312 -10349 -10465 -10469 -10422 -10348 -10207 -10154 -10092 -10100 -10082 -10035 -10091 -10213 -10410 -10502 -10525 -10389 -10213 -10132 -10082 -10217 -10236 -10183 -10068 -10026 -10056 -10207 -10320 -10402 -10348 -10309 -10413 -10414 -10469 -10443 -10401 -10226 -10132 -10046 -10078 -10192 -10275 -10302 -10323 -10370 -10365 -10431 -10420 -10335 -10232 -10064 -9995 -10011 -10143 -10231 -10310 -10300 -10301 -10343 -10381 -10360 -10398 -10335 -10258 -10234 -10247 -10275 -10296 -10225 -10256 -10234 -10266 -10286 -10349 -10350 -10336 -10285 -10206 -10182 -10201 -10173 -10193 -10198 -10229 -10238 -10327 -10379 -10380 -10305 -10161 -10084 -10079 -10160 -10261 -10299 -10210 -10182 -10154 -10157 -10269 -10321 -10289 -10215 -10145 -10108 -10182 -10250 -10248 -10185 -10073 -10035 -10129 -10239 -10350 -10346 -10313 -10262 -10318 -10253 -10238 -10104 -10063 -10021 -10025 -10117 -10179 -10257 -10295 -10298 -10296 -10178 -10204 -10172 -10198 -10148 -10164 -10056 -10024 -10056 -10075 -10134 -10102 -10090 -10113 -10189 -10277 -10303 -10277 -10151 -10002 -9861 -9795 -9816 -9884 -9939 -10015 -10095 -10182 -10248 -10323 -10282 -10167 -10049 -9982 -10001 -10009 -9953 -9982 -9915 -9904 -10021 -10174 -10281 -10305 -10253 -10143 -10074 -10000 -9958 -9869 -9830 -9860 -9743 -9873 -9995 -10152 -10249 -10222 -10141 -10169 -10154 -10157 -10135 -10034 -9904 -9856 -9910 -9986 -10062 -10055 -10106 -10099 -10138 -10230 -10210 -10242 -10254 -10120 -10026 -9936 -9860 -9893 -9995 -10007 -10043 -10069 -10101 -10296 -10430 -10444 -10310 -10055 -9856 -9792 -9869 -9935 -9982 -10020 -9960 -9991 -10065 -10138 -10201 -10244 -10187 -10140 -10116 -10138 -10174 -10119 -10028 -9944 -9900 -9924 -10027 -10147 -10253 -10239 -10249 -10276 -10217 -10263 -10182 -10068 -10005 -9954 -10010 -10076 -10170 -10198 -10282 -10344 -10349 -10378 -10415 -10387 -10325 -10190 -10087 -10041 -10090 -10064 -10083 -10025 -10064 -10162 -10249 -10347 -10365 -10290 -10294 -10163 -10143 -10169 -10242 -10314 -10268 -10256 -10259 -10234 -10304 -10350 -10305 -10214 -10176 -10198 -10251 -10426 -10485 -10467 -10316 -10164 -10100 -10114 -10145 -10172 -10111 -10155 -10157 -10297 -10381 -10379 -10321 -10249 -10166 -10168 -10263 -10324 -10293 -10342 -10295 -10247 -10260 -10275 -10272 -10319 -10342 -10392 -10484 -10464 -10514 -10459 -10477 -10428 -10352 -10318 -10320 -10354 -10363 -10379 -10343 -10355 -10405 -10515 -10608 -10546 -10472 -10394 -10369 -10374 -10354 -10309 -10210 -10181 -10330 -10466 -10564 -10671 -10696 -10625 -10615 -10562 -10568 -10440 -10403 -10274 -10204 -10278 -10354 -10481 -10631 -10740 -10682 -10539 -10463 -10556 -10637 -10630 -10567 -10404 -10370 -10347 -10455 -10523 -10521 -10538 -10594 -10711 -10812 -10951 -10941 -10805 -10764 -10505 -10488 -10441 -10491 -10484 -10549 -10614 -10719 -10822 -10904 -10971 -10914 -10856 -10794 -10724 -10725 -10669 -10650 -10564 -10572 -10590 -10588 -10750 -10930 -11077 -11124 -11135 -11024 -10989 -10955 -10869 -10717 -10575 -10473 -10514 -10660 -10813 -10973 -11103 -11128 -11141 -11113 -11141 -11045 -10860 -10736 -10709 -10638 -10705 -10810 -10887 -10921 -10997 -10980 -11064 -11192 -11196 -11267 -11185 -11007 -10922 -10849 -10850 -10823 -10775 -10870 -10999 -11134 -11338 -11403 -11436 -11353 -11274 -11147 -11097 -11061 -10965 -10956 -10905 -10917 -10942 -11044 -11165 -11201 -11219 -11241 -11241 -11321 -11270 -11209 -11051 -10967 -10901 -10891 -10997 -11149 -11239 -11313 -11315 -11327 -11301 -11278 -11269 -11253 -11174 -11030 -10945 -10963 -11119 -11199 -11260 -11182 -11155 -11162 -11244 -11405 -11452 -11452 -11351 -11343 -11387 -11311 -11243 -11157 -11145 -11193 -11254 -11377 -11450 -11518 -11611 -11593 -11501 -11366 -11285 -11187 -11201 -11181 -11197 -11316 -11391 -11509 -11642 -11567 -11475 -11374 -11371 -11367 -11441 -11332 -11369 -11375 -11367 -11369 -11469 -11552 -11526 -11509 -11472 -11468 -11488 -11526 -11456 -11452 -11349 -11423 -11509 -11607 -11697 -11622 -11496 -11385 -11332 -11337 -11393 -11504 -11429 -11410 -11397 -11433 -11458 -11549 -11563 -11483 -11341 -11242 -11268 -11502 -11667 -11677 -11567 -11570 -11552 -11615 -11658 -11630 -11582 -11539 -11605 -11705 -11746 -11768 -11732 -11733 -11657 -11542 -11464 -11481 -11567 -11585 -11684 -11720 -11761 -11700 -11700 -11679 -11575 -11523 -11470 -11521 -11548 -11603 -11653 -11665 -11663 -11645 -11691 -11791 -11828 -11782 -11683 -11616 -11596 -11523 -11533 -11518 -11479 -11487 -11547 -11711 -11852 -11950 -11865 -11740 -11649 -11612 -11681 -11647 -11624 -11560 -11532 -11597 -11654 -11758 -11808 -11791 -11777 -11746 -11698 -11779 -11818 -11796 -11700 -11602 -11494 -11548 -11644 -11705 -11848 -11730 -11799 -11830 -11940 -12005 -11979 -11791 -11641 -11566 -11517 -11541 -11605 -11631 -11656 -11767 -11732 -11817 -11857 -11842 -11830 -11741 -11673 -11620 -11678 -11652 -11659 -11602 -11526 -11570 -11612 -11743 -11810 -11854 -11841 -11723 -11588 -11503 -11521 -11529 -11523 -11470 -11454 -11512 -11621 -11742 -11803 -11689 -11650 -11586 -11631 -11753 -11790 -11697 -11671 -11593 -11651 -11616 -11635 -11662 -11549 -11602 -11661 -11715 -11718 -11716 -11678 -11586 -11570 -11503 -11436 -11498 -11519 -11583 -11584 -11557 -11594 -11685 -11726 -11660 -11564 -11454 -11415 -11548 -11526 -11574 -11536 -11540 -11538 -11582 -11564 -11582 -11554 -11507 -11467 -11404 -11410 -11470 -11533 -11495 -11504 -11379 -11402 -11450 -11588 -11569 -11514 -11339 -11210 -11263 -11350 -11449 -11562 -11506 -11515 -11444 -11406 -11460 -11443 -11386 -11342 -11302 -11299 -11397 -11513 -11554 -11484 -11405 -11314 -11307 -11400 -11433 -11427 -11446 -11428 -11481 -11487 -11430 -11335 -11185 -11147 -11176 -11251 -11356 -11458 -11535 -11555 -11599 -11571 -11474 -11378 -11354 -11195 -11088 -11066 -11138 -11298 -11493 -11615 -11627 -11514 -11500 -11420 -11329 -11246 -11081 -10993 -10976 -11007 -11085 -11177 -11306 -11259 -11293 -11283 -11398 -11445 -11380 -11333 -11100 -10993 -10886 -10979 -11152 -11240 -11258 -11269 -11205 -11282 -11315 -11379 -11382 -11277 -11205 -11170 -11118 -11131 -11121 -11032 -10947 -10883 -10878 -11028 -11194 -11397 -11409 -11444 -11338 -11232 -11119 -10990 -10782 -10686 -10657 -10733 -10883 -11034 -11103 -11178 -11218 -11330 -11224 -11113 -11059 -10906 -10824 -10740 -10694 -10660 -10764 -10872 -10982 -11036 -11035 -10994 -11135 -11187 -11130 -11007 -10753 -10618 -10568 -10588 -10631 -10572 -10584 -10702 -10847 -11021 -11144 -11119 -10999 -10855 -10783 -10707 -10696 -10630 -10644 -10581 -10580 -10584 -10664 -10858 -10889 -10947 -10868 -10831 -10861 -10835 -10740 -10605 -10500 -10361 -10417 -10524 -10605 -10883 -10963 -10987 -10927 -10881 -10822 -10784 -10737 -10654 -10497 -10488 -10477 -10638 -10636 -10620 -10567 -10581 -10570 -10701 -10736 -10725 -10682 -10586 -10583 -10495 -10469 -10471 -10396 -10477 -10422 -10444 -10494 -10694 -10834 -10845 -10717 -10571 -10434 -10498 -10519 -10558 -10465 -10356 -10393 -10473 -10650 -10703 -10735 -10661 -10615 -10597 -10635 -10739 -10648 -10593 -10422 -10322 -10277 -10375 -10369 -10491 -10569 -10602 -10701 -10664 -10652 -10567 -10455 -10360 -10343 -10495 -10585 -10599 -10616 -10640 -10572 -10532 -10571 -10520 -10549 -10536 -10580 -10571 -10556 -10608 -10652 -10583 -10493 -10364 -10304 -10326 -10345 -10487 -10520 -10403 -10394 -10434 -10512 -10561 -10611 -10521 -10422 -10323 -10324 -10410 -10481 -10432 -10364 -10307 -10329 -10359 -10478 -10601 -10630 -10564 -10435 -10326 -10327 -10338 -10362 -10415 -10269 -10223 -10279 -10398 -10429 -10472 -10457 -10444 -10370 -10382 -10493 -10552 -10530 -10434 -10332 -10295 -10242 -10242 -10220 -10225 -10301 -10486 -10668 -10709 -10753 -10574 -10445 -10298 -10147 -10124 -10146 -10165 -10243 -10290 -10374 -10464 -10462 -10470 -10508 -10474 -10335 -10259 -10227 -10307 -10310 -10236 -10106 -10123 -10173 -10364 -10500 -10517 -10478 -10466 -10457 -10565 -10544 -10531 -10354 -10265 -10194 -10212 -10261 -10367 -10502 -10451 -10472 -10454 -10520 -10572 -10543 -10544 -10426 -10194 -10247 -10208 -10366 -10418 -10418 -10400 -10471 -10551 -10703 -10745 -10786 -10755 -10603 -10529 -10445 -10335 -10338 -10364 -10397 -10438 -10599 -10702 -10728 -10760 -10611 -10606 -10518 -10484 -10534 -10541 -10526 -10440 -10423 -10432 -10530 -10598 -10699 -10753 -10778 -10755 -10776 -10758 -10639 -10606 -10452 -10393 -10309 -10373 -10575 -10763 -10814 -10831 -10830 -10813 -10814 -10884 -10773 -10652 -10569 -10561 -10695 -10763 -10841 -10814 -10785 -10824 -10854 -10893 -11030 -10946 -10897 -10768 -10743 -10784 -10861 -10851 -10804 -10832 -10767 -10825 -10887 -11018 -10991 -10978 -10949 -10896 -10859 -10836 -10856 -10843 -10913 -10834 -10885 -10905 -10937 -10936 -10977 -10921 -10878 -10871 -10983 -11136 -11068 -11004 -10899 -10868 -10977 -10994 -11141 -11088 -11065 -11028 -11050 -11089 -11045 -11042 -10962 -10878 -10866 -10886 -10955 -11099 -11153 -11152 -11030 -10971 -10964 -11012 -10996 -11002 -10964 -10939 -10967 -11075 -11192 -11197 -11180 -11146 -11165 -11280 -11295 -11270 -11169 -11116 -11083 -11050 -11088 -11088 -11107 -11130 -11194 -11300 -11354 -11440 -11401 -11331 -11162 -11016 -10914 -10897 -11084 -11081 -11178 -11165 -11251 -11356 -11455 -11443 -11371 -11263 -11152 -11072 -11110 -11124 -11176 -11192 -11155 -11117 -11188 -11275 -11441 -11576 -11549 -11475 -11355 -11309 -11287 -11283 -11248 -11171 -11182 -11253 -11439 -11527 -11562 -11567 -11502 -11459 -11499 -11512 -11576 -11544 -11416 -11362 -11250 -11273 -11358 -11427 -11558 -11604 -11657 -11724 -11764 -11782 -11741 -11545 -11456 -11301 -11343 -11305 -11319 -11401 -11479 -11560 -11686 -11729 -11816 -11741 -11626 -11525 -11478 -11440 -11471 -11488 -11509 -11451 -11482 -11533 -11648 -11750 -11803 -11774 -11727 -11697 -11677 -11635 -11605 -11514 -11400 -11340 -11309 -11393 -11526 -11788 -11856 -11941 -11801 -11743 -11742 -11727 -11698 -11620 -11505 -11474 -11598 -11758 -11826 -11752 -11776 -11696 -11796 -11857 -11924 -11933 -11802 -11742 -11688 -11620 -11614 -11613 -11639 -11721 -11721 -11845 -11818 -11903 -11955 -11933 -11851 -11762 -11686 -11670 -11673 -11689 -11625 -11587 -11578 -11619 -11738 -11757 -11811 -11752 -11732 -11776 -11798 -11807 -11768 -11683 -11659 -11528 -11584 -11689 -11802 -11784 -11803 -11809 -11745 -11752 -11792 -11776 -11656 -11667 -11587 -11684 -11746 -11863 -11939 -11868 -11724 -11656 -11616 -11715 -11841 -11895 -11940 -11913 -11988 -12023 -12002 -11899 -11733 -11608 -11550 -11623 -11768 -11836 -11831 -11795 -11748 -11729 -11777 -11862 -11762 -11771 -11788 -11688 -11754 -11737 -11741 -11732 -11721 -11711 -11701 -11771 -11875 -11975 -11932 -11903 -11835 -11781 -11778 -11732 -11707 -11611 -11561 -11503 -11562 -11690 -11752 -11780 -11812 -11775 -11698 -11767 -11797 -11824 -11758 -11660 -11522 -11467 -11514 -11620 -11722 -11793 -11796 -11883 -11955 -12062 -12039 -11923 -11816 -11643 -11606 -11557 -11565 -11652 -11811 -11813 -11867 -11895 -11959 -12016 -11972 -11903 -11825 -11789 -11755 -11760 -11642 -11543 -11407 -11408 -11507 -11685
+351 376 411 429 462 446 443 459 469 460 449 544 608 636 688 647 606 680 674 671 654 695 671 683 671 617 535 472 457 560 621 721 762 927 983 982 1011 931 905 849 891 971 1095 1190 1274 1312 1407 1447 1584 1592 1607 1576 1491 1345 1181 1166 1279 1426 1561 1735 1765 1882 1954 1908 1791 1538 1340 1246 1411 1539 1684 1741 1786 1892 1926 1968 1958 1851 1849 1873 1906 1853 1844 1885 1993 2168 2193 2166 2166 2283 2380 2446 2426 2314 2244 2251 2212 2221 2182 2085 2090 2149 2214 2289 2281 2310 2396 2325 2264 2174 2040 2062 2140 2254 2263 2319 2346 2368 2443 2562 2598 2701 2763 2807 2791 2741 2572 2580 2620 2733 2896 2972 3026 3158 3249 3327 3253 3168 3056 3025 3077 3167 3104 3040 2966 2941 3019 3060 3085 3094 3182 3189 3103 3000 2843 2815 2709 2740 2755 2819 2873 2991 3153 3298 3293 3274 3165 3129 3126 3103 3089 3062 3087 3153 3224 3391 3458 3550 3557 3490 3458 3371 3350 3328 3251 3316 3309 3292 3375 3384 3454 3478 3516 3562 3620 3614 3518 3399 3327 3279 3321 3443 3513 3598 3610 3675 3751 3751 3672 3649 3726 3823 3844 3853 3880 3905 3845 3854 3792 3780 3835 3970 4057 4076 3978 3949 3890 3802 3689 3599 3530 3571 3605 3733 3763 3704 3684 3687 3716 3709 3703 3742 3689 3704 3728 3683 3678 3745 3832 3947 4007 3975 3937 3967 4079 4129 4181 4135 4124 4183 4276 4383 4427 4308 4328 4454 4537 4575 4461 4349 4315 4316 4420 4441 4339 4332 4309 4314 4214 4141 4055 4062 4200 4272 4347 4294 4257 4187 4180 4126 4075 4083 4135 4350 4432 4452 4404 4311 4287 4269 4224 4174 4174 4320 4399 4564 4607 4542 4482 4443 4482 4465 4433 4356 4369 4433 4483 4500 4605 4639 4675 4700 4675 4562 4408 4363 4350 4426 4432 4452 4403 4541 4735 4848 4820 4769 4669 4676 4755 4722 4683 4687 4641 4779 4860 4984 5007 5056 5088 5009 4885 4718 4703 4709 4807 4792 4772 4722 4698 4657 4622 4620 4607 4603 4708 4789 4758 4678 4597 4465 4399 4372 4351 4463 4618 4722 4871 4872 4820 4773 4742 4707 4707 4799 4837 4877 4909 4969 5033 5052 5139 5190 5255 5307 5316 5303 5283 5233 5228 5137 5081 4981 4901 4942 5000 5081 5152 5136 5180 5203 5204 5111 5071 4934 4799 4692 4717 4704 4842 5004 5118 5177 5111 5131 5129 5205 5137 5028 4930 4816 4860 4871 4904 4952 5073 5292 5428 5540 5536 5348 5225 5109 4982 4883 4816 4880 5153 5293 5376 5327 5253 5196 5168 5144 5107 5044 4972 5054 5090 5163 5113 5070 5153 5225 5369 5445 5452 5427 5424 5460 5489 5446 5379 5349 5408 5417 5457 5501 5545 5519 5587 5564 5539 5518 5490 5494 5404 5345 5258 5228 5251 5307 5296 5231 5200 5220 5333 5316 5365 5272 5248 5124 5098 5009 4965 5001 5073 5222 5418 5546 5590 5578 5601 5554 5473 5454 5409 5461 5590 5674 5675 5674 5714 5727 5787 5872 5839 5759 5669 5640 5624 5551 5438 5380 5369 5489 5603 5683 5714 5663 5680 5610 5566 5503 5446 5489 5520 5548 5497 5504 5539 5642 5725 5696 5621 5546 5599 5649 5630 5627 5590 5560 5624 5711 5708 5666 5682 5823 5865 5846 5819 5749 5672 5659 5637 5527 5392 5419 5520 5613 5731 5783 5727 5626 5548 5498 5437 5411 5478 5685 5762 5758 5756 5725 5736 5790 5833 5874 5849 5847 5901 5933 5975 5966 5892 5896 5909 5955 6022 6034 6068 6043 5966 5897 5812 5812 5869 5921 5938 5861 5748 5696 5721 5781 5785 5700 5711 5632 5702 5689 5642 5565 5532 5507 5609 5566 5644 5742 5818 5954 5966 5963 5873 5918 5909 5969 5921 5875 5840 5893 5984 6049 6080 6070 6055 6000 5962 5832 5831 5795 5878 5913 6002 5942 5784 5722 5766 5838 5890 5911 5864 5891 5921 5852 5815 5714 5644 5608 5728 5793 5951 6032 6129 6101 6077 5966 5903 5872 5964 6046 6026 5981 5957 6016 6128 6172 6235 6148 6109 6100 6017 5907 5779 5616 5651 5730 5736 5737 5801 5836 5936 5934 5792 5610 5518 5523 5611 5645 5654 5609 5796 5898 5957 5988 5966 5914 5921 5926 5849 5800 5832 5886 6072 6136 6093 6094 6037 6054 6119 6119 6079 6072 6116 6145 6124 6068 5903 5863 5825 5857 5880 5861 5856 5883 5933 5884 5839 5708 5658 5717 5789 5806 5832 5681 5694 5726 5776 5838 5912 5954 6055 6115 6121 5972 5857 5750 5700 5743 5720 5729 5862 5962 6084 6154 6033 6003 5857 5860 5817 5736 5577 5579 5611 5786 5858 5929 5930 5943 5913 5913 5887 5741 5682 5652 5656 5675 5727 5743 5817 5973 6057 6058 6062 6133 6177 6192 6120 5931 5796 5800 5901 6033 6080 6144 6179 6184 6200 6153 6035 5890 5813 5821 5830 5787 5676 5632 5645 5701 5677 5749 5702 5805 5847 5923 5748 5684 5514 5535 5551 5550 5616 5688 5790 5889 5927 5874 5839 5841 5889 5933 5929 5847 5848 5923 5933 5973 6030 5997 5997 6062 6109 6121 6161 6154 6143 6155 6073 5925 5827 5773 5754 5709 5722 5761 5865 6002 6122 6151 6000 5836 5715 5623 5589 5684 5713 5783 5844 5975 6069 6076 5975 6006 5979 5934 5927 5786 5707 5742 5727 5781 5751 5744 5855 6004 6099 6098 5936 5758 5667 5653 5613 5644 5638 5698 5792 5877 5742 5671 5630 5641 5787 5783 5741 5708 5708 5817 5813 5778 5698 5728 5856 5922 5998 6032 6052 6086 6194 6233 6079 5900 5794 5875 5973 5955 5937 5863 5944 6107 6147 6067 5859 5653 5620 5631 5584 5599 5630 5694 5741 5784 5665 5532 5485 5431 5508 5541 5516 5483 5516 5568 5553 5526 5438 5438 5583 5702 5816 5778 5708 5661 5737 5802 5844 5884 5897 5946 6010 6000 5926 5894 5813 5851 5918 5898 5836 5877 5884 5836 5793 5726 5663 5660 5696 5720 5783 5714 5720 5732 5783 5786 5709 5557 5572 5588 5715 5731 5729 5769 5816 5936 5951 5846 5736 5639 5625 5652 5725 5700 5746 5773 5857 5920 5816 5763 5680 5687 5705 5735 5664 5580 5542 5539 5483 5437 5432 5481 5651 5788 5823 5670 5600 5555 5503 5488 5472 5474 5615 5754 5872 5900 5934 6005 6069 6081 5982 5919 5919 5938 5963 5909 5824 5778 5895 6021 6163 6134 6070 5943 5843 5789 5710 5549 5496 5525 5595 5660 5657 5637 5688 5676 5698 5654 5525 5424 5408 5381 5414 5397 5431 5463 5555 5697 5668 5675 5594 5625 5698 5755 5825 5838 5852 5877 5946 5831 5734 5679 5707 5871 5988 6034 5985 5938 5908 5890 5813 5687 5573 5604 5683 5691 5659 5591 5616 5747 5822 5907 5904 5823 5798 5885 5842 5701 5528 5370 5448 5654 5869 5946 5982 5939 5902 5954 5882 5716 5637 5570 5591 5647 5628 5657 5750 5923 5959 5884 5787 5679 5631 5633 5557 5435 5323 5303 5373 5496 5562 5544 5484 5567 5606 5671 5661 5538 5584 5652 5705 5620 5614 5576 5709 5866 6043 6004 5929 5939 5983 5952 5849 5822 5792 5889 5965 5966 5837 5837 5798 5835 5930 5935 5859 5849 5896 5872 5795 5633 5546 5439 5537 5622 5668 5670 5643 5629 5579 5484 5500 5476 5488 5609 5560 5594 5521 5560 5665 5717 5768 5770 5785 5863 5882 5950 5891 5896 5840 5819 5787 5770 5764 5796 5820 5890 5993 5959 5979 6000 5914 5855 5745 5606 5559 5600 5753 5857 5956 5941 6013 5982 5978 5942 5852 5697 5713 5829 5857 5852 5803 5781 5854 6007 6027 6056 6085 6098 6125 6050 5935 5809 5759 5819 5939 6007 6015 6097 6051 6008 5877 5801 5646 5638 5735 5805 5781 5661 5584 5596 5637 5663 5706 5642 5712 5831 5918 5881 5775 5679 5702 5763 5839 5808 5815 5882 6047 6197 6190 6126 6031 6095 6144 6054 5903 5847 5911 6061 6236 6283 6250 6157 6165 6163 6158 6051 5850 5827 5900 5985 5962 5887 5809 5805 5815 5795 5803 5820 5892 5948 5957 5900 5782 5728 5819 5891 5956 5969 5980 6012 6137 6196 6087 6007 5976 6000 6097 6126 6091 6016 5934 5935 5948 5934 5896 5855 6052 6126 6206 6074 5962 5869 5869 5849 5843 5796 5790 5816 5928 6050 6023 5962 5942 5966 5948 5973 5843 5829 5867 5987 6074 6094 6061 6142 6305 6351 6387 6294 6196 6193 6197 6217 6142 6040 6004 6017 6087 6154 6140 6180 6221 6226 6069 5845 5649 5603 5648 5780 5826 5889 5893 6014 6027 6003 5849 5731 5679 5741 5818 5829 5805 5856 5929 6032 6055 5991 5992 6117 6175 6278 6179 6092 6061 6052 6143 6111 6138 6096 6190 6241 6309 6296 6147 6148 6138 6133 6102 5973 5889 5964 5951 6031 5979 5927 5936 5985 6057 6050 6016 6018 6063 6131 6124 6068 6003 6051 6028 6054 6069 6079 6133 6280 6373 6343 6232 6008 5963 6010 6047 6035 5999 6009 5999 6087 6167 6094 6006 5944 6009 6071 6031 5968 5837 5717 5724 5657 5658 5655 5776 5985 6173 6234 6134 5956 5910 5789 5760 5712 5695 5853 6015 6269 6357 6360 6336 6369 6381 6332 6248 6072 6004 5999 6067 6089 6092 6109 6182 6306 6308 6137 5948 5880 5893 5912 5854 5773 5728 5773 5844 5861 5749 5724 5757 5890 5941 5853 5735 5640 5678 5748 5806 5774 5707 5728 5821 5893 5891 5848 5791 5970 6066 6166 6130 6112 6046 5992 5927 5775 5760 5755 5962 6087 6176 6142 6008 5895 5861 5814 5759 5634 5639 5716 5835 5880 5918 5832 5884 5852 5966 5954 5928 5887 5930 5932 5874 5832 5795 5800 5940 5969 5978 5942 5889 5972 6076 6026 5959 5845 5795 5799 5796 5777 5718 5718 5753 5806 5840 5813 5697 5663 5589 5599 5512 5415 5388 5466 5550 5600 5539 5504 5556 5682 5778 5797 5787 5718 5714 5722 5725 5730 5790 5969 6116 6271 6288 6156 6036 6019 6011 5980 5884 5870 5891 6023 6058 6080 5976 5878 5867 5878 5828 5777 5774 5746 5767 5753 5645 5546 5539 5594 5780 5737 5687 5577 5626 5653 5698 5685 5530 5575 5580 5652 5742 5668 5757 5798 5898 5904 5869 5798 5758 5795 5856 5776 5709 5669 5722 5845 5848 5771 5702 5735 5776 5797 5814 5748 5683 5646 5582 5566 5541 5507 5659 5811 5917 5963 5935 5817 5783 5761 5720 5671 5688 5703 5861 5915 5941 5908 5820 5847 5844 5863 5885 5904 5879 5967 5949 5806 5607 5477 5532 5685 5750 5715 5708 5666 5643 5702 5655 5512 5435 5343 5360 5313 5211 5195 5291 5423 5541 5614 5657 5686 5735 5756 5669 5569 5417 5424 5534 5686 5772 5767 5780 5955 6048 6189 6068 5915 5755 5700 5642 5605 5554 5585 5762 5948 6069 6058 5954 5830 5776 5696 5581 5459 5385 5480 5610 5672 5557 5451 5370 5460 5537 5485 5406 5494 5513 5635 5615 5518 5363 5330 5472 5618 5648 5599 5607 5645 5783 5763 5687 5585 5647 5698 5698 5604 5489 5439 5462 5539 5564 5550 5613 5669 5777 5809 5716 5594 5458 5484 5465 5523 5556 5517 5530 5658 5750 5804 5808 5720 5679 5694 5637 5578 5562 5569 5666 5784 5831 5799 5817 5836 5885 5855 5807 5782 5780 5785 5813 5682 5455 5371 5395 5472 5600 5673 5628 5620 5681 5562 5435 5246 5117 5073 5175 5286 5321 5417 5524 5627 5692 5634 5416 5359 5417 5533 5541 5519 5507 5549 5737 5801 5820 5796 5774 5825 5828 5775 5708 5647 5710 5798 5827 5823 5784 5735 5810 5801 5774 5656 5544 5559 5576 5516 5457 5397 5437 5501 5527 5458 5398 5372 5386 5522 5589 5423 5428 5475 5647 5728 5721 5554 5443 5445 5497 5573 5668 5727 5769 5838 5862 5715 5540 5395 5402 5427 5422 5484 5517 5560 5676 5718 5627 5464 5400 5430
+172 215 282 354 366 347 325 382 421 494 563 619 617 558 538 512 486 550 580 623 624 668 738 801 834 836 767 724 701 724 771 776 798 866 901 948 979 1013 1027 1077 1158 1201 1242 1225 1244 1169 1185 1183 1308 1353 1458 1558 1538 1512 1411 1434 1471 1515 1527 1576 1554 1614 1674 1660 1608 1466 1428 1486 1630 1764 1857 1845 1846 1851 1808 1801 1769 1688 1738 1784 1878 1953 2024 2041 2113 2177 2140 2136 2114 2133 2172 2213 2224 2187 2205 2248 2237 2286 2282 2211 2280 2339 2376 2412 2344 2297 2291 2260 2269 2256 2239 2313 2367 2490 2525 2582 2604 2562 2551 2574 2534 2616 2698 2747 2732 2687 2634 2664 2761 2852 2977 3015 3021 3034 3047 3054 2991 2954 2875 2907 2980 3120 3169 3179 3145 3071 3044 3015 3019 3052 3103 3161 3141 3127 3075 3032 3009 3032 3065 3105 3155 3184 3262 3337 3353 3382 3331 3305 3240 3226 3200 3234 3295 3349 3419 3479 3519 3524 3543 3535 3577 3574 3574 3486 3407 3413 3419 3457 3532 3496 3523 3547 3592 3707 3770 3753 3668 3521 3425 3410 3448 3556 3635 3707 3749 3776 3820 3804 3776 3759 3795 3809 3839 3870 3939 3950 3912 3841 3751 3739 3813 3903 4017 4067 4034 4010 3988 3944 3905 3848 3791 3771 3785 3829 3803 3802 3815 3878 3957 3968 3987 3975 3973 3986 3954 3923 3833 3815 3835 3890 3956 4019 4112 4193 4306 4309 4269 4167 4121 4131 4139 4182 4153 4082 4130 4273 4376 4432 4360 4307 4253 4259 4354 4402 4372 4319 4260 4203 4118 4113 4128 4191 4272 4340 4403 4419 4423 4403 4334 4234 4145 4074 4117 4222 4367 4406 4423 4375 4393 4383 4394 4387 4398 4449 4463 4475 4478 4449 4376 4321 4365 4398 4444 4491 4554 4625 4647 4629 4614 4572 4580 4526 4463 4412 4384 4421 4437 4531 4589 4582 4585 4609 4676 4724 4686 4632 4544 4505 4558 4574 4587 4618 4635 4757 4842 4959 4955 4963 4883 4791 4678 4580 4598 4619 4714 4737 4773 4807 4799 4783 4708 4674 4647 4627 4678 4772 4769 4747 4669 4560 4514 4485 4538 4615 4716 4817 4884 4895 4857 4836 4776 4705 4656 4684 4718 4797 4882 4916 4914 4898 4932 5001 5029 5033 4999 4911 4903 4929 4920 4874 4870 4802 4763 4788 4837 4941 4974 5017 4973 4936 4866 4834 4804 4770 4745 4698 4687 4714 4773 4841 4888 4885 4812 4826 4835 4923 4910 4915 4909 4843 4813 4768 4740 4719 4792 4928 5052 5116 5130 5040 4940 4895 4813 4755 4706 4742 4855 4871 4928 4886 4857 4856 4858 4835 4824 4827 4851 4952 4965 4941 4813 4689 4687 4738 4881 4986 5006 4972 4981 5013 5055 5085 5044 4989 4957 4963 4966 5042 5061 5070 5050 4995 4965 4987 5014 5074 5041 5031 4990 4963 4958 4947 4881 4817 4750 4723 4795 4876 4980 5006 5032 5002 4961 4883 4797 4823 4811 4849 4931 4970 4982 5012 5019 5040 5044 5092 5099 5149 5187 5196 5158 5072 5060 5039 5026 5062 5090 5120 5174 5246 5272 5184 5079 4966 4905 4945 5022 5076 5100 5032 5028 4998 5007 5058 5063 5107 5096 5070 5049 5072 5110 5110 5082 4999 4934 4919 5015 5062 5099 5133 5119 5148 5156 5189 5105 5075 5042 5083 5098 5104 5107 5093 5078 5068 5030 5007 4988 5040 5093 5153 5127 5097 5035 4950 4906 4839 4816 4824 4940 5108 5180 5218 5189 5120 5042 5026 4996 5002 4997 5007 5080 5120 5160 5172 5129 5122 5126 5136 5176 5218 5228 5204 5105 5021 5026 5043 5085 5103 5115 5058 5021 5006 5021 4990 4993 4979 4960 4960 5009 5036 5010 4940 4898 4881 4934 4915 4974 5013 5059 5124 5167 5232 5188 5168 5108 5082 5031 5038 5023 5080 5163 5172 5199 5215 5198 5203 5206 5122 5085 5049 5063 5153 5236 5179 5071 4950 4924 4970 5080 5154 5186 5206 5172 5091 5068 5018 4986 4970 5005 5005 5068 5147 5265 5268 5246 5171 5125 5133 5188 5242 5261 5230 5198 5175 5154 5159 5165 5157 5192 5233 5253 5253 5248 5160 5186 5211 5150 5101 5078 5074 5084 5070 5037 5001 5046 5076 5105 5096 5101 5093 5183 5219 5193 5136 5122 5030 5056 5127 5165 5209 5237 5295 5399 5417 5389 5345 5231 5151 5101 5110 5133 5252 5319 5358 5342 5271 5194 5203 5217 5220 5189 5137 5099 5103 5133 5111 5047 4959 4949 5015 5152 5225 5272 5264 5252 5179 5106 5069 5062 5074 5143 5192 5223 5187 5208 5202 5241 5270 5242 5223 5235 5249 5226 5202 5107 5089 5034 5081 5106 5094 5103 5145 5199 5299 5288 5272 5170 5102 5080 5093 5093 5070 5091 5081 5145 5183 5246 5230 5223 5236 5240 5218 5239 5299 5341 5333 5268 5111 5053 5137 5238 5354 5402 5385 5405 5387 5392 5356 5228 5114 5080 5094 5146 5196 5208 5188 5204 5190 5178 5221 5218 5258 5284 5286 5181 5144 5054 5075 5053 5077 5117 5216 5333 5398 5425 5366 5329 5277 5237 5242 5261 5259 5289 5371 5374 5401 5464 5465 5430 5425 5392 5402 5436 5456 5479 5516 5457 5379 5331 5321 5286 5238 5260 5297 5374 5475 5555 5563 5478 5367 5283 5213 5257 5275 5339 5368 5373 5443 5442 5485 5437 5475 5494 5514 5537 5498 5445 5420 5359 5336 5291 5318 5394 5492 5567 5596 5541 5489 5431 5392 5308 5247 5242 5262 5368 5415 5392 5350 5303 5291 5373 5427 5487 5492 5478 5485 5425 5379 5283 5300 5370 5388 5392 5424 5468 5588 5704 5763 5643 5497 5407 5421 5471 5480 5499 5438 5474 5575 5575 5534 5444 5379 5402 5433 5424 5434 5439 5470 5470 5403 5261 5171 5116 5166 5264 5335 5419 5466 5512 5522 5429 5317 5245 5204 5248 5289 5312 5328 5376 5393 5475 5557 5584 5591 5583 5584 5585 5560 5516 5409 5339 5303 5369 5467 5546 5626 5623 5560 5487 5424 5395 5375 5384 5358 5345 5312 5337 5381 5478 5482 5449 5344 5322 5345 5474 5550 5563 5529 5496 5509 5487 5442 5392 5352 5373 5412 5515 5560 5630 5653 5696 5665 5519 5416 5348 5376 5397 5451 5500 5473 5437 5438 5424 5398 5418 5428 5516 5526 5509 5445 5385 5350 5338 5365 5396 5439 5527 5608 5654 5685 5645 5632 5615 5575 5513 5519 5585 5647 5691 5648 5573 5529 5576 5656 5719 5719 5686 5646 5629 5608 5574 5488 5412 5435 5433 5453 5509 5535 5576 5578 5560 5502 5464 5419 5459 5458 5411 5398 5401 5476 5555 5613 5538 5498 5452 5479 5559 5612 5660 5645 5659 5628 5620 5557 5498 5479 5506 5585 5648 5720 5703 5687 5645 5597 5574 5500 5484 5520 5556 5549 5481 5395 5387 5420 5475 5531 5543 5552 5571 5636 5633 5588 5447 5333 5318 5374 5500 5550 5562 5569 5571 5638 5585 5537 5516 5444 5477 5495 5449 5439 5439 5463 5452 5426 5382 5369 5401 5437 5460 5436 5406 5374 5390 5398 5308 5243 5173 5221 5321 5377 5414 5379 5430 5464 5502 5449 5453 5383 5405 5433 5459 5465 5462 5492 5459 5472 5388 5456 5496 5640 5687 5634 5490 5381 5327 5338 5398 5445 5407 5430 5433 5433 5483 5435 5418 5373 5381 5408 5418 5435 5388 5351 5274 5185 5170 5192 5258 5369 5427 5505 5512 5510 5509 5449 5366 5310 5291 5339 5384 5433 5492 5547 5603 5638 5592 5538 5500 5445 5413 5390 5445 5463 5493 5519 5486 5449 5443 5400 5407 5424 5425 5449 5505 5488 5504 5482 5480 5383 5339 5288 5375 5477 5503 5511 5422 5391 5387 5514 5588 5620 5614 5569 5518 5455 5397 5373 5394 5393 5450 5516 5544 5629 5641 5578 5463 5329 5171 5155 5226 5342 5366 5352 5353 5334 5343 5343 5321 5278 5306 5341 5369 5352 5297 5264 5248 5322 5308 5274 5303 5325 5449 5511 5520 5456 5416 5440 5448 5394 5289 5239 5357 5413 5482 5495 5429 5398 5397 5405 5430 5353 5273 5266 5325 5391 5376 5298 5185 5151 5146 5164 5225 5283 5370 5384 5383 5329 5231 5228 5220 5217 5192 5181 5233 5281 5336 5385 5321 5273 5302 5363 5409 5496 5449 5379 5276 5206 5161 5155 5166 5184 5275 5338 5421 5450 5424 5336 5251 5182 5114 5127 5110 5116 5171 5210 5250 5231 5268 5299 5293 5313 5265 5271 5263 5351 5386 5340 5249 5227 5238 5309 5365 5399 5416 5414 5453 5505 5506 5433 5370 5307 5254 5235 5239 5309 5322 5363 5288 5214 5149 5161 5170 5274 5315 5321 5302 5264 5205 5125 5015 4970 5016 5174 5305 5366 5406 5412 5408 5390 5368 5247 5200 5202 5243 5302 5297 5334 5412 5407 5408 5356 5350 5361 5414 5463 5446 5338 5227 5209 5244 5259 5292 5237 5216 5254 5282 5381 5375 5347 5267 5206 5180 5147 5148 5211 5256 5300 5293 5239 5254 5321 5340 5397 5345 5307 5289 5323 5369 5324 5248 5142 5095 5150 5243 5326 5376 5414 5384 5372 5327 5216 5105 5073 5111 5196 5248 5267 5244 5156 5150 5112 5075 5060 5105 5178 5250 5283 5255 5184 5149 5068 5068 5033 5041 5147 5249 5405 5456 5400 5319 5316 5329 5326 5326 5260 5190 5171 5206 5266 5299 5352 5325 5363 5341 5284 5229 5248 5299 5230 5144 5061 5027 5081 5127 5164 5142 5091 5148 5183 5274 5236 5162 5076 5065 5099 5145 5157 5162 5201 5224 5242 5222 5179 5156 5258 5320 5389 5404 5379 5349 5308 5204 5124 5087 5113 5261 5336 5417 5403 5329 5279 5280 5266 5234 5198 5211 5235 5275 5267 5240 5187 5176 5142 5209 5264 5348 5415 5446 5437 5395 5348 5277 5255 5263 5216 5203 5247 5343 5437 5530 5490 5447 5401 5360 5351 5354 5274 5240 5181 5210 5248 5309 5316 5295 5284 5271 5313 5287 5271 5242 5237 5219 5181 5139 5106 5139 5216 5307 5332 5373 5378 5388 5382 5370 5340 5310 5397 5489 5614 5598 5497 5378 5371 5396 5390 5437 5470 5499 5550 5562 5541 5473 5389 5340 5256 5213 5183 5230 5314 5395 5416 5372 5339 5311 5299 5343 5321 5256 5185 5193 5224 5239 5235 5222 5278 5341 5416 5469 5429 5446 5426 5439 5406 5371 5289 5261 5277 5373 5419 5416 5472 5481 5492 5400 5319 5263 5271 5332 5324 5320 5278 5280 5300 5341 5322 5279 5245 5301 5377 5478 5528 5509 5455 5364 5272 5250 5245 5307 5411 5488 5516 5477 5475 5465 5490 5492 5483 5485 5492 5497 5556 5522 5426 5317 5263 5303 5400 5489 5534 5538 5522 5440 5435 5384 5312 5311 5261 5297 5285 5261 5299 5354 5395 5419 5426 5455 5445 5444 5461 5454 5451 5426 5408 5448 5446 5432 5447 5487 5583 5687 5757 5726 5636 5577 5551 5542 5516 5455 5444 5485 5551 5628 5652 5653 5576 5528 5467 5412 5393 5416 5538 5572 5573 5422 5319 5274 5331 5390 5383 5387 5475 5573 5647 5670 5552 5434 5341 5372 5422 5454 5482 5456 5491 5555 5542 5552 5531 5585 5616 5607 5592 5485 5449 5455 5461 5418 5379 5375 5426 5511 5586 5569 5546 5505 5517 5491 5457 5439 5384 5349 5426 5455 5490 5523 5490 5512 5511 5524 5549 5593 5559 5584 5593 5537 5473 5452 5429 5404 5445 5460 5555 5662 5745 5785 5702 5501 5387 5303 5311 5355 5387 5394 5409 5515 5520 5510 5433 5332 5287 5318 5357 5408 5441 5441 5406 5373 5311 5275 5297 5350 5458 5525 5514 5565 5574 5639 5603 5554 5481 5442 5470 5497 5532 5486 5498 5545 5598 5635 5663 5657 5617 5605 5548 5503 5447 5377 5361 5343 5303 5267 5332 5423 5558 5587 5575 5464 5390 5359 5401 5380 5311 5317 5364 5471 5583 5598 5571 5572 5547 5540 5523 5537 5560 5607 5615 5611 5494 5423 5350 5362 5427 5459 5545 5628 5666 5623 5570 5417 5332 5310 5335
+90 202 291 371 408 347 297 331 349 434 494 552 511 437 418 390 450 567 645 694 732 732 763 835 862 887 817 834 836 912 942 951 955 1027 1026 1028 1016 1038 1080 1144 1172 1182 1146 1114 1163 1167 1209 1175 1229 1247 1327 1395 1418 1386 1355 1367 1393 1417 1418 1465 1500 1555 1576 1530 1469 1465 1510 1550 1622 1667 1713 1762 1799 1861 1806 1777 1780 1741 1752 1749 1805 1874 1922 1948 1957 1943 1918 1977 2013 2077 2086 2077 2078 2099 2117 2150 2107 2110 2108 2142 2286 2353 2378 2417 2345 2310 2335 2320 2300 2340 2388 2414 2412 2447 2460 2561 2632 2648 2679 2663 2600 2669 2674 2690 2615 2549 2494 2533 2614 2685 2785 2846 2921 2947 2914 2893 2846 2822 2783 2737 2709 2797 2855 3005 3060 3092 3082 3043 3064 3094 3116 3120 3055 3044 3011 3030 3037 3077 3180 3259 3333 3343 3374 3387 3380 3382 3336 3288 3159 3082 3091 3211 3337 3443 3491 3501 3486 3457 3476 3464 3514 3550 3564 3464 3356 3356 3400 3478 3586 3563 3572 3564 3612 3716 3754 3717 3621 3532 3451 3438 3444 3518 3610 3714 3761 3764 3781 3825 3844 3823 3809 3725 3633 3594 3664 3706 3710 3680 3650 3708 3786 3871 3979 4012 3948 3883 3827 3756 3721 3745 3758 3832 3857 3904 3926 3963 4030 4083 4083 4020 3901 3829 3822 3855 3929 3953 3930 3927 3955 3970 4023 4085 4174 4202 4183 4062 3963 3907 3930 3992 4001 4016 4019 4012 4089 4171 4189 4172 4076 4041 4019 4025 4118 4148 4206 4220 4192 4127 4084 4127 4199 4283 4259 4206 4219 4224 4293 4298 4278 4211 4122 4131 4159 4228 4334 4384 4398 4374 4346 4306 4306 4306 4346 4371 4337 4324 4317 4356 4379 4378 4394 4364 4374 4401 4438 4505 4463 4427 4449 4440 4438 4408 4414 4456 4500 4553 4501 4479 4476 4445 4432 4427 4430 4452 4520 4579 4616 4578 4564 4486 4462 4505 4520 4561 4573 4667 4706 4722 4679 4636 4595 4607 4645 4614 4618 4586 4593 4667 4699 4675 4681 4657 4661 4676 4729 4764 4773 4763 4716 4640 4597 4584 4623 4669 4698 4743 4778 4797 4787 4838 4801 4728 4637 4655 4681 4737 4762 4768 4716 4680 4710 4789 4812 4804 4743 4711 4703 4728 4681 4648 4645 4650 4630 4612 4632 4717 4821 4924 4909 4834 4717 4650 4686 4700 4692 4692 4652 4687 4714 4727 4753 4770 4752 4767 4740 4744 4720 4720 4770 4771 4705 4666 4640 4661 4737 4831 4855 4873 4859 4796 4732 4710 4684 4664 4647 4653 4679 4647 4689 4729 4773 4834 4818 4728 4702 4700 4760 4836 4830 4785 4683 4610 4620 4696 4759 4821 4830 4779 4771 4754 4742 4777 4771 4729 4655 4630 4625 4777 4881 4956 4908 4795 4750 4730 4743 4805 4789 4791 4753 4746 4729 4766 4770 4812 4808 4808 4811 4784 4855 4893 4915 4860 4786 4719 4730 4831 4875 4952 4966 4946 4898 4883 4857 4819 4800 4806 4808 4849 4840 4862 4921 4956 5000 4990 4898 4814 4770 4797 4883 4920 4913 4865 4804 4799 4811 4882 4920 4984 4988 4934 4903 4831 4827 4876 4906 4921 4896 4869 4925 5030 5101 5083 5007 4924 4862 4832 4853 4861 4857 4890 4918 4925 4913 4915 4901 4925 4949 4971 4918 4944 4955 4976 4974 4850 4782 4746 4813 4924 4992 5015 4994 4996 5000 4964 4959 4897 4809 4778 4774 4814 4856 4893 4922 4923 4944 4965 4921 4914 4895 4875 4876 4810 4751 4695 4682 4710 4744 4787 4866 4968 5036 5040 4952 4886 4825 4769 4735 4656 4656 4666 4755 4870 4937 4902 4887 4886 4921 4900 4897 4862 4812 4754 4732 4739 4783 4765 4834 4877 4934 4957 4968 5015 4961 4933 4810 4707 4632 4688 4743 4821 4860 4863 4867 4886 4913 4946 4967 4949 4896 4838 4842 4877 4910 4893 4816 4773 4756 4797 4906 5017 5068 5078 4996 4867 4845 4833 4839 4825 4774 4748 4795 4896 5037 5088 5090 5071 5027 4956 4914 4891 4883 4899 4935 4918 4858 4811 4851 4930 5037 5101 5103 5075 5079 5034 5038 5006 4955 4937 4934 4925 4934 4975 5055 5093 5135 5100 5000 4922 4909 4932 5021 5025 4997 4944 4920 4906 4955 5012 5029 5054 5044 5034 5055 5038 5051 5037 4934 4890 4818 4828 4935 5052 5102 5082 4984 4944 4913 5006 5048 5071 5024 4952 4938 4970 5004 5026 4987 4925 4921 4909 4946 4960 5059 5123 5144 5083 4938 4885 4890 4927 4996 4995 4975 4958 5011 5042 5041 5043 5036 5041 5043 5032 4973 4985 4965 4999 4940 4905 4867 4854 4926 5037 5088 5118 5088 5081 5030 4983 4960 4964 4942 4929 4946 4910 4951 4952 5032 5038 5054 5041 4991 4992 5038 5100 5101 5018 4879 4794 4817 4933 4987 5030 5070 5071 5104 5086 5107 5069 4990 4931 4898 4896 4893 4935 5030 5079 5104 5094 5087 5128 5144 5202 5165 5141 5066 5067 5024 5019 4948 4948 4973 5051 5154 5196 5254 5280 5277 5215 5116 5016 4980 4986 5045 5085 5033 5082 5147 5223 5235 5304 5244 5224 5214 5170 5165 5148 5070 5028 5031 5069 5098 5143 5256 5329 5425 5455 5419 5322 5200 5110 5065 5060 5099 5138 5234 5278 5349 5417 5365 5387 5350 5366 5298 5283 5283 5285 5287 5290 5231 5246 5288 5375 5423 5420 5389 5375 5384 5387 5345 5285 5163 5083 5109 5191 5281 5357 5402 5445 5399 5344 5319 5325 5385 5402 5379 5326 5213 5192 5194 5238 5303 5320 5280 5299 5318 5416 5467 5444 5350 5205 5110 5084 5123 5196 5305 5395 5423 5423 5410 5365 5339 5323 5314 5267 5179 5171 5186 5269 5334 5312 5297 5306 5333 5359 5378 5396 5402 5398 5355 5257 5150 5151 5236 5313 5363 5320 5303 5288 5346 5362 5345 5294 5206 5171 5177 5230 5279 5340 5381 5366 5289 5213 5224 5252 5327 5355 5322 5279 5193 5191 5240 5292 5269 5262 5263 5258 5287 5350 5378 5368 5324 5252 5192 5206 5286 5380 5418 5447 5371 5342 5275 5286 5321 5357 5354 5297 5307 5320 5392 5419 5430 5406 5341 5337 5371 5397 5412 5471 5531 5463 5370 5291 5265 5309 5389 5457 5477 5443 5432 5449 5462 5475 5431 5397 5395 5384 5374 5389 5401 5478 5478 5476 5464 5383 5400 5385 5447 5473 5444 5367 5330 5311 5356 5369 5395 5454 5502 5541 5590 5576 5532 5470 5393 5381 5348 5343 5421 5481 5559 5566 5546 5469 5471 5473 5543 5532 5451 5426 5418 5493 5543 5531 5476 5421 5417 5450 5506 5511 5511 5492 5499 5417 5359 5312 5309 5380 5434 5452 5431 5469 5499 5515 5515 5453 5422 5385 5403 5441 5428 5396 5366 5340 5341 5349 5357 5349 5410 5430 5382 5376 5363 5349 5348 5330 5299 5278 5303 5335 5389 5444 5415 5430 5347 5288 5285 5254 5283 5285 5289 5341 5343 5308 5315 5275 5245 5293 5306 5292 5296 5311 5376 5401 5390 5350 5256 5223 5246 5298 5316 5306 5278 5246 5230 5232 5215 5233 5318 5286 5273 5240 5204 5267 5305 5314 5239 5157 5106 5171 5255 5348 5356 5313 5222 5183 5161 5174 5231 5278 5313 5299 5245 5161 5207 5251 5283 5318 5279 5280 5273 5340 5406 5431 5388 5260 5178 5111 5112 5171 5225 5308 5363 5402 5425 5392 5360 5356 5324 5325 5255 5206 5196 5228 5307 5334 5318 5295 5279 5365 5413 5431 5465 5412 5358 5289 5172 5113 5136 5207 5300 5341 5332 5335 5378 5437 5482 5416 5319 5150 5118 5108 5170 5219 5253 5255 5236 5260 5266 5366 5426 5467 5465 5351 5236 5131 5117 5181 5210 5221 5207 5226 5245 5348 5436 5461 5407 5315 5161 5092 5088 5136 5182 5189 5207 5205 5236 5259 5279 5318 5368 5334 5285 5225 5178 5163 5110 5053 4968 4957 5022 5089 5226 5297 5353 5369 5359 5277 5170 5070 4980 4969 5017 4994 5006 5048 5128 5215 5257 5248 5228 5178 5142 5108 5055 5006 4982 4966 4962 5002 5045 5139 5261 5361 5357 5287 5214 5149 5061 5035 4994 4938 4903 4950 5029 5109 5158 5213 5142 5141 5139 5138 5136 5122 5101 5070 5029 4971 4975 5009 5043 5094 5149 5117 5149 5205 5229 5212 5117 4959 4876 4900 4955 5022 5046 5088 5115 5132 5195 5213 5180 5144 5139 5154 5124 5092 5043 5022 5011 4992 4999 5022 5077 5181 5261 5249 5183 5148 5114 5085 5077 5060 5000 5043 5074 5153 5167 5203 5170 5193 5159 5123 5060 5092 5096 5148 5188 5143 5099 5038 4997 5084 5129 5221 5231 5234 5238 5207 5182 5161 5186 5137 5129 5094 5023 5036 5076 5180 5260 5232 5136 5036 5024 5061 5181 5212 5191 5121 5036 5045 5077 5059 5095 5092 5088 5108 5068 5173 5177 5224 5188 5076 5002 4973 5039 5143 5171 5135 5090 5049 5035 5123 5137 5163 5167 5139 5115 5078 5078 5049 5047 5015 4981 4971 4996 5019 5134 5221 5243 5199 5142 5083 5017 5060 5067 5078 5040 5041 5061 5024 5075 5110 5154 5142 5152 5122 5073 5057 5083 5106 5087 4994 4918 4886 4959 5076 5164 5199 5164 5141 5068 5034 4987 4961 4974 4977 4936 4882 4921 5007 5115 5178 5138 5077 5048 5073 5107 5189 5204 5061 4948 4928 4899 4972 5010 5053 5096 5124 5131 5095 5107 5106 5102 5063 5012 4974 4951 4991 5062 5127 5161 5137 5113 5121 5129 5180 5155 5149 5080 5051 5004 4971 4963 4929 4980 5078 5187 5213 5275 5300 5262 5227 5162 5106 5022 5008 5073 5099 5112 5105 5181 5212 5239 5183 5163 5132 5155 5142 5107 5065 5062 5112 5144 5178 5156 5106 5157 5264 5361 5367 5299 5164 5102 5086 5078 5105 5134 5150 5230 5262 5305 5277 5276 5284 5257 5226 5155 5148 5171 5231 5260 5263 5226 5190 5204 5209 5220 5253 5218 5202 5199 5216 5211 5179 5126 5093 5116 5170 5223 5297 5320 5325 5281 5227 5168 5128 5172 5208 5190 5154 5098 5133 5193 5264 5271 5236 5207 5181 5221 5223 5244 5207 5187 5177 5153 5103 5103 5190 5274 5344 5405 5345 5278 5186 5144 5161 5148 5119 5131 5169 5228 5296 5349 5366 5396 5314 5247 5178 5187 5194 5219 5237 5202 5148 5098 5149 5219 5324 5376 5319 5263 5188 5167 5176 5169 5185 5129 5138 5160 5195 5256 5329 5382 5387 5321 5174 5077 5108 5163 5239 5242 5175 5135 5174 5258 5335 5356 5333 5347 5330 5334 5363 5324 5280 5243 5194 5178 5177 5193 5317 5433 5476 5425 5400 5347 5330 5371 5354 5326 5273 5254 5295 5362 5389 5399 5415 5441 5443 5385 5366 5372 5462 5461 5405 5295 5189 5141 5199 5337 5445 5516 5523 5537 5493 5504 5459 5426 5395 5343 5292 5307 5276 5324 5435 5519 5523 5480 5357 5346 5388 5447 5503 5452 5393 5333 5314 5367 5415 5420 5437 5484 5543 5572 5567 5543 5526 5440 5387 5330 5290 5326 5448 5465 5491 5484 5428 5448 5490 5504 5490 5455 5456 5431 5456 5470 5473 5399 5386 5358 5361 5381 5432 5458 5528 5520 5503 5397 5335 5350 5359 5384 5434 5419 5433 5430 5438 5417 5418 5393 5378 5420 5405 5424 5404 5398 5374 5345 5335 5285 5276 5293 5373 5450 5463 5454 5454 5425 5444 5412 5387 5379 5361 5390 5370 5402 5366 5393 5390 5408 5362 5356 5401 5474 5548 5507 5405 5328 5297 5320 5305 5330 5332 5371 5406 5473 5485 5493 5445 5397 5342 5306 5308 5273 5321 5323 5350 5317 5343 5326 5397 5435 5471 5465 5423 5420 5409 5389 5383 5297 5216 5177 5242 5340 5442 5502 5532 5495 5443 5436 5462 5429 5457 5450 5417 5334 5300 5266 5380 5450 5529 5483 5468 5502 5535 5575 5548 5483 5365 5317 5203 5212 5235 5310 5458 5622 5676 5650 5590 5504 5486 5472 5416
+27 -29 12 109 244 222 86 55 96 211 245 198 31 -28 59 172 281 298 267 294 386 417 382 380 417 525 523 556 474 478 552 632 666 663 530 419 473 527 587 638 619 663 665 650 575 501 436 424 462 492 549 615 745 801 806 664 540 482 604 764 821 746 650 600 613 739 781 779 854 958 1040 1052 937 842 776 815 907 884 855 895 1018 1118 1079 945 798 753 866 993 979 986 948 1002 1014 1044 993 972 1014 1078 1054 1053 1090 1168 1276 1375 1301 1226 1196 1228 1250 1315 1300 1238 1255 1317 1392 1423 1402 1382 1453 1469 1452 1422 1342 1354 1338 1292 1146 1015 1014 1167 1397 1567 1635 1534 1414 1362 1296 1230 1124 1056 1103 1315 1415 1562 1552 1598 1641 1653 1629 1542 1515 1532 1561 1543 1443 1409 1453 1612 1831 1948 1927 1848 1853 1826 1725 1580 1496 1520 1532 1541 1527 1577 1678 1827 1914 1895 1743 1647 1648 1665 1714 1712 1646 1650 1673 1830 1866 1838 1813 1742 1815 1806 1803 1843 1886 1935 1958 1877 1717 1561 1562 1709 1898 1986 1945 1919 1981 2054 2050 1928 1833 1755 1726 1739 1746 1677 1615 1679 1747 1845 1905 1961 2115 2235 2157 1972 1738 1580 1630 1777 1884 1989 2056 2138 2305 2343 2342 2248 2151 2119 2042 1889 1754 1739 1890 2059 2168 2181 2160 2179 2262 2337 2277 2088 1886 1765 1807 1863 1903 1906 1910 1972 2088 2130 2126 2119 2104 2104 2020 1884 1776 1738 1865 2018 2130 2179 2174 2159 2220 2255 2215 2129 2070 2091 2171 2218 2219 2169 2169 2158 2087 2067 2076 2168 2337 2442 2363 2243 2116 2080 2174 2246 2230 2164 2076 2176 2182 2197 2178 2195 2292 2335 2374 2299 2198 2180 2144 2101 2071 2030 2032 2150 2334 2444 2468 2413 2342 2316 2318 2237 2116 2008 1948 1970 2072 2179 2309 2372 2483 2417 2334 2201 2116 2064 2048 2122 2117 2102 2175 2272 2371 2396 2327 2246 2244 2307 2320 2336 2270 2242 2294 2293 2260 2237 2326 2466 2645 2632 2458 2283 2228 2326 2384 2408 2300 2262 2352 2449 2434 2400 2326 2289 2321 2419 2396 2314 2277 2326 2312 2249 2179 2170 2218 2350 2310 2254 2139 2082 2089 2196 2246 2295 2255 2261 2275 2287 2284 2264 2301 2338 2331 2289 2242 2221 2305 2418 2477 2455 2384 2341 2372 2431 2373 2227 2137 2123 2259 2375 2469 2482 2429 2414 2406 2349 2277 2196 2222 2333 2389 2326 2222 2165 2254 2362 2386 2317 2248 2243 2301 2362 2311 2230 2194 2229 2354 2404 2405 2414 2376 2391 2307 2218 2147 2214 2346 2443 2442 2257 2158 2141 2162 2224 2198 2143 2094 2131 2190 2258 2292 2302 2327 2346 2348 2227 2120 2119 2152 2234 2221 2177 2165 2289 2483 2588 2530 2413 2324 2289 2367 2395 2356 2245 2251 2274 2379 2438 2461 2601 2681 2701 2551 2339 2162 2092 2076 2090 2065 2122 2177 2380 2530 2551 2434 2280 2199 2151 2130 2080 2107 2130 2190 2214 2138 2150 2251 2394 2512 2562 2435 2284 2201 2187 2210 2207 2194 2236 2309 2406 2484 2536 2503 2450 2400 2404 2349 2289 2211 2236 2262 2272 2229 2167 2207 2302 2390 2371 2303 2243 2229 2347 2437 2426 2373 2227 2183 2160 2187 2187 2247 2377 2541 2589 2576 2473 2482 2523 2420 2287 2114 2054 2171 2300 2331 2299 2275 2344 2438 2537 2495 2390 2345 2214 2089 1891 1761 1746 1914 2173 2378 2492 2497 2473 2463 2431 2253 2060 1936 1866 1971 2109 2220 2314 2386 2443 2501 2524 2537 2452 2407 2356 2278 2185 2107 2158 2296 2397 2468 2442 2367 2379 2422 2484 2374 2297 2130 2094 2066 2073 2064 2070 2166 2318 2379 2330 2231 2251 2304 2368 2311 2214 2188 2267 2387 2448 2286 2163 2052 2122 2281 2435 2495 2534 2537 2478 2398 2257 2150 2088 2131 2209 2257 2268 2312 2400 2476 2495 2427 2292 2252 2305 2350 2326 2231 2122 2058 2060 2163 2231 2350 2481 2587 2622 2546 2413 2325 2287 2346 2356 2272 2191 2236 2417 2631 2710 2686 2582 2507 2441 2391 2259 2184 2158 2290 2351 2329 2350 2386 2421 2490 2475 2352 2210 2225 2313 2429 2398 2188 2106 2040 2129 2307 2400 2395 2421 2363 2364 2250 2228 2188 2321 2441 2447 2434 2434 2456 2531 2448 2346 2258 2230 2299 2446 2579 2582 2504 2479 2369 2333 2296 2256 2313 2348 2393 2393 2362 2333 2369 2471 2572 2556 2419 2315 2315 2393 2415 2368 2299 2278 2377 2450 2510 2525 2503 2525 2573 2551 2490 2388 2359 2393 2383 2336 2280 2363 2490 2628 2694 2564 2466 2394 2329 2364 2352 2378 2412 2434 2393 2327 2282 2297 2322 2394 2460 2448 2431 2395 2469 2473 2397 2276 2213 2314 2461 2564 2637 2588 2523 2525 2538 2588 2562 2600 2617 2693 2665 2610 2466 2429 2418 2465 2527 2508 2506 2573 2725 2810 2762 2597 2456 2394 2420 2420 2365 2288 2277 2389 2503 2577 2584 2676 2711 2657 2502 2289 2201 2214 2306 2366 2365 2350 2435 2598 2816 2852 2812 2764 2686 2610 2461 2304 2192 2249 2401 2593 2705 2733 2797 2888 2866 2785 2579 2409 2316 2428 2565 2671 2652 2597 2573 2649 2722 2763 2746 2713 2699 2693 2673 2576 2477 2478 2488 2508 2526 2590 2648 2758 2837 2840 2740 2654 2627 2688 2781 2739 2641 2580 2519 2561 2543 2539 2585 2692 2744 2747 2620 2552 2558 2601 2622 2489 2314 2219 2273 2442 2576 2664 2683 2720 2833 2883 2814 2679 2532 2468 2438 2413 2424 2462 2638 2775 2910 2913 2903 2867 2914 2986 2974 2813 2591 2410 2368 2502 2636 2773 2926 2963 3060 2972 2868 2697 2541 2443 2337 2276 2303 2416 2571 2768 2782 2762 2620 2588 2644 2677 2675 2561 2476 2481 2494 2511 2529 2591 2682 2812 2871 2794 2689 2688 2699 2772 2732 2625 2542 2606 2666 2742 2713 2684 2605 2645 2691 2719 2729 2715 2697 2678 2690 2653 2629 2624 2644 2671 2582 2559 2630 2742 2900 3027 3057 2937 2785 2661 2640 2636 2647 2684 2777 2864 2923 2955 2906 2928 2885 2907 2845 2774 2689 2695 2728 2721 2609 2488 2465 2586 2802 2894 2881 2765 2713 2661 2591 2468 2387 2357 2498 2684 2773 2775 2810 2849 2949 2918 2790 2661 2647 2693 2810 2825 2789 2741 2786 2897 2947 2959 2928 2886 2888 2866 2817 2785 2823 2855 2869 2785 2688 2643 2671 2778 2851 2824 2748 2636 2609 2591 2600 2621 2637 2671 2752 2796 2822 2741 2641 2611 2622 2666 2704 2774 2855 2940 2983 2872 2688 2537 2533 2601 2744 2756 2655 2626 2664 2745 2804 2735 2689 2725 2746 2766 2719 2668 2594 2605 2616 2544 2516 2574 2734 2838 2848 2801 2691 2605 2642 2651 2651 2640 2643 2704 2772 2832 2871 2904 2933 2939 2884 2756 2665 2674 2687 2762 2779 2686 2617 2629 2619 2675 2687 2598 2579 2610 2687 2736 2682 2606 2513 2443 2426 2437 2478 2570 2645 2701 2678 2564 2423 2441 2583 2680 2782 2722 2650 2552 2578 2595 2515 2512 2583 2717 2803 2877 2941 2928 2917 2822 2647 2423 2350 2441 2583 2697 2682 2672 2704 2795 2886 2895 2798 2691 2555 2483 2396 2345 2354 2423 2572 2712 2746 2771 2775 2820 2906 2829 2666 2481 2309 2318 2392 2489 2531 2576 2676 2806 2895 2933 2859 2676 2535 2391 2346 2294 2374 2480 2637 2714 2641 2543 2510 2604 2657 2701 2656 2542 2514 2510 2492 2435 2337 2382 2456 2548 2573 2589 2642 2733 2773 2730 2568 2437 2473 2555 2650 2589 2482 2402 2482 2629 2701 2758 2783 2753 2752 2734 2618 2498 2403 2317 2305 2302 2313 2336 2459 2632 2744 2797 2738 2659 2547 2486 2387 2258 2120 2050 2122 2306 2519 2667 2690 2684 2677 2648 2535 2426 2338 2328 2348 2328 2253 2292 2449 2664 2830 2914 2832 2760 2715 2664 2507 2266 2156 2187 2314 2454 2493 2552 2593 2678 2633 2524 2410 2374 2456 2494 2507 2361 2290 2256 2416 2514 2538 2540 2556 2540 2573 2551 2509 2483 2458 2408 2429 2412 2420 2486 2534 2552 2501 2473 2526 2624 2706 2723 2668 2548 2459 2358 2336 2341 2332 2301 2314 2340 2394 2438 2501 2523 2552 2567 2489 2354 2240 2205 2233 2342 2340 2379 2448 2606 2745 2807 2671 2527 2436 2452 2486 2503 2488 2436 2488 2497 2488 2561 2587 2715 2824 2809 2689 2501 2354 2364 2425 2420 2406 2424 2431 2515 2588 2564 2486 2431 2389 2374 2323 2300 2395 2422 2469 2459 2335 2333 2377 2453 2589 2573 2471 2393 2343 2501 2572 2615 2550 2478 2465 2485 2511 2462 2433 2427 2501 2541 2465 2442 2439 2474 2561 2506 2412 2346 2344 2418 2452 2359 2260 2224 2297 2408 2542 2605 2644 2609 2573 2462 2304 2313 2360 2479 2516 2508 2499 2478 2572 2679 2748 2657 2573 2533 2533 2490 2455 2401 2348 2345 2418 2462 2528 2593 2632 2606 2498 2375 2217 2128 2153 2243 2332 2352 2300 2267 2298 2431 2519 2464 2392 2350 2417 2479 2483 2509 2484 2451 2472 2506 2431 2437 2479 2579 2601 2586 2520 2530 2617 2681 2631 2478 2356 2336 2379 2444 2492 2537 2596 2633 2598 2541 2440 2456 2520 2534 2418 2324 2157 2180 2254 2317 2370 2438 2543 2693 2839 2843 2681 2495 2363 2312 2273 2293 2362 2445 2581 2644 2715 2685 2640 2611 2616 2557 2440 2304 2204 2186 2254 2380 2450 2504 2557 2626 2721 2739 2686 2574 2451 2320 2267 2222 2245 2352 2504 2633 2687 2703 2764 2798 2793 2754 2575 2419 2349 2419 2544 2648 2683 2728 2765 2786 2728 2585 2520 2587 2622 2662 2604 2508 2483 2460 2428 2321 2272 2265 2373 2563 2686 2696 2608 2484 2421 2404 2417 2384 2388 2380 2342 2347 2379 2418 2502 2637 2773 2789 2761 2656 2628 2568 2504 2385 2320 2287 2407 2601 2796 2903 2963 2916 2897 2752 2610 2496 2404 2351 2386 2375 2388 2491 2697 2893 3021 2913 2679 2580 2547 2512 2499 2434 2391 2402 2490 2591 2628 2668 2730 2808 2822 2751 2642 2565 2497 2526 2444 2366 2332 2447 2600 2790 2879 2812 2686 2549 2442 2475 2448 2504 2534 2539 2554 2484 2461 2493 2559 2643 2691 2660 2614 2673 2723 2734 2676 2541 2459 2489 2558 2660 2715 2700 2714 2732 2779 2770 2767 2792 2835 2859 2801 2694 2601 2633 2714 2790 2776 2714 2631 2673 2777 2903 2856 2765 2616 2562 2486 2412 2388 2418 2521 2647 2746 2793 2837 2858 2841 2747 2559 2389 2381 2403 2515 2657 2698 2693 2682 2614 2678 2708 2744 2758 2778 2718 2689 2608 2592 2634 2698 2775 2832 2869 2876 2883 2875 2842 2664 2596 2538 2590 2721 2821 2783 2736 2691 2667 2689 2675 2619 2661 2722 2763 2729 2689 2691 2754 2817 2823 2676 2554 2550 2639 2716 2730 2703 2721 2723 2793 2788 2708 2694 2700 2744 2729 2650 2637 2665 2740 2728 2628 2550 2556 2696 2792 2854 2777 2625 2565 2525 2460 2437 2434 2498 2648 2753 2844 2847 2826 2782 2786 2781 2693 2678 2642 2718 2732 2764 2737 2749 2745 2867 2997 3059 3013 2894 2741 2656 2653 2641 2625 2704 2749 2839 2828 2743 2711 2712 2737 2707 2621 2537 2547 2565 2645 2624 2549 2451 2507 2557 2639 2634 2610 2647 2721 2781 2779 2739 2731 2733 2720 2633 2525 2490 2542 2755 2912 2974 2913 2882 2905 2908 2915 2798 2670 2534 2513 2517 2583 2583 2641 2667 2784 2857 2860 2869 2848 2785 2670 2496 2258 2195 2300 2530 2792 2970 2999 2986 2982 2937 2851 2771 2650
+82 -72 -226 -370 -409 -418 -376 -286 -248 -256 -319 -407 -434 -410 -362 -385 -408 -513 -580 -580 -561 -546 -548 -624 -735 -760 -751 -723 -620 -588 -575 -612 -680 -797 -932 -961 -982 -913 -858 -776 -698 -613 -595 -614 -800 -1087 -1198 -1282 -1229 -1092 -985 -857 -823 -867 -956 -1035 -1137 -1206 -1097 -1065 -1065 -1189 -1167 -1171 -1103 -1129 -1190 -1196 -1156 -1067 -998 -1021 -1110 -1320 -1456 -1499 -1517 -1499 -1410 -1213 -1084 -998 -1097 -1269 -1430 -1555 -1593 -1593 -1576 -1605 -1561 -1486 -1472 -1483 -1499 -1604 -1589 -1594 -1678 -1737 -1781 -1760 -1690 -1669 -1678 -1711 -1782 -1888 -1896 -1883 -1842 -1783 -1754 -1689 -1813 -1988 -2099 -2193 -2190 -2087 -2009 -2072 -2013 -2082 -2015 -2123 -2125 -2294 -2361 -2297 -2201 -2104 -2056 -2129 -2236 -2353 -2553 -2620 -2671 -2574 -2424 -2231 -2164 -2252 -2329 -2483 -2586 -2639 -2695 -2706 -2739 -2679 -2614 -2537 -2471 -2527 -2571 -2729 -2778 -2796 -2742 -2736 -2744 -2835 -2906 -3069 -3112 -3088 -2935 -2718 -2731 -2669 -2780 -2809 -2868 -2891 -2989 -3071 -3219 -3291 -3307 -3229 -3105 -2878 -2703 -2672 -2838 -3061 -3248 -3309 -3242 -3157 -3127 -3144 -3147 -3072 -2952 -2976 -3070 -3143 -3198 -3187 -3132 -3081 -3059 -3072 -3070 -3287 -3430 -3521 -3447 -3252 -3042 -2936 -2977 -3108 -3299 -3329 -3311 -3274 -3171 -3220 -3225 -3199 -3201 -3178 -3157 -3225 -3293 -3420 -3450 -3428 -3224 -3027 -2912 -2945 -3123 -3295 -3455 -3489 -3366 -3279 -3245 -3209 -3190 -3178 -3150 -3114 -3091 -3161 -3253 -3328 -3444 -3561 -3519 -3403 -3295 -3233 -3257 -3360 -3428 -3351 -3211 -3072 -3086 -3181 -3252 -3346 -3381 -3464 -3508 -3577 -3531 -3402 -3345 -3304 -3232 -3216 -3292 -3418 -3594 -3704 -3649 -3458 -3336 -3256 -3315 -3397 -3524 -3544 -3561 -3564 -3529 -3519 -3489 -3532 -3534 -3535 -3563 -3573 -3624 -3718 -3742 -3716 -3566 -3499 -3453 -3550 -3692 -3759 -3733 -3692 -3647 -3579 -3634 -3665 -3716 -3789 -3955 -3983 -3944 -3895 -3804 -3721 -3684 -3692 -3649 -3629 -3633 -3740 -3894 -4005 -4101 -4080 -4099 -3978 -3897 -3763 -3689 -3689 -3762 -3868 -3992 -4048 -4046 -4073 -4112 -4053 -4049 -4047 -4000 -4032 -4022 -3991 -3939 -3873 -3805 -3900 -3991 -4068 -4199 -4207 -4265 -4244 -4150 -3988 -3831 -3842 -3890 -4043 -4093 -4150 -4205 -4122 -4077 -4017 -3977 -3974 -4047 -4132 -4186 -4172 -4087 -3999 -4004 -4098 -4086 -4008 -3948 -3921 -4031 -4204 -4266 -4213 -4131 -4027 -3957 -3969 -3970 -3986 -3967 -3953 -3923 -3842 -3832 -3916 -4090 -4212 -4208 -4159 -4072 -4020 -4079 -4082 -4023 -3887 -3732 -3672 -3686 -3785 -3948 -4091 -4191 -4240 -4140 -4062 -3943 -3812 -3754 -3709 -3685 -3757 -3807 -3981 -4107 -4211 -4137 -4058 -3922 -3919 -3890 -3941 -3939 -3897 -3862 -3742 -3669 -3668 -3724 -3902 -4096 -4232 -4177 -4032 -3863 -3786 -3767 -3841 -3838 -3816 -3739 -3757 -3888 -4025 -4062 -4015 -3915 -3771 -3700 -3721 -3832 -3959 -4053 -4041 -3941 -3798 -3740 -3792 -3979 -4091 -4069 -3960 -3905 -3894 -4015 -4087 -4158 -4083 -3955 -3906 -3819 -3830 -3877 -4020 -4118 -4148 -4067 -3976 -4044 -4115 -4174 -4246 -4172 -4118 -4078 -4080 -4052 -4020 -3982 -3951 -3997 -4094 -4273 -4329 -4455 -4426 -4300 -4116 -3974 -3829 -3896 -4112 -4266 -4444 -4504 -4373 -4260 -4198 -4203 -4230 -4216 -4211 -4159 -4155 -4158 -4239 -4225 -4241 -4203 -4191 -4192 -4244 -4320 -4434 -4464 -4383 -4243 -4102 -4065 -4176 -4250 -4416 -4437 -4413 -4329 -4355 -4311 -4292 -4216 -4128 -4147 -4178 -4238 -4313 -4392 -4472 -4522 -4433 -4293 -4192 -4141 -4221 -4300 -4301 -4259 -4264 -4361 -4398 -4409 -4249 -4154 -4084 -4128 -4201 -4220 -4218 -4258 -4215 -4177 -4143 -4110 -4084 -4160 -4291 -4379 -4371 -4252 -4099 -3988 -3875 -3811 -3921 -4060 -4218 -4332 -4365 -4274 -4155 -4045 -4001 -3984 -4018 -4011 -4087 -4122 -4220 -4247 -4196 -4126 -4024 -3926 -3892 -3909 -3969 -4027 -4059 -4147 -4147 -4065 -4010 -3941 -3953 -3981 -4094 -4080 -4129 -4134 -4104 -4075 -4066 -4056 -4073 -4091 -4094 -4109 -3984 -4012 -4028 -4073 -4055 -3995 -3917 -3783 -3885 -4067 -4267 -4402 -4378 -4245 -4036 -3987 -3919 -3895 -3904 -4028 -4117 -4238 -4303 -4263 -4248 -4144 -4032 -3928 -3834 -3929 -4138 -4292 -4337 -4261 -4114 -4042 -4059 -4209 -4327 -4366 -4399 -4370 -4287 -4236 -4098 -4074 -4111 -4146 -4200 -4258 -4265 -4350 -4457 -4520 -4413 -4253 -4074 -4060 -4155 -4306 -4419 -4515 -4603 -4546 -4437 -4276 -4215 -4221 -4215 -4291 -4258 -4220 -4217 -4340 -4497 -4591 -4542 -4383 -4224 -4150 -4207 -4375 -4497 -4550 -4512 -4377 -4282 -4273 -4315 -4403 -4426 -4478 -4442 -4387 -4308 -4362 -4377 -4372 -4231 -4204 -4181 -4286 -4558 -4654 -4668 -4511 -4246 -4096 -4064 -4145 -4323 -4499 -4570 -4565 -4460 -4324 -4170 -4230 -4262 -4323 -4344 -4295 -4284 -4361 -4468 -4484 -4447 -4315 -4240 -4213 -4246 -4299 -4331 -4378 -4349 -4363 -4318 -4208 -4208 -4192 -4282 -4313 -4293 -4198 -4097 -4136 -4159 -4174 -4181 -4176 -4106 -4215 -4353 -4438 -4450 -4404 -4299 -4065 -3931 -3857 -3840 -3991 -4157 -4220 -4314 -4349 -4371 -4398 -4369 -4208 -4048 -3903 -3944 -4081 -4205 -4350 -4304 -4267 -4162 -4112 -4129 -4224 -4276 -4355 -4355 -4245 -4126 -3952 -3876 -3968 -4124 -4232 -4402 -4429 -4438 -4435 -4393 -4298 -4147 -4134 -4053 -4155 -4191 -4201 -4253 -4313 -4319 -4299 -4288 -4211 -4232 -4260 -4339 -4447 -4444 -4437 -4380 -4382 -4312 -4288 -4278 -4282 -4318 -4354 -4450 -4444 -4470 -4528 -4538 -4537 -4432 -4367 -4311 -4350 -4369 -4406 -4425 -4413 -4479 -4536 -4566 -4523 -4569 -4664 -4712 -4740 -4660 -4504 -4363 -4299 -4287 -4342 -4428 -4509 -4663 -4804 -4885 -4869 -4720 -4610 -4468 -4404 -4419 -4490 -4543 -4681 -4786 -4794 -4730 -4611 -4546 -4571 -4670 -4712 -4748 -4656 -4483 -4400 -4433 -4480 -4544 -4644 -4742 -4733 -4760 -4755 -4750 -4747 -4699 -4586 -4507 -4438 -4383 -4563 -4647 -4702 -4732 -4576 -4523 -4507 -4554 -4615 -4576 -4553 -4521 -4537 -4613 -4618 -4642 -4623 -4586 -4521 -4392 -4363 -4427 -4525 -4657 -4686 -4604 -4430 -4374 -4345 -4509 -4528 -4486 -4453 -4361 -4428 -4461 -4471 -4442 -4422 -4440 -4453 -4484 -4526 -4575 -4617 -4560 -4419 -4183 -4047 -4010 -4179 -4483 -4702 -4729 -4680 -4530 -4401 -4321 -4301 -4312 -4403 -4450 -4426 -4349 -4355 -4450 -4539 -4530 -4426 -4344 -4289 -4267 -4376 -4527 -4450 -4416 -4289 -4224 -4247 -4298 -4392 -4481 -4499 -4521 -4452 -4426 -4375 -4418 -4452 -4503 -4451 -4389 -4359 -4435 -4518 -4580 -4565 -4420 -4273 -4247 -4301 -4520 -4629 -4685 -4723 -4707 -4618 -4538 -4515 -4402 -4349 -4356 -4378 -4482 -4520 -4686 -4736 -4763 -4655 -4486 -4392 -4409 -4525 -4657 -4725 -4631 -4555 -4464 -4457 -4447 -4530 -4728 -4878 -4975 -4998 -4756 -4658 -4513 -4430 -4441 -4430 -4441 -4514 -4719 -4884 -4976 -4960 -4844 -4762 -4697 -4610 -4623 -4656 -4688 -4780 -4773 -4755 -4685 -4678 -4698 -4733 -4768 -4773 -4676 -4650 -4679 -4799 -4773 -4685 -4654 -4563 -4644 -4644 -4738 -4789 -4862 -4874 -4840 -4803 -4646 -4574 -4593 -4664 -4676 -4672 -4705 -4725 -4714 -4676 -4605 -4499 -4510 -4596 -4657 -4833 -4856 -4880 -4831 -4755 -4567 -4420 -4258 -4223 -4323 -4517 -4693 -4822 -4819 -4698 -4561 -4422 -4349 -4302 -4323 -4444 -4527 -4488 -4452 -4393 -4396 -4464 -4527 -4614 -4614 -4582 -4503 -4456 -4414 -4343 -4259 -4172 -4152 -4197 -4337 -4436 -4496 -4614 -4611 -4559 -4400 -4214 -4138 -4151 -4229 -4284 -4333 -4389 -4461 -4535 -4473 -4430 -4322 -4219 -4160 -4161 -4212 -4366 -4470 -4531 -4478 -4378 -4237 -4154 -4268 -4370 -4467 -4536 -4470 -4413 -4439 -4437 -4397 -4356 -4270 -4261 -4297 -4409 -4482 -4553 -4535 -4428 -4234 -4115 -4139 -4276 -4537 -4724 -4789 -4646 -4468 -4324 -4268 -4299 -4379 -4451 -4394 -4435 -4456 -4534 -4618 -4626 -4596 -4504 -4393 -4368 -4424 -4505 -4623 -4675 -4693 -4589 -4516 -4472 -4517 -4631 -4658 -4627 -4533 -4485 -4519 -4612 -4603 -4574 -4571 -4539 -4539 -4545 -4643 -4665 -4734 -4784 -4649 -4476 -4321 -4315 -4442 -4720 -4839 -4824 -4779 -4609 -4534 -4469 -4474 -4427 -4361 -4454 -4500 -4705 -4772 -4891 -4878 -4810 -4560 -4440 -4314 -4416 -4611 -4724 -4804 -4717 -4505 -4469 -4482 -4549 -4663 -4642 -4669 -4592 -4507 -4477 -4443 -4428 -4445 -4425 -4532 -4572 -4691 -4754 -4752 -4713 -4599 -4430 -4316 -4307 -4368 -4418 -4417 -4457 -4488 -4465 -4505 -4535 -4473 -4432 -4393 -4390 -4340 -4369 -4399 -4423 -4512 -4491 -4452 -4303 -4186 -4180 -4286 -4396 -4448 -4416 -4288 -4240 -4191 -4164 -4165 -4213 -4261 -4342 -4329 -4343 -4343 -4335 -4318 -4268 -4188 -4156 -4170 -4242 -4369 -4422 -4357 -4205 -4110 -3998 -4012 -4041 -4162 -4249 -4396 -4470 -4489 -4370 -4217 -4194 -4178 -4166 -4178 -4197 -4232 -4272 -4296 -4253 -4234 -4183 -4270 -4357 -4349 -4274 -4137 -4108 -4134 -4206 -4205 -4192 -4197 -4283 -4339 -4408 -4444 -4447 -4396 -4342 -4281 -4258 -4223 -4210 -4248 -4328 -4336 -4418 -4432 -4496 -4508 -4472 -4393 -4222 -4187 -4229 -4364 -4505 -4608 -4600 -4550 -4506 -4451 -4355 -4337 -4349 -4375 -4371 -4405 -4405 -4418 -4400 -4421 -4429 -4493 -4465 -4488 -4450 -4511 -4516 -4498 -4401 -4319 -4334 -4387 -4460 -4591 -4685 -4677 -4642 -4468 -4263 -4144 -4148 -4322 -4436 -4587 -4524 -4455 -4431 -4512 -4571 -4582 -4456 -4343 -4280 -4335 -4446 -4483 -4397 -4377 -4334 -4298 -4286 -4310 -4411 -4481 -4491 -4379 -4294 -4218 -4309 -4438 -4485 -4408 -4232 -4184 -4261 -4398 -4479 -4506 -4453 -4286 -4182 -4118 -4161 -4289 -4390 -4485 -4489 -4329 -4209 -4101 -4093 -4160 -4194 -4243 -4285 -4379 -4404 -4460 -4445 -4352 -4162 -4009 -3926 -3895 -3986 -4102 -4301 -4346 -4330 -4220 -4072 -4099 -4192 -4295 -4383 -4329 -4174 -4013 -3997 -4006 -4105 -4176 -4183 -4276 -4282 -4338 -4385 -4305 -4212 -4034 -3900 -3838 -3855 -3956 -4140 -4278 -4385 -4324 -4214 -4185 -4181 -4219 -4168 -4045 -3944 -3898 -3953 -4114 -4193 -4237 -4188 -4144 -4079 -4031 -4049 -4166 -4304 -4384 -4289 -4217 -4113 -4114 -4139 -4204 -4254 -4256 -4267 -4361 -4402 -4390 -4394 -4276 -4188 -4150 -4237 -4247 -4316 -4381 -4399 -4402 -4368 -4205 -4094 -4151 -4330 -4522 -4669 -4702 -4631 -4483 -4436 -4311 -4204 -4155 -4207 -4313 -4405 -4440 -4515 -4541 -4520 -4508 -4461 -4378 -4396 -4427 -4521 -4553 -4506 -4441 -4440 -4466 -4531 -4567 -4572 -4594 -4591 -4617 -4549 -4527 -4474 -4386 -4349 -4330 -4355 -4454 -4517 -4644 -4738 -4794 -4759 -4626 -4528 -4395 -4351 -4329 -4390 -4444 -4606 -4712 -4746 -4654 -4423 -4278 -4244 -4322 -4475 -4586 -4608 -4615 -4593 -4597 -4517 -4442 -4375 -4400 -4449 -4441 -4506 -4554 -4516 -4525 -4433 -4315 -4232 -4264 -4380 -4536 -4643 -4606 -4491 -4336 -4245 -4142 -4191 -4253 -4408 -4577 -4619 -4599 -4525 -4481 -4413 -4338 -4236 -4163 -4127 -4196 -4286 -4430 -4475 -4470 -4461 -4413 -4370 -4379 -4352 -4372 -4341 -4321 -4328 -4314 -4293 -4373 -4372 -4331 -4277 -4245 -4272 -4345 -4496 -4551 -4450 -4301 -4105 -3982 -4046 -4257 -4453 -4599 -4576 -4539 -4405 -4335 -4264 -4304 -4298 -4351 -4330 -4353 -4387 -4477 -4511 -4420 -4318 -4093 -4006 -4126 -4343 -4605 -4777 -4756 -4613 -4486 -4344 -4276 -4293 -4291 -4360 -4484 -4422 -4389 -4361 -4463 -4610 -4623 -4615 -4508 -4474 -4496 -4619 -4676 -4594 -4460 -4371 -4372 -4480 -4623 -4728 -4865 -4901 -4899 -4764 -4624 -4510 -4504 -4496 -4476 -4403 -4413 -4514 -4705 -4904 -5054 -5004 -4848 -4679 -4507 -4506 -4543 -4651 -4775 -4828 -4755 -4679 -4611 -4614 -4675 -4755 -4856 -4819 -4772 -4723 -4705 -4711 -4682 -4596 -4528 -4589 -4659 -4839 -4959 -4910 -4913 -4802 -4613 -4582 -4615 -4654 -4742 -4818 -4831 -4807 -4685 -4583 -4570 -4625 -4650 -4608 -4639 -4693 -4818 -4932 -4967 -4816 -4677 -4553 -4541 -4537 -4591 -4605 -4651 -4682 -4720 -4681 -4617 -4586 -4627 -4676 -4650 -4547 -4494 -4442 -4492 -4564 -4589 -4572 -4570 -4576 -4581 -4614 -4647 -4706 -4673 -4609 -4553 -4331 -4221 -4185 -4308 -4408 -4565 -4654 -4692 -4717 -4648 -4563 -4435 -4292 -4279 -4392 -4471 -4586 -4564 -4562 -4555 -4556 -4506 -4412 -4336 -4362 -4371 -4443 -4415 -4433 -4400 -4374 -4416 -4392 -4402 -4494 -4543 -4616 -4584 -4513 -4369 -4261 -4315 -4351 -4446 -4489 -4560 -4602 -4593 -4509 -4402 -4379 -4343 -4341 -4360 -4365 -4485 -4561 -4623 -4688 -4712 -4651 -4490 -4436 -4390 -4395 -4477 -4429 -4411 -4433 -4511 -4577 -4692 -4586 -4483 -4486 -4457 -4507 -4576 -4601 -4677 -4662 -4644 -4526 -4434 -4459 -4640 -4813 -4905 -4834 -4699 -4560 -4486 -4533 -4578 -4615 -4595 -4709 -4759 -4819 -4809 -4773 -4707 -4642 -4581 -4570 -4590 -4758 -4914 -4946 -4919
+-89 -152 -251 -341 -401 -375 -297 -227 -231 -284 -339 -362 -329 -317 -359 -450 -537 -619 -649 -643 -623 -586 -547 -594 -697 -777 -777 -798 -765 -807 -870 -935 -945 -958 -949 -916 -964 -973 -976 -971 -930 -907 -895 -916 -1018 -1165 -1216 -1246 -1224 -1167 -1141 -1102 -1110 -1130 -1170 -1166 -1187 -1217 -1251 -1346 -1421 -1459 -1384 -1322 -1278 -1353 -1433 -1451 -1455 -1442 -1433 -1461 -1474 -1555 -1624 -1679 -1727 -1711 -1670 -1619 -1631 -1636 -1610 -1592 -1558 -1599 -1699 -1790 -1814 -1866 -1866 -1877 -1869 -1861 -1826 -1856 -1871 -1879 -1879 -1889 -1939 -2002 -2050 -2096 -2075 -2056 -2079 -2169 -2207 -2248 -2225 -2171 -2121 -2061 -2105 -2209 -2311 -2397 -2444 -2411 -2379 -2428 -2402 -2435 -2366 -2345 -2260 -2271 -2306 -2337 -2395 -2443 -2492 -2500 -2487 -2509 -2588 -2620 -2639 -2571 -2504 -2466 -2460 -2537 -2577 -2668 -2738 -2780 -2797 -2778 -2805 -2828 -2854 -2829 -2731 -2690 -2686 -2811 -2920 -2984 -2944 -2906 -2932 -2984 -3015 -3047 -3054 -3060 -2993 -2886 -2868 -2849 -2918 -2979 -3040 -3032 -3015 -3018 -3093 -3168 -3233 -3249 -3202 -3111 -3032 -3031 -3088 -3174 -3253 -3239 -3212 -3161 -3162 -3241 -3320 -3332 -3298 -3260 -3231 -3204 -3228 -3261 -3281 -3266 -3238 -3235 -3275 -3416 -3515 -3531 -3479 -3371 -3281 -3244 -3261 -3275 -3309 -3318 -3334 -3342 -3319 -3360 -3453 -3523 -3545 -3493 -3416 -3391 -3433 -3544 -3595 -3609 -3515 -3427 -3421 -3460 -3571 -3643 -3703 -3705 -3625 -3523 -3489 -3494 -3548 -3583 -3576 -3541 -3522 -3568 -3628 -3679 -3717 -3721 -3656 -3594 -3569 -3579 -3623 -3684 -3703 -3662 -3599 -3545 -3544 -3590 -3642 -3697 -3695 -3700 -3703 -3741 -3799 -3813 -3814 -3770 -3686 -3655 -3724 -3813 -3883 -3869 -3799 -3731 -3751 -3796 -3847 -3876 -3913 -3899 -3862 -3832 -3790 -3790 -3824 -3884 -3863 -3846 -3844 -3879 -3976 -4065 -4065 -4014 -3898 -3867 -3828 -3860 -3942 -3995 -4013 -3975 -3947 -3893 -3946 -4018 -4059 -4051 -4067 -4063 -4063 -4095 -4093 -4044 -3998 -3976 -3937 -3947 -3989 -4032 -4082 -4092 -4093 -4061 -4090 -4084 -4096 -4059 -4062 -4023 -4037 -4048 -4071 -4086 -4098 -4174 -4209 -4170 -4172 -4209 -4254 -4305 -4255 -4142 -4038 -4004 -4010 -4118 -4176 -4225 -4301 -4309 -4338 -4325 -4313 -4271 -4241 -4211 -4135 -4133 -4142 -4232 -4285 -4272 -4203 -4170 -4204 -4268 -4306 -4344 -4357 -4343 -4298 -4230 -4167 -4163 -4181 -4230 -4228 -4209 -4230 -4327 -4415 -4469 -4402 -4280 -4138 -4083 -4084 -4154 -4195 -4239 -4222 -4189 -4181 -4216 -4312 -4378 -4388 -4377 -4312 -4276 -4285 -4278 -4257 -4219 -4166 -4150 -4153 -4193 -4294 -4411 -4481 -4499 -4408 -4310 -4247 -4194 -4208 -4235 -4226 -4258 -4275 -4338 -4387 -4426 -4425 -4428 -4366 -4311 -4260 -4275 -4323 -4344 -4305 -4177 -4078 -4075 -4143 -4276 -4368 -4433 -4425 -4398 -4379 -4342 -4303 -4288 -4255 -4241 -4177 -4164 -4208 -4320 -4425 -4474 -4446 -4308 -4223 -4200 -4257 -4343 -4387 -4347 -4250 -4162 -4138 -4203 -4335 -4415 -4423 -4378 -4370 -4341 -4373 -4391 -4387 -4335 -4236 -4184 -4150 -4207 -4317 -4450 -4505 -4499 -4449 -4402 -4441 -4473 -4475 -4455 -4418 -4398 -4397 -4411 -4406 -4465 -4504 -4504 -4456 -4413 -4451 -4490 -4574 -4547 -4430 -4315 -4244 -4260 -4360 -4469 -4483 -4494 -4510 -4453 -4428 -4415 -4441 -4443 -4422 -4396 -4336 -4339 -4376 -4455 -4476 -4491 -4452 -4423 -4411 -4442 -4504 -4584 -4620 -4593 -4515 -4441 -4430 -4520 -4553 -4584 -4542 -4511 -4488 -4534 -4545 -4570 -4526 -4458 -4422 -4392 -4416 -4480 -4533 -4539 -4519 -4447 -4413 -4469 -4537 -4580 -4595 -4522 -4488 -4498 -4541 -4534 -4529 -4489 -4512 -4480 -4488 -4490 -4541 -4620 -4658 -4596 -4485 -4412 -4441 -4486 -4526 -4557 -4554 -4542 -4519 -4492 -4456 -4425 -4415 -4413 -4400 -4362 -4378 -4420 -4461 -4478 -4452 -4437 -4426 -4464 -4477 -4503 -4471 -4465 -4468 -4438 -4441 -4432 -4435 -4481 -4503 -4501 -4493 -4485 -4540 -4548 -4533 -4507 -4455 -4432 -4424 -4508 -4570 -4626 -4650 -4583 -4484 -4451 -4455 -4520 -4509 -4487 -4408 -4337 -4361 -4420 -4468 -4479 -4467 -4478 -4426 -4419 -4420 -4487 -4564 -4613 -4561 -4456 -4450 -4465 -4524 -4579 -4579 -4557 -4518 -4515 -4517 -4559 -4550 -4553 -4533 -4463 -4447 -4487 -4542 -4582 -4576 -4529 -4470 -4451 -4534 -4622 -4661 -4654 -4582 -4484 -4465 -4457 -4510 -4556 -4568 -4557 -4550 -4521 -4559 -4588 -4643 -4633 -4608 -4559 -4537 -4546 -4592 -4631 -4707 -4766 -4716 -4623 -4551 -4586 -4673 -4710 -4731 -4631 -4541 -4470 -4514 -4566 -4620 -4619 -4625 -4581 -4556 -4587 -4660 -4702 -4710 -4660 -4539 -4449 -4480 -4561 -4661 -4679 -4638 -4597 -4551 -4548 -4620 -4665 -4659 -4606 -4553 -4511 -4494 -4609 -4655 -4743 -4727 -4627 -4568 -4587 -4651 -4766 -4779 -4730 -4643 -4531 -4469 -4470 -4582 -4640 -4659 -4677 -4626 -4627 -4675 -4714 -4710 -4670 -4612 -4583 -4574 -4578 -4615 -4660 -4724 -4714 -4677 -4619 -4596 -4651 -4692 -4718 -4684 -4630 -4599 -4558 -4581 -4590 -4597 -4622 -4653 -4624 -4679 -4728 -4780 -4789 -4726 -4615 -4462 -4451 -4491 -4554 -4620 -4610 -4593 -4618 -4643 -4690 -4715 -4723 -4685 -4633 -4541 -4523 -4563 -4602 -4654 -4625 -4609 -4556 -4556 -4610 -4719 -4757 -4765 -4721 -4630 -4574 -4518 -4508 -4589 -4634 -4638 -4665 -4682 -4734 -4775 -4812 -4782 -4717 -4695 -4660 -4661 -4673 -4632 -4638 -4649 -4609 -4590 -4630 -4702 -4793 -4829 -4803 -4787 -4755 -4765 -4753 -4717 -4619 -4573 -4598 -4632 -4680 -4709 -4809 -4845 -4851 -4807 -4713 -4679 -4652 -4683 -4680 -4648 -4602 -4618 -4680 -4774 -4838 -4863 -4880 -4840 -4842 -4831 -4818 -4808 -4799 -4765 -4721 -4686 -4676 -4744 -4843 -4872 -4908 -4903 -4897 -4869 -4866 -4862 -4856 -4826 -4807 -4790 -4800 -4855 -4914 -4925 -4898 -4821 -4777 -4801 -4852 -4875 -4926 -4891 -4797 -4729 -4724 -4735 -4789 -4866 -4918 -4883 -4870 -4860 -4924 -5005 -5005 -4919 -4845 -4759 -4738 -4799 -4817 -4852 -4893 -4845 -4820 -4782 -4783 -4848 -4874 -4895 -4846 -4786 -4763 -4755 -4803 -4827 -4825 -4799 -4745 -4772 -4824 -4861 -4924 -4931 -4886 -4783 -4704 -4669 -4751 -4826 -4847 -4862 -4820 -4837 -4866 -4915 -4954 -4963 -4918 -4845 -4797 -4820 -4891 -4959 -4976 -4938 -4808 -4759 -4699 -4734 -4814 -4881 -4897 -4862 -4804 -4764 -4757 -4791 -4850 -4881 -4862 -4770 -4714 -4729 -4811 -4889 -4864 -4786 -4750 -4727 -4741 -4805 -4914 -4906 -4919 -4848 -4766 -4732 -4763 -4808 -4870 -4865 -4857 -4838 -4902 -4932 -4970 -4953 -4919 -4825 -4772 -4735 -4757 -4817 -4875 -4897 -4843 -4788 -4779 -4803 -4909 -4953 -4955 -4914 -4885 -4864 -4866 -4917 -4915 -4885 -4838 -4783 -4795 -4848 -4980 -5039 -5062 -5001 -4914 -4883 -4902 -4921 -4960 -4948 -4920 -4906 -4886 -4880 -4887 -4931 -5015 -5021 -4990 -4960 -4884 -4937 -4922 -4874 -4819 -4778 -4753 -4760 -4822 -4888 -4944 -5017 -5033 -5007 -4963 -4943 -4971 -5008 -4953 -4887 -4819 -4858 -4913 -5013 -5044 -5031 -5019 -5031 -5024 -5012 -5024 -5042 -5001 -4947 -4907 -4844 -4880 -4923 -4994 -5033 -5026 -5018 -5008 -5005 -4976 -4953 -4924 -4902 -4826 -4773 -4776 -4825 -4900 -4943 -4932 -4887 -4903 -4968 -4996 -5037 -4992 -4956 -4922 -4913 -4890 -4880 -4859 -4865 -4874 -4888 -4911 -4975 -5010 -5016 -4954 -4836 -4758 -4722 -4750 -4826 -4842 -4818 -4842 -4844 -4867 -4885 -4898 -4933 -4958 -4934 -4826 -4723 -4705 -4755 -4799 -4795 -4758 -4721 -4738 -4815 -4874 -4958 -4980 -4959 -4897 -4822 -4798 -4807 -4845 -4859 -4852 -4839 -4828 -4862 -4885 -4900 -4893 -4862 -4796 -4751 -4742 -4755 -4792 -4823 -4794 -4769 -4712 -4686 -4751 -4821 -4884 -4908 -4855 -4797 -4784 -4793 -4814 -4814 -4777 -4738 -4685 -4672 -4744 -4880 -4954 -4973 -4852 -4773 -4733 -4791 -4897 -4906 -4896 -4837 -4802 -4779 -4802 -4851 -4897 -4947 -4902 -4862 -4777 -4764 -4811 -4854 -4880 -4837 -4769 -4743 -4776 -4840 -4930 -4962 -4961 -4870 -4808 -4754 -4777 -4854 -4891 -4874 -4839 -4785 -4814 -4878 -4926 -4944 -4914 -4851 -4808 -4766 -4823 -4858 -4909 -4919 -4852 -4785 -4746 -4771 -4847 -4936 -4927 -4881 -4828 -4750 -4706 -4711 -4773 -4825 -4820 -4789 -4727 -4770 -4844 -4935 -4963 -4905 -4753 -4721 -4733 -4827 -4918 -4904 -4905 -4858 -4767 -4744 -4740 -4777 -4857 -4870 -4871 -4807 -4755 -4780 -4805 -4817 -4779 -4727 -4747 -4780 -4861 -4878 -4890 -4894 -4849 -4767 -4714 -4682 -4737 -4791 -4787 -4755 -4704 -4680 -4705 -4773 -4805 -4794 -4776 -4761 -4756 -4773 -4808 -4803 -4787 -4708 -4634 -4573 -4598 -4698 -4790 -4812 -4789 -4761 -4706 -4666 -4616 -4572 -4578 -4640 -4678 -4691 -4681 -4730 -4809 -4863 -4854 -4751 -4652 -4629 -4672 -4718 -4736 -4725 -4691 -4654 -4667 -4626 -4659 -4675 -4730 -4720 -4714 -4710 -4740 -4754 -4711 -4686 -4603 -4595 -4636 -4740 -4788 -4785 -4763 -4709 -4655 -4603 -4597 -4634 -4658 -4681 -4637 -4635 -4646 -4675 -4733 -4740 -4721 -4692 -4661 -4692 -4755 -4825 -4838 -4824 -4749 -4684 -4619 -4619 -4678 -4742 -4736 -4731 -4689 -4718 -4733 -4741 -4689 -4615 -4603 -4622 -4676 -4730 -4774 -4782 -4801 -4757 -4710 -4649 -4658 -4707 -4763 -4746 -4730 -4703 -4698 -4687 -4713 -4742 -4786 -4806 -4811 -4773 -4804 -4813 -4865 -4858 -4782 -4699 -4633 -4637 -4766 -4888 -4907 -4880 -4782 -4675 -4641 -4647 -4692 -4693 -4718 -4683 -4665 -4634 -4680 -4748 -4781 -4751 -4713 -4656 -4671 -4726 -4749 -4757 -4740 -4665 -4592 -4541 -4604 -4705 -4825 -4869 -4806 -4762 -4720 -4766 -4800 -4795 -4722 -4631 -4594 -4602 -4679 -4760 -4814 -4836 -4740 -4656 -4600 -4624 -4705 -4758 -4746 -4686 -4603 -4570 -4545 -4575 -4622 -4669 -4713 -4751 -4773 -4731 -4778 -4808 -4816 -4715 -4597 -4523 -4488 -4567 -4695 -4827 -4827 -4796 -4738 -4675 -4675 -4710 -4747 -4748 -4694 -4639 -4582 -4609 -4643 -4686 -4662 -4614 -4634 -4628 -4655 -4705 -4701 -4689 -4632 -4532 -4455 -4413 -4483 -4608 -4663 -4695 -4660 -4658 -4716 -4747 -4810 -4775 -4676 -4611 -4578 -4559 -4634 -4690 -4747 -4712 -4645 -4574 -4586 -4682 -4811 -4862 -4819 -4710 -4668 -4653 -4662 -4644 -4640 -4654 -4669 -4686 -4729 -4738 -4778 -4837 -4794 -4691 -4603 -4557 -4568 -4616 -4658 -4677 -4688 -4713 -4709 -4729 -4779 -4830 -4882 -4898 -4857 -4773 -4733 -4768 -4754 -4717 -4652 -4648 -4685 -4748 -4788 -4849 -4827 -4773 -4735 -4694 -4654 -4678 -4730 -4780 -4776 -4732 -4696 -4745 -4798 -4841 -4838 -4798 -4769 -4754 -4761 -4752 -4777 -4813 -4806 -4786 -4732 -4738 -4802 -4861 -4931 -4905 -4859 -4813 -4811 -4867 -4876 -4864 -4827 -4821 -4801 -4830 -4808 -4805 -4813 -4744 -4712 -4693 -4708 -4805 -4867 -4867 -4793 -4731 -4701 -4687 -4723 -4746 -4774 -4786 -4752 -4754 -4771 -4778 -4802 -4803 -4771 -4696 -4660 -4684 -4746 -4825 -4867 -4852 -4781 -4731 -4676 -4720 -4776 -4848 -4890 -4854 -4790 -4761 -4787 -4823 -4845 -4818 -4770 -4743 -4732 -4746 -4776 -4771 -4763 -4790 -4768 -4745 -4785 -4830 -4904 -4941 -4864 -4777 -4713 -4693 -4740 -4773 -4787 -4783 -4765 -4784 -4822 -4885 -4922 -4882 -4830 -4711 -4610 -4601 -4711 -4802 -4907 -4864 -4812 -4747 -4760 -4783 -4875 -4897 -4878 -4800 -4721 -4672 -4721 -4747 -4762 -4763 -4684 -4679 -4721 -4825 -4933 -4998 -4990 -4898 -4812 -4715 -4707 -4757 -4799 -4857 -4881 -4814 -4783 -4793 -4889 -4976 -4957 -4920 -4847 -4833 -4838 -4881 -4912 -4889 -4852 -4808 -4801 -4843 -4954 -5038 -5108 -5076 -5005 -4882 -4838 -4833 -4878 -4855 -4840 -4786 -4793 -4817 -4872 -4942 -5023 -5050 -5007 -4951 -4871 -4899 -4940 -4981 -4965 -4886 -4786 -4775 -4786 -4867 -4969 -5021 -5053 -5002 -4913 -4880 -4878 -4890 -4866 -4825 -4770 -4825 -4888 -5018 -5080 -5050 -5056 -5025 -4965 -4956 -4956 -4961 -4988 -4943 -4908 -4858 -4821 -4854 -4937 -4986 -4993 -4951 -4953 -4977 -5020 -5017 -5005 -4924 -4850 -4776 -4790 -4830 -4947 -5025 -5047 -4970 -4900 -4865 -4883 -4915 -4919 -4887 -4861 -4816 -4853 -4857 -4867 -4894 -4920 -4941 -4940 -4922 -4936 -4973 -5018 -5009 -4913 -4817 -4781 -4751 -4760 -4792 -4850 -4853 -4909 -4943 -4922 -4917 -4888 -4865 -4826 -4757 -4759 -4830 -4899 -4967 -4957 -4923 -4870 -4867 -4873 -4900 -4881 -4906 -4882 -4900 -4851 -4872 -4888 -4908 -4925 -4876 -4840 -4863 -4935 -4995 -5008 -5001 -4924 -4868 -4848 -4804 -4811 -4842 -4907 -4933 -4891 -4820 -4763 -4789 -4852 -4864 -4834 -4756 -4783 -4815 -4871 -4914 -4901 -4869 -4820 -4818 -4825 -4862 -4939 -4939 -4926 -4884 -4852 -4845 -4891 -4894 -4883 -4900 -4875 -4893 -4955 -4983 -5043 -5022 -4999 -4898 -4821 -4794 -4860 -4932 -4999 -4977 -4955 -4882 -4836 -4862 -4902 -4928 -4912 -4901 -4820 -4808 -4831 -4917 -4990 -5000 -4945 -4897 -4898 -4980 -5048 -5062 -5026
+-88 -26 -25 -8 -6 52 107 114 51 -29 -71 -14 64 98 63 3 -42 -46 -30 -39 -48 -79 -64 -81 -118 -103 -19 2 -15 -97 -201 -288 -297 -269 -231 -190 -199 -191 -163 -147 -111 -81 -49 -106 -193 -278 -320 -275 -227 -212 -225 -232 -204 -129 -91 -44 -36 -60 -199 -357 -486 -459 -378 -285 -249 -284 -281 -236 -204 -224 -274 -338 -363 -381 -455 -521 -523 -437 -348 -326 -396 -469 -378 -259 -130 -159 -314 -448 -485 -477 -431 -412 -347 -301 -271 -291 -364 -378 -319 -278 -304 -388 -464 -476 -415 -364 -339 -387 -428 -477 -499 -518 -482 -415 -327 -309 -388 -449 -469 -428 -378 -378 -444 -485 -502 -426 -347 -255 -199 -191 -229 -270 -332 -292 -229 -231 -296 -389 -432 -388 -318 -285 -236 -186 -163 -152 -201 -318 -365 -369 -368 -417 -485 -505 -405 -265 -148 -137 -189 -261 -274 -270 -306 -321 -350 -304 -325 -346 -334 -289 -181 -132 -80 -126 -187 -215 -202 -233 -260 -301 -310 -321 -282 -271 -262 -251 -215 -245 -294 -319 -345 -319 -286 -294 -334 -353 -388 -347 -290 -268 -297 -352 -370 -376 -325 -278 -295 -307 -355 -323 -316 -312 -340 -375 -391 -336 -267 -243 -297 -311 -339 -294 -330 -360 -396 -415 -449 -476 -528 -581 -599 -585 -557 -489 -460 -417 -413 -423 -482 -554 -643 -632 -644 -653 -644 -579 -506 -429 -412 -453 -507 -551 -564 -530 -513 -537 -550 -589 -598 -566 -525 -488 -494 -543 -542 -524 -566 -584 -622 -632 -641 -618 -657 -705 -654 -556 -460 -455 -541 -650 -703 -631 -578 -589 -634 -686 -649 -592 -527 -511 -507 -544 -571 -601 -617 -584 -490 -426 -395 -453 -562 -612 -610 -609 -619 -624 -615 -565 -537 -528 -510 -437 -411 -376 -459 -556 -606 -559 -456 -462 -518 -599 -606 -530 -433 -337 -273 -284 -361 -411 -456 -462 -436 -400 -387 -423 -430 -391 -343 -237 -239 -265 -282 -331 -422 -530 -570 -517 -418 -373 -417 -452 -403 -273 -180 -195 -304 -438 -479 -491 -464 -432 -389 -357 -373 -406 -449 -402 -293 -201 -218 -336 -393 -452 -353 -316 -337 -388 -390 -370 -386 -417 -454 -435 -355 -307 -358 -473 -462 -404 -295 -279 -381 -530 -569 -556 -465 -400 -375 -386 -391 -410 -374 -383 -388 -368 -420 -495 -557 -605 -574 -530 -469 -419 -373 -371 -382 -435 -469 -497 -532 -623 -700 -764 -739 -632 -548 -490 -485 -530 -544 -546 -562 -580 -615 -655 -745 -802 -804 -683 -586 -509 -502 -521 -502 -463 -443 -498 -584 -655 -642 -632 -612 -617 -641 -596 -559 -494 -515 -570 -616 -632 -612 -625 -674 -701 -710 -648 -607 -574 -593 -610 -619 -594 -562 -543 -536 -543 -552 -554 -547 -554 -575 -563 -552 -526 -491 -490 -485 -472 -484 -466 -484 -489 -482 -451 -484 -558 -610 -663 -673 -611 -581 -541 -470 -405 -363 -424 -511 -586 -585 -566 -580 -619 -609 -509 -368 -295 -254 -333 -375 -387 -332 -266 -306 -356 -416 -457 -478 -425 -374 -296 -258 -239 -266 -275 -283 -292 -355 -428 -477 -500 -508 -473 -438 -372 -297 -270 -307 -438 -499 -477 -424 -394 -436 -455 -452 -416 -335 -302 -283 -296 -339 -389 -421 -371 -279 -204 -212 -329 -451 -456 -444 -382 -413 -464 -458 -437 -407 -448 -519 -478 -442 -389 -443 -571 -603 -560 -454 -416 -538 -662 -693 -622 -511 -433 -414 -402 -393 -476 -567 -570 -546 -456 -469 -531 -596 -582 -489 -378 -345 -389 -475 -540 -605 -624 -655 -645 -613 -601 -627 -705 -700 -624 -534 -519 -570 -654 -724 -737 -719 -690 -676 -692 -740 -740 -723 -639 -500 -460 -469 -570 -637 -669 -600 -592 -570 -581 -543 -527 -514 -581 -655 -628 -561 -551 -583 -681 -677 -606 -542 -525 -601 -718 -720 -715 -626 -573 -578 -606 -635 -665 -672 -605 -513 -424 -424 -503 -564 -622 -584 -541 -528 -532 -518 -455 -384 -309 -305 -358 -428 -492 -566 -601 -626 -604 -550 -442 -399 -372 -385 -400 -374 -378 -403 -463 -539 -603 -617 -554 -514 -506 -500 -486 -437 -352 -324 -299 -334 -346 -363 -377 -461 -462 -456 -412 -342 -297 -294 -292 -299 -296 -349 -415 -461 -494 -442 -404 -360 -373 -352 -390 -405 -446 -431 -421 -391 -393 -401 -460 -476 -461 -455 -503 -531 -547 -483 -435 -401 -377 -380 -427 -439 -416 -357 -364 -378 -439 -521 -563 -552 -550 -583 -577 -561 -466 -436 -441 -483 -492 -488 -485 -605 -713 -818 -784 -680 -593 -597 -604 -563 -500 -479 -488 -557 -617 -682 -715 -765 -750 -684 -570 -510 -532 -562 -610 -549 -480 -506 -587 -689 -736 -738 -738 -745 -719 -616 -528 -499 -507 -553 -586 -601 -633 -695 -782 -814 -760 -663 -565 -540 -553 -598 -667 -716 -686 -614 -499 -501 -561 -626 -676 -667 -634 -622 -672 -637 -651 -604 -607 -597 -539 -490 -502 -607 -693 -727 -607 -507 -480 -567 -697 -722 -672 -578 -523 -481 -445 -417 -445 -509 -542 -525 -500 -519 -590 -644 -610 -449 -295 -224 -270 -378 -436 -468 -548 -582 -589 -505 -454 -425 -474 -501 -470 -389 -338 -396 -484 -515 -502 -464 -447 -440 -550 -588 -649 -611 -504 -362 -280 -247 -316 -409 -503 -519 -519 -529 -514 -460 -440 -387 -372 -366 -346 -361 -419 -476 -533 -522 -465 -367 -372 -434 -492 -529 -533 -496 -515 -501 -489 -502 -496 -519 -489 -462 -413 -452 -513 -560 -547 -496 -454 -470 -508 -543 -533 -518 -512 -519 -506 -453 -455 -495 -585 -664 -656 -660 -625 -627 -618 -571 -540 -478 -487 -556 -675 -805 -857 -838 -797 -779 -751 -719 -713 -683 -658 -692 -687 -682 -634 -639 -657 -672 -710 -729 -732 -728 -717 -658 -602 -541 -570 -587 -631 -701 -721 -741 -783 -754 -746 -675 -658 -667 -683 -687 -680 -697 -767 -799 -815 -752 -664 -650 -708 -767 -765 -739 -695 -672 -696 -692 -658 -643 -614 -593 -574 -591 -629 -664 -674 -656 -609 -585 -610 -639 -625 -634 -634 -587 -555 -496 -464 -524 -624 -703 -740 -724 -708 -750 -736 -661 -551 -417 -398 -433 -503 -577 -651 -701 -719 -632 -534 -419 -441 -485 -500 -434 -345 -345 -398 -454 -468 -483 -487 -548 -568 -509 -417 -406 -450 -510 -437 -335 -292 -393 -548 -680 -704 -625 -552 -513 -546 -527 -547 -504 -470 -460 -426 -439 -467 -563 -572 -554 -423 -402 -415 -433 -509 -521 -507 -498 -466 -420 -386 -398 -461 -491 -461 -420 -434 -516 -633 -675 -641 -575 -525 -508 -542 -555 -615 -638 -630 -560 -547 -585 -684 -790 -787 -709 -589 -501 -497 -509 -484 -481 -560 -631 -687 -700 -691 -722 -774 -772 -680 -500 -437 -486 -567 -654 -645 -627 -616 -700 -775 -831 -876 -859 -804 -740 -669 -625 -653 -687 -728 -748 -745 -764 -834 -852 -843 -800 -719 -638 -593 -547 -554 -609 -677 -735 -731 -689 -622 -633 -655 -661 -676 -663 -619 -624 -681 -703 -730 -709 -648 -564 -588 -675 -741 -804 -763 -756 -685 -682 -682 -630 -598 -626 -645 -646 -638 -594 -546 -561 -588 -616 -622 -625 -641 -640 -614 -566 -487 -403 -354 -341 -400 -496 -576 -625 -640 -597 -587 -568 -543 -469 -451 -381 -410 -429 -529 -561 -548 -549 -539 -520 -522 -526 -522 -442 -389 -351 -354 -390 -427 -398 -390 -406 -453 -498 -470 -416 -393 -394 -399 -315 -264 -257 -363 -452 -519 -463 -421 -427 -504 -515 -483 -381 -354 -397 -475 -502 -497 -471 -450 -457 -396 -371 -388 -444 -485 -494 -423 -410 -408 -448 -470 -422 -420 -447 -460 -429 -402 -362 -430 -530 -577 -547 -499 -548 -599 -624 -631 -533 -453 -407 -453 -501 -578 -659 -674 -661 -607 -578 -619 -689 -646 -531 -416 -412 -484 -569 -620 -615 -625 -652 -645 -590 -543 -560 -620 -668 -635 -529 -472 -517 -625 -730 -741 -689 -669 -682 -725 -698 -684 -646 -596 -553 -484 -496 -594 -742 -808 -753 -596 -538 -542 -608 -628 -610 -611 -623 -608 -591 -510 -492 -510 -556 -531 -489 -468 -470 -632 -689 -695 -597 -526 -497 -528 -579 -589 -607 -548 -482 -429 -455 -548 -632 -653 -585 -493 -480 -442 -426 -388 -362 -338 -327 -319 -337 -373 -459 -567 -569 -508 -416 -324 -304 -324 -327 -311 -307 -325 -369 -451 -539 -589 -609 -538 -459 -360 -308 -352 -421 -437 -382 -340 -368 -469 -555 -555 -503 -443 -419 -415 -390 -314 -299 -268 -309 -354 -327 -331 -341 -367 -403 -408 -393 -353 -317 -306 -393 -426 -416 -405 -370 -407 -440 -520 -551 -520 -484 -482 -493 -492 -513 -523 -554 -557 -489 -432 -416 -394 -429 -454 -482 -524 -577 -615 -644 -582 -485 -463 -429 -389 -377 -365 -432 -504 -587 -620 -616 -661 -709 -739 -692 -613 -570 -563 -576 -619 -619 -620 -638 -709 -780 -793 -778 -747 -658 -581 -544 -529 -524 -544 -552 -526 -557 -624 -711 -724 -695 -660 -615 -608 -534 -458 -401 -473 -581 -630 -627 -629 -672 -748 -753 -758 -695 -636 -636 -672 -674 -691 -709 -732 -684 -618 -558 -612 -714 -786 -726 -612 -545 -562 -642 -651 -614 -563 -542 -553 -549 -508 -457 -489 -561 -567 -522 -480 -457 -561 -606 -579 -508 -395 -355 -407 -484 -541 -564 -613 -616 -576 -514 -560 -599 -587 -505 -389 -304 -314 -394 -472 -534 -500 -476 -455 -451 -425 -396 -428 -406 -333 -249 -184 -237 -343 -429 -473 -460 -448 -456 -459 -483 -454 -437 -402 -352 -307 -337 -428 -566 -630 -553 -436 -340 -363 -441 -488 -490 -457 -463 -457 -443 -370 -370 -433 -469 -478 -412 -337 -370 -429 -491 -436 -381 -320 -318 -394 -480 -516 -511 -472 -414 -384 -405 -464 -545 -610 -597 -555 -539 -533 -541 -587 -548 -496 -451 -451 -469 -551 -612 -655 -677 -668 -627 -589 -564 -575 -556 -527 -534 -522 -557 -587 -644 -700 -753 -716 -638 -610 -595 -635 -690 -641 -594 -553 -582 -618 -691 -765 -829 -825 -787 -737 -669 -650 -623 -644 -619 -619 -605 -633 -656 -724 -721 -676 -653 -598 -577 -607 -648 -658 -667 -621 -583 -596 -615 -665 -668 -645 -636 -615 -631 -633 -626 -655 -647 -646 -618 -621 -621 -613 -610 -571 -547 -557 -626 -691 -705 -670 -623 -613 -606 -560 -469 -407 -400 -464 -489 -510 -510 -583 -649 -687 -632 -539 -453 -460 -469 -442 -367 -366 -398 -477 -527 -544 -538 -560 -586 -567 -522 -468 -458 -460 -416 -333 -285 -332 -460 -545 -599 -615 -568 -492 -423 -309 -260 -287 -344 -373 -402 -386 -434 -506 -568 -538 -480 -428 -422 -485 -507 -508 -510 -514 -444 -411 -371 -432 -537 -619 -619 -540 -481 -482 -509 -527 -475 -452 -463 -479 -476 -471 -456 -508 -578 -565 -476 -412 -463 -589 -718 -692 -584 -489 -434 -474 -502 -494 -496 -543 -622 -663 -697 -749 -802 -816 -705 -550 -432 -424 -541 -634 -689 -692 -683 -694 -736 -708 -686 -684 -675 -646 -583 -503 -486 -540 -611 -683 -692 -690 -705 -782 -861 -848 -815 -721 -625 -539 -526 -625 -754 -840 -845 -809 -744 -780 -779 -788 -771 -713 -703 -685 -678 -675 -718 -751 -756 -691 -639 -585 -606 -692 -722 -687 -589 -518 -490 -521 -583 -577 -591 -623 -606 -604 -635 -647 -679 -687 -658 -598 -603 -617 -698 -728 -702 -636 -538 -509 -490 -529 -562 -641 -708 -724 -702 -620 -526 -477 -429 -452 -413 -409 -401 -462 -530 -617 -594 -489 -407 -360 -421 -513 -531 -487 -413 -393 -420 -432 -469 -510
+42 158 115 5 -52 36 156 192 110 -57 -234 -306 -238 -150 -79 -12 -65 -163 -238 -327 -313 -311 -257 -233 -257 -321 -262 -267 -279 -296 -362 -511 -633 -711 -741 -611 -516 -490 -523 -606 -632 -681 -641 -660 -652 -723 -735 -729 -718 -781 -782 -828 -805 -759 -762 -719 -671 -741 -857 -1005 -1172 -1208 -1221 -1170 -1093 -1012 -946 -854 -899 -1045 -1162 -1213 -1161 -1102 -1124 -1277 -1397 -1347 -1290 -1239 -1250 -1331 -1306 -1259 -1219 -1244 -1335 -1388 -1367 -1313 -1303 -1329 -1287 -1285 -1253 -1219 -1197 -1273 -1275 -1297 -1388 -1438 -1435 -1398 -1308 -1282 -1333 -1406 -1448 -1399 -1413 -1437 -1441 -1456 -1366 -1309 -1258 -1249 -1201 -1181 -1173 -1240 -1367 -1458 -1457 -1370 -1293 -1236 -1216 -1246 -1252 -1174 -1111 -1065 -1058 -1164 -1268 -1326 -1272 -1170 -1099 -1126 -1176 -1155 -1029 -901 -866 -950 -1066 -1171 -1269 -1351 -1360 -1296 -1209 -1023 -950 -955 -1012 -1042 -984 -854 -904 -904 -1036 -1111 -1193 -1184 -1040 -980 -939 -999 -1017 -1077 -1098 -992 -897 -882 -938 -1082 -1153 -1129 -1014 -921 -926 -965 -1021 -1119 -1152 -1113 -1151 -1071 -1033 -1130 -1209 -1300 -1295 -1179 -1040 -1028 -1212 -1370 -1408 -1333 -1227 -1076 -1114 -1166 -1231 -1204 -1221 -1201 -1276 -1336 -1470 -1500 -1490 -1359 -1303 -1241 -1299 -1334 -1443 -1502 -1543 -1474 -1474 -1502 -1536 -1670 -1758 -1825 -1810 -1622 -1504 -1436 -1461 -1618 -1733 -1820 -1881 -1846 -1885 -1986 -2065 -2086 -1952 -1796 -1651 -1554 -1609 -1799 -1903 -1917 -1851 -1852 -1739 -1856 -1922 -1944 -1962 -1939 -1893 -1934 -1910 -1912 -2002 -2131 -2155 -2053 -1920 -1893 -1962 -2060 -2099 -1971 -1813 -1740 -1808 -1942 -2076 -2059 -2054 -2037 -2038 -2036 -1966 -1986 -1943 -1919 -1865 -1804 -1843 -1897 -1939 -1983 -1842 -1737 -1670 -1655 -1683 -1705 -1853 -1990 -2093 -2074 -1929 -1810 -1743 -1745 -1819 -1814 -1719 -1614 -1603 -1698 -1758 -1752 -1710 -1732 -1742 -1708 -1681 -1627 -1607 -1598 -1566 -1539 -1556 -1534 -1589 -1562 -1640 -1651 -1641 -1657 -1567 -1519 -1465 -1356 -1408 -1414 -1430 -1446 -1502 -1607 -1707 -1667 -1583 -1458 -1463 -1445 -1445 -1361 -1341 -1419 -1540 -1645 -1628 -1577 -1491 -1455 -1426 -1398 -1402 -1440 -1444 -1463 -1404 -1345 -1391 -1463 -1434 -1499 -1390 -1482 -1591 -1687 -1571 -1441 -1362 -1389 -1525 -1668 -1700 -1652 -1632 -1604 -1506 -1454 -1435 -1424 -1521 -1684 -1699 -1686 -1704 -1748 -1831 -1892 -1878 -1745 -1604 -1590 -1633 -1711 -1802 -1870 -1908 -1888 -1819 -1791 -1749 -1793 -1853 -1871 -1852 -1881 -1926 -1985 -2069 -2144 -2179 -2230 -2194 -2109 -2052 -2064 -2124 -2175 -2149 -2039 -1947 -1951 -2140 -2270 -2426 -2460 -2345 -2238 -2141 -2208 -2230 -2315 -2220 -2168 -2108 -2147 -2370 -2491 -2475 -2345 -2175 -2048 -2055 -2168 -2281 -2315 -2343 -2316 -2299 -2286 -2282 -2332 -2448 -2513 -2469 -2318 -2191 -2209 -2313 -2431 -2466 -2402 -2266 -2166 -2153 -2202 -2217 -2267 -2250 -2188 -2133 -2053 -2076 -2148 -2202 -2292 -2278 -2157 -2162 -2145 -2177 -2164 -2098 -2013 -1991 -2008 -2070 -2174 -2262 -2280 -2227 -2081 -1877 -1746 -1757 -1936 -2128 -2150 -2057 -1997 -2017 -2093 -2163 -2111 -1975 -1830 -1672 -1709 -1744 -1823 -1826 -1702 -1669 -1588 -1652 -1753 -1927 -1966 -1920 -1824 -1684 -1590 -1588 -1579 -1689 -1786 -1888 -1855 -1851 -1773 -1801 -1806 -1802 -1736 -1640 -1583 -1569 -1684 -1777 -1856 -1896 -1879 -1855 -1790 -1739 -1696 -1768 -1823 -1773 -1819 -1748 -1759 -1774 -1734 -1701 -1689 -1664 -1725 -1764 -1761 -1794 -1847 -1944 -1973 -1934 -1835 -1833 -1961 -2136 -2094 -2023 -1848 -1854 -1973 -2030 -2100 -2044 -2035 -2111 -2189 -2193 -2160 -2026 -1993 -1972 -1962 -1935 -2059 -2212 -2287 -2356 -2276 -2263 -2286 -2229 -2199 -2133 -2075 -2106 -2175 -2220 -2188 -2207 -2265 -2353 -2464 -2502 -2489 -2452 -2478 -2411 -2330 -2324 -2364 -2465 -2512 -2485 -2481 -2507 -2528 -2585 -2663 -2632 -2488 -2357 -2258 -2207 -2265 -2367 -2442 -2475 -2477 -2463 -2544 -2527 -2552 -2463 -2375 -2325 -2385 -2545 -2560 -2493 -2435 -2393 -2366 -2350 -2368 -2297 -2353 -2387 -2511 -2463 -2398 -2315 -2250 -2340 -2410 -2434 -2415 -2333 -2266 -2179 -2141 -2191 -2224 -2211 -2193 -2097 -2028 -2077 -2177 -2159 -2048 -1854 -1721 -1735 -1953 -2150 -2255 -2290 -2271 -2167 -2113 -2030 -1982 -1969 -1959 -1910 -1784 -1640 -1620 -1768 -1967 -2028 -2026 -1945 -1862 -1850 -1944 -1950 -1954 -1874 -1755 -1664 -1650 -1699 -1808 -1865 -1856 -1870 -1749 -1744 -1689 -1713 -1648 -1650 -1616 -1636 -1671 -1754 -1863 -1937 -1965 -1911 -1813 -1677 -1681 -1746 -1902 -1974 -1953 -1829 -1783 -1705 -1758 -1850 -1974 -1955 -1893 -1811 -1784 -1870 -1972 -2052 -2019 -1915 -1849 -1819 -1948 -2036 -2110 -2007 -1942 -1838 -1834 -1897 -2013 -2149 -2252 -2329 -2193 -2070 -1932 -1867 -2023 -2098 -2108 -2111 -2035 -2178 -2323 -2515 -2599 -2479 -2376 -2290 -2252 -2221 -2218 -2248 -2290 -2255 -2282 -2306 -2359 -2396 -2454 -2461 -2390 -2348 -2388 -2420 -2494 -2458 -2434 -2455 -2443 -2461 -2454 -2507 -2547 -2677 -2659 -2488 -2315 -2211 -2204 -2347 -2450 -2572 -2613 -2613 -2600 -2615 -2583 -2568 -2498 -2452 -2449 -2448 -2462 -2572 -2569 -2524 -2377 -2265 -2246 -2234 -2233 -2346 -2442 -2536 -2560 -2454 -2408 -2329 -2365 -2446 -2396 -2384 -2290 -2311 -2326 -2310 -2205 -2139 -2125 -2217 -2324 -2377 -2322 -2218 -2183 -2094 -2051 -1987 -1920 -1926 -1931 -2016 -2122 -2192 -2268 -2297 -2189 -2014 -1852 -1793 -1815 -1851 -1834 -1784 -1862 -1942 -2042 -1953 -1875 -1740 -1778 -1854 -1907 -1929 -1903 -1855 -1866 -1805 -1783 -1715 -1801 -1844 -2020 -2043 -2005 -1853 -1736 -1654 -1604 -1578 -1623 -1640 -1712 -1759 -1863 -1949 -1996 -1895 -1862 -1633 -1571 -1623 -1739 -1849 -1890 -1895 -1805 -1677 -1604 -1503 -1572 -1687 -1813 -1855 -1858 -1793 -1841 -1863 -1985 -2016 -1962 -1900 -1742 -1783 -1808 -1908 -2009 -1994 -1930 -1844 -1774 -1848 -2010 -2087 -2068 -1947 -1910 -1875 -1945 -1918 -1996 -2005 -2069 -2161 -2243 -2319 -2323 -2352 -2280 -2133 -1981 -1824 -1845 -2006 -2280 -2507 -2490 -2377 -2243 -2249 -2317 -2360 -2361 -2349 -2284 -2294 -2334 -2356 -2387 -2446 -2460 -2375 -2289 -2274 -2402 -2461 -2520 -2469 -2313 -2204 -2162 -2178 -2267 -2482 -2577 -2634 -2643 -2512 -2381 -2329 -2435 -2478 -2448 -2356 -2309 -2316 -2460 -2594 -2607 -2508 -2351 -2240 -2205 -2250 -2381 -2429 -2407 -2367 -2306 -2326 -2304 -2349 -2315 -2282 -2190 -2165 -2196 -2193 -2221 -2258 -2198 -2128 -2081 -2017 -2002 -2050 -2186 -2251 -2164 -2000 -1879 -1883 -1963 -2129 -2283 -2315 -2250 -2204 -2106 -1951 -1920 -1848 -1862 -1825 -1845 -1807 -1857 -1979 -2050 -1969 -1887 -1727 -1675 -1695 -1748 -1735 -1775 -1854 -1907 -1829 -1739 -1731 -1705 -1834 -1890 -1753 -1557 -1480 -1509 -1634 -1634 -1554 -1577 -1645 -1750 -1901 -1952 -1885 -1844 -1758 -1774 -1723 -1726 -1647 -1681 -1718 -1744 -1728 -1707 -1753 -1727 -1710 -1617 -1627 -1611 -1618 -1685 -1769 -1818 -1876 -1941 -1907 -1800 -1691 -1717 -1739 -1806 -1830 -1865 -1854 -1889 -1887 -1852 -1866 -1909 -1954 -2025 -2009 -2006 -1991 -2020 -1993 -2059 -2113 -2160 -2207 -2200 -2160 -2137 -2094 -2135 -2088 -1990 -1879 -1917 -2065 -2192 -2282 -2316 -2323 -2258 -2230 -2184 -2105 -2081 -2175 -2263 -2323 -2297 -2303 -2255 -2368 -2506 -2526 -2501 -2432 -2344 -2293 -2296 -2326 -2351 -2329 -2296 -2310 -2346 -2422 -2584 -2667 -2608 -2492 -2366 -2303 -2341 -2370 -2369 -2335 -2321 -2344 -2402 -2450 -2406 -2378 -2361 -2219 -2196 -2133 -2169 -2309 -2527 -2566 -2493 -2396 -2253 -2196 -2294 -2428 -2502 -2447 -2339 -2283 -2228 -2226 -2274 -2278 -2257 -2178 -2091 -2037 -2117 -2138 -2148 -2103 -2099 -2099 -2121 -2153 -2223 -2242 -2212 -2138 -1947 -1784 -1630 -1647 -1761 -1940 -2002 -1988 -1958 -1942 -2005 -2051 -2029 -1954 -1855 -1694 -1697 -1752 -1913 -2033 -2084 -1922 -1792 -1740 -1816 -1910 -1941 -1799 -1656 -1517 -1528 -1610 -1708 -1729 -1786 -1823 -1756 -1752 -1772 -1789 -1871 -1898 -1868 -1620 -1495 -1436 -1560 -1776 -1913 -1880 -1792 -1715 -1725 -1717 -1754 -1737 -1722 -1817 -1848 -1799 -1814 -1844 -1923 -1934 -1875 -1785 -1765 -1776 -1882 -1958 -1991 -1996 -1926 -1800 -1742 -1668 -1795 -1877 -1998 -1977 -1859 -1796 -1896 -2062 -2214 -2229 -2157 -2171 -2157 -2186 -2237 -2192 -2091 -1937 -1924 -1922 -2053 -2218 -2324 -2411 -2376 -2277 -2306 -2303 -2305 -2204 -2107 -2138 -2210 -2266 -2351 -2406 -2514 -2528 -2501 -2345 -2204 -2140 -2115 -2225 -2309 -2277 -2297 -2309 -2337 -2393 -2450 -2452 -2526 -2629 -2693 -2584 -2487 -2334 -2274 -2273 -2264 -2277 -2354 -2412 -2461 -2460 -2403 -2395 -2370 -2370 -2264 -2190 -2216 -2312 -2423 -2470 -2344 -2267 -2194 -2199 -2221 -2234 -2231 -2197 -2301 -2339 -2371 -2304 -2195 -2147 -2144 -2148 -2084 -2105 -2091 -2078 -2151 -2193 -2210 -2239 -2223 -2183 -2122 -2136 -2072 -2036 -1958 -1861 -1827 -1788 -1840 -1909 -1941 -1938 -1940 -1934 -1937 -1916 -1891 -1848 -1844 -1789 -1683 -1643 -1733 -1884 -2094 -2172 -2121 -1961 -1770 -1715 -1720 -1732 -1771 -1761 -1699 -1650 -1647 -1777 -1932 -2025 -2008 -1871 -1728 -1737 -1828 -1888 -1844 -1759 -1612 -1588 -1633 -1687 -1736 -1707 -1771 -1772 -1750 -1714 -1630 -1662 -1707 -1865 -1882 -1855 -1807 -1840 -1965 -2024 -2074 -1954 -1866 -1799 -1795 -1856 -1980 -2037 -2116 -2100 -1983 -1847 -1843 -1903 -1947 -1972 -1980 -1949 -2019 -2163 -2270 -2397 -2384 -2172 -2073 -1928 -1930 -2014 -2135 -2192 -2187 -2116 -2007 -1944 -2177 -2386 -2534 -2518 -2408 -2295 -2263 -2270 -2412 -2461 -2429 -2378 -2404 -2465 -2545 -2569 -2625 -2507 -2318 -2248 -2232 -2217 -2260 -2354 -2401 -2464 -2517 -2486 -2468 -2460 -2506 -2541 -2557 -2457 -2339 -2255 -2325 -2481 -2515 -2480 -2440 -2359 -2398 -2387 -2477 -2545 -2526 -2520 -2481 -2384 -2402 -2491 -2641 -2570 -2463 -2351 -2294 -2375 -2471 -2435 -2365 -2338 -2247 -2245 -2189 -2224 -2268 -2347 -2342 -2268 -2035 -1885 -1917 -2093 -2278 -2292 -2288 -2193 -2244 -2255 -2249 -2184 -2080 -1967 -1956 -1948 -1981 -1980 -2017 -2085 -2051 -2046 -2053 -2024 -2030 -1955 -1905 -1885 -1902 -1919 -1938 -1984 -1915 -1983 -2019 -2013 -1967 -1888 -1818 -1757 -1727 -1672 -1567 -1597 -1599 -1691 -1826 -1875 -1911 -1943 -1947 -1894 -1832 -1786 -1765 -1801 -1763 -1773 -1789 -1810 -1841 -1824 -1806 -1732 -1713 -1745 -1742 -1739 -1751 -1870 -1948 -1960 -1870 -1775 -1785 -1873 -1978 -1959 -1940 -1873 -1828 -1839 -1785 -1760 -1749 -1824 -2019 -2077 -2054 -1907 -1864 -1816 -1867 -1976 -2110 -2148 -2169 -2149 -2163 -2192 -2212 -2261 -2212 -2081 -2004 -1964 -2071 -2186 -2258 -2256 -2205 -2174 -2221 -2306 -2318 -2297 -2294 -2229 -2221 -2167 -2231 -2361 -2458 -2514 -2520 -2475 -2385 -2355 -2395 -2376 -2363 -2339 -2205 -2186 -2207 -2344 -2492 -2539 -2585 -2563 -2504 -2425 -2442 -2488 -2492 -2419 -2357 -2297 -2361 -2381 -2483 -2521 -2546 -2419 -2333 -2241 -2150 -2243 -2384 -2483 -2473 -2400 -2259 -2233 -2330 -2511 -2615 -2576 -2494 -2341 -2186 -2189 -2238 -2248 -2283 -2246 -2169 -2147 -2212 -2289 -2336 -2330 -2152 -2037 -2092 -2163 -2286 -2330 -2266 -2230 -2159 -2074 -1990 -1965 -1986 -1965 -1984 -1906 -1867 -1859 -1975 -2102 -2146 -2052 -1910 -1730 -1768 -1839 -1940 -1958 -1931 -1916 -1888 -1813 -1874 -1936 -1985 -1983 -1920 -1743 -1641 -1592 -1628 -1717 -1683 -1650 -1680 -1729 -1803 -1864 -1975 -2006 -1867 -1727 -1500 -1381 -1421 -1641 -1814 -1887 -1810 -1724 -1641 -1670 -1660 -1695 -1693 -1664 -1725 -1706 -1703 -1777 -1803 -1796 -1753 -1716 -1771 -1886 -1987 -1995 -1895 -1843 -1756 -1695 -1678 -1674 -1725 -1824 -1926 -1886 -1822 -1777 -1795 -1879 -1934 -1900 -1861 -1872 -1978 -2139 -2195 -2174 -2074 -1951 -1944 -1887 -1851 -1857 -1994 -2141 -2206 -2211 -2178 -2144 -2173 -2106 -2116 -2118 -2071 -2171 -2241 -2266 -2309 -2318 -2384 -2438 -2342 -2172 -2160 -2171 -2263 -2289 -2209 -2094 -2057 -2038 -2156 -2280 -2380 -2426 -2535 -2628 -2544 -2499 -2341 -2206 -2211 -2202 -2303 -2390 -2483 -2507 -2477 -2401 -2421 -2362 -2325 -2257 -2246 -2298 -2328 -2400 -2420 -2460 -2370 -2317 -2305 -2338 -2356 -2360 -2388 -2318 -2298 -2215 -2120 -2102 -2111 -2131 -2065 -2013 -2037 -2114 -2244 -2276 -2257 -2166 -2080 -2048 -2114 -2176 -2256 -2349 -2345 -2119 -1981 -1853 -1848 -1888 -1953 -1952 -1947 -1991 -2090 -2125 -2128 -1998 -1882 -1750 -1716 -1643 -1654 -1736 -1926 -2087 -2114 -1977 -1737 -1618 -1640 -1773 -1890 -1821 -1702 -1560 -1503 -1533 -1586 -1713 -1784
+296 139 -70 -257 -256 -175 -90 -35 -58 -145 -297 -451 -493 -426 -299 -268 -332 -526 -530 -389 -250 -158 -269 -364 -443 -465 -473 -408 -264 -208 -177 -289 -385 -438 -407 -295 -299 -470 -665 -739 -614 -553 -552 -581 -612 -675 -639 -649 -541 -511 -466 -584 -793 -984 -1035 -908 -826 -863 -865 -885 -803 -820 -777 -791 -781 -781 -878 -992 -1037 -963 -775 -641 -631 -784 -808 -824 -772 -762 -861 -965 -979 -963 -1141 -1205 -1270 -1131 -1006 -981 -1060 -1103 -1075 -915 -871 -1003 -1168 -1278 -1181 -1090 -1071 -1074 -1134 -1168 -1208 -1278 -1244 -1103 -994 -990 -1092 -1199 -1227 -1079 -957 -884 -1026 -1168 -1134 -1084 -985 -931 -893 -935 -983 -1174 -1258 -1309 -1218 -1128 -1178 -1353 -1459 -1468 -1321 -1267 -1264 -1260 -1238 -1185 -1129 -1158 -1131 -1092 -1125 -1238 -1341 -1472 -1377 -1144 -951 -957 -1103 -1184 -1194 -1128 -1200 -1199 -1282 -1245 -1263 -1250 -1235 -1139 -998 -922 -994 -1254 -1401 -1422 -1256 -1125 -1221 -1324 -1542 -1649 -1659 -1552 -1434 -1203 -1115 -1199 -1344 -1401 -1331 -1142 -1042 -1113 -1308 -1407 -1434 -1289 -1197 -1116 -1138 -1242 -1384 -1517 -1467 -1366 -1255 -1170 -1290 -1410 -1446 -1342 -1278 -1242 -1283 -1417 -1453 -1509 -1526 -1440 -1357 -1263 -1375 -1618 -1837 -1813 -1630 -1444 -1405 -1542 -1644 -1681 -1623 -1456 -1335 -1314 -1270 -1355 -1445 -1527 -1459 -1304 -1259 -1342 -1547 -1748 -1740 -1602 -1391 -1370 -1409 -1547 -1637 -1790 -1806 -1748 -1613 -1516 -1524 -1702 -1796 -1792 -1649 -1503 -1441 -1603 -1703 -1771 -1839 -1783 -1669 -1640 -1709 -1793 -1827 -1839 -1630 -1454 -1377 -1509 -1659 -1705 -1677 -1610 -1577 -1559 -1586 -1614 -1714 -1768 -1763 -1617 -1549 -1597 -1752 -1962 -1970 -1788 -1614 -1479 -1610 -1755 -1836 -1879 -1764 -1660 -1644 -1591 -1548 -1600 -1741 -1731 -1610 -1515 -1500 -1672 -1823 -1790 -1701 -1663 -1612 -1695 -1711 -1683 -1684 -1622 -1648 -1546 -1434 -1379 -1523 -1672 -1787 -1776 -1758 -1771 -1826 -1840 -1863 -1742 -1706 -1683 -1723 -1760 -1824 -1964 -1972 -1858 -1653 -1514 -1636 -1751 -1768 -1664 -1486 -1376 -1479 -1587 -1718 -1699 -1747 -1751 -1765 -1711 -1679 -1703 -1811 -1820 -1629 -1447 -1375 -1472 -1661 -1762 -1651 -1659 -1667 -1729 -1761 -1739 -1749 -1776 -1771 -1767 -1683 -1686 -1783 -1912 -1882 -1708 -1519 -1521 -1673 -1836 -1824 -1697 -1586 -1592 -1679 -1710 -1654 -1647 -1699 -1684 -1684 -1658 -1736 -1895 -2073 -2067 -1969 -1822 -1757 -1788 -1824 -1860 -1881 -1828 -1745 -1671 -1646 -1774 -2018 -2187 -2158 -1980 -1819 -1767 -1876 -1932 -1927 -1875 -1775 -1783 -1737 -1834 -1931 -1992 -2000 -1863 -1689 -1595 -1701 -1837 -1908 -1843 -1705 -1716 -1764 -1977 -2116 -2251 -2261 -2267 -2161 -2004 -1945 -2043 -2170 -2146 -2014 -1812 -1742 -1877 -2111 -2295 -2221 -2070 -1848 -1744 -1805 -1898 -2080 -2171 -2097 -1968 -1812 -1857 -1968 -2084 -2134 -2086 -1956 -1857 -1872 -1987 -2075 -2143 -2073 -2015 -1818 -1758 -1900 -2129 -2311 -2377 -2253 -2083 -2054 -2103 -2192 -2236 -2139 -2108 -2001 -1869 -1889 -1881 -1925 -1992 -1885 -1753 -1675 -1816 -2026 -2127 -2128 -1911 -1737 -1713 -1755 -1808 -1959 -2057 -2171 -2073 -1972 -1902 -1970 -2124 -2224 -2109 -1864 -1694 -1684 -1890 -2107 -2173 -2104 -2114 -1997 -1962 -2058 -2133 -2210 -2244 -2030 -1768 -1694 -1754 -1911 -1991 -1857 -1753 -1740 -1827 -1852 -1860 -1841 -1867 -1923 -1894 -1771 -1817 -1927 -2062 -2174 -2140 -1981 -1995 -2021 -2113 -2131 -2080 -2072 -2063 -2012 -1945 -1914 -2047 -2067 -2082 -1928 -1780 -1870 -2029 -2210 -2176 -2038 -1891 -1879 -1966 -2027 -1996 -1983 -2001 -1936 -1877 -1718 -1720 -1842 -2024 -2081 -1987 -1923 -1982 -2182 -2258 -2246 -2180 -2140 -2145 -2163 -2195 -2197 -2272 -2326 -2320 -2182 -2127 -2095 -2162 -2181 -2126 -1926 -1898 -2009 -2138 -2167 -2114 -2069 -2016 -2092 -2105 -2185 -2223 -2192 -2138 -2054 -1910 -1818 -1933 -2062 -2096 -2099 -2045 -2104 -2187 -2279 -2276 -2255 -2246 -2298 -2218 -2151 -2176 -2392 -2563 -2550 -2361 -2084 -1998 -2072 -2195 -2259 -2141 -2110 -2105 -2045 -2054 -1981 -2008 -2027 -1982 -1988 -1917 -1961 -2128 -2201 -2199 -2066 -2015 -1959 -2064 -2184 -2208 -2153 -2069 -2018 -1897 -1865 -1928 -2101 -2247 -2205 -2099 -2019 -2057 -2160 -2253 -2231 -2106 -1921 -1791 -1777 -1949 -2138 -2265 -2235 -2019 -1828 -1699 -1791 -1942 -1964 -1879 -1691 -1667 -1690 -1816 -1942 -2067 -2134 -2143 -2092 -1995 -1956 -2119 -2223 -2234 -2025 -1842 -1777 -1851 -2103 -2174 -2167 -2074 -2012 -1985 -1971 -1983 -2089 -2112 -2119 -2033 -1951 -1910 -2063 -2215 -2248 -2051 -1898 -1856 -1880 -2007 -2083 -2065 -2013 -1926 -1832 -1696 -1841 -2027 -2313 -2315 -2195 -2095 -2020 -2176 -2328 -2468 -2459 -2312 -2180 -2077 -2028 -2121 -2245 -2273 -2102 -1865 -1809 -2010 -2170 -2397 -2325 -2180 -2092 -2034 -2044 -2027 -2020 -2110 -2130 -2155 -2097 -2083 -2220 -2391 -2422 -2275 -2057 -1954 -1939 -2134 -2191 -2244 -2225 -2232 -2238 -2301 -2358 -2448 -2576 -2593 -2465 -2260 -2115 -2203 -2316 -2327 -2190 -2046 -1978 -2103 -2243 -2394 -2437 -2378 -2323 -2178 -2060 -2011 -2137 -2324 -2439 -2402 -2278 -2242 -2286 -2313 -2355 -2317 -2204 -2207 -2193 -2176 -2231 -2277 -2339 -2333 -2193 -2043 -2069 -2264 -2410 -2432 -2346 -2256 -2295 -2313 -2306 -2276 -2120 -2117 -2117 -2079 -2046 -2051 -2161 -2173 -2102 -1978 -1876 -1993 -2194 -2340 -2285 -2100 -2054 -2066 -2123 -2165 -2214 -2214 -2297 -2313 -2244 -2187 -2175 -2271 -2274 -2137 -1878 -1784 -1858 -2039 -2121 -2123 -2069 -2034 -2058 -2105 -2071 -2076 -2090 -2128 -2017 -1954 -1851 -1854 -1956 -1937 -1863 -1849 -1933 -1981 -2059 -2116 -2060 -1976 -1919 -1847 -1855 -1924 -2142 -2318 -2306 -2149 -1954 -1932 -2010 -2121 -2174 -2078 -1916 -1842 -1834 -1874 -1927 -2011 -2010 -1949 -1839 -1716 -1786 -2044 -2188 -2228 -2068 -1843 -1828 -1909 -2016 -2090 -2045 -2046 -1983 -1906 -1867 -1967 -2031 -2158 -2029 -1926 -1855 -1947 -2192 -2371 -2365 -2180 -1997 -1948 -1947 -2066 -2205 -2249 -2254 -2146 -2039 -1962 -1992 -2017 -1991 -1831 -1727 -1652 -1742 -1939 -2075 -2162 -2175 -2068 -1968 -1917 -1956 -2222 -2372 -2439 -2277 -1998 -1867 -1976 -2086 -2198 -2266 -2180 -2205 -2096 -2119 -2185 -2327 -2338 -2265 -2063 -1956 -1925 -2166 -2424 -2418 -2295 -2090 -1971 -1963 -2024 -2055 -2027 -2046 -2038 -1963 -1883 -1909 -2101 -2203 -2192 -2021 -1894 -1962 -2193 -2356 -2380 -2320 -2145 -2067 -2065 -2035 -2127 -2253 -2204 -2068 -1910 -1799 -1942 -2101 -2253 -2248 -2095 -1991 -2014 -2061 -2074 -2085 -2068 -1997 -1881 -1744 -1762 -1873 -2099 -2246 -2137 -1932 -1765 -1707 -1774 -1915 -1886 -1889 -1872 -1948 -1912 -1985 -2102 -2202 -2306 -2214 -2066 -1968 -2080 -2184 -2154 -1967 -1818 -1733 -1814 -1960 -2018 -2035 -1989 -1953 -1933 -1826 -1782 -1844 -1890 -1896 -1862 -1781 -1766 -1906 -2083 -2105 -2019 -1885 -1824 -1840 -1917 -1955 -2025 -2034 -2057 -1914 -1801 -1853 -1977 -2194 -2216 -2122 -2007 -2097 -2192 -2234 -2226 -2125 -2073 -2027 -2002 -1948 -1917 -1983 -2005 -2015 -1888 -1807 -1830 -1981 -2053 -2001 -1971 -1959 -2009 -2085 -2060 -1979 -2023 -1998 -2048 -2066 -2105 -2197 -2381 -2417 -2196 -1948 -1780 -1796 -2063 -2136 -2112 -2062 -2074 -2210 -2236 -2307 -2307 -2327 -2324 -2219 -2057 -1929 -2009 -2095 -2123 -2037 -1885 -1765 -1861 -1998 -2079 -2171 -2056 -2030 -1938 -1895 -1926 -2113 -2208 -2221 -2202 -2088 -2178 -2324 -2469 -2434 -2321 -2064 -2017 -2021 -2122 -2188 -2255 -2225 -2130 -1928 -1942 -2050 -2215 -2309 -2227 -2081 -1988 -2033 -2089 -2159 -2178 -2065 -1972 -1880 -1825 -1828 -1932 -2094 -2211 -2101 -1903 -1766 -1871 -2051 -2268 -2301 -2186 -2054 -1977 -1953 -2019 -2094 -2267 -2255 -2184 -2104 -2014 -2114 -2174 -2147 -2012 -1808 -1717 -1763 -1903 -2032 -2093 -2129 -2068 -1959 -1867 -1941 -2000 -2107 -2188 -1955 -1786 -1685 -1867 -1991 -2057 -2023 -1929 -1856 -1897 -1958 -2079 -2213 -2235 -2135 -1892 -1758 -1888 -2116 -2337 -2359 -2221 -2056 -2045 -2081 -2032 -2059 -1980 -1992 -1922 -1829 -1743 -1788 -2004 -2151 -2080 -1925 -1720 -1759 -1944 -2151 -2261 -2218 -2173 -2104 -2071 -2046 -2090 -2146 -2258 -2182 -2094 -1949 -2027 -2173 -2307 -2196 -2051 -1970 -2027 -2215 -2327 -2293 -2248 -2197 -2151 -2064 -1997 -2064 -2186 -2309 -2221 -2100 -1950 -2020 -2115 -2137 -2112 -1972 -1934 -1913 -2016 -2126 -2308 -2472 -2542 -2371 -2223 -2160 -2278 -2446 -2515 -2317 -2125 -2063 -2197 -2251 -2286 -2206 -2193 -2181 -2183 -2182 -2190 -2181 -2281 -2263 -2163 -2088 -2070 -2200 -2347 -2316 -2233 -2145 -2187 -2227 -2204 -2133 -2048 -2071 -2185 -2123 -2105 -2142 -2218 -2324 -2325 -2175 -2107 -2160 -2334 -2500 -2516 -2371 -2268 -2238 -2211 -2238 -2198 -2225 -2268 -2223 -2045 -1935 -1925 -2053 -2149 -2125 -2097 -2055 -2117 -2172 -2142 -2148 -2159 -2174 -2098 -2060 -2064 -2237 -2400 -2455 -2351 -2134 -2007 -2078 -2192 -2207 -2134 -2016 -2006 -2060 -2229 -2306 -2394 -2399 -2306 -2162 -2064 -2084 -2205 -2280 -2212 -1941 -1805 -1737 -1870 -2007 -2111 -2087 -2003 -1977 -1981 -1930 -1941 -2000 -2089 -2105 -1973 -1899 -1946 -2078 -2338 -2376 -2284 -2113 -1938 -1942 -2001 -2004 -2178 -2186 -2134 -1989 -1921 -2023 -2166 -2208 -2171 -2073 -1981 -2028 -2126 -2183 -2159 -2029 -1976 -1872 -1791 -1803 -1953 -2065 -2134 -2024 -1841 -1811 -1913 -2098 -2263 -2241 -2140 -2021 -1977 -2049 -2147 -2264 -2392 -2308 -2232 -2078 -2121 -2259 -2504 -2470 -2311 -1993 -1842 -1796 -1978 -2071 -2118 -2144 -2140 -2089 -2064 -2095 -2249 -2395 -2373 -2178 -1988 -1874 -1955 -2125 -2268 -2244 -2156 -2113 -2156 -2232 -2287 -2366 -2403 -2335 -2203 -2031 -2111 -2300 -2484 -2478 -2401 -2307 -2332 -2449 -2499 -2423 -2300 -2216 -2110 -2008 -1943 -1990 -2136 -2250 -2218 -2073 -1904 -1943 -2100 -2275 -2326 -2255 -2187 -2157 -2082 -2074 -2107 -2210 -2288 -2269 -2059 -1938 -2018 -2234 -2383 -2329 -2110 -1966 -1985 -2158 -2304 -2353 -2376 -2299 -2260 -2215 -2148 -2172 -2261 -2327 -2319 -2202 -2043 -2052 -2167 -2143 -1969 -1793 -1712 -1834 -1921 -1992 -2085 -2144 -2206 -2225 -2129 -2103 -2157 -2259 -2267 -2175 -2044 -1993 -2138 -2256 -2247 -2141 -2107 -2056 -2099 -2116 -2090 -2145 -2230 -2191 -2058 -1884 -1879 -1970 -2162 -2213 -2159 -2089 -2049 -2036 -2002 -1888 -1854 -1890 -1958 -1904 -1847 -1876 -2010 -2140 -2145 -2036 -1887 -1930 -2160 -2304 -2277 -2189 -2127 -2109 -2201 -2196 -2212 -2184 -2224 -2140 -2076 -2016 -2164 -2218 -2280 -2176 -2038 -1977 -2027 -2146 -2262 -2261 -2173 -2101 -2042 -2018 -2055 -2261 -2311 -2299 -2230 -2090 -2003 -2109 -2183 -2245 -2174 -2034 -1976 -2004 -2118 -2211 -2334 -2405 -2442 -2246 -2237 -2275 -2373 -2436 -2401 -2193 -2068 -2068 -2145 -2202 -2234 -2200 -2149 -2140 -2103 -2097 -2165 -2181 -2151 -2100 -1963 -1871 -1986 -2258 -2420 -2461 -2323 -2228 -2129 -2120 -2178 -2269 -2330 -2267 -2234 -2072 -2026 -2162 -2335 -2386 -2353 -2193 -2084 -2128 -2269 -2436 -2456 -2441 -2325 -2152 -1983 -1972 -2098 -2255 -2252 -2177 -1964 -1910 -1947 -2088 -2203 -2212 -2078 -2000 -2037 -2034 -2121 -2197 -2198 -2223 -2054 -1896 -1950 -2185 -2445 -2449 -2256 -2015 -1934 -1984 -2033 -2025 -1972 -1948 -1982 -2007 -2041 -2129 -2312 -2434 -2366 -2144 -1914 -1811 -1960 -2127 -2160 -2077 -1919 -1794 -1796 -1823 -1885 -2037 -2158 -2154 -1984 -1802 -1849 -1960 -2129 -2178 -2063 -1991 -2040 -2181 -2264 -2339 -2314 -2206 -2089 -1959 -1825 -1847 -1962 -2067 -2068 -1902 -1803 -1807 -1991 -2122 -2096 -2022 -1922 -1950 -2012 -2051 -2053 -2059 -2043 -1966 -1831 -1802 -1929 -2127 -2192 -2090 -1935 -1829 -1913 -2125 -2215 -2151 -2129 -2087 -2127 -2073 -2010 -2055 -2152 -2284 -2215 -2114 -2030 -2084 -2205 -2262 -2130 -1927 -1801 -1805 -1835 -1881 -1931 -2047 -2160 -2239 -2157 -2062 -2142 -2183 -2255 -2147 -2027 -1971 -2080 -2164 -2212 -2147 -2058 -2040 -2123 -2182 -2242 -2288 -2264 -2244 -2138 -1987 -2000 -2066 -2179 -2289 -2240 -2150 -2192 -2266 -2272 -2246 -2127 -2007 -1862 -1835 -1818 -1925 -2086 -2146 -2204 -1990 -1856 -1881 -2048 -2223 -2201 -2155 -2134 -2139 -2248 -2239 -2256 -2223 -2228 -2181 -2099 -2090 -2188 -2249 -2358 -2226 -1987 -1940 -1953 -2027 -2111 -2122 -2074 -2047 -2093 -2049 -2105 -2115 -2119 -2039 -1956 -1863 -1889 -2033 -2126 -2153 -2008 -1812 -1670 -1633 -1819 -2017 -2185 -2235 -2187 -2105 -1994 -2047 -2197 -2285 -2199 -2050 -1953 -1911 -2015 -2100 -2099 -2071 -1990 -1917 -1819 -1731 -1784 -1962 -2028
+-36 -44 98 274 332 273 158 209 345 481 505 510 504 480 481 478 490 517 568 573 570 592 685 756 673 633 580 688 714 761 662 635 706 859 912 735 601 482 562 687 804 819 750 733 864 966 971 988 1042 1054 1059 1060 1078 1091 1013 944 917 889 971 1080 1138 1170 1153 1139 1174 1126 1027 967 1012 1172 1298 1281 1184 1149 1218 1301 1380 1267 1165 1146 1222 1361 1314 1242 1131 1213 1303 1443 1474 1404 1382 1474 1519 1541 1560 1545 1498 1528 1623 1675 1709 1748 1694 1580 1535 1606 1749 1794 1763 1729 1733 1839 1930 1966 1866 1815 1846 1960 2025 2009 1927 1940 1978 2148 2206 2162 2129 2135 2197 2244 2283 2339 2326 2337 2409 2473 2531 2597 2644 2611 2527 2552 2546 2614 2568 2522 2513 2544 2695 2757 2774 2764 2803 2878 2921 2847 2742 2781 2883 2995 2984 2847 2831 2918 3106 3242 3266 3218 3128 3149 3174 3218 3272 3245 3227 3215 3164 3169 3263 3338 3294 3224 3183 3252 3384 3481 3466 3384 3359 3469 3562 3446 3327 3227 3266 3418 3423 3341 3137 3137 3223 3418 3548 3517 3449 3487 3539 3613 3508 3508 3535 3505 3495 3459 3501 3507 3493 3384 3225 3242 3259 3377 3402 3386 3400 3484 3533 3467 3363 3323 3365 3535 3529 3316 3104 2969 3070 3210 3268 3225 3127 3241 3386 3511 3529 3508 3453 3382 3346 3316 3331 3408 3437 3397 3263 3180 3246 3304 3268 3213 3161 3256 3394 3403 3303 3286 3251 3364 3466 3402 3254 3217 3288 3424 3425 3340 3236 3238 3321 3446 3505 3552 3559 3539 3589 3556 3547 3523 3534 3568 3526 3497 3527 3571 3632 3625 3586 3644 3691 3742 3709 3707 3804 3964 4036 4030 3805 3694 3721 3819 3895 3852 3658 3618 3734 3907 4006 4072 4026 4020 4157 4241 4289 4245 4144 4152 4166 4226 4247 4256 4232 4195 4129 4229 4352 4393 4398 4295 4272 4374 4476 4488 4470 4412 4402 4518 4620 4573 4478 4373 4298 4366 4359 4308 4324 4316 4495 4624 4655 4644 4643 4657 4706 4698 4696 4697 4727 4738 4679 4642 4614 4594 4619 4556 4558 4582 4673 4722 4678 4574 4530 4572 4687 4738 4699 4607 4563 4653 4620 4546 4391 4322 4411 4540 4599 4518 4440 4480 4556 4618 4590 4482 4439 4321 4374 4489 4491 4494 4469 4425 4330 4308 4366 4418 4463 4344 4320 4329 4420 4461 4418 4309 4291 4294 4351 4317 4180 4102 4144 4233 4220 4168 4046 4040 4193 4368 4426 4366 4241 4187 4193 4231 4188 4187 4155 4141 4128 4120 4178 4247 4282 4217 4130 4152 4247 4335 4323 4265 4186 4254 4334 4342 4216 4110 4093 4254 4282 4188 4043 3950 4071 4330 4410 4371 4285 4270 4321 4417 4476 4493 4488 4416 4404 4323 4322 4416 4459 4460 4363 4399 4447 4573 4616 4546 4459 4495 4595 4688 4710 4617 4651 4771 4807 4688 4516 4377 4442 4643 4767 4707 4651 4685 4774 4880 4900 4853 4784 4777 4792 4876 4903 4879 4894 4896 4846 4826 4936 5004 5089 5043 5033 5078 5049 5029 4908 4834 4840 5073 5176 5210 5046 4904 4907 5024 5131 5100 4901 4820 4936 5035 5145 5141 5060 5009 5027 5057 5079 5061 5024 5044 5034 5009 4995 5112 5135 5083 5018 5030 5130 5154 5147 5065 4981 5008 5010 5065 4960 4854 4825 4869 4915 4945 4767 4687 4721 4817 4878 4888 4851 4859 4902 4945 4932 4867 4768 4728 4711 4729 4723 4676 4680 4688 4667 4685 4684 4688 4641 4577 4510 4573 4616 4705 4599 4567 4532 4634 4729 4760 4702 4536 4464 4450 4541 4471 4373 4269 4300 4404 4488 4471 4408 4402 4478 4614 4633 4622 4500 4428 4406 4358 4455 4521 4538 4487 4437 4431 4483 4535 4554 4446 4385 4480 4590 4718 4697 4592 4547 4585 4669 4652 4551 4481 4505 4644 4677 4573 4434 4400 4487 4700 4695 4681 4619 4606 4726 4791 4856 4816 4831 4803 4824 4823 4892 4962 4963 4824 4702 4653 4780 4970 5064 4999 5002 5032 5078 5141 5052 4867 4823 4926 5047 5003 4893 4780 4870 5052 5195 5229 5140 5102 5165 5228 5257 5239 5218 5136 5107 5044 5041 5143 5215 5229 5225 5186 5196 5279 5291 5316 5245 5320 5362 5451 5460 5347 5326 5319 5332 5256 5067 4950 5039 5158 5220 5137 5035 4999 5097 5272 5357 5329 5303 5222 5257 5215 5217 5195 5186 5104 5028 4955 4998 5074 5137 5069 4983 5027 5117 5215 5229 5127 5116 5216 5310 5226 5001 4744 4635 4726 4804 4816 4680 4572 4604 4759 4872 4915 4872 4841 4844 4782 4820 4799 4790 4741 4686 4614 4641 4711 4735 4753 4634 4594 4591 4684 4735 4652 4654 4647 4706 4711 4682 4556 4511 4623 4715 4664 4553 4422 4388 4508 4597 4587 4568 4561 4645 4671 4734 4711 4613 4526 4500 4496 4541 4582 4602 4650 4633 4639 4678 4738 4742 4778 4737 4885 5008 5086 5017 4855 4755 4790 4860 4929 4828 4713 4752 4810 4880 4925 4849 4823 4891 5008 5167 5156 5113 5042 5050 5031 4971 4949 4882 4923 4985 5045 5164 5218 5267 5259 5209 5194 5267 5298 5380 5369 5346 5361 5481 5496 5419 5259 5158 5193 5323 5361 5292 5180 5173 5259 5305 5302 5227 5212 5353 5487 5599 5535 5380 5282 5291 5297 5362 5370 5408 5344 5368 5380 5404 5434 5485 5383 5263 5220 5285 5451 5495 5419 5315 5265 5326 5327 5337 5228 5213 5279 5353 5325 5245 5171 5205 5252 5304 5207 5073 5072 4976 5057 5093 5087 5099 5148 5155 5122 5109 5159 5219 5222 5101 5021 5052 5106 5119 5014 4918 4889 4973 5058 5018 4952 4914 5024 5071 4989 4768 4588 4704 4963 5093 5035 4920 4741 4739 4834 4858 4769 4726 4588 4632 4654 4727 4790 4785 4774 4663 4594 4692 4786 4940 4940 4793 4711 4715 4729 4755 4703 4631 4728 4867 4863 4750 4589 4537 4588 4663 4703 4606 4553 4659 4775 4867 4866 4834 4778 4737 4744 4726 4767 4858 4869 4835 4727 4699 4710 4717 4775 4740 4751 4831 4934 4989 4983 4940 5023 5068 5071 5004 4969 4942 5054 5172 5111 4954 4742 4744 4891 5026 5075 5097 5044 5028 5081 5082 5089 5068 5063 5071 5077 5110 5215 5327 5268 5202 5108 5170 5199 5280 5234 5180 5239 5356 5427 5438 5345 5257 5311 5437 5507 5431 5264 5164 5199 5299 5326 5255 5249 5248 5354 5480 5409 5345 5258 5299 5334 5388 5330 5276 5334 5278 5315 5349 5378 5415 5392 5334 5340 5381 5435 5434 5321 5256 5271 5421 5423 5338 5184 5059 5075 5155 5238 5174 5085 5046 5184 5232 5288 5246 5127 5114 5186 5206 5172 5153 5089 5055 4986 4984 4979 5029 4992 4895 4882 4913 5017 5055 4983 4902 4841 4921 5078 5154 5120 4994 4941 4923 4910 4835 4692 4613 4678 4744 4768 4690 4672 4696 4879 4927 4865 4747 4670 4696 4772 4799 4718 4636 4626 4584 4583 4602 4635 4694 4703 4598 4564 4589 4710 4780 4705 4645 4653 4800 4943 4958 4832 4675 4686 4793 4765 4603 4507 4522 4676 4822 4850 4668 4521 4546 4654 4783 4861 4871 4815 4835 4792 4826 4945 4976 4948 4870 4828 4841 4920 5035 5042 4984 4906 4994 5071 5179 5153 5118 5100 5164 5151 5057 4901 4909 5045 5249 5299 5271 5200 5220 5321 5354 5329 5268 5163 5225 5183 5218 5171 5264 5253 5225 5195 5219 5357 5437 5479 5410 5293 5372 5485 5540 5510 5424 5426 5564 5576 5494 5349 5280 5365 5501 5474 5350 5177 5176 5327 5490 5563 5563 5466 5404 5449 5478 5440 5401 5332 5253 5192 5137 5214 5244 5246 5218 5196 5166 5242 5310 5355 5371 5357 5448 5499 5436 5209 5125 5141 5206 5181 5102 4951 4914 5007 5085 5146 5068 5016 4931 4967 5105 5065 4990 4899 4819 4791 4827 4868 4882 4852 4872 4845 4880 4981 4908 4817 4700 4665 4749 4837 4879 4789 4729 4772 4859 4928 4827 4671 4552 4555 4712 4850 4843 4739 4711 4741 4800 4782 4779 4795 4741 4718 4736 4663 4604 4565 4457 4486 4527 4667 4750 4842 4845 4809 4780 4821 4902 4864 4782 4749 4783 4896 4906 4877 4728 4649 4775 4906 4923 4904 4923 4957 5138 5210 5159 4993 4952 5038 5103 5075 4965 4854 4874 4901 5013 4973 4999 5029 5050 5087 5126 5177 5240 5217 5125 5118 5234 5350 5437 5381 5244 5206 5327 5458 5442 5325 5192 5209 5275 5345 5279 5235 5243 5399 5536 5573 5443 5384 5334 5380 5401 5396 5394 5396 5385 5379 5401 5432 5531 5519 5419 5322 5239 5327 5472 5491 5369 5299 5343 5430 5493 5467 5387 5455 5554 5611 5510 5382 5325 5373 5456 5416 5310 5171 5160 5318 5388 5386 5253 5197 5202 5221 5230 5305 5372 5407 5286 5180 5129 5121 5193 5164 5063 4904 4926 5078 5215 5215 5086 5027 5099 5105 5088 4967 4907 4981 5136 5159 5065 4885 4797 4923 5016 5081 5012 4921 4801 4726 4755 4771 4806 4783 4822 4753 4749 4825 4901 4889 4739 4683 4686 4709 4819 4833 4752 4767 4842 4916 4893 4777 4696 4718 4830 4901 4702 4591 4495 4582 4714 4804 4804 4708 4711 4782 4909 4951 4951 4881 4847 4771 4739 4765 4785 4757 4708 4565 4549 4620 4747 4771 4771 4761 4787 4968 5025 5051 4981 4987 5084 5188 5144 4951 4784 4753 4882 4974 5019 4938 4935 4973 5076 5129 5099 5099 5087 5095 5150 5126 5151 5146 5185 5197 5168 5124 5112 5092 5064 5049 5062 5135 5239 5276 5246 5284 5388 5493 5510 5452 5409 5367 5423 5485 5433 5279 5175 5235 5413 5527 5547 5433 5402 5407 5466 5446 5414 5285 5280 5271 5359 5416 5406 5424 5358 5315 5357 5380 5532 5516 5434 5367 5435 5515 5561 5473 5315 5192 5255 5408 5447 5343 5230 5184 5284 5385 5373 5338 5326 5446 5551 5526 5372 5220 5199 5184 5192 5164 5130 5137 5112 5069 5033 5074 5105 5104 5082 5027 5009 5096 5254 5231 5147 5035 5042 5115 5147 5092 4948 4863 4900 4970 4926 4825 4774 4911 5104 5179 5042 4973 4897 4864 4988 4982 4860 4804 4690 4695 4737 4796 4831 4797 4716 4627 4611 4722 4804 4845 4741 4616 4640 4700 4871 4870 4839 4820 4896 4930 4901 4811 4745 4786 4915 4957 4862 4746 4702 4808 4895 4916 4830 4767 4756 4763 4781 4809 4868 4917 4963 4892 4898 4932 4988 5061 4996 4947 4913 4964 5045 5024 4970 4976 5048 5140 5200 5023 4976 4986 5175 5304 5304 5200 5187 5306 5485 5555 5464 5301 5191 5176 5221 5328 5343 5294 5254 5228 5211 5237 5358 5424 5392 5333 5324 5366 5482 5480 5430 5330 5353 5425 5537 5525 5472 5447 5483 5529 5498 5349 5250 5260 5413 5612 5654 5607 5553 5523 5527 5578 5499 5486 5438 5435 5445 5374 5349 5313 5225 5196 5265 5260 5349 5367 5348 5315 5335 5368 5370 5322 5280 5256 5354 5507 5508 5316 5159 5087 5125 5274 5286 5130 5005 5059 5154 5245 5213 5078 4955 4966 5016 5069 5066 5077 5074 5043 5033 4969 5008 4944 4910 4814 4755 4741 4789 4793 4780 4723 4705 4837 4900 4923 4835 4754 4806 4902 4934 4832 4677 4669 4728 4844 4887 4772 4765 4785 4882 4847 4731 4615 4566 4562 4675 4660 4672 4673 4711 4709 4760 4707 4759 4742 4661 4615 4614 4707 4779 4783 4715 4617 4635 4775 4859 4836 4726 4706 4793 4954 5018 4935 4846 4864 4908 4999 4983 4840 4880 4941 4944 4992 4922 4847 4767 4808 4843 4901 4983 5021 4969 4876 4828 4844 4981 5090 5054 5022 5076 5250 5321 5360 5189 5059 5056 5118 5115 5031 5050 5136 5324 5353 5289 5104 5036 5160 5293 5427 5418 5307 5273 5295 5291 5318 5369 5359
diff --git a/sim/corrout.txt b/sim/corrout.txt
index 025a9e23c423db96613bb68d44575c281ceae2df..3b1a991935ca89999be512f0c42b6d66c6d43019 100644
--- a/sim/corrout.txt
+++ b/sim/corrout.txt
@@ -1,200 +1,2000 @@
-74 525 -127 68 89 12 -66 -158 -41 59 64 -201 5 -136 17 -123 -132 13 44 87 135 10 -96 86 12 -298 -39 -224 -208 -62 12 -29 -41 -145 221 -110 -217 -94 63 -28 -30 -123 -98 -174 -144 183 -84 4 -403 19 53 189 -234 -82 70 -176 -369 -160 -61 -41 60 95 -239 -95 -115 66 58 135 148 21 -162 -130 121 6 277 165 30 -85 107 16 -99 -30 -9 45 -130 -9 -241 -171 128 -348 73 293 102 -205 -103 -124 60 32 21 173
-157 617 -210 69 158 -46 69 -225 -101 90 146 -268 -125 -268 73 -283 -131 135 -5 158 218 -7 0 191 -101 -347 -108 -231 -163 7 -2 -72 -56 -140 234 -219 -314 -141 84 53 -127 -47 -127 -84 -167 275 -110 17 -455 65 -8 326 -242 -129 149 -124 -386 -113 29 -22 -92 43 -245 -147 -54 75 -49 77 205 16 -234 -188 269 -54 362 167 56 -114 93 116 64 127 124 36 -370 30 -213 -182 119 -285 -137 150 124 -34 48 -85 121 119 48 160
-219 648 -231 39 227 -49 133 -290 -136 41 132 -185 -187 -349 142 -333 -83 167 -63 179 179 -40 90 180 -148 -150 -124 -162 -102 71 -24 -61 -40 -84 382 -289 -381 -179 -10 178 -163 23 -187 28 -137 312 -137 48 -509 -31 3 307 -110 -158 70 -250 -337 35 115 -76 -347 -70 -182 -134 22 76 -187 39 333 52 -226 -126 286 -42 383 294 137 -185 11 100 196 189 201 34 -516 92 -165 -172 25 -339 -306 30 57 -39 73 -64 94 53 12 218
-214 818 -226 -39 297 -50 44 -316 -143 -25 61 18 -188 -273 204 -228 -32 118 -21 193 116 -16 119 171 -158 -39 -26 -77 -52 88 -65 16 -11 -128 579 -207 -395 -206 -162 197 -111 44 -216 77 -47 300 -121 59 -593 -204 -151 305 33 -175 6 -323 -313 65 96 -131 -425 -132 -87 -142 136 125 -246 16 389 100 -161 -39 184 -13 433 299 206 -248 -106 -55 233 180 228 60 -504 84 -223 -229 -14 -469 -297 63 25 -202 26 -47 -5 -138 5 374
-183 908 -241 -42 362 -19 -16 -450 -193 -89 -34 14 -170 -269 212 -52 -47 108 49 173 93 25 -60 154 -265 -105 62 -150 -53 136 -232 63 106 -176 757 -92 -410 -235 -199 155 10 35 -166 -20 45 284 -98 33 -634 -259 -300 218 36 -149 -48 -373 -295 29 34 -150 -343 -129 -11 -149 240 225 -183 43 271 74 -148 4 70 125 452 317 193 -343 -146 -104 237 82 212 138 -372 43 -420 -358 97 -611 -74 214 83 -274 -44 -31 -27 -251 -45 496
-200 940 -265 -18 374 -13 -57 -549 -159 -44 4 16 -198 -311 187 20 -80 193 108 193 87 20 -344 209 -345 -324 95 -309 -66 230 -378 77 215 -203 745 -2 -397 -212 -79 70 132 39 -102 -121 121 316 -45 67 -621 -138 -424 180 -114 -113 -2 -382 -287 -53 -9 -116 -248 -144 15 -159 335 312 -92 10 129 32 -167 54 47 212 447 379 203 -364 -165 -126 154 -7 197 213 -242 -62 -602 -456 219 -702 78 386 169 -276 -31 10 37 -228 -11 495
-149 863 -290 41 381 86 -82 -674 -163 23 12 -132 -205 -400 97 -103 -114 276 123 245 143 34 -481 270 -355 -493 115 -436 -99 281 -458 54 272 -228 734 25 -332 -126 44 -26 187 38 -77 -222 95 351 -71 100 -561 37 -337 170 -255 -92 39 -425 -281 -107 9 -84 -262 -199 -50 -232 288 342 -50 -54 115 39 -212 -19 55 280 301 409 244 -410 -200 -24 214 60 242 196 -257 -143 -735 -514 226 -720 -31 407 245 -130 30 36 170 -44 77 461
-164 728 -247 30 357 118 -116 -742 -125 50 156 -270 -164 -420 94 -255 -74 292 64 300 103 -43 -454 364 -226 -412 163 -400 -111 243 -331 67 157 -300 656 20 -319 -77 230 -4 187 100 -54 -269 63 350 -86 194 -609 165 -7 166 -260 -90 34 -589 -335 -51 97 -118 -419 -244 -99 -260 249 329 -42 -97 269 109 -216 -66 109 83 201 431 342 -350 -159 128 270 136 308 176 -295 -122 -697 -478 188 -630 -193 415 326 -20 111 46 231 123 147 453
-174 715 -132 8 377 137 -171 -776 -4 27 245 -286 -114 -480 285 -295 -83 197 16 369 4 -183 -285 313 -116 -134 136 -297 -140 134 -133 85 -44 -325 596 -112 -377 -48 310 167 114 134 -62 -348 62 339 -19 315 -712 116 187 185 -321 -203 2 -624 -332 69 137 -194 -514 -314 -118 -232 92 275 -56 -66 511 202 -209 -121 227 -138 207 483 392 -359 -154 172 252 213 374 204 -269 -96 -669 -467 165 -518 -201 445 345 -13 147 45 185 113 242 493
-215 811 -77 13 395 64 -176 -760 30 -25 293 -274 -67 -570 474 -183 -128 138 32 426 -14 -241 -58 159 -78 68 34 -305 -150 98 -35 76 -136 -328 619 -268 -463 -78 312 328 148 232 -53 -311 30 335 44 402 -825 -16 129 174 -409 -320 -5 -496 -275 141 82 -269 -371 -347 -19 -192 38 232 -73 -50 657 273 -184 -109 368 -243 292 521 405 -361 -130 105 174 189 350 199 -121 -126 -746 -504 264 -549 -78 563 352 -140 128 57 123 30 339 597
-257 964 -127 65 396 93 -189 -741 47 -83 390 -115 -127 -664 555 -134 -240 140 107 468 85 -157 46 40 -139 -2 -92 -457 -209 119 -134 68 -37 -184 710 -367 -528 -121 255 447 246 345 -7 -299 56 307 104 541 -894 -131 -92 208 -518 -447 -57 -383 -243 145 11 -301 -160 -428 19 -196 -11 237 -47 -10 591 225 -267 -162 575 -144 489 493 387 -365 -103 -23 137 125 269 122 22 -139 -879 -605 421 -611 100 657 366 -232 79 80 47 -106 318 579
-283 936 -115 158 415 110 -99 -730 10 -140 497 -58 -255 -798 471 -231 -345 131 215 504 144 -3 11 -63 -174 -188 -39 -579 -184 151 -246 93 83 -53 863 -400 -615 -192 273 506 269 426 45 -320 30 325 46 634 -904 -70 -283 247 -429 -412 -83 -257 -187 90 -39 -226 57 -530 60 -93 30 246 -35 14 434 140 -384 -198 759 27 526 454 309 -434 -142 -132 180 117 204 -16 -9 -64 -941 -711 386 -781 -18 638 431 -157 88 104 36 -145 244 413
-354 943 -140 180 450 123 -70 -729 -73 -201 614 -68 -319 -837 331 -393 -385 53 196 499 150 110 -136 -71 -46 -364 189 -585 -111 102 -363 129 163 -16 1032 -372 -641 -219 283 550 179 458 33 -380 -1 280 -44 668 -903 -48 -157 257 -170 -227 -99 -379 -127 83 -66 -206 117 -656 3 -80 38 252 -57 19 326 43 -452 -170 759 34 486 315 243 -431 -124 -74 323 160 206 -79 -147 30 -984 -814 310 -1008 -228 568 479 -65 68 83 99 -109 85 363
-356 980 -73 153 416 34 -35 -749 -130 -240 675 -260 -262 -801 303 -459 -373 -25 125 431 132 203 -190 -136 98 -302 345 -526 -14 42 -358 170 97 -82 1217 -393 -669 -210 359 508 97 483 56 -491 -45 221 -137 613 -978 -58 -12 284 8 -104 -139 -561 -145 68 -114 -248 231 -732 -61 10 -41 191 -72 126 299 6 -444 -91 642 -55 410 214 179 -442 -73 119 500 239 275 -44 -266 92 -949 -835 179 -1087 -369 534 525 -9 79 32 110 -123 23 473
-389 1128 -105 116 474 -48 16 -799 -187 -316 599 -331 -165 -801 432 -366 -323 -48 50 433 126 161 -225 -170 99 -108 268 -525 20 0 -320 185 -1 -179 1241 -461 -704 -188 362 453 83 509 85 -542 -63 148 -147 562 -1073 -164 85 269 -118 -202 -219 -703 -115 93 -189 -311 349 -659 72 32 -128 132 -156 94 469 76 -408 -80 478 -104 318 292 129 -513 -48 244 544 277 359 140 -316 51 -967 -803 206 -1017 -290 547 549 9 84 -20 126 -181 5 744
-385 1350 -127 159 502 -85 154 -798 -150 -195 534 -421 -103 -863 622 -241 -212 36 121 510 265 144 -122 -143 -86 -36 6 -536 30 76 -228 194 -20 -116 1109 -530 -736 -196 331 420 266 611 147 -523 8 151 -110 580 -1162 -332 46 227 -363 -374 -266 -716 -112 118 -251 -384 478 -537 257 62 -79 150 -191 -18 570 169 -346 -37 387 -118 285 369 131 -559 -24 211 424 205 407 349 -327 -91 -1023 -756 300 -921 -146 652 597 -16 82 -28 118 -310 20 1007
-365 1367 -139 204 550 -10 185 -801 -58 -65 428 -342 -89 -915 615 -258 -118 90 269 602 340 106 28 -120 -293 -112 -140 -556 -16 122 -165 147 11 -24 946 -552 -800 -249 287 447 458 628 164 -467 18 168 -127 588 -1158 -375 85 82 -414 -416 -280 -713 -65 183 -268 -433 560 -534 470 94 32 218 -168 -161 575 227 -387 -114 431 -41 253 581 116 -704 -97 111 293 91 424 436 -469 -182 -1091 -766 325 -920 -122 760 669 -34 1 -43 117 -368 -23 1152
-263 1221 -126 183 577 52 45 -799 32 -11 432 -185 -152 -938 448 -357 -70 99 352 675 386 67 136 80 -406 -246 -102 -508 -114 95 -119 153 83 91 944 -451 -808 -287 252 520 446 580 112 -445 -5 156 -201 605 -1082 -297 145 6 -132 -260 -289 -731 -27 298 -232 -507 479 -607 545 61 98 257 -162 -326 597 314 -404 -161 459 -1 206 680 162 -768 -171 47 219 -2 366 363 -622 -159 -1126 -831 178 -985 -214 823 749 -49 -161 -71 171 -255 -83 1122
-137 1085 -187 137 615 151 -166 -914 15 20 418 -201 -59 -888 265 -456 -114 35 325 731 255 -60 191 177 -286 -320 111 -452 -176 -32 -51 184 53 67 1118 -433 -781 -263 248 538 384 481 11 -545 -109 177 -337 543 -1055 -105 -15 -9 132 -76 -240 -729 -34 328 -279 -569 479 -717 439 98 24 282 -66 -277 595 295 -431 -162 487 -125 225 690 160 -821 -209 119 151 -122 263 273 -620 -31 -1140 -931 47 -1104 -218 920 776 -150 -179 -93 148 -211 -82 1051
-28 993 -146 114 606 203 -342 -1035 -9 88 411 -336 40 -866 233 -386 -206 -5 284 724 86 -168 55 95 -196 -282 253 -517 -152 -68 -18 232 27 13 1299 -514 -828 -240 364 540 255 387 -6 -687 -154 193 -434 533 -1118 -3 -235 115 196 -31 -232 -675 30 356 -317 -573 618 -796 364 97 -130 259 -7 -184 604 263 -403 -105 464 -209 282 681 115 -827 -167 295 74 -155 218 271 -530 63 -1212 -1047 132 -1154 -61 1007 733 -289 -89 -91 101 -205 5 1034
--22 1158 -215 165 654 250 -307 -1186 -107 198 380 -499 108 -852 386 -293 -259 49 290 776 112 -163 -81 4 -221 -157 151 -645 -74 3 -17 219 63 37 1449 -700 -861 -192 413 472 267 405 92 -761 -58 218 -407 626 -1198 -6 -406 184 72 -139 -255 -620 70 260 -417 -545 831 -717 230 65 -352 177 -11 -102 676 223 -437 -121 510 -299 413 690 9 -905 -149 404 -31 -165 213 325 -434 36 -1283 -1087 292 -1126 172 1055 679 -314 79 -74 56 -150 138 1066
-74 1200 -188 257 712 279 -144 -1226 -93 277 371 -542 90 -1002 555 -250 -205 162 396 823 202 -100 -125 -202 -283 -71 13 -719 47 159 33 131 110 147 1506 -865 -987 -263 462 494 359 495 156 -657 77 264 -304 800 -1275 -6 -384 175 -126 -305 -305 -671 124 205 -436 -450 957 -664 107 118 -407 130 -85 -126 665 221 -460 -51 794 -240 552 750 -41 -976 -147 375 -92 -112 283 426 -467 -89 -1314 -1049 394 -1016 240 1017 652 -270 212 -76 13 -125 225 1001
-148 1264 -128 274 798 222 -29 -1139 25 260 376 -347 -91 -1099 608 -313 -87 227 506 881 339 -59 -94 -186 -332 -123 44 -625 114 213 85 101 166 252 1570 -1021 -1101 -326 401 564 393 607 132 -442 79 387 -209 926 -1259 25 -105 7 -150 -344 -352 -871 171 240 -446 -506 870 -648 -8 84 -344 121 -171 -206 644 239 -509 -101 994 -55 671 750 -45 -1050 -246 189 -18 -51 332 431 -628 -108 -1280 -1021 356 -1106 96 929 635 -248 213 -129 -67 -109 324 993
-240 1156 9 319 921 174 96 -1057 47 138 403 -227 -233 -1158 621 -381 8 343 478 926 366 28 109 -122 -282 -296 285 -421 94 190 217 98 140 231 1617 -1028 -1216 -454 336 703 397 662 64 -265 96 443 -151 952 -1198 113 110 -135 -123 -273 -331 -986 103 325 -339 -542 630 -684 13 110 -175 176 -221 -249 606 280 -515 -175 1032 20 639 755 64 -1028 -306 61 117 -36 354 406 -722 -37 -1249 -1054 171 -1190 0 888 632 -325 90 -192 -140 -134 460 973
-250 1192 6 308 962 62 106 -1089 -25 -23 515 -322 -262 -1110 639 -355 -21 361 321 898 309 50 235 -38 -208 -487 439 -372 -6 115 215 125 106 162 1612 -1012 -1268 -511 311 752 318 572 -12 -249 2 482 -75 816 -1107 210 3 -179 -307 -270 -276 -953 -11 344 -318 -606 400 -774 103 70 -61 238 -255 -243 702 340 -535 -249 899 -80 515 696 167 -960 -317 52 339 -54 321 401 -618 -3 -1306 -1121 83 -1239 93 963 630 -447 -70 -282 -213 -263 571 1059
-285 1357 -19 331 936 65 170 -1211 -145 -42 610 -622 -93 -1032 648 -293 -103 449 255 938 309 96 143 73 -211 -521 386 -471 -56 194 186 101 103 118 1558 -947 -1262 -510 302 643 413 515 -67 -276 29 479 0 716 -1108 138 -154 -67 -526 -350 -282 -829 -68 367 -232 -563 306 -807 268 134 -23 274 -247 -198 780 319 -576 -320 769 -154 424 734 162 -977 -286 168 500 -25 344 443 -503 27 -1450 -1273 101 -1273 235 1085 711 -447 -136 -344 -159 -311 554 1222
-316 1493 -32 398 885 155 217 -1258 -151 -18 668 -815 -4 -958 613 -252 -123 463 340 952 338 157 -78 59 -297 -418 194 -645 -100 307 116 30 166 170 1480 -984 -1233 -420 403 572 447 454 12 -404 145 458 10 698 -1252 27 -254 69 -587 -430 -316 -727 -57 323 -244 -490 309 -746 311 45 -18 305 -222 -211 789 268 -599 -284 614 -152 408 705 148 -971 -205 247 545 59 446 471 -541 -45 -1609 -1354 187 -1268 241 1165 818 -298 -128 -399 -119 -412 345 1350
-331 1445 -82 467 806 251 225 -1265 -55 -23 743 -773 -108 -987 519 -410 -140 433 410 1011 420 201 -172 14 -306 -208 74 -714 -95 378 87 15 206 252 1453 -1046 -1202 -312 558 461 463 438 96 -557 239 443 -33 685 -1355 -16 -85 75 -438 -462 -420 -843 -18 318 -223 -423 330 -660 241 37 63 408 -123 -249 759 209 -662 -229 724 62 521 826 156 -973 -147 236 491 170 568 449 -695 -35 -1677 -1419 159 -1235 77 1156 885 -183 -183 -478 -47 -411 200 1446
-306 1290 -34 453 819 335 86 -1185 78 -118 770 -552 -321 -1028 446 -558 -131 378 457 1081 402 155 -138 -33 -274 -92 156 -671 -157 317 115 57 191 239 1576 -1087 -1241 -296 660 509 318 459 79 -603 196 426 -150 645 -1411 15 29 -19 -249 -424 -445 -1051 -54 314 -241 -423 328 -688 89 -57 119 491 -30 -230 733 236 -699 -240 849 266 627 937 232 -1020 -184 149 498 242 592 393 -723 37 -1680 -1503 66 -1198 -53 1161 918 -169 -247 -544 -79 -428 182 1390
-200 1200 14 417 846 328 -14 -1152 175 -170 778 -388 -500 -1106 500 -646 -145 381 389 1147 387 87 46 -3 -320 -207 377 -641 -215 226 152 166 144 154 1784 -1133 -1330 -380 642 609 168 466 56 -643 156 435 -261 598 -1450 122 -24 17 -299 -495 -463 -1235 -159 281 -229 -387 304 -742 -22 -23 160 517 5 -241 767 306 -669 -186 905 258 620 927 421 -958 -212 84 475 202 568 345 -643 112 -1745 -1609 51 -1187 14 1172 878 -293 -387 -584 -136 -456 348 1344
-116 1280 30 390 887 220 -22 -1188 151 -107 739 -493 -491 -1089 711 -575 -169 352 378 1193 380 28 284 -58 -418 -503 500 -743 -210 228 133 238 119 78 1932 -1206 -1478 -505 606 695 181 543 65 -611 147 472 -304 593 -1438 92 -109 164 -439 -541 -410 -1249 -294 188 -223 -354 271 -822 89 -84 148 519 -14 -206 878 379 -663 -219 954 103 570 976 562 -966 -285 40 411 123 469 316 -403 135 -1812 -1704 96 -1148 169 1213 858 -429 -471 -581 -189 -403 487 1401
-108 1430 -17 359 915 215 34 -1318 66 71 739 -658 -381 -939 897 -477 -204 329 484 1194 381 72 312 -143 -498 -680 492 -853 -88 293 106 234 102 147 2007 -1282 -1557 -575 531 674 329 644 107 -596 298 471 -255 673 -1538 86 -241 322 -599 -591 -385 -1263 -349 166 -199 -320 281 -948 238 -99 95 479 -120 -250 951 378 -692 -243 990 -99 482 930 546 -1026 -357 20 393 81 419 293 -289 64 -1861 -1708 221 -1123 238 1176 791 -482 -426 -500 -157 -308 539 1377
-157 1499 24 366 961 193 120 -1401 17 255 670 -845 -364 -844 874 -511 -212 289 676 1168 466 176 82 -174 -431 -614 454 -835 54 315 113 213 93 259 2026 -1247 -1607 -599 512 612 455 732 137 -546 373 476 -197 745 -1639 88 -252 330 -563 -567 -403 -1252 -300 252 -251 -476 277 -988 248 -13 3 401 -212 -237 939 308 -747 -308 997 -122 492 957 409 -1172 -415 42 406 136 514 372 -422 -34 -1849 -1645 271 -1097 152 1080 758 -416 -300 -418 -58 -139 447 1440
-213 1358 100 393 1027 238 127 -1421 48 273 573 -793 -510 -821 764 -646 -231 265 758 1152 509 193 -118 -190 -275 -356 431 -735 135 276 154 229 44 388 2001 -1193 -1586 -548 575 616 445 732 168 -571 385 463 -162 800 -1711 229 -161 122 -397 -475 -435 -1312 -246 354 -363 -690 289 -1001 131 65 -42 351 -248 -162 873 231 -806 -342 1047 -23 583 975 204 -1312 -427 59 344 185 605 477 -509 -81 -1800 -1606 164 -1168 105 1029 723 -414 -258 -384 62 19 339 1490
-269 1293 114 446 1080 268 87 -1390 140 175 514 -587 -744 -906 706 -675 -279 274 800 1160 540 235 -256 -234 -74 -146 421 -650 77 216 155 304 88 455 2027 -1051 -1537 -468 652 692 429 741 162 -560 225 468 -166 783 -1666 337 -211 -112 -374 -473 -488 -1289 -229 403 -384 -749 358 -986 0 86 -31 383 -218 -35 835 234 -809 -363 1130 55 695 976 123 -1380 -420 108 342 154 615 550 -494 -115 -1849 -1636 95 -1235 225 1078 731 -432 -282 -399 90 85 340 1492
-307 1355 105 533 1130 333 93 -1427 159 132 445 -555 -777 -974 822 -599 -270 334 730 1217 488 203 -206 -199 -103 -232 387 -704 -55 231 103 403 157 509 1989 -963 -1507 -440 720 741 433 767 173 -507 102 450 -181 758 -1594 284 -252 -201 -475 -496 -467 -1325 -238 330 -452 -707 541 -987 58 30 -21 402 -223 -6 919 342 -777 -342 1202 96 664 1012 167 -1352 -385 224 457 82 567 545 -422 -158 -2012 -1757 183 -1370 432 1260 798 -478 -318 -360 123 33 394 1487
-301 1537 15 590 1127 391 103 -1527 104 166 421 -705 -608 -956 1006 -503 -212 372 700 1298 435 177 -93 -183 -225 -467 324 -872 -125 301 -30 448 259 534 1939 -895 -1561 -501 671 832 548 822 179 -465 58 435 -118 754 -1555 188 -238 -56 -531 -498 -412 -1345 -258 220 -486 -601 731 -1031 261 2 55 454 -259 -85 1009 370 -827 -362 1352 36 648 1085 200 -1335 -418 189 552 -12 475 494 -417 -161 -2185 -1924 209 -1396 486 1374 869 -466 -452 -361 119 -101 533 1476
-315 1648 -49 585 1109 419 75 -1604 49 247 498 -902 -445 -922 1030 -593 -161 366 714 1366 444 154 -4 -218 -329 -600 407 -991 -64 332 -122 461 287 449 1927 -868 -1658 -595 586 813 690 810 182 -433 -18 448 -62 732 -1506 145 -73 241 -463 -488 -394 -1411 -226 197 -458 -525 895 -1105 490 -54 63 470 -297 -208 1135 402 -828 -348 1392 29 554 1125 151 -1356 -415 188 625 -24 455 417 -621 -178 -2209 -1956 152 -1385 328 1347 911 -377 -544 -423 35 -238 594 1435
-381 1650 -43 529 1140 398 78 -1646 -12 261 582 -1050 -415 -924 875 -775 -166 367 745 1438 502 108 -60 -193 -337 -491 563 -984 41 281 -120 471 176 308 2018 -886 -1725 -652 475 798 715 791 133 -477 -51 420 -81 760 -1674 215 53 484 -408 -531 -399 -1477 -263 186 -524 -605 886 -1205 673 -54 114 513 -298 -320 1235 395 -871 -331 1413 37 509 1144 38 -1438 -385 166 566 -19 489 413 -833 -115 -2132 -1956 14 -1278 110 1276 978 -229 -649 -530 -35 -342 583 1453
-468 1604 55 445 1105 291 -5 -1553 88 91 770 -958 -548 -971 611 -869 -191 426 701 1407 554 137 -170 -263 -235 -282 633 -907 66 214 -32 521 16 151 2214 -941 -1741 -604 480 766 637 732 112 -604 -116 424 -197 758 -1812 366 -12 419 -417 -566 -388 -1360 -212 254 -547 -723 892 -1211 675 18 104 545 -180 -228 1232 382 -895 -347 1242 -7 549 1022 -106 -1474 -291 239 420 3 537 482 -931 -46 -1986 -1902 -66 -1119 63 1236 974 -178 -667 -582 -19 -316 605 1441
-544 1745 64 393 1106 242 13 -1503 140 -54 887 -885 -606 -977 527 -803 -270 497 584 1432 582 123 -226 -150 -204 -241 560 -950 -27 233 -14 563 -26 64 2480 -928 -1723 -543 580 740 596 732 65 -796 -73 367 -333 776 -1855 328 -125 292 -444 -621 -433 -1219 -156 320 -579 -847 947 -1220 589 88 -45 483 -113 -98 1182 372 -932 -442 1064 -69 540 1038 -174 -1513 -226 348 324 1 523 592 -866 -89 -2018 -1917 33 -1066 176 1247 932 -192 -625 -561 50 -211 505 1457
-528 1922 65 441 1130 265 133 -1469 191 -83 861 -856 -541 -919 623 -638 -217 572 508 1452 593 211 -179 -74 -247 -358 369 -1030 -123 330 -56 539 88 162 2585 -933 -1760 -537 708 736 644 828 109 -877 47 371 -406 828 -1793 145 -94 193 -468 -633 -462 -1116 -46 369 -596 -898 1022 -1149 558 135 -193 413 -113 -39 1192 417 -932 -524 951 -91 434 1023 -119 -1516 -278 360 355 14 464 530 -795 -128 -2123 -2002 126 -1154 318 1300 978 -157 -594 -543 116 -113 444 1614
-446 2038 81 489 1156 329 216 -1509 200 -66 841 -967 -454 -889 779 -580 -121 536 534 1518 601 215 -56 51 -380 -549 253 -1040 -171 360 -130 464 193 326 2518 -934 -1836 -596 803 738 760 882 173 -895 157 391 -368 779 -1773 26 -5 224 -349 -520 -465 -1067 28 394 -570 -841 1139 -1070 534 131 -327 329 -254 -163 1190 415 -950 -476 1057 -158 338 1001 -12 -1565 -389 326 430 -35 362 384 -769 -110 -2222 -2118 83 -1393 295 1321 998 -132 -670 -522 159 -57 452 1767
-358 1982 124 478 1176 382 281 -1605 115 -67 876 -1194 -455 -971 838 -659 -49 535 566 1595 685 262 98 77 -416 -572 338 -925 -76 331 -134 403 267 446 2397 -897 -1891 -655 754 887 858 991 135 -786 276 390 -263 735 -1797 73 90 357 -267 -442 -506 -1243 -3 378 -573 -841 1179 -1161 510 186 -289 297 -348 -222 1169 354 -960 -348 1248 -83 305 1087 -32 -1664 -489 296 482 -101 319 333 -805 -106 -2247 -2185 -75 -1638 282 1334 1029 -171 -791 -582 67 -159 560 1913
-338 1963 88 434 1232 375 211 -1708 13 -114 846 -1322 -542 -1121 757 -779 -45 523 616 1631 709 148 170 -70 -349 -469 461 -853 49 261 -113 389 241 371 2311 -934 -1947 -677 713 1030 805 1014 22 -690 193 391 -194 561 -1886 182 0 379 -339 -417 -497 -1407 -70 348 -597 -831 1194 -1236 433 224 -212 300 -388 -231 1178 340 -913 -195 1462 -45 362 1085 -173 -1761 -542 192 368 -191 345 403 -794 -162 -2225 -2146 -99 -1670 323 1363 994 -294 -891 -635 -22 -252 666 1968
-476 2003 60 427 1249 382 120 -1742 10 -170 876 -1251 -645 -1260 722 -783 -90 589 599 1673 723 99 155 -215 -323 -295 500 -891 123 285 -16 456 200 302 2247 -949 -1963 -667 655 1175 710 918 -22 -603 152 334 -219 534 -2045 159 -214 289 -420 -465 -477 -1366 -23 309 -625 -853 1198 -1261 406 197 -184 304 -376 -164 1203 379 -875 -158 1520 4 454 1064 -363 -1873 -498 293 246 -222 443 593 -743 -308 -2266 -2102 -42 -1507 552 1405 984 -347 -841 -633 -3 -269 690 1849
-584 2165 -14 464 1240 372 76 -1782 9 -166 833 -1195 -621 -1216 764 -689 -135 621 652 1697 678 36 36 -264 -353 -280 385 -1043 109 392 15 474 242 215 2265 -979 -1989 -644 697 1153 784 940 9 -629 191 287 -228 567 -2109 -29 -324 219 -430 -567 -523 -1381 23 337 -591 -848 1157 -1300 387 334 -118 344 -307 -91 1251 417 -953 -333 1544 -53 463 1088 -412 -1896 -462 399 279 -190 511 685 -661 -416 -2359 -2102 143 -1332 666 1430 928 -392 -727 -575 132 -210 572 1713
-571 2325 -50 473 1227 430 22 -1814 99 -94 776 -1087 -518 -1084 829 -655 -126 582 776 1696 586 19 6 -246 -423 -498 367 -1135 12 448 12 482 310 230 2279 -1031 -2059 -659 829 1096 867 938 136 -741 306 254 -213 645 -2085 -255 -389 173 -294 -569 -529 -1316 9 368 -571 -849 1112 -1336 426 247 -103 411 -247 -116 1338 461 -1029 -542 1477 -166 410 1119 -349 -1862 -458 466 444 -76 566 636 -710 -459 -2462 -2204 132 -1197 567 1397 942 -355 -695 -574 213 -80 495 1648
-536 2343 -98 471 1273 440 -11 -1875 133 56 693 -1126 -427 -972 763 -739 -114 511 921 1653 492 -32 60 -262 -400 -679 457 -1070 -78 396 47 478 317 239 2449 -1137 -2142 -708 873 1011 892 930 221 -835 377 281 -156 665 -2061 -297 -460 258 -117 -499 -516 -1267 -89 380 -532 -865 1041 -1336 374 166 -148 455 -210 -196 1379 454 -1099 -644 1479 -191 376 1229 -183 -1799 -473 445 642 43 572 493 -798 -310 -2511 -2369 50 -1197 390 1362 959 -346 -758 -613 187 -47 538 1705
-464 2180 -86 468 1278 423 -106 -1906 128 113 666 -1171 -494 -991 656 -907 -145 438 1004 1656 497 -90 159 -298 -433 -699 618 -983 -132 292 89 501 278 198 2633 -1196 -2263 -826 909 1008 800 920 176 -869 292 312 -71 627 -2016 -92 -578 336 -145 -431 -442 -1176 -167 423 -496 -940 852 -1307 357 103 -81 512 -210 -306 1480 447 -1130 -593 1587 -123 372 1330 -124 -1817 -537 227 679 71 527 374 -748 -172 -2589 -2556 -90 -1292 366 1388 989 -413 -882 -731 16 -118 693 1870
-570 2145 -70 464 1309 424 -177 -1925 118 123 750 -1194 -648 -1139 672 -959 -172 443 1008 1658 503 -60 235 -494 -507 -646 659 -976 -108 273 138 534 214 209 2779 -1258 -2336 -852 865 1084 641 871 63 -848 169 317 -45 641 -2098 73 -637 272 -296 -370 -373 -1186 -237 384 -500 -942 804 -1290 398 79 6 581 -148 -320 1484 487 -1012 -351 1681 -89 432 1201 -137 -1805 -522 67 619 41 502 375 -625 -64 -2630 -2681 -141 -1344 478 1458 1020 -501 -969 -805 -111 -154 922 1927
-703 2341 -67 486 1345 405 -103 -1914 90 127 799 -1190 -717 -1181 796 -919 -167 469 911 1713 541 57 228 -627 -576 -478 486 -1082 -57 338 131 526 223 368 2817 -1310 -2378 -854 782 1133 666 873 -37 -768 60 307 -78 710 -2220 34 -445 151 -487 -415 -389 -1355 -231 376 -457 -910 731 -1240 449 92 10 583 -131 -311 1438 512 -967 -257 1638 -48 481 1112 -186 -1768 -433 89 619 75 533 425 -521 -140 -2722 -2713 -24 -1350 687 1514 1012 -546 -994 -840 -126 -46 1029 1949
-837 2633 -38 494 1410 407 87 -1863 80 143 830 -1123 -658 -1132 1052 -817 -138 407 899 1755 575 233 212 -652 -609 -406 321 -1142 -6 443 116 426 284 617 2720 -1344 -2376 -815 832 1086 788 847 -49 -690 74 274 2 831 -2213 -126 -224 33 -502 -455 -461 -1600 -194 367 -486 -895 817 -1195 449 52 1 552 -207 -403 1400 548 -957 -242 1528 13 421 963 -205 -1766 -402 192 698 183 650 488 -586 -227 -2726 -2683 -15 -1354 691 1461 1022 -446 -842 -829 -122 -32 1021 1901
-816 2732 36 517 1429 379 258 -1830 113 190 798 -1075 -579 -1046 1121 -837 -174 361 889 1794 644 368 127 -474 -494 -442 335 -1095 -4 394 142 365 267 740 2605 -1346 -2357 -770 901 1013 899 830 26 -694 159 233 25 855 -2162 -214 -88 85 -509 -572 -565 -1806 -201 381 -513 -888 843 -1353 380 54 -46 527 -239 -405 1285 484 -1037 -368 1329 90 313 940 -142 -1775 -451 238 781 264 725 460 -724 -248 -2670 -2654 -198 -1391 495 1363 1029 -335 -729 -871 -122 -89 1005 1958
-741 2644 119 542 1489 411 356 -1828 94 104 794 -1059 -651 -1144 1058 -956 -323 369 930 1825 787 352 60 -280 -342 -543 553 -1004 4 280 213 404 213 733 2578 -1355 -2368 -754 1010 1000 888 797 104 -721 165 213 39 768 -2083 -101 -161 235 -487 -640 -599 -1838 -229 406 -570 -949 883 -1491 209 75 -226 484 -275 -353 1259 404 -1110 -420 1252 104 307 1073 -129 -1871 -530 297 844 285 771 534 -775 -236 -2548 -2586 -411 -1483 405 1323 1071 -278 -699 -967 -227 -335 929 2116
-638 2488 201 580 1500 463 352 -1828 160 -1 840 -1072 -786 -1313 943 -1030 -436 493 928 1860 886 255 76 -160 -262 -704 756 -1001 16 205 278 497 153 662 2616 -1369 -2437 -806 1093 1014 745 793 89 -684 90 181 67 644 -2079 80 -359 418 -512 -666 -553 -1693 -304 376 -561 -920 837 -1532 138 34 -307 433 -314 -265 1398 411 -1102 -352 1348 44 415 1184 -109 -1966 -612 232 775 138 731 595 -689 -284 -2564 -2601 -431 -1609 588 1430 1079 -386 -805 -1006 -259 -543 873 2190
-666 2681 92 649 1508 558 334 -1884 132 -39 1032 -1180 -835 -1440 1024 -1009 -464 567 862 1989 941 159 224 -46 -391 -796 763 -1123 81 203 288 621 124 599 2690 -1418 -2553 -887 1023 1147 666 795 69 -607 -57 171 30 577 -2166 97 -345 518 -442 -601 -477 -1549 -336 372 -515 -888 783 -1535 184 -22 -374 459 -261 -113 1522 471 -1038 -298 1477 44 531 1342 -242 -2127 -688 178 689 -7 694 703 -628 -359 -2678 -2656 -249 -1662 836 1643 1141 -527 -971 -1013 -232 -624 724 2182
-730 2853 30 691 1512 715 253 -1924 128 -44 1187 -1240 -740 -1485 1234 -907 -374 590 848 2130 871 82 296 -28 -599 -719 655 -1304 173 316 247 674 182 610 2833 -1484 -2623 -954 860 1185 717 832 66 -483 -160 176 -38 584 -2275 -89 -236 494 -318 -521 -421 -1509 -358 369 -496 -885 805 -1478 341 11 -224 526 -239 -112 1646 547 -1045 -358 1687 -53 536 1506 -328 -2224 -747 117 551 -147 622 764 -590 -443 -2820 -2762 -115 -1673 1012 1790 1224 -564 -1068 -1005 -180 -593 619 2148
-760 3086 -19 598 1559 752 134 -1951 171 -9 1290 -1238 -633 -1447 1345 -890 -286 529 864 2251 806 69 356 55 -697 -610 582 -1292 182 317 228 653 185 697 2983 -1486 -2690 -1023 744 1116 848 839 37 -422 -196 189 -52 580 -2369 -299 -51 346 -342 -566 -495 -1664 -372 410 -494 -933 800 -1456 567 -52 -66 616 -247 -256 1739 604 -1150 -534 1868 -105 559 1593 -438 -2290 -732 281 566 -104 666 790 -769 -441 -2825 -2802 -104 -1610 942 1792 1254 -519 -1081 -995 -110 -431 657 2144
-747 3121 -53 524 1654 756 75 -2047 192 5 1185 -1184 -573 -1453 1277 -912 -224 563 897 2237 726 66 237 118 -678 -452 600 -1177 43 252 225 632 173 763 3206 -1459 -2717 -1028 689 1000 929 819 29 -452 -162 202 -31 610 -2410 -387 -87 247 -544 -702 -587 -1845 -366 401 -593 -1016 890 -1537 708 5 40 693 -198 -300 1735 631 -1188 -586 1951 -59 578 1600 -473 -2317 -735 378 571 -41 672 798 -894 -361 -2798 -2860 -196 -1590 838 1732 1269 -456 -997 -1042 -164 -349 834 2249
-688 3117 -75 480 1773 657 41 -2080 250 15 1204 -1043 -705 -1584 1125 -933 -268 682 956 2125 748 124 25 72 -546 -472 656 -1100 -103 239 255 631 103 773 3318 -1454 -2691 -978 810 976 906 786 47 -631 -48 218 -36 582 -2356 -258 -235 221 -774 -840 -688 -2012 -313 392 -657 -1031 937 -1652 840 -9 -14 672 -246 -379 1773 617 -1165 -488 1888 -67 622 1507 -511 -2290 -667 460 508 -14 690 788 -958 -303 -2791 -2902 -280 -1538 926 1732 1273 -425 -876 -1024 -187 -192 997 2343
-690 3082 -68 529 1781 549 142 -2135 302 77 1218 -1026 -756 -1696 1076 -876 -308 828 1067 2042 777 170 -181 -20 -557 -549 695 -1151 -172 365 269 643 58 810 3297 -1505 -2665 -916 1088 1027 860 801 66 -690 13 284 -41 581 -2227 -9 -346 262 -818 -847 -749 -2111 -292 360 -665 -964 1004 -1873 852 17 -186 613 -171 -198 1736 538 -1113 -328 1791 85 695 1456 -563 -2333 -680 322 446 -15 681 802 -1007 -319 -2856 -2963 -246 -1534 988 1733 1272 -442 -761 -937 -160 -130 902 2314
-660 3231 -72 616 1791 554 236 -2138 315 92 1306 -1048 -685 -1691 1192 -726 -262 829 1145 2004 773 217 -201 -95 -618 -710 722 -1254 -48 545 215 586 64 861 3116 -1657 -2679 -852 1295 1140 837 867 101 -671 52 382 18 634 -2215 127 -250 249 -573 -674 -720 -2132 -227 400 -659 -920 1117 -1878 846 206 -336 494 -179 -32 1744 522 -1056 -236 1654 98 686 1368 -564 -2342 -716 97 457 -44 642 771 -1025 -367 -2875 -2966 -274 -1625 1014 1715 1250 -483 -712 -797 -72 -32 792 2252
-726 3339 -22 651 1764 624 274 -2154 259 109 1313 -1157 -510 -1636 1353 -667 -171 788 1197 2024 761 259 -114 -187 -659 -717 789 -1281 115 643 168 513 41 912 2910 -1784 -2749 -853 1412 1226 938 893 161 -603 89 393 83 666 -2290 27 -193 257 -334 -566 -694 -2098 -286 426 -673 -923 1269 -1862 807 252 -360 422 -271 -62 1750 546 -1091 -353 1589 45 510 1267 -559 -2316 -718 -11 505 -42 664 715 -1177 -384 -2826 -2936 -424 -1691 939 1667 1276 -476 -720 -755 -76 -96 710 2226
-700 3247 69 643 1744 780 202 -2209 220 49 1172 -1237 -378 -1645 1385 -709 -114 675 1160 2046 716 281 22 -301 -606 -718 940 -1234 211 597 102 502 25 847 2901 -1861 -2793 -865 1346 1314 1014 937 113 -547 61 356 195 633 -2325 -43 -252 255 -329 -610 -670 -1898 -221 479 -753 -1009 1369 -1788 786 305 -328 403 -381 -143 1770 585 -1186 -493 1608 -1 295 1194 -612 -2326 -723 54 671 -7 674 712 -1159 -355 -2737 -2905 -601 -1767 882 1639 1298 -447 -757 -805 -183 -304 791 2345
-646 3129 105 625 1693 800 117 -2234 157 -44 1083 -1287 -417 -1804 1298 -863 -173 671 1133 2094 823 315 30 -291 -506 -760 994 -1217 81 528 46 594 61 748 3104 -1715 -2786 -893 1191 1310 1033 925 35 -596 130 291 236 548 -2350 -50 -341 276 -595 -818 -665 -1741 -204 503 -785 -1089 1404 -1731 783 353 -272 399 -437 -121 1822 544 -1323 -598 1750 -126 234 1207 -706 -2336 -639 312 746 -16 643 734 -1004 -326 -2761 -2972 -673 -1870 971 1701 1368 -419 -734 -855 -228 -445 954 2472
-612 2970 111 700 1639 771 135 -2207 166 -106 992 -1234 -493 -1873 1199 -933 -214 713 1129 2134 841 354 -62 -265 -441 -896 888 -1297 -81 509 23 688 82 694 3305 -1612 -2803 -925 1102 1316 1010 920 -46 -665 161 296 212 480 -2230 55 -237 339 -751 -934 -652 -1665 -104 473 -859 -1149 1438 -1693 860 363 -340 390 -420 16 1875 529 -1273 -432 1707 -58 289 1178 -818 -2378 -574 513 801 -6 650 761 -852 -353 -2881 -3062 -546 -1978 1053 1832 1490 -301 -787 -906 -171 -461 936 2564
-637 3104 32 733 1662 678 206 -2160 169 -153 1060 -1202 -435 -1839 1147 -930 -253 668 1149 2171 900 426 -138 -236 -426 -854 681 -1430 -204 538 -20 745 143 631 3468 -1575 -2801 -951 1124 1295 951 941 -61 -720 118 360 167 402 -2126 119 -7 321 -721 -860 -640 -1803 -68 455 -876 -1145 1529 -1726 828 304 -455 403 -331 217 1958 487 -1251 -309 1783 -10 475 1229 -872 -2473 -608 503 804 -36 597 732 -733 -410 -2992 -3157 -399 -2027 1092 1937 1650 -135 -891 -941 -44 -384 809 2536
-673 3333 -6 702 1724 571 295 -2088 166 -40 1219 -1254 -310 -1667 1177 -901 -227 558 1189 2158 815 425 -103 -263 -434 -706 563 -1465 -176 550 -19 721 136 663 3446 -1598 -2852 -974 1197 1295 1029 983 -5 -800 113 440 129 382 -2198 109 158 306 -632 -759 -693 -2047 -117 437 -899 -1130 1604 -1783 737 269 -476 446 -242 317 2045 483 -1265 -331 1840 94 527 1423 -894 -2572 -686 405 752 -51 646 755 -796 -487 -3012 -3168 -390 -1961 986 1940 1711 -73 -1032 -992 -30 -382 708 2511
-738 3454 17 625 1770 500 297 -2093 157 -20 1349 -1319 -261 -1580 1171 -942 -224 521 1163 2122 767 356 109 -372 -447 -466 602 -1382 -39 471 21 671 39 666 3356 -1762 -2930 -989 1262 1354 1079 1021 99 -883 163 477 79 364 -2341 -50 49 185 -546 -624 -697 -2182 -127 459 -963 -1163 1723 -1741 661 246 -334 527 -226 201 2110 544 -1319 -458 1983 110 446 1388 -824 -2583 -804 248 765 -17 683 695 -920 -486 -2956 -3134 -414 -1842 862 1909 1749 -102 -1075 -1007 -119 -521 749 2650
-866 3566 -8 580 1800 449 270 -2091 126 43 1408 -1283 -323 -1704 1158 -1013 -247 589 1085 2119 781 263 289 -380 -510 -403 776 -1297 68 424 81 676 -25 644 3302 -1868 -2977 -979 1244 1424 1106 1080 108 -854 221 435 70 381 -2455 -272 -213 89 -590 -653 -757 -2108 -109 507 -933 -1138 1719 -1727 619 223 -198 605 -233 48 2132 646 -1339 -596 2073 25 214 1367 -729 -2552 -834 238 673 -37 706 711 -838 -453 -2919 -3129 -480 -1649 978 1875 1670 -236 -1042 -957 -221 -632 884 2764
-916 3566 -43 587 1803 476 175 -2113 163 108 1435 -1174 -508 -1904 1188 -1064 -257 654 1099 2124 826 213 328 -406 -665 -549 800 -1343 22 448 84 694 -29 661 3258 -1899 -2990 -976 1217 1519 1119 1148 49 -824 270 382 34 370 -2544 -349 -398 62 -664 -770 -817 -1952 -6 596 -858 -1092 1631 -1649 719 251 -107 647 -281 -71 2187 731 -1292 -571 2078 -154 73 1189 -774 -2541 -804 374 688 -9 734 734 -706 -504 -3048 -3214 -411 -1626 1227 1920 1639 -270 -903 -909 -262 -684 937 2860
-870 3610 -119 712 1853 543 217 -2144 211 135 1420 -1113 -614 -2028 1289 -1040 -191 624 1162 2180 844 236 252 -281 -850 -868 741 -1436 -65 541 46 721 83 812 3224 -1826 -2964 -955 1212 1594 1125 1203 -7 -821 331 379 -43 357 -2416 -356 -413 -17 -603 -773 -791 -1822 9 661 -791 -1109 1486 -1596 849 193 -100 630 -340 -121 2223 776 -1181 -428 2058 -252 140 1142 -930 -2574 -760 481 728 80 789 725 -670 -582 -3213 -3315 -315 -1767 1398 1997 1653 -244 -745 -840 -201 -610 965 2784
-734 3628 -187 776 1918 637 184 -2193 220 96 1458 -1137 -586 -1990 1398 -949 -119 451 1232 2210 711 245 122 -256 -883 -1087 704 -1508 -67 560 -36 710 176 901 3232 -1705 -2947 -971 1208 1581 1119 1183 -4 -861 253 382 -127 276 -2362 -163 -276 -35 -505 -719 -749 -1872 -80 678 -727 -1131 1365 -1644 872 273 -150 585 -372 -77 2201 699 -1171 -318 1997 -261 289 1125 -1073 -2679 -790 420 771 173 840 670 -760 -599 -3339 -3429 -379 -2065 1289 1998 1712 -112 -654 -841 -131 -452 921 2579
-640 3751 -221 772 2021 723 188 -2285 183 46 1417 -1296 -523 -1875 1413 -903 -114 394 1198 2317 641 224 -4 -174 -754 -946 758 -1402 25 473 -16 749 224 981 3311 -1616 -2907 -942 1214 1461 1224 1154 56 -956 194 395 -153 240 -2354 -127 -334 -5 -540 -673 -680 -1908 -167 634 -752 -1171 1298 -1743 729 271 -267 525 -393 -51 2102 607 -1248 -387 1971 -167 402 1196 -1134 -2731 -824 321 927 208 860 655 -822 -561 -3310 -3469 -543 -2256 1166 1944 1723 -73 -665 -890 -153 -420 1020 2449
-640 3658 -107 726 2061 782 188 -2374 163 32 1413 -1497 -496 -1835 1365 -886 -224 426 1172 2399 645 140 -37 -242 -557 -693 886 -1274 137 405 61 866 283 969 3487 -1537 -2930 -957 1194 1354 1293 1144 138 -1020 191 321 -148 301 -2417 -171 -488 67 -619 -676 -648 -1980 -189 584 -765 -1194 1324 -1863 482 282 -363 485 -381 9 1998 504 -1387 -482 2005 -24 479 1245 -1105 -2741 -871 220 1036 171 853 711 -788 -542 -3169 -3383 -677 -2237 1169 1935 1694 -188 -773 -931 -195 -494 1161 2579
-730 3606 -13 715 2043 818 230 -2426 123 35 1427 -1614 -553 -1896 1325 -905 -337 586 1184 2518 784 98 18 -311 -493 -484 923 -1236 218 425 227 1074 318 881 3636 -1501 -2944 -980 1088 1347 1305 1201 171 -983 234 259 -84 483 -2515 -211 -623 177 -618 -737 -733 -2089 -174 541 -707 -1118 1312 -1922 329 244 -402 522 -326 90 2024 542 -1422 -488 2034 32 438 1209 -1048 -2707 -851 260 1111 77 805 765 -663 -578 -3091 -3296 -623 -2095 1371 1983 1613 -411 -871 -897 -194 -537 1214 2792
-779 3557 64 759 1990 869 253 -2441 177 84 1437 -1574 -590 -1948 1357 -923 -355 710 1294 2560 952 135 189 -362 -609 -552 773 -1322 160 474 339 1185 359 867 3692 -1503 -3013 -1030 1006 1421 1313 1330 179 -912 260 229 -50 661 -2603 -194 -541 120 -447 -732 -869 -2266 -132 571 -671 -1050 1342 -1895 278 164 -437 549 -267 155 2068 569 -1391 -385 2038 148 374 1233 -1095 -2725 -821 368 1177 58 800 816 -697 -630 -3050 -3248 -553 -1872 1513 2021 1626 -477 -965 -892 -126 -520 1130 3025
-721 3630 94 758 2016 902 250 -2402 261 30 1471 -1360 -595 -1860 1543 -907 -300 711 1339 2596 1039 240 310 -246 -728 -733 687 -1404 65 479 359 1151 390 866 3665 -1548 -3073 -1118 874 1511 1263 1342 182 -764 135 277 3 755 -2595 -79 -412 11 -332 -729 -965 -2325 -117 664 -636 -1060 1312 -1849 395 116 -343 623 -267 106 2240 666 -1271 -184 2077 32 349 1223 -1160 -2748 -763 448 1138 70 826 827 -832 -628 -3053 -3267 -557 -1853 1450 2061 1678 -423 -992 -940 -83 -427 1082 3115
-630 3617 134 710 2026 864 233 -2383 354 -30 1474 -1224 -582 -1810 1696 -916 -246 666 1295 2560 991 338 356 -214 -707 -824 722 -1361 3 338 380 1001 294 902 3583 -1601 -3153 -1185 875 1457 1309 1332 161 -676 -70 341 29 745 -2571 64 -357 -69 -380 -724 -963 -2298 -129 739 -608 -1065 1301 -1830 544 115 -193 711 -242 22 2354 701 -1250 -226 1987 24 337 1426 -1243 -2865 -763 461 1005 103 841 778 -1007 -519 -3011 -3336 -708 -1935 1323 2054 1773 -253 -1062 -1096 -125 -391 1085 3021
-590 3633 125 641 1979 784 196 -2422 340 -129 1495 -1205 -656 -1825 1722 -981 -301 692 1151 2466 970 447 277 -269 -600 -770 857 -1369 33 215 321 894 178 908 3539 -1656 -3139 -1159 925 1430 1291 1261 175 -805 -138 290 -35 696 -2627 32 -347 -2 -555 -717 -834 -2184 -188 749 -615 -1110 1274 -1834 686 89 -100 731 -249 -69 2426 724 -1297 -429 1857 -66 379 1534 -1246 -2927 -809 399 858 97 843 731 -1078 -448 -3086 -3460 -786 -2049 1366 2124 1850 -214 -1086 -1224 -199 -397 1222 2902
-734 3663 153 595 1923 764 218 -2492 341 -130 1541 -1257 -792 -1862 1590 -1073 -415 816 1037 2409 984 494 52 -295 -588 -709 947 -1496 92 250 256 852 139 871 3579 -1730 -3159 -1110 1054 1433 1191 1180 196 -932 -77 177 -112 735 -2726 -197 -308 214 -723 -774 -768 -2136 -169 752 -610 -1163 1237 -1933 777 133 -116 745 -213 -101 2439 764 -1382 -622 1839 -84 466 1608 -1165 -2977 -908 346 798 13 744 692 -975 -471 -3219 -3559 -754 -2112 1612 2239 1841 -324 -1123 -1260 -297 -490 1360 2841
-949 3723 127 681 1905 781 360 -2530 266 -127 1641 -1372 -880 -1878 1432 -1087 -417 899 1124 2352 1076 575 -70 -403 -702 -733 955 -1679 173 437 172 906 235 913 3662 -1870 -3155 -1032 1182 1489 1036 1219 226 -1035 110 68 -125 837 -2835 -389 -124 475 -690 -832 -758 -2234 -157 721 -631 -1234 1184 -2082 868 149 -241 711 -160 -26 2363 759 -1388 -628 1886 -52 594 1589 -1134 -3023 -1047 270 787 -63 671 738 -910 -626 -3376 -3590 -606 -2089 1861 2288 1794 -452 -1087 -1208 -340 -614 1366 2916
-1078 3798 109 737 1918 813 401 -2532 280 -149 1637 -1417 -851 -1735 1340 -1067 -363 857 1260 2347 1115 554 16 -378 -881 -827 871 -1770 137 547 70 897 342 977 3729 -1951 -3189 -1028 1228 1592 917 1258 198 -1079 184 64 -110 817 -2950 -427 -84 482 -580 -852 -773 -2379 -231 630 -711 -1272 1290 -2186 912 231 -340 651 -180 -30 2222 703 -1333 -353 2123 -10 681 1533 -1116 -3046 -1103 272 785 -82 670 774 -1018 -758 -3432 -3565 -517 -2031 1888 2218 1721 -556 -1135 -1184 -355 -714 1315 2970
-1004 3923 129 785 1992 846 369 -2450 305 -171 1563 -1430 -755 -1596 1417 -977 -214 771 1379 2383 1033 456 213 -335 -892 -919 863 -1588 83 522 78 870 362 1040 3810 -1970 -3267 -1090 1206 1582 925 1303 179 -1000 133 189 -70 715 -2966 -355 -109 360 -495 -856 -804 -2493 -330 536 -772 -1246 1382 -2224 1002 220 -411 599 -218 -36 2142 657 -1273 -105 2415 -10 838 1473 -1287 -3138 -1094 331 779 -45 749 855 -1183 -782 -3343 -3467 -625 -2032 1786 2125 1678 -575 -1218 -1224 -321 -758 1253 3045
-858 3933 139 793 2045 861 285 -2426 324 -215 1373 -1487 -663 -1495 1519 -918 -124 718 1374 2474 909 336 353 -262 -790 -942 921 -1388 -38 446 153 897 290 902 3992 -1914 -3325 -1192 1098 1510 1012 1276 178 -956 63 268 -26 591 -2965 -297 -311 200 -573 -874 -802 -2462 -370 471 -852 -1271 1520 -2234 1016 282 -422 547 -306 -93 2141 615 -1286 -76 2516 59 894 1500 -1437 -3214 -1080 344 814 29 851 970 -1249 -717 -3199 -3420 -776 -2041 1645 2040 1669 -544 -1304 -1315 -316 -688 1236 3071
-788 3817 149 815 2029 838 223 -2451 304 -144 1346 -1643 -714 -1597 1539 -970 -137 765 1318 2566 862 253 310 -292 -697 -843 917 -1302 -98 440 273 964 139 760 4137 -1855 -3382 -1276 1002 1313 1133 1232 151 -933 138 294 -27 548 -2996 -314 -381 155 -607 -803 -757 -2348 -326 472 -902 -1296 1665 -2217 1024 380 -432 496 -358 -86 2220 650 -1324 -225 2389 13 818 1548 -1440 -3188 -1018 419 925 70 847 955 -1157 -632 -3204 -3481 -833 -2099 1699 2092 1736 -456 -1375 -1401 -243 -550 1230 2999
-838 3728 120 829 1998 843 270 -2526 247 -44 1388 -1799 -792 -1732 1481 -1088 -145 803 1339 2639 866 263 62 -410 -697 -800 907 -1469 -85 587 290 1097 119 691 4156 -1857 -3371 -1238 1023 1261 1163 1189 152 -944 290 272 -66 656 -3038 -366 -288 267 -678 -805 -770 -2309 -259 547 -932 -1340 1676 -2225 1068 410 -461 471 -362 -16 2388 725 -1419 -487 2154 22 691 1576 -1341 -3120 -978 477 1089 64 776 840 -995 -570 -3307 -3615 -758 -2104 1818 2221 1823 -409 -1414 -1394 -138 -369 1257 2978
-912 3785 59 829 2050 822 295 -2558 222 102 1531 -1832 -835 -1741 1422 -1110 -128 713 1487 2590 852 369 -142 -534 -710 -875 898 -1656 -24 739 221 1089 142 730 4112 -1942 -3333 -1157 1115 1288 1112 1238 138 -992 444 277 -111 794 -3171 -400 -187 333 -738 -804 -761 -2377 -254 629 -979 -1430 1735 -2260 1066 364 -603 483 -326 80 2519 776 -1482 -613 1968 41 585 1565 -1175 -3009 -963 448 1241 62 692 636 -921 -474 -3398 -3762 -738 -2098 1877 2294 1849 -404 -1498 -1406 -153 -301 1361 3028
-870 3826 63 764 2146 797 238 -2541 235 170 1702 -1788 -833 -1678 1421 -1084 -113 599 1540 2580 790 416 -161 -454 -738 -968 1017 -1707 61 753 67 1015 204 944 4010 -2057 -3351 -1096 1254 1439 1030 1220 194 -1002 411 375 -120 825 -3268 -204 -227 339 -827 -900 -807 -2502 -323 667 -1015 -1535 1731 -2376 975 287 -733 514 -228 193 2586 799 -1487 -595 1871 121 526 1542 -1079 -2954 -967 363 1344 81 692 573 -917 -426 -3401 -3800 -834 -2028 1858 2298 1864 -398 -1517 -1398 -266 -395 1478 3079
-834 3848 98 693 2256 777 175 -2537 314 235 1656 -1593 -781 -1592 1438 -1008 -131 548 1516 2497 728 462 13 -457 -709 -1062 1229 -1619 47 670 -29 942 242 1100 4003 -2134 -3398 -1089 1302 1574 1044 1214 207 -901 274 520 -79 815 -3283 21 -416 269 -887 -972 -811 -2526 -301 633 -1062 -1612 1778 -2371 974 319 -703 567 -195 179 2608 744 -1488 -467 2003 221 497 1424 -1170 -2970 -925 302 1260 144 766 585 -947 -342 -3349 -3820 -1008 -1935 1858 2258 1831 -438 -1503 -1403 -396 -508 1558 3105
-807 3834 135 697 2264 738 172 -2505 323 277 1526 -1518 -711 -1577 1475 -993 -107 668 1348 2503 736 499 217 -393 -736 -1108 1292 -1595 -35 633 -34 982 212 1207 4064 -2194 -3492 -1191 1297 1655 1136 1192 184 -822 194 633 2 838 -3194 104 -575 253 -844 -1004 -849 -2590 -400 482 -1064 -1535 1745 -2317 1079 309 -563 604 -218 94 2611 748 -1430 -360 2118 182 544 1350 -1319 -3012 -844 374 1147 202 874 710 -875 -344 -3315 -3792 -1083 -1892 1927 2267 1803 -432 -1437 -1353 -374 -443 1443 3025
-875 3800 112 805 2277 835 291 -2551 305 312 1466 -1612 -750 -1681 1467 -1097 -83 828 1249 2560 897 547 237 -372 -780 -1045 1137 -1643 -64 660 28 1044 114 1232 4149 -2243 -3580 -1282 1237 1698 1227 1257 118 -711 244 651 68 886 -3083 105 -561 373 -619 -916 -846 -2548 -382 405 -1032 -1455 1777 -2212 1189 346 -478 601 -279 21 2645 804 -1408 -307 2296 173 568 1268 -1439 -3098 -865 417 1141 270 935 774 -821 -392 -3415 -3825 -966 -1910 1987 2237 1785 -361 -1319 -1287 -261 -316 1254 3012
-1015 3766 130 893 2218 908 357 -2566 313 268 1476 -1739 -718 -1714 1423 -1215 -31 849 1234 2598 1102 606 68 -341 -854 -815 861 -1785 -35 710 34 1054 13 1159 4272 -2213 -3625 -1343 1120 1614 1244 1254 0 -722 302 590 92 941 -3088 8 -526 576 -478 -837 -817 -2458 -404 369 -1014 -1387 1754 -2087 1271 381 -394 629 -286 30 2608 864 -1396 -384 2306 87 673 1247 -1456 -3151 -939 467 1204 325 962 763 -813 -552 -3547 -3843 -863 -2008 1952 2214 1801 -254 -1277 -1247 -155 -277 1170 3089
-1121 3855 133 922 2170 952 371 -2561 309 82 1575 -1858 -720 -1676 1444 -1255 -3 738 1269 2645 1164 615 -129 -292 -790 -656 804 -1763 62 632 30 990 -91 1108 4367 -2217 -3616 -1300 1099 1574 1223 1245 -109 -848 268 578 42 923 -3182 40 -542 654 -557 -843 -799 -2335 -453 381 -985 -1325 1703 -2126 1277 388 -402 653 -312 7 2480 821 -1446 -394 2351 -8 797 1261 -1426 -3212 -1066 451 1332 295 990 781 -885 -625 -3616 -3860 -791 -2093 1816 2130 1816 -159 -1374 -1330 -189 -364 1188 3154
-1132 3942 175 883 2147 957 350 -2594 294 -133 1561 -1973 -644 -1633 1495 -1183 -76 662 1249 2718 1146 574 -166 -200 -670 -658 913 -1664 52 503 18 1000 -127 1021 4455 -2193 -3590 -1230 1138 1600 1199 1181 -48 -1033 185 555 -17 858 -3281 73 -543 584 -774 -958 -815 -2253 -344 536 -952 -1382 1673 -2254 1151 370 -451 586 -401 -18 2474 773 -1530 -482 2423 -5 759 1463 -1431 -3334 -1183 389 1344 216 978 825 -884 -610 -3607 -3857 -792 -2110 1769 2146 1882 -163 -1604 -1445 -292 -552 1386 3331
-1163 4041 134 820 2180 938 321 -2650 238 -245 1531 -1969 -613 -1616 1489 -1102 -189 668 1234 2782 1109 456 -109 -94 -651 -801 1075 -1617 -20 432 18 1116 -47 1010 4528 -2132 -3553 -1176 1223 1621 1236 1136 81 -1162 67 583 -95 838 -3331 140 -403 312 -928 -996 -798 -2238 -173 685 -948 -1464 1635 -2295 994 360 -568 540 -433 19 2475 701 -1556 -518 2403 -82 759 1534 -1566 -3433 -1194 375 1222 78 983 963 -751 -577 -3590 -3846 -751 -2150 1957 2257 1916 -263 -1840 -1522 -374 -716 1364 3358
-1131 4134 68 809 2233 896 390 -2739 190 -227 1435 -1872 -703 -1671 1421 -1163 -330 777 1205 2862 1104 398 98 -80 -785 -1048 1095 -1705 -106 501 53 1245 53 1031 4487 -2100 -3567 -1176 1324 1649 1337 1228 189 -1170 81 599 -89 876 -3266 148 -146 204 -896 -983 -835 -2387 -172 717 -913 -1447 1637 -2300 823 414 -564 540 -416 106 2571 720 -1544 -511 2411 -208 641 1562 -1731 -3504 -1125 495 1137 37 986 1035 -645 -563 -3626 -3899 -687 -2226 2167 2456 2046 -282 -1995 -1540 -313 -717 1248 3316
-1093 4056 128 827 2219 830 433 -2750 222 -174 1467 -1747 -795 -1709 1428 -1222 -351 845 1307 2927 1090 345 222 -188 -935 -1161 996 -1813 -127 633 70 1287 171 1174 4387 -2090 -3623 -1227 1345 1732 1386 1365 220 -1075 163 595 -83 978 -3217 153 -55 233 -815 -920 -857 -2501 -149 757 -896 -1506 1595 -2196 689 436 -483 590 -372 196 2715 825 -1493 -498 2413 -149 552 1502 -1788 -3507 -1060 657 1190 46 964 951 -649 -485 -3669 -3983 -701 -2252 2169 2530 2128 -160 -2001 -1529 -218 -620 1108 3281
-1015 4079 143 803 2220 797 433 -2789 329 -151 1621 -1703 -931 -1774 1498 -1226 -285 754 1457 2845 1047 309 200 -467 -1029 -1077 948 -1883 27 670 50 1183 193 1326 4211 -2136 -3637 -1231 1304 1826 1397 1494 181 -1036 237 550 -86 1089 -3351 133 -276 330 -779 -840 -809 -2476 -210 613 -963 -1488 1629 -2076 636 374 -353 713 -307 203 2798 925 -1454 -391 2470 -63 546 1439 -1753 -3456 -1018 739 1259 100 940 831 -778 -460 -3620 -3969 -792 -2188 2038 2501 2182 1 -1844 -1529 -210 -525 1178 3239
-923 4036 192 729 2244 808 313 -2803 412 -191 1774 -1704 -1085 -1828 1664 -1142 -257 658 1497 2784 1039 250 82 -579 -911 -885 1019 -1788 175 544 58 1013 101 1311 4219 -2246 -3674 -1224 1275 1817 1435 1549 148 -1041 146 512 -128 1148 -3553 134 -584 425 -791 -853 -821 -2401 -279 503 -984 -1462 1624 -2067 610 234 -256 815 -270 159 2810 978 -1473 -347 2487 176 621 1401 -1688 -3409 -1053 686 1349 160 911 755 -937 -450 -3494 -3869 -925 -2029 1867 2381 2147 52 -1789 -1571 -308 -505 1321 3214
-872 4079 196 732 2210 913 213 -2849 483 -177 1773 -1785 -1136 -1873 1824 -1057 -213 711 1431 2759 1017 254 -60 -633 -792 -767 1139 -1703 234 447 122 948 15 1284 4267 -2368 -3740 -1216 1331 1848 1475 1546 196 -1082 57 472 -133 1191 -3704 117 -669 448 -658 -821 -787 -2278 -251 490 -945 -1378 1613 -2194 637 162 -170 914 -209 132 2736 875 -1543 -363 2438 293 690 1373 -1614 -3354 -1050 521 1287 107 843 755 -886 -436 -3433 -3806 -1028 -1925 1943 2365 2099 -73 -1760 -1554 -376 -453 1440 3226
-801 4016 226 804 2225 1085 137 -2914 502 -71 1847 -1863 -1133 -1897 1803 -1058 -236 886 1349 2777 1002 347 -28 -557 -765 -864 1150 -1732 143 471 233 999 10 1202 4433 -2437 -3805 -1245 1420 1859 1532 1615 276 -1167 90 457 -104 1261 -3701 131 -509 229 -490 -796 -829 -2334 -206 575 -936 -1368 1695 -2315 696 112 -224 898 -214 147 2740 803 -1598 -429 2341 189 794 1389 -1668 -3397 -1041 408 1148 36 790 789 -834 -470 -3479 -3809 -1005 -1985 2141 2414 2017 -246 -1788 -1493 -357 -343 1518 3229
-767 3887 246 939 2211 1172 192 -2937 475 68 1714 -1849 -1094 -1850 1675 -1174 -217 1033 1415 2850 1022 442 107 -464 -901 -1023 1040 -1820 10 568 306 1107 101 1182 4559 -2458 -3872 -1272 1534 1943 1498 1705 405 -1241 215 442 -45 1362 -3601 220 -304 188 -384 -801 -854 -2459 -163 741 -886 -1375 1710 -2364 799 184 -264 835 -243 200 2769 789 -1630 -590 2135 -15 792 1405 -1783 -3510 -1083 365 1187 60 751 778 -825 -477 -3624 -3917 -924 -2193 2260 2503 2044 -326 -1835 -1443 -334 -300 1527 3337
-684 3827 200 1004 2302 1167 204 -2917 445 63 1698 -1752 -1094 -1823 1513 -1248 -185 1020 1502 2883 1059 506 276 -397 -1024 -1033 906 -1817 -37 575 316 1070 168 1236 4518 -2407 -3939 -1352 1563 2026 1375 1772 384 -1256 291 441 76 1374 -3434 341 -290 296 -479 -869 -884 -2604 -226 849 -868 -1388 1698 -2409 836 270 -278 761 -307 178 2780 859 -1596 -579 2195 -234 765 1347 -1850 -3543 -1077 501 1296 128 750 669 -969 -447 -3710 -4022 -948 -2440 2233 2520 2066 -307 -1880 -1507 -370 -340 1533 3480
-700 3908 191 948 2354 1036 176 -2844 398 -129 1762 -1694 -1126 -1842 1514 -1269 -189 889 1594 2922 1069 505 451 -457 -1011 -936 965 -1739 6 492 311 1046 160 1227 4456 -2354 -3951 -1379 1496 2078 1272 1769 336 -1243 213 425 90 1358 -3431 272 -460 404 -588 -881 -936 -2741 -265 869 -897 -1418 1737 -2408 889 344 -225 772 -367 57 2699 942 -1556 -487 2347 -233 794 1338 -1812 -3549 -1076 672 1389 172 799 624 -1163 -402 -3728 -4075 -984 -2573 2123 2486 2087 -278 -1867 -1562 -371 -403 1522 3638
-801 4074 79 867 2429 888 252 -2894 267 -207 1778 -1793 -1115 -1918 1637 -1253 -261 820 1472 2940 1053 453 501 -556 -888 -805 1098 -1761 62 443 238 1042 171 1144 4436 -2267 -3922 -1335 1435 2083 1274 1697 241 -1296 48 391 49 1368 -3569 220 -583 441 -701 -876 -950 -2818 -307 815 -860 -1359 1742 -2394 1024 349 -169 811 -421 -113 2571 917 -1554 -373 2561 3 878 1453 -1751 -3588 -1117 733 1428 152 816 664 -1175 -411 -3606 -3971 -928 -2473 2123 2476 2075 -285 -1890 -1617 -312 -486 1385 3658
-963 4210 11 834 2392 697 391 -2935 136 -180 1784 -1953 -1051 -2037 1745 -1344 -290 844 1316 2991 1054 397 379 -548 -833 -851 1214 -1909 52 538 172 1129 224 1082 4439 -2177 -3889 -1276 1430 1946 1362 1544 234 -1310 -65 390 0 1421 -3621 114 -512 308 -589 -795 -1007 -2960 -338 710 -897 -1341 1852 -2415 1127 252 -227 809 -448 -166 2558 889 -1550 -288 2690 182 995 1617 -1691 -3626 -1153 665 1325 62 837 776 -1102 -511 -3580 -3845 -774 -2286 2278 2534 2059 -373 -1839 -1573 -231 -513 1173 3563
-1023 4217 23 896 2309 648 476 -2941 115 -68 1827 -2078 -974 -2084 1741 -1504 -287 878 1178 3007 1064 413 276 -470 -858 -1050 1175 -2064 -18 673 128 1189 280 1068 4393 -2177 -3859 -1212 1455 1826 1411 1473 283 -1361 -22 464 -18 1457 -3632 107 -329 181 -438 -795 -1063 -2961 -323 634 -1005 -1358 2002 -2431 1174 245 -310 778 -376 -17 2646 869 -1531 -313 2609 226 1105 1742 -1647 -3693 -1232 491 1243 -25 835 842 -1007 -633 -3593 -3777 -625 -2134 2501 2661 2117 -408 -1972 -1610 -225 -599 1075 3577
-950 4143 90 972 2323 687 497 -2879 188 45 1766 -1990 -926 -2058 1612 -1642 -243 832 1244 2999 1106 442 215 -393 -917 -1223 1076 -2046 -91 701 104 1129 256 1138 4306 -2267 -3917 -1219 1607 1778 1396 1529 315 -1430 101 568 1 1489 -3535 206 -333 127 -507 -913 -1089 -2902 -304 638 -1068 -1419 2160 -2536 1067 190 -478 772 -292 156 2855 929 -1548 -466 2476 97 1047 1860 -1587 -3690 -1243 449 1287 -20 803 779 -971 -649 -3629 -3798 -639 -2191 2562 2787 2238 -382 -2040 -1707 -378 -757 1211 3606
-858 4072 126 1023 2417 794 435 -2838 294 75 1674 -1747 -933 -1996 1521 -1568 -235 729 1392 2932 1065 427 235 -391 -979 -1222 1023 -1884 -94 629 93 1026 164 1190 4350 -2352 -4045 -1316 1653 1837 1246 1543 281 -1477 187 652 -11 1432 -3426 286 -395 295 -719 -1094 -1065 -2796 -227 701 -1185 -1557 2227 -2573 918 251 -617 711 -253 251 3012 979 -1655 -689 2494 46 1039 1825 -1592 -3666 -1242 507 1401 86 801 669 -934 -513 -3637 -3885 -843 -2346 2525 2885 2334 -362 -2064 -1792 -571 -902 1537 3723
-824 4231 182 996 2459 887 310 -2730 419 71 1711 -1599 -898 -1991 1546 -1338 -166 662 1520 2947 1047 393 246 -289 -1056 -1064 978 -1658 -61 515 181 989 6 1233 4435 -2474 -4162 -1429 1604 1870 1253 1619 261 -1394 187 701 -35 1442 -3527 101 -385 484 -883 -1195 -1041 -2732 -120 805 -1156 -1574 2228 -2676 920 336 -638 704 -256 195 3079 1048 -1751 -808 2554 52 1006 1693 -1600 -3646 -1215 642 1554 230 821 579 -875 -442 -3662 -3951 -990 -2534 2464 2901 2331 -388 -2054 -1855 -615 -794 1755 3723
-868 4349 163 1002 2522 979 327 -2797 413 95 1714 -1645 -825 -2073 1585 -1189 -140 674 1555 3002 995 323 208 -230 -1164 -923 979 -1642 -14 531 223 1077 -38 1222 4658 -2481 -4296 -1553 1458 1868 1274 1591 197 -1209 105 745 -73 1405 -3576 -15 -268 532 -938 -1138 -962 -2817 -130 860 -1088 -1505 2225 -2746 1076 397 -582 751 -276 56 3019 1037 -1783 -736 2682 89 1076 1549 -1562 -3577 -1149 707 1658 339 843 522 -765 -386 -3742 -4034 -992 -2677 2517 2928 2336 -387 -2003 -1846 -529 -579 1743 3652
-934 4470 71 998 2535 1020 400 -2998 308 158 1768 -1878 -732 -2182 1621 -1266 -126 745 1533 3055 949 291 153 -202 -1266 -1023 984 -1863 19 663 137 1218 80 1266 4855 -2343 -4300 -1574 1269 1800 1361 1553 147 -1065 74 759 -94 1390 -3642 -37 -137 385 -920 -1070 -954 -2980 -252 836 -1062 -1452 2239 -2719 1309 454 -558 714 -337 -32 2939 1043 -1684 -558 2633 224 1132 1471 -1547 -3573 -1180 542 1674 387 882 518 -726 -450 -3803 -4028 -864 -2721 2519 2899 2314 -371 -1931 -1770 -351 -369 1572 3543
-924 4384 98 992 2520 989 448 -3137 238 188 1773 -2079 -669 -2319 1613 -1443 -96 856 1554 3122 986 275 0 -123 -1299 -1175 1094 -2042 20 744 36 1328 213 1338 4936 -2201 -4253 -1546 1172 1748 1399 1534 90 -1018 138 752 -139 1309 -3585 9 -267 113 -952 -1022 -959 -3021 -284 795 -1067 -1452 2190 -2726 1515 408 -526 712 -341 -60 2822 988 -1585 -397 2443 239 1224 1484 -1490 -3578 -1206 372 1743 388 948 542 -825 -572 -3823 -3967 -781 -2705 2427 2793 2271 -424 -1869 -1704 -331 -408 1542 3515
-803 4358 52 964 2570 980 352 -3216 202 77 1710 -2056 -665 -2423 1652 -1524 -129 841 1631 3133 1048 222 -141 -22 -1204 -1295 1231 -2065 -8 676 -51 1323 256 1371 4930 -2100 -4194 -1504 1199 1785 1251 1483 108 -1170 258 739 -145 1300 -3545 58 -518 -91 -1081 -1106 -1043 -3013 -241 703 -1174 -1565 2173 -2705 1494 498 -517 689 -317 25 2762 979 -1527 -313 2271 235 1267 1454 -1418 -3532 -1233 268 1810 419 1048 572 -984 -575 -3717 -3874 -815 -2657 2236 2669 2200 -513 -1898 -1720 -472 -638 1699 3690
-688 4422 52 910 2576 938 207 -3166 281 -122 1741 -1833 -734 -2492 1760 -1377 -188 860 1695 3112 1129 256 -128 -107 -1055 -1209 1368 -1958 -25 565 -4 1314 185 1333 4817 -2076 -4194 -1471 1376 1871 1158 1469 149 -1302 316 771 -181 1267 -3494 80 -595 24 -1139 -1232 -1184 -3073 -198 667 -1204 -1655 2077 -2704 1394 592 -537 635 -361 -51 2666 938 -1589 -359 2254 292 1169 1531 -1387 -3561 -1226 387 1865 372 1086 674 -982 -431 -3633 -3882 -934 -2639 2228 2678 2209 -585 -1915 -1727 -580 -816 1885 3839
-763 4585 68 899 2585 943 157 -3121 351 -251 1825 -1695 -838 -2543 1873 -1243 -276 921 1704 3143 1172 316 13 -272 -997 -990 1319 -1921 5 590 133 1375 66 1310 4739 -2135 -4235 -1474 1505 1887 1230 1441 223 -1337 261 849 -169 1382 -3581 -58 -492 233 -1053 -1226 -1184 -3051 -88 709 -1225 -1698 2065 -2681 1294 615 -574 636 -383 -126 2639 939 -1700 -457 2468 250 1145 1581 -1468 -3611 -1180 649 1906 342 1087 737 -919 -395 -3663 -3916 -875 -2673 2385 2806 2265 -638 -1941 -1699 -572 -885 1938 3907
-835 4711 40 983 2584 1032 252 -3162 360 -195 1959 -1819 -827 -2527 1870 -1188 -303 1001 1675 3200 1281 457 129 -286 -1074 -930 1168 -2012 39 720 216 1416 7 1277 4683 -2224 -4302 -1490 1559 1901 1337 1452 333 -1263 224 919 -130 1542 -3675 -101 -360 385 -860 -1111 -1072 -3028 -113 737 -1248 -1745 2105 -2694 1226 643 -616 630 -402 -162 2694 990 -1734 -570 2598 168 1108 1687 -1611 -3711 -1152 850 1888 341 1084 727 -858 -450 -3787 -3989 -735 -2774 2548 3000 2383 -574 -1883 -1618 -444 -748 1818 3781
-940 4752 95 1019 2583 1048 415 -3236 359 -79 2057 -2028 -804 -2521 1795 -1316 -341 1034 1630 3250 1273 603 227 -388 -1122 -986 1103 -2100 80 841 187 1398 18 1356 4808 -2309 -4369 -1537 1531 1837 1507 1521 331 -1205 210 955 -55 1689 -3813 -83 -345 435 -848 -1080 -994 -3035 -253 809 -1175 -1697 2033 -2680 1182 615 -637 656 -356 -57 2890 1093 -1660 -533 2696 -44 1203 1849 -1666 -3840 -1216 779 1730 280 1029 743 -813 -515 -3952 -4071 -604 -2855 2606 3144 2499 -478 -1851 -1600 -307 -554 1685 3733
-927 4643 174 992 2595 1053 417 -3277 393 -41 2149 -2162 -821 -2576 1782 -1440 -381 1012 1507 3221 1253 689 225 -400 -1019 -1181 1135 -2090 12 762 29 1282 55 1415 5058 -2346 -4406 -1557 1367 1754 1475 1590 223 -1188 200 938 -9 1734 -3926 6 -534 307 -871 -1079 -938 -3002 -345 831 -1118 -1647 2005 -2649 1064 531 -575 727 -268 76 3086 1111 -1691 -636 2769 -154 1295 2040 -1728 -3987 -1327 640 1619 192 953 705 -840 -616 -3961 -4011 -606 -2877 2543 3196 2572 -435 -1842 -1593 -270 -469 1620 3823
-844 4640 143 951 2588 1038 347 -3253 457 -134 2176 -2082 -881 -2652 1854 -1424 -381 918 1506 3172 1253 683 81 -373 -878 -1306 1280 -1997 -57 570 -101 1179 18 1388 5276 -2371 -4437 -1576 1332 1774 1363 1615 154 -1334 230 834 -36 1703 -3946 17 -728 232 -911 -1160 -1022 -3020 -319 838 -1112 -1677 1877 -2564 927 415 -523 771 -265 77 3207 1165 -1678 -659 2727 -86 1311 1983 -1653 -4026 -1406 514 1476 109 896 764 -802 -571 -3862 -3950 -787 -2887 2563 3186 2552 -518 -1876 -1590 -359 -636 1616 3985
-839 4768 91 947 2550 1052 234 -3159 493 -291 2212 -1855 -948 -2701 1990 -1326 -404 877 1498 3152 1247 607 -12 -339 -815 -1258 1287 -1996 -121 504 -119 1164 -38 1318 5423 -2417 -4490 -1611 1428 1813 1254 1613 188 -1403 194 715 -46 1677 -3980 -62 -623 151 -780 -1152 -1181 -3156 -255 817 -1130 -1713 1864 -2517 841 304 -447 853 -236 38 3122 1142 -1720 -634 2773 132 1350 1842 -1648 -3975 -1376 572 1412 65 850 789 -744 -534 -3775 -3873 -832 -2920 2649 3211 2510 -666 -1936 -1524 -361 -695 1585 4184
-828 4885 91 1037 2536 1104 246 -3085 525 -285 2197 -1678 -971 -2706 2064 -1319 -394 934 1537 3205 1215 520 16 -395 -906 -1118 1203 -2132 -145 624 -56 1269 1 1278 5474 -2430 -4545 -1632 1570 1923 1301 1634 296 -1428 150 738 -93 1653 -3974 -30 -428 145 -661 -1060 -1239 -3168 -242 751 -1164 -1741 1918 -2460 801 287 -439 903 -204 16 2936 1081 -1753 -566 2762 257 1352 1656 -1652 -3908 -1305 662 1338 46 819 787 -711 -489 -3790 -3902 -824 -3036 2662 3227 2499 -700 -1957 -1455 -313 -732 1547 4225
-915 4844 221 1112 2534 1130 346 -3068 475 -166 2153 -1748 -868 -2629 1982 -1412 -359 1006 1614 3322 1174 441 162 -390 -1095 -1034 1142 -2281 -56 792 22 1338 60 1230 5336 -2504 -4562 -1593 1673 2085 1374 1726 433 -1362 158 721 -89 1708 -4034 -32 -333 115 -686 -972 -1216 -3186 -330 663 -1232 -1802 1945 -2550 838 318 -493 891 -260 -36 2812 1063 -1706 -408 2672 232 1343 1565 -1747 -3932 -1241 744 1368 122 878 784 -879 -473 -3790 -3901 -781 -3159 2560 3194 2523 -598 -1964 -1463 -289 -789 1562 4073
-966 4788 327 1113 2616 1129 410 -3126 390 -72 2051 -1886 -808 -2593 1824 -1558 -362 1071 1679 3421 1064 319 325 -404 -1223 -960 1192 -2264 66 812 101 1315 60 1266 5138 -2551 -4587 -1579 1692 2236 1393 1836 427 -1355 217 707 -39 1718 -4132 -41 -323 119 -825 -965 -1129 -3085 -422 618 -1203 -1800 1847 -2630 968 345 -454 857 -329 -48 2830 1119 -1620 -390 2512 -7 1302 1544 -1875 -3979 -1208 730 1432 209 937 735 -1114 -468 -3773 -3889 -770 -3235 2408 3119 2599 -404 -1950 -1559 -343 -864 1614 3917
-1043 4877 267 1060 2661 1014 482 -3219 298 -19 1995 -2057 -846 -2585 1828 -1563 -370 1046 1751 3464 1014 257 390 -371 -1216 -1036 1384 -2139 102 690 129 1262 63 1243 5020 -2516 -4570 -1561 1605 2273 1379 1903 324 -1344 276 678 -99 1692 -4353 -194 -330 112 -928 -1007 -1070 -3032 -324 742 -1110 -1809 1717 -2745 1046 380 -353 853 -407 -83 2902 1151 -1586 -410 2405 -176 1242 1638 -1810 -3960 -1192 659 1513 205 932 709 -1198 -462 -3755 -3881 -780 -3274 2285 3096 2619 -320 -1898 -1605 -373 -961 1657 3918
-1042 5059 101 960 2760 870 410 -3286 242 -44 1946 -2048 -891 -2557 1866 -1449 -341 935 1828 3406 989 265 328 -327 -1182 -1197 1429 -2093 -13 535 113 1227 17 1213 5038 -2474 -4574 -1573 1523 2240 1349 1887 220 -1418 245 643 -182 1639 -4476 -318 -226 139 -824 -1053 -1059 -3066 -270 883 -1047 -1809 1675 -2734 1085 486 -228 880 -460 -180 2925 1146 -1660 -528 2432 -149 1223 1730 -1724 -3931 -1175 697 1673 159 904 776 -1104 -404 -3750 -3906 -787 -3266 2373 3194 2645 -381 -1964 -1595 -347 -977 1630 4002
-1003 5339 -134 974 2809 800 340 -3320 233 -57 1845 -1875 -1003 -2504 1993 -1418 -298 833 1817 3382 1000 266 158 -235 -1168 -1270 1282 -2171 -165 552 115 1297 30 1184 5226 -2413 -4566 -1571 1536 2124 1497 1864 237 -1535 177 690 -262 1580 -4508 -328 -274 189 -706 -1063 -1040 -3027 -232 933 -1038 -1765 1783 -2659 1182 326 -156 957 -447 -245 2895 1112 -1771 -598 2481 60 1242 1793 -1546 -3849 -1162 708 1775 64 786 710 -944 -293 -3841 -4035 -811 -3288 2513 3328 2699 -422 -1961 -1531 -341 -969 1660 4125
-1006 5346 -174 1042 2883 852 289 -3336 285 59 1821 -1803 -1042 -2449 2038 -1505 -196 814 1790 3345 1050 380 81 -307 -1200 -1218 1012 -2275 -208 708 127 1341 86 1319 5368 -2435 -4597 -1590 1632 2015 1644 1841 360 -1608 180 803 -307 1602 -4458 -226 -373 172 -604 -1005 -1025 -2993 -214 936 -1083 -1758 2035 -2631 1127 254 -283 979 -366 -120 2899 1119 -1794 -556 2573 231 1294 1751 -1493 -3812 -1136 811 1862 87 744 656 -847 -298 -3952 -4135 -834 -3348 2628 3466 2742 -506 -2017 -1467 -331 -849 1716 4201
-1022 5279 -115 1095 2929 1006 232 -3363 343 197 1768 -1806 -1049 -2415 1992 -1636 -189 838 1765 3356 1120 385 127 -368 -1161 -1110 935 -2183 -88 757 140 1279 94 1416 5448 -2532 -4683 -1637 1710 2022 1688 1882 438 -1632 232 841 -299 1656 -4479 -160 -531 246 -632 -976 -1042 -2961 -264 828 -1135 -1741 2155 -2737 1064 153 -416 1013 -237 84 2933 1134 -1757 -497 2641 208 1466 1717 -1552 -3845 -1101 904 1854 160 814 643 -924 -320 -3948 -4099 -871 -3349 2548 3455 2741 -517 -1996 -1476 -384 -779 1840 4140
-1056 5188 -3 1074 2911 1176 144 -3400 416 308 1812 -1937 -1048 -2382 1883 -1698 -246 950 1712 3383 1239 419 278 -382 -1105 -1007 1081 -2014 75 721 117 1235 146 1513 5493 -2603 -4701 -1616 1724 2131 1634 1945 435 -1662 327 813 -299 1724 -4547 -218 -551 329 -639 -942 -1087 -2995 -189 762 -1184 -1788 2220 -2888 929 172 -535 965 -209 229 3092 1132 -1771 -546 2709 96 1515 1766 -1638 -3952 -1105 933 1770 236 907 703 -947 -438 -3877 -3969 -891 -3208 2492 3374 2658 -562 -1965 -1521 -435 -666 1908 4119
-1069 5279 -52 1056 2834 1218 115 -3442 409 241 1946 -2092 -1053 -2406 1869 -1566 -337 916 1794 3377 1274 386 405 -453 -1121 -1158 1327 -1946 141 648 76 1223 131 1494 5492 -2729 -4752 -1619 1671 2239 1551 1960 359 -1630 372 738 -252 1722 -4655 -327 -360 410 -630 -951 -1144 -3085 -67 772 -1241 -1883 2266 -2976 891 180 -567 918 -233 287 3250 1168 -1783 -614 2853 18 1599 1799 -1616 -3969 -1140 887 1655 245 941 732 -819 -544 -3841 -3857 -824 -3176 2473 3337 2572 -666 -1881 -1496 -426 -652 1832 4151
-1039 5473 -128 1047 2807 1187 160 -3458 389 132 2045 -2111 -1050 -2381 1908 -1439 -376 889 1853 3418 1309 431 378 -380 -1199 -1393 1456 -2058 45 666 101 1333 117 1364 5440 -2710 -4752 -1625 1603 2205 1569 1926 232 -1479 235 733 -179 1675 -4674 -252 -259 429 -731 -1070 -1184 -3163 -77 824 -1227 -1884 2332 -2955 1034 230 -473 926 -312 188 3337 1200 -1853 -706 3037 -9 1633 1849 -1473 -3984 -1220 821 1613 197 897 692 -604 -578 -3919 -3891 -681 -3215 2626 3346 2506 -734 -1838 -1458 -364 -614 1781 4200
-1004 5441 -72 1064 2805 1112 220 -3420 385 -44 2145 -2084 -1064 -2370 1944 -1465 -305 865 1892 3481 1252 498 273 -392 -1301 -1476 1389 -2251 -110 767 140 1418 145 1313 5298 -2605 -4745 -1629 1625 2099 1691 1903 179 -1374 134 804 -107 1584 -4593 -42 -341 321 -845 -1141 -1131 -3159 -137 862 -1261 -1876 2513 -2852 1255 361 -394 925 -363 132 3294 1236 -1884 -724 3092 63 1664 1889 -1337 -4007 -1370 729 1759 176 853 641 -501 -572 -4085 -4021 -522 -3461 2640 3391 2493 -831 -1923 -1473 -346 -697 1674 4272
-1004 5415 1 1071 2880 1031 365 -3379 371 -133 2111 -2039 -1093 -2403 1933 -1576 -220 961 1842 3592 1263 585 108 -331 -1309 -1315 1285 -2290 -135 826 214 1429 176 1416 5160 -2460 -4701 -1624 1613 2107 1788 1893 146 -1299 73 883 -56 1617 -4436 192 -562 208 -1017 -1193 -1070 -3148 -251 903 -1188 -1803 2541 -2791 1477 389 -384 923 -405 78 3151 1277 -1801 -659 2873 88 1713 1861 -1247 -4001 -1414 715 1848 198 914 623 -554 -500 -4108 -4110 -604 -3670 2609 3429 2534 -866 -2132 -1563 -390 -895 1616 4318
-1084 5311 208 1017 2949 1015 434 -3343 390 -171 2096 -2071 -1113 -2496 1971 -1609 -184 1143 1847 3657 1256 591 99 -364 -1189 -1060 1222 -2132 -99 783 343 1365 158 1560 5078 -2450 -4671 -1583 1693 2146 1749 1923 229 -1365 228 877 -85 1704 -4479 113 -734 202 -998 -1123 -1004 -3095 -337 889 -1126 -1705 2492 -2859 1586 449 -409 876 -399 135 3053 1261 -1709 -556 2573 43 1693 1901 -1296 -4047 -1390 718 1889 251 1013 684 -663 -469 -4044 -4097 -683 -3834 2501 3457 2582 -926 -2318 -1670 -424 -987 1549 4306
-1172 5390 303 1005 2923 1046 484 -3349 388 -217 2089 -2205 -1080 -2499 2062 -1490 -186 1225 1855 3652 1254 555 244 -390 -1166 -949 1289 -2008 -70 724 386 1352 206 1689 5142 -2476 -4677 -1543 1808 2260 1637 1956 289 -1496 400 840 -80 1838 -4570 -114 -594 370 -823 -1051 -1026 -3150 -333 875 -1115 -1685 2419 -3061 1576 524 -489 802 -445 79 3021 1236 -1643 -445 2387 11 1651 1965 -1342 -4035 -1297 737 1849 318 1118 824 -738 -450 -3902 -3985 -754 -3823 2451 3489 2622 -923 -2445 -1764 -424 -999 1449 4301
-1241 5520 244 1038 2853 1070 477 -3417 430 -215 2173 -2319 -1031 -2464 2116 -1309 -229 1156 1977 3548 1207 424 370 -490 -1217 -1115 1364 -2128 -57 738 307 1422 282 1652 5343 -2512 -4732 -1576 1802 2353 1537 1932 343 -1563 457 793 -91 1852 -4752 -291 -448 517 -737 -1065 -1094 -3199 -337 807 -1167 -1669 2545 -3163 1515 545 -540 785 -431 63 2993 1139 -1697 -437 2357 110 1705 2046 -1374 -4050 -1240 790 1899 357 1206 947 -779 -564 -3890 -3905 -715 -3600 2478 3499 2653 -836 -2449 -1827 -377 -915 1450 4359
-1168 5630 194 1167 2826 1117 460 -3438 498 -157 2216 -2359 -975 -2379 2117 -1238 -276 1062 2009 3532 1201 434 510 -471 -1322 -1422 1393 -2299 -88 793 237 1518 341 1609 5503 -2638 -4799 -1609 1766 2312 1608 1981 421 -1514 386 892 -33 1795 -4801 -249 -421 604 -863 -1213 -1166 -3219 -399 673 -1341 -1749 2703 -3162 1524 544 -551 807 -380 86 3076 1166 -1745 -385 2590 213 1796 2107 -1274 -4002 -1225 769 1943 329 1143 874 -768 -664 -4001 -3920 -592 -3446 2450 3496 2635 -759 -2347 -1845 -404 -878 1576 4334
-1108 5570 202 1288 2905 1239 418 -3462 603 -61 2150 -2289 -970 -2343 2067 -1321 -286 1041 1957 3590 1165 445 485 -447 -1314 -1557 1429 -2358 -12 824 206 1493 309 1559 5596 -2701 -4857 -1683 1715 2151 1717 1966 474 -1403 284 967 20 1724 -4771 -93 -464 530 -1091 -1370 -1216 -3214 -369 653 -1396 -1820 2747 -3060 1511 519 -582 859 -302 159 3193 1265 -1772 -431 2747 314 1975 2145 -1162 -3949 -1250 730 2042 322 1071 714 -813 -691 -4128 -4026 -613 -3444 2387 3493 2629 -740 -2316 -1873 -487 -838 1818 4326
-1109 5445 268 1289 2975 1303 312 -3447 712 38 2036 -2180 -1012 -2425 2041 -1392 -277 1092 1929 3677 1190 489 317 -501 -1209 -1443 1539 -2231 68 754 232 1376 188 1553 5589 -2731 -4895 -1722 1707 2040 1777 1986 426 -1351 326 928 10 1720 -4731 -116 -519 350 -1219 -1376 -1151 -3279 -356 701 -1380 -1884 2617 -2948 1443 507 -565 900 -276 185 3387 1384 -1806 -545 2883 243 2001 2248 -1127 -4013 -1301 652 1993 271 959 616 -760 -605 -4153 -4108 -770 -3569 2376 3547 2563 -950 -2366 -1895 -636 -915 2017 4211
-1156 5435 254 1233 3020 1331 202 -3483 764 108 1900 -2137 -1023 -2490 2100 -1411 -279 1129 1849 3780 1268 567 110 -469 -1061 -1170 1572 -2127 116 723 281 1270 71 1573 5549 -2757 -4858 -1668 1813 2012 1718 1982 365 -1406 418 783 -32 1744 -4673 -344 -488 319 -1173 -1276 -1093 -3413 -410 795 -1255 -1869 2400 -2888 1375 455 -587 907 -278 185 3458 1404 -1839 -715 2787 70 2072 2206 -1234 -4093 -1322 584 1850 212 884 542 -696 -506 -4086 -4115 -883 -3737 2484 3581 2478 -1151 -2451 -1861 -666 -897 2009 4105
-1212 5685 80 1158 3073 1321 142 -3564 651 181 1900 -2212 -917 -2481 2233 -1332 -260 1100 1917 3819 1351 574 41 -460 -1047 -1087 1535 -2202 54 790 300 1292 42 1544 5522 -2771 -4810 -1585 1930 2074 1551 1933 344 -1472 499 649 -51 1772 -4670 -528 -382 451 -1047 -1172 -1103 -3540 -454 904 -1190 -1853 2312 -2912 1244 419 -670 873 -298 186 3486 1351 -1863 -782 2704 -47 2047 2174 -1350 -4192 -1295 656 1717 201 858 557 -661 -503 -4054 -4094 -818 -3854 2625 3642 2429 -1260 -2442 -1783 -614 -879 1853 4094
-1181 5829 -20 1117 3083 1212 171 -3649 542 236 1943 -2377 -805 -2356 2328 -1311 -232 988 2016 3854 1387 539 95 -266 -1191 -1246 1488 -2405 -70 941 242 1402 158 1558 5489 -2780 -4750 -1496 1977 2207 1481 1949 360 -1486 445 625 -27 1728 -4691 -487 -440 631 -1006 -1169 -1086 -3489 -481 909 -1256 -1856 2345 -2812 1205 407 -710 829 -356 129 3409 1294 -1833 -726 2659 -53 2053 2185 -1421 -4267 -1287 791 1629 195 853 608 -696 -630 -4098 -4052 -637 -3921 2691 3621 2396 -1234 -2394 -1737 -552 -849 1764 4214
-1079 5794 4 1175 3141 1182 266 -3703 495 254 1972 -2551 -783 -2245 2238 -1392 -230 965 2089 3824 1371 577 309 -213 -1282 -1468 1532 -2505 -235 1020 167 1487 307 1582 5492 -2721 -4757 -1490 1988 2302 1487 1911 421 -1431 262 723 57 1646 -4642 -189 -557 655 -1012 -1221 -1124 -3388 -386 896 -1329 -1872 2440 -2847 1125 416 -764 800 -368 127 3340 1315 -1716 -460 2727 26 1980 2095 -1299 -4221 -1317 861 1671 173 835 610 -810 -755 -4108 -4009 -498 -3858 2624 3573 2370 -1187 -2259 -1688 -495 -832 1891 4393
-984 5469 169 1223 3146 1153 378 -3658 497 258 1861 -2513 -896 -2186 2104 -1509 -253 1052 2079 3842 1413 623 434 -106 -1320 -1521 1660 -2422 -213 1000 157 1488 342 1634 5526 -2735 -4823 -1572 1905 2363 1556 1958 422 -1278 149 801 149 1686 -4533 124 -532 573 -939 -1281 -1211 -3384 -313 850 -1312 -1843 2334 -2903 1161 487 -745 827 -369 81 3212 1393 -1596 -228 2796 126 2019 2148 -1168 -4208 -1393 817 1686 106 802 609 -910 -818 -4034 -3913 -484 -3818 2459 3498 2371 -1122 -2300 -1747 -490 -876 1888 4456
-980 5375 278 1225 3182 1234 450 -3620 563 244 1802 -2363 -1058 -2220 2071 -1520 -249 1196 2077 3820 1432 723 351 -166 -1224 -1347 1756 -2253 -134 933 200 1461 276 1643 5625 -2646 -4905 -1699 1776 2271 1652 2014 303 -1119 118 818 162 1818 -4498 61 -349 518 -708 -1235 -1228 -3461 -266 833 -1232 -1832 2110 -2969 1208 518 -628 837 -425 -67 3138 1440 -1572 -236 2829 77 2002 2245 -1119 -4228 -1436 765 1690 -5 766 695 -871 -744 -3977 -3919 -595 -3805 2442 3530 2397 -1148 -2432 -1785 -461 -877 1905 4509
-973 5401 291 1276 3100 1265 479 -3551 612 207 1839 -2205 -1201 -2238 2161 -1458 -230 1220 2096 3803 1497 827 99 -213 -1202 -1105 1666 -2250 -50 955 224 1463 188 1569 5813 -2623 -4942 -1785 1616 2225 1651 2020 280 -1093 243 744 112 1956 -4457 -165 -254 412 -618 -1123 -1181 -3545 -346 793 -1175 -1780 1925 -2989 1298 505 -486 917 -412 -149 3135 1420 -1622 -373 2765 -87 2025 2425 -1203 -4303 -1390 824 1641 -101 760 798 -801 -699 -4019 -3986 -646 -3856 2568 3700 2492 -1215 -2661 -1797 -404 -808 1819 4511
-1018 5520 250 1300 3100 1289 474 -3563 544 172 1993 -2235 -1259 -2210 2317 -1438 -161 1073 2168 3738 1474 893 -123 -353 -1181 -1067 1460 -2414 -18 1008 202 1506 95 1474 5987 -2698 -4956 -1754 1610 2189 1672 1939 280 -1241 310 732 92 1952 -4406 -230 -315 450 -697 -1076 -1086 -3521 -431 795 -1148 -1774 1912 -2928 1445 433 -453 942 -377 -152 3201 1362 -1721 -531 2703 -194 2054 2470 -1336 -4370 -1335 895 1690 -71 745 761 -750 -699 -4184 -4129 -679 -3943 2650 3861 2581 -1229 -2790 -1777 -376 -867 1667 4532
-1060 5631 193 1311 3123 1253 464 -3609 476 192 2210 -2379 -1241 -2201 2381 -1483 -97 951 2197 3699 1434 852 -207 -327 -1283 -1243 1412 -2560 1 1024 88 1522 94 1462 6060 -2797 -5003 -1766 1618 2177 1714 1805 356 -1328 190 805 71 1837 -4355 -132 -538 499 -903 -1109 -1050 -3441 -465 827 -1159 -1765 1966 -2877 1472 399 -491 959 -311 -60 3331 1352 -1773 -561 2619 -218 1960 2405 -1379 -4315 -1218 1079 1855 35 773 641 -813 -720 -4325 -4258 -669 -3968 2632 3896 2623 -1209 -2858 -1774 -362 -880 1576 4592
-1138 5575 265 1311 3197 1279 435 -3615 485 194 2245 -2386 -1301 -2234 2330 -1597 -130 959 2150 3733 1362 730 -14 -283 -1303 -1518 1557 -2499 17 979 -6 1442 188 1551 6063 -2897 -4984 -1684 1712 2248 1734 1727 428 -1409 103 875 74 1691 -4337 99 -669 410 -956 -1117 -1078 -3356 -347 918 -1194 -1856 1971 -2908 1346 305 -598 993 -195 126 3426 1375 -1758 -465 2553 -148 1943 2216 -1339 -4215 -1149 1141 1950 159 809 520 -886 -769 -4360 -4271 -670 -3905 2455 3835 2575 -1205 -2778 -1741 -336 -892 1566 4584
-1227 5521 248 1279 3209 1240 409 -3650 538 186 2233 -2404 -1370 -2279 2177 -1682 -215 1115 2018 3835 1316 507 249 -155 -1311 -1611 1763 -2321 30 859 -19 1392 200 1653 5979 -2897 -4998 -1694 1821 2362 1732 1767 368 -1437 109 873 41 1587 -4293 129 -541 244 -889 -1145 -1222 -3412 -178 1065 -1189 -1948 1959 -3053 1148 243 -676 1011 -119 243 3483 1432 -1729 -385 2581 63 1938 2049 -1206 -4143 -1151 1101 2100 217 820 533 -807 -724 -4260 -4211 -720 -3831 2276 3715 2513 -1189 -2739 -1743 -321 -846 1545 4522
-1256 5525 199 1301 3202 1229 370 -3671 607 110 2150 -2300 -1399 -2266 2094 -1619 -281 1160 1989 3872 1289 381 389 -153 -1342 -1511 1737 -2238 -39 821 55 1409 184 1725 5934 -2839 -5000 -1719 1814 2350 1735 1817 204 -1381 167 828 4 1471 -4193 -40 -330 161 -705 -1125 -1342 -3498 -105 1071 -1273 -2002 2078 -3240 1041 304 -694 1009 -148 217 3466 1503 -1667 -332 2626 128 1861 2022 -1080 -4055 -1117 978 2034 200 830 582 -644 -647 -4180 -4204 -798 -3845 2264 3677 2493 -1171 -2698 -1692 -286 -808 1579 4423
-1244 5724 121 1288 3124 1137 284 -3691 613 30 2157 -2273 -1332 -2167 2104 -1516 -282 1096 2083 3891 1272 327 404 -198 -1407 -1340 1468 -2344 -155 925 148 1491 179 1689 5991 -2764 -4964 -1699 1746 2273 1792 1873 51 -1325 234 770 -1 1379 -4128 -281 -294 229 -735 -1174 -1374 -3479 -150 961 -1352 -1964 2245 -3337 1085 358 -611 1005 -212 170 3451 1476 -1704 -406 2684 95 1888 2068 -1056 -4101 -1177 760 1956 143 797 617 -461 -616 -4199 -4250 -755 -3974 2292 3712 2534 -1140 -2740 -1675 -315 -882 1668 4402
-1205 5759 80 1268 3145 1106 208 -3791 555 -40 2160 -2330 -1290 -2127 2147 -1519 -248 994 2152 3904 1299 355 245 -239 -1486 -1170 1179 -2499 -221 1025 204 1552 150 1574 6036 -2682 -4978 -1737 1642 2164 1863 1882 10 -1307 286 754 -11 1316 -4195 -305 -425 419 -915 -1249 -1302 -3353 -221 812 -1418 -1860 2492 -3376 1313 437 -568 975 -258 199 3414 1407 -1696 -364 2786 -10 1977 2123 -1143 -4203 -1206 775 1981 161 841 625 -460 -665 -4240 -4275 -668 -4126 2362 3772 2584 -1133 -2789 -1686 -412 -1004 1880 4509
-1175 5673 101 1232 3159 1031 194 -3918 444 -14 2085 -2470 -1210 -2237 2214 -1554 -231 1071 2111 3922 1275 432 183 -302 -1470 -1196 1251 -2496 -191 1027 212 1540 179 1475 6120 -2738 -4999 -1724 1609 2193 1931 1932 100 -1363 276 768 -36 1255 -4289 -123 -528 561 -975 -1250 -1214 -3366 -258 760 -1414 -1835 2582 -3273 1442 485 -631 891 -313 288 3466 1391 -1697 -342 2767 -259 2044 2165 -1204 -4252 -1202 928 2045 202 913 694 -488 -762 -4253 -4242 -599 -4169 2404 3797 2566 -1222 -2799 -1658 -449 -1066 2051 4691
-1102 5533 175 1179 3193 1076 196 -4027 397 54 2044 -2594 -1210 -2445 2262 -1634 -214 1268 1976 3942 1303 572 216 -389 -1383 -1333 1584 -2338 -113 911 185 1527 256 1484 6150 -2865 -5064 -1730 1685 2288 1891 1999 233 -1377 281 815 -1 1306 -4345 122 -536 582 -916 -1242 -1194 -3407 -248 812 -1398 -1895 2517 -3274 1516 475 -693 861 -319 340 3441 1368 -1744 -381 2860 -315 2173 2244 -1246 -4317 -1199 1146 2053 206 975 761 -453 -838 -4247 -4208 -617 -4088 2493 3858 2548 -1316 -2761 -1619 -417 -1076 2030 4714
-1046 5461 173 1209 3196 1173 251 -4107 401 161 1933 -2566 -1223 -2592 2300 -1613 -246 1412 1897 3928 1316 638 402 -482 -1391 -1522 1834 -2285 -17 860 192 1539 297 1418 6216 -2975 -5189 -1806 1775 2465 1854 2096 336 -1450 378 811 -20 1420 -4433 168 -404 403 -757 -1185 -1233 -3533 -231 944 -1354 -1973 2487 -3315 1542 450 -737 888 -333 284 3423 1398 -1777 -421 2929 -363 2229 2420 -1244 -4365 -1219 1238 1966 139 989 843 -348 -877 -4325 -4246 -601 -4059 2584 3959 2558 -1335 -2704 -1559 -260 -887 1900 4716
-963 5504 165 1270 3185 1244 284 -4083 480 171 1980 -2380 -1162 -2634 2366 -1499 -250 1357 1969 3910 1329 713 574 -566 -1460 -1605 1821 -2394 -9 923 204 1594 367 1433 6264 -3018 -5228 -1859 1840 2549 1838 2166 351 -1462 472 785 49 1497 -4413 161 -437 161 -795 -1187 -1269 -3506 -170 1061 -1444 -2131 2631 -3334 1576 471 -709 904 -342 192 3409 1451 -1764 -474 2908 -279 2198 2552 -1141 -4425 -1304 1082 1833 18 965 904 -238 -872 -4460 -4370 -585 -4021 2639 4042 2590 -1289 -2633 -1524 -210 -795 1835 4623
-847 5556 155 1321 3189 1316 210 -4013 581 126 2057 -2203 -1125 -2577 2339 -1424 -238 1171 2063 3853 1375 725 560 -567 -1531 -1520 1559 -2573 -34 969 218 1560 364 1460 6254 -3081 -5240 -1843 1848 2538 1878 2205 342 -1481 495 751 122 1514 -4375 91 -497 129 -1027 -1294 -1342 -3492 -167 1077 -1493 -2115 2780 -3416 1737 454 -665 945 -336 129 3454 1484 -1700 -391 2877 -164 2159 2660 -1023 -4460 -1389 864 1729 -49 929 861 -266 -891 -4592 -4503 -606 -4082 2568 4115 2669 -1259 -2653 -1564 -278 -867 1863 4649
-888 5472 227 1304 3172 1340 133 -3967 619 103 2110 -2189 -1088 -2585 2248 -1454 -283 1041 2117 3818 1408 727 506 -560 -1452 -1350 1358 -2602 -43 921 240 1378 316 1571 6203 -3052 -5242 -1839 1878 2438 2023 2165 268 -1463 374 697 177 1494 -4430 114 -597 236 -1122 -1303 -1360 -3486 -108 1036 -1508 -2037 2931 -3399 1823 452 -617 974 -290 205 3585 1545 -1583 -237 2761 4 2132 2702 -933 -4428 -1410 727 1628 -50 931 836 -389 -844 -4548 -4518 -707 -4048 2508 4096 2639 -1344 -2732 -1636 -469 -1003 2050 4711
-1030 5406 310 1280 3167 1341 127 -3930 637 163 2170 -2339 -1046 -2701 2189 -1539 -353 1122 2011 3930 1476 697 364 -402 -1277 -1203 1398 -2468 -57 793 300 1249 284 1641 6134 -3052 -5158 -1731 1906 2393 2098 2109 243 -1467 251 654 196 1478 -4463 192 -600 324 -1042 -1246 -1356 -3579 -84 997 -1481 -1982 2959 -3378 1787 496 -601 999 -233 381 3740 1578 -1529 -111 2633 117 2129 2551 -905 -4376 -1400 741 1670 49 983 855 -554 -790 -4395 -4439 -849 -4053 2382 4052 2609 -1466 -2778 -1661 -577 -1145 2109 4756
-1149 5446 258 1233 3144 1256 167 -3970 536 223 2191 -2614 -983 -2815 2176 -1634 -392 1173 1946 4029 1489 611 297 -318 -1179 -1256 1532 -2395 -111 675 335 1204 279 1603 6133 -3021 -5124 -1646 2001 2435 2043 2076 235 -1570 192 597 182 1455 -4536 318 -565 291 -947 -1194 -1340 -3754 -120 953 -1465 -1987 2901 -3329 1651 493 -634 1010 -240 445 3867 1645 -1594 -225 2529 101 2089 2418 -900 -4340 -1348 828 1686 125 972 868 -557 -740 -4282 -4385 -928 -4022 2435 4041 2584 -1542 -2830 -1678 -541 -1108 2054 4780
-1268 5572 214 1268 3198 1147 309 -3970 504 217 2243 -2671 -908 -2845 2302 -1619 -326 1101 1962 4134 1512 562 261 -204 -1246 -1412 1625 -2483 -161 724 384 1362 325 1442 6193 -3038 -5092 -1586 2106 2541 1858 2108 278 -1645 243 524 175 1431 -4541 371 -559 124 -924 -1212 -1275 -3811 -192 966 -1432 -2005 2880 -3262 1476 513 -687 976 -285 452 3855 1582 -1751 -471 2473 17 2118 2343 -938 -4325 -1278 927 1752 175 936 823 -555 -792 -4254 -4345 -829 -4134 2508 4106 2638 -1515 -2802 -1654 -371 -987 1817 4751
-1335 5787 144 1347 3317 1012 432 -3929 495 162 2349 -2552 -917 -2815 2413 -1514 -233 915 2119 4139 1468 505 282 -185 -1524 -1510 1602 -2669 -180 849 407 1533 334 1237 6257 -3048 -5164 -1648 2173 2587 1765 2189 360 -1710 337 493 168 1422 -4561 271 -650 24 -1003 -1301 -1230 -3736 -252 961 -1436 -2037 2902 -3233 1396 510 -854 873 -371 456 3812 1544 -1879 -682 2517 -146 2278 2366 -945 -4364 -1279 841 1751 139 862 729 -566 -820 -4346 -4428 -776 -4346 2545 4198 2716 -1469 -2786 -1655 -252 -943 1631 4784
-1363 5848 208 1408 3448 1035 490 -3884 548 79 2351 -2393 -1002 -2844 2474 -1435 -187 827 2281 4143 1478 484 379 -268 -1709 -1446 1588 -2698 -87 930 403 1587 346 1196 6297 -3019 -5266 -1777 2097 2521 1822 2244 415 -1595 317 481 181 1474 -4583 79 -677 78 -1105 -1396 -1243 -3657 -255 989 -1430 -2066 2871 -3285 1404 620 -961 775 -393 570 3754 1469 -1892 -663 2648 -173 2391 2608 -993 -4460 -1329 723 1795 49 786 697 -592 -825 -4399 -4509 -804 -4545 2545 4252 2793 -1405 -2804 -1722 -254 -1046 1594 4961
-1434 5762 281 1467 3533 1153 519 -3935 563 115 2359 -2329 -1140 -2968 2421 -1450 -218 953 2332 4113 1494 500 338 -248 -1720 -1307 1669 -2540 36 957 375 1536 411 1336 6386 -2905 -5291 -1844 1954 2424 1955 2304 441 -1448 268 515 95 1560 -4695 -15 -530 260 -1070 -1385 -1285 -3650 -228 1061 -1336 -2078 2639 -3394 1422 687 -923 791 -344 669 3698 1426 -1776 -403 2776 -200 2565 2593 -909 -4428 -1317 687 1767 -57 719 699 -575 -791 -4362 -4522 -959 -4575 2539 4314 2805 -1537 -2922 -1813 -383 -1225 1666 5060
-1444 5642 268 1529 3525 1306 502 -4054 533 239 2274 -2342 -1192 -3031 2346 -1557 -283 1173 2224 4091 1522 587 254 -86 -1620 -1370 1824 -2412 69 972 327 1507 464 1474 6449 -2793 -5313 -1867 1802 2315 2070 2305 385 -1281 144 569 58 1567 -4768 67 -292 404 -980 -1303 -1362 -3753 -197 1153 -1249 -2102 2418 -3435 1508 681 -823 831 -357 610 3711 1498 -1638 -116 2944 -63 2634 2671 -908 -4413 -1273 848 1792 -105 749 788 -574 -817 -4298 -4455 -1062 -4472 2629 4362 2785 -1705 -2979 -1806 -498 -1327 1840 5055
-1399 5640 228 1582 3442 1391 425 -4178 466 337 2320 -2536 -1144 -3040 2336 -1626 -272 1262 2157 4029 1461 673 140 -45 -1546 -1518 1873 -2478 -4 1049 238 1525 539 1600 6486 -2748 -5251 -1817 1730 2322 2034 2280 315 -1233 128 597 52 1540 -4910 155 -248 428 -1040 -1314 -1424 -3877 -277 1134 -1225 -2105 2301 -3348 1521 693 -630 907 -380 427 3706 1576 -1603 -61 3024 16 2508 2663 -870 -4398 -1223 1053 1810 -121 766 827 -561 -907 -4341 -4428 -957 -4301 2723 4430 2759 -1817 -2953 -1754 -557 -1351 1973 4937
-1285 5690 196 1561 3427 1421 281 -4249 462 377 2368 -2555 -1010 -2918 2488 -1603 -164 1181 2136 4016 1413 747 100 4 -1564 -1703 1785 -2653 -153 1139 158 1561 560 1631 6477 -2762 -5239 -1778 1754 2396 1964 2178 300 -1317 166 585 46 1474 -4947 251 -317 296 -1222 -1392 -1447 -3969 -371 1046 -1224 -1989 2380 -3133 1578 650 -524 991 -395 224 3709 1620 -1683 -299 2974 20 2378 2786 -949 -4466 -1211 1201 1860 -57 817 862 -653 -1067 -4454 -4459 -843 -4149 2763 4436 2754 -1820 -2852 -1715 -554 -1203 2144 4791
-1213 5789 242 1492 3463 1403 169 -4225 559 350 2374 -2455 -988 -2892 2596 -1546 -66 1092 2258 4038 1467 772 47 -19 -1585 -1692 1742 -2700 -197 1136 161 1548 455 1643 6334 -2862 -5304 -1798 1926 2471 1918 2094 333 -1404 259 564 35 1424 -4945 123 -320 233 -1236 -1457 -1443 -4053 -383 979 -1230 -1901 2462 -3121 1551 581 -530 1042 -311 245 3748 1649 -1716 -480 2720 -168 2255 2774 -945 -4528 -1274 1088 1797 -24 828 821 -723 -1178 -4603 -4541 -699 -4187 2734 4477 2766 -1808 -2752 -1697 -548 -1118 2128 4849
-1240 5773 335 1395 3488 1339 153 -4167 722 332 2289 -2263 -1099 -2973 2623 -1526 -56 1195 2269 4143 1567 825 183 -157 -1516 -1424 1761 -2511 -103 1053 251 1482 340 1691 6194 -3007 -5371 -1819 2037 2556 1961 2007 422 -1408 287 572 59 1440 -4871 37 -319 301 -1120 -1459 -1392 -4044 -385 936 -1233 -1901 2380 -3078 1495 465 -648 1030 -238 374 3839 1643 -1695 -544 2531 -210 2214 2832 -951 -4621 -1374 917 1752 -49 808 817 -680 -1119 -4616 -4602 -724 -4263 2645 4461 2742 -1834 -2698 -1717 -579 -1001 2140 4975
-1352 5736 391 1349 3500 1317 229 -4139 795 406 2224 -2199 -1125 -3052 2555 -1565 -126 1409 2249 4256 1608 864 313 -246 -1428 -1218 1823 -2350 15 1052 375 1452 270 1719 6183 -2991 -5418 -1861 2060 2515 2037 1996 423 -1268 270 611 79 1472 -4812 44 -290 466 -880 -1390 -1382 -4064 -438 986 -1202 -1983 2200 -3208 1355 429 -723 1031 -155 572 3974 1652 -1661 -430 2544 -228 2257 2797 -867 -4597 -1419 828 1764 -64 773 763 -602 -973 -4603 -4718 -873 -4411 2589 4443 2767 -1791 -2682 -1694 -538 -956 2072 5077
-1388 5806 323 1353 3521 1282 388 -4247 694 533 2171 -2363 -1051 -3026 2467 -1666 -232 1530 2138 4314 1579 899 346 -213 -1387 -1246 1807 -2420 -30 1116 389 1554 303 1686 6362 -2910 -5408 -1868 1964 2550 1999 2003 324 -1228 288 634 99 1471 -4804 185 -359 549 -823 -1292 -1267 -3888 -474 998 -1258 -2124 2111 -3272 1215 498 -734 1009 -163 615 4012 1637 -1669 -250 2701 -115 2287 2591 -764 -4523 -1451 786 1855 -24 773 759 -462 -919 -4571 -4743 -901 -4558 2619 4483 2799 -1808 -2725 -1640 -515 -996 2062 5149
-1344 5908 270 1369 3457 1257 399 -4335 584 463 2229 -2510 -958 -2924 2456 -1698 -298 1469 2104 4231 1512 929 297 -216 -1419 -1486 1774 -2688 -130 1239 275 1692 403 1581 6635 -2804 -5381 -1840 1823 2475 1894 2003 180 -1191 287 666 138 1395 -4819 293 -441 482 -851 -1199 -1160 -3649 -485 986 -1295 -2176 2195 -3229 1278 428 -705 1056 -212 501 3921 1647 -1686 -189 2843 8 2221 2503 -762 -4472 -1378 909 1929 25 782 701 -414 -983 -4543 -4702 -863 -4641 2640 4515 2831 -1803 -2796 -1635 -547 -1181 2025 5104
-1335 6032 230 1443 3434 1273 364 -4324 479 332 2265 -2539 -973 -2923 2521 -1678 -310 1310 2189 4089 1474 940 155 -252 -1472 -1752 1848 -2867 -235 1272 136 1756 476 1477 6815 -2737 -5390 -1852 1724 2390 1831 1952 115 -1254 331 687 166 1367 -4872 209 -515 284 -1030 -1240 -1171 -3598 -516 920 -1378 -2175 2355 -3149 1395 521 -697 1017 -276 416 3780 1606 -1739 -235 2869 -26 2195 2443 -902 -4463 -1293 1036 2060 122 898 703 -520 -1132 -4543 -4610 -820 -4642 2657 4542 2897 -1760 -2832 -1643 -571 -1367 2014 5040
-1323 6008 292 1429 3380 1242 285 -4256 503 132 2276 -2444 -1171 -3043 2577 -1665 -316 1308 2168 3996 1474 883 78 -323 -1479 -1811 1986 -2843 -223 1188 4 1675 474 1473 6778 -2729 -5450 -1910 1779 2284 1881 1922 139 -1345 402 680 166 1382 -4930 -13 -470 66 -1037 -1230 -1219 -3653 -399 916 -1383 -2090 2455 -3205 1502 492 -748 1006 -251 480 3666 1547 -1728 -207 2713 -103 2215 2440 -1030 -4519 -1238 1040 2036 170 1022 751 -613 -1213 -4464 -4526 -900 -4551 2536 4488 2926 -1670 -2868 -1688 -562 -1480 1889 5079
-1426 5963 351 1495 3417 1358 337 -4211 573 122 2214 -2338 -1358 -3211 2595 -1641 -275 1432 2198 3991 1549 864 178 -460 -1508 -1644 2081 -2638 -110 1135 20 1517 442 1585 6630 -2895 -5503 -1925 1910 2389 1926 1924 215 -1370 464 727 133 1480 -4956 -175 -408 38 -969 -1236 -1309 -3838 -319 923 -1408 -2093 2494 -3273 1497 476 -930 908 -261 540 3681 1534 -1685 -213 2612 -236 2221 2531 -1090 -4564 -1212 1024 2041 97 996 815 -449 -1199 -4464 -4540 -1033 -4563 2446 4518 2967 -1630 -2910 -1721 -508 -1510 1702 5145
-1460 5937 392 1590 3425 1356 488 -4193 640 265 2173 -2358 -1407 -3282 2610 -1652 -189 1559 2213 4063 1580 853 304 -485 -1584 -1464 1960 -2510 -50 1187 173 1403 384 1706 6475 -3043 -5583 -1937 2114 2539 1996 2038 303 -1303 514 814 109 1550 -4900 -156 -502 87 -972 -1217 -1280 -3947 -316 930 -1445 -2131 2491 -3461 1514 338 -1005 904 -249 526 3730 1532 -1680 -212 2649 -308 2244 2633 -1069 -4579 -1241 1006 2059 -2 926 836 -263 -1157 -4546 -4659 -1043 -4626 2474 4613 3017 -1571 -2871 -1687 -459 -1464 1573 5217
-1460 6039 373 1654 3534 1390 536 -4244 621 432 2271 -2508 -1275 -3179 2596 -1625 -112 1501 2267 4116 1495 831 366 -452 -1738 -1417 1751 -2614 -120 1325 282 1388 383 1729 6427 -3142 -5602 -1904 2195 2643 2038 2131 275 -1240 542 894 179 1483 -4892 -25 -679 229 -1008 -1200 -1238 -3899 -328 906 -1502 -2170 2582 -3555 1564 417 -966 921 -237 445 3832 1575 -1744 -306 2820 -313 2199 2740 -1003 -4589 -1321 964 2076 -52 839 775 -106 -1138 -4730 -4851 -987 -4698 2557 4724 3071 -1567 -2907 -1632 -392 -1348 1566 5287
-1416 6177 305 1617 3626 1302 449 -4299 581 508 2397 -2625 -1138 -3011 2565 -1548 -97 1322 2330 4153 1433 780 296 -293 -1777 -1509 1611 -2735 -238 1319 331 1353 338 1652 6447 -3244 -5637 -1871 2167 2668 2022 2133 204 -1199 537 874 233 1351 -4936 31 -739 248 -980 -1138 -1150 -3716 -329 891 -1543 -2184 2737 -3578 1800 458 -881 982 -236 302 3940 1684 -1785 -407 3010 -337 2133 2770 -980 -4655 -1406 937 2090 -32 805 736 -143 -1271 -4871 -4910 -836 -4687 2665 4820 3089 -1700 -2974 -1567 -408 -1290 1689 5223
-1398 6302 252 1528 3729 1284 320 -4347 542 492 2501 -2685 -1177 -2994 2514 -1491 -177 1196 2433 4179 1446 715 190 -218 -1721 -1619 1766 -2727 -261 1183 326 1292 333 1574 6539 -3288 -5638 -1883 2117 2625 2092 2105 194 -1242 582 779 178 1279 -5022 -123 -611 312 -889 -1145 -1145 -3500 -256 899 -1547 -2202 2766 -3559 2034 496 -867 1033 -213 248 3972 1774 -1741 -450 2983 -282 2089 2822 -1098 -4768 -1433 933 1905 8 874 765 -361 -1346 -4857 -4855 -723 -4626 2676 4802 3035 -1812 -3056 -1560 -473 -1208 1873 5230
-1427 6258 247 1450 3738 1290 132 -4340 652 464 2518 -2521 -1300 -3081 2473 -1525 -278 1236 2375 4252 1548 708 91 -174 -1539 -1620 2039 -2632 -163 1031 357 1279 359 1550 6682 -3279 -5701 -1926 2110 2595 2180 2117 214 -1311 610 681 80 1289 -5023 -279 -441 382 -820 -1217 -1204 -3413 -196 957 -1553 -2284 2679 -3563 2109 481 -825 1061 -174 299 3964 1837 -1614 -295 2938 -303 2177 2713 -1211 -4822 -1415 889 1651 29 972 888 -489 -1376 -4732 -4763 -771 -4574 2619 4732 3001 -1817 -2971 -1531 -536 -1252 2048 5294
-1466 6229 216 1502 3769 1369 142 -4361 707 546 2416 -2459 -1401 -3212 2523 -1659 -285 1361 2349 4403 1694 733 95 -182 -1465 -1557 2207 -2625 -15 1036 434 1383 477 1580 6836 -3241 -5729 -1958 2216 2651 2231 2157 306 -1395 632 670 39 1361 -4959 -256 -387 434 -923 -1357 -1295 -3427 -188 1004 -1540 -2288 2737 -3585 2001 517 -813 1061 -95 479 3899 1736 -1574 -138 2868 -189 2272 2647 -1253 -4873 -1418 894 1490 -12 981 940 -510 -1340 -4672 -4751 -802 -4585 2562 4643 3001 -1670 -2836 -1525 -564 -1261 2131 5318
-1461 6204 205 1643 3811 1437 304 -4420 762 722 2236 -2464 -1358 -3217 2703 -1731 -228 1404 2297 4488 1766 821 234 -221 -1546 -1533 2146 -2773 62 1235 541 1548 642 1580 6966 -3129 -5751 -1972 2321 2732 2201 2276 389 -1416 665 704 35 1449 -4892 -138 -502 464 -1127 -1447 -1314 -3487 -204 937 -1593 -2215 2880 -3597 1858 399 -950 1017 -103 602 3914 1653 -1630 -130 2757 -84 2246 2658 -1202 -4879 -1481 832 1464 -110 873 922 -424 -1263 -4741 -4860 -816 -4711 2495 4623 3011 -1587 -2742 -1493 -529 -1281 2156 5253
-1354 6321 269 1696 3876 1520 367 -4467 786 738 2201 -2617 -1177 -3129 2887 -1664 -115 1320 2334 4496 1772 922 425 -218 -1654 -1537 1944 -2856 27 1344 642 1630 702 1611 7043 -3084 -5721 -1928 2358 2812 2168 2370 459 -1487 660 765 56 1548 -4900 -92 -535 503 -1204 -1441 -1357 -3738 -257 896 -1578 -2081 3098 -3624 1721 462 -1095 906 -190 642 3929 1607 -1735 -178 2820 -91 2286 2671 -1031 -4821 -1531 796 1562 -184 776 814 -431 -1272 -4811 -4939 -834 -4806 2515 4640 3008 -1592 -2754 -1451 -440 -1288 2087 5168
-1337 6422 256 1701 3907 1499 400 -4502 718 637 2179 -2762 -1094 -3030 2923 -1581 -102 1228 2320 4455 1759 1021 552 -207 -1639 -1565 1878 -2767 -60 1239 662 1530 672 1612 6997 -3077 -5749 -1928 2334 2721 2237 2477 415 -1462 610 788 137 1619 -4980 -160 -495 426 -1070 -1279 -1350 -3909 -205 915 -1556 -2012 3215 -3633 1668 596 -1162 820 -292 626 3983 1661 -1822 -381 2782 -197 2168 2801 -965 -4815 -1520 819 1659 -218 742 811 -467 -1252 -4780 -4935 -956 -4848 2575 4672 2982 -1768 -2900 -1459 -431 -1342 1980 5106
-1344 6404 249 1628 3863 1498 308 -4499 695 466 2189 -2750 -1156 -2992 2758 -1532 -177 1251 2314 4408 1779 1046 485 -187 -1574 -1554 1964 -2609 -96 1053 604 1342 589 1622 6873 -3103 -5726 -1873 2269 2584 2370 2490 382 -1462 488 732 126 1695 -5050 -229 -386 431 -859 -1188 -1369 -4041 -188 983 -1551 -2074 3157 -3708 1637 722 -1184 773 -349 626 4015 1750 -1813 -485 2708 -361 2111 2831 -1029 -4820 -1454 865 1699 -225 755 875 -534 -1290 -4676 -4839 -1093 -4803 2593 4696 2923 -1950 -3098 -1475 -434 -1397 1946 5165
-1387 6443 179 1612 3800 1488 320 -4502 678 381 2195 -2702 -1222 -3002 2533 -1602 -200 1351 2268 4359 1784 1112 345 -288 -1536 -1506 2043 -2539 -117 989 605 1251 524 1641 6757 -3130 -5737 -1892 2220 2445 2536 2480 345 -1333 311 742 136 1776 -5055 -183 -443 351 -895 -1239 -1386 -3992 -148 1086 -1557 -2200 2968 -3694 1694 805 -1095 775 -356 619 4016 1791 -1741 -421 2685 -320 2159 2881 -1186 -4866 -1340 996 1752 -177 797 951 -528 -1288 -4609 -4789 -1170 -4762 2634 4773 2943 -1995 -3208 -1524 -469 -1480 1925 5258
-1395 6415 214 1654 3753 1540 324 -4498 717 353 2186 -2700 -1145 -2919 2418 -1730 -138 1404 2223 4385 1726 1074 221 -355 -1540 -1449 2036 -2637 -97 1091 609 1291 511 1676 6735 -3149 -5763 -1923 2279 2479 2521 2475 358 -1314 216 715 127 1832 -5062 -33 -594 367 -1081 -1416 -1401 -3821 -219 1127 -1515 -2232 2843 -3685 1743 783 -943 876 -304 566 3969 1753 -1696 -237 2768 -228 2271 2813 -1234 -4795 -1238 1091 1846 -132 799 986 -497 -1287 -4656 -4848 -1136 -4775 2620 4798 2962 -1933 -3221 -1570 -493 -1418 2047 5326
-1350 6445 288 1687 3759 1548 343 -4492 778 447 2174 -2718 -989 -2813 2477 -1757 -26 1441 2218 4421 1654 988 252 -383 -1641 -1470 1971 -2813 -102 1265 600 1402 577 1669 6836 -3182 -5825 -1960 2342 2623 2424 2468 384 -1355 202 706 126 1803 -4974 51 -635 351 -1160 -1467 -1352 -3726 -277 1100 -1465 -2193 2767 -3620 1816 666 -824 994 -222 537 3946 1664 -1750 -182 2884 53 2346 2788 -1202 -4788 -1268 1132 2030 -91 746 855 -520 -1246 -4814 -5029 -1108 -4770 2592 4833 3038 -1793 -3221 -1652 -475 -1273 2052 5328
-1297 6493 387 1648 3742 1443 327 -4478 844 395 2187 -2704 -950 -2793 2656 -1647 1 1411 2295 4430 1559 824 410 -421 -1712 -1534 1966 -2842 -90 1312 563 1450 622 1684 6979 -3247 -5898 -1980 2391 2807 2292 2449 464 -1484 231 669 137 1772 -4923 15 -464 386 -910 -1308 -1296 -3846 -371 1067 -1428 -2108 2833 -3498 1747 587 -874 1029 -174 549 4032 1681 -1808 -246 2961 164 2383 2738 -1013 -4715 -1325 1034 2106 -84 705 729 -550 -1198 -4859 -5106 -1099 -4792 2575 4825 3003 -1872 -3217 -1652 -416 -1182 2008 5295
-1276 6610 371 1581 3765 1347 318 -4468 867 328 2234 -2731 -1066 -2894 2755 -1551 -9 1439 2351 4432 1558 682 550 -320 -1695 -1722 2083 -2696 -117 1216 511 1450 706 1663 7147 -3267 -5923 -1986 2345 2838 2220 2458 507 -1580 284 622 168 1703 -4989 -111 -397 492 -762 -1202 -1280 -4128 -468 1045 -1347 -2011 2760 -3539 1655 495 -892 1058 -164 577 4152 1796 -1851 -474 2947 160 2360 2742 -924 -4728 -1405 919 2153 -27 745 764 -577 -1165 -4803 -5090 -1097 -4714 2609 4760 2916 -1970 -3246 -1662 -398 -1195 1910 5313
-1298 6589 321 1589 3740 1259 333 -4480 854 348 2399 -2771 -1289 -3035 2669 -1565 -57 1468 2492 4435 1513 630 608 -347 -1715 -1908 2200 -2589 -122 1164 497 1484 741 1620 7292 -3243 -5949 -2038 2226 2777 2279 2493 509 -1601 342 603 209 1710 -5044 -136 -428 543 -696 -1176 -1332 -4440 -471 1088 -1362 -2050 2663 -3584 1466 537 -877 1052 -201 598 4223 1895 -1818 -609 2979 42 2368 2728 -977 -4789 -1444 824 1998 -32 824 821 -590 -1179 -4754 -5031 -1094 -4711 2720 4736 2807 -2125 -3256 -1608 -405 -1322 1880 5452
-1295 6546 271 1690 3812 1296 365 -4538 770 467 2400 -2813 -1452 -3006 2550 -1657 -93 1523 2536 4449 1539 755 377 -372 -1743 -1943 2205 -2675 -146 1238 510 1615 778 1527 7292 -3141 -5931 -2031 2177 2698 2304 2540 477 -1546 358 708 196 1692 -5079 75 -622 560 -822 -1293 -1418 -4550 -449 1123 -1399 -2102 2595 -3671 1373 572 -784 1082 -254 592 4253 1908 -1843 -657 3039 -152 2386 2741 -1117 -4898 -1444 888 1896 12 947 914 -617 -1260 -4813 -5041 -1009 -4751 2847 4751 2782 -2177 -3299 -1617 -486 -1544 1843 5624
-1322 6609 266 1781 3931 1370 328 -4593 731 581 2340 -2845 -1446 -2877 2506 -1678 -89 1522 2520 4464 1564 961 189 -404 -1729 -1854 2079 -2835 -150 1370 555 1694 734 1456 7231 -3003 -5905 -2045 2150 2614 2321 2577 377 -1515 413 790 181 1746 -5157 54 -691 486 -978 -1432 -1508 -4489 -351 1178 -1415 -2153 2611 -3675 1360 629 -757 1029 -350 559 4233 1865 -1815 -509 3163 -192 2307 2714 -1156 -4917 -1469 912 1876 32 968 910 -551 -1341 -4969 -5143 -930 -4910 2881 4766 2752 -2244 -3294 -1604 -520 -1685 1943 5672
-1257 6710 280 1856 4066 1534 305 -4633 732 683 2199 -2894 -1427 -2745 2641 -1561 -46 1492 2523 4426 1574 1086 51 -469 -1702 -1623 2050 -2829 -98 1439 584 1639 704 1487 7098 -2961 -5855 -2001 2198 2586 2290 2553 364 -1511 514 883 177 1779 -5167 -44 -525 350 -1024 -1463 -1527 -4389 -320 1217 -1348 -2104 2622 -3623 1412 638 -729 1017 -386 610 4146 1764 -1840 -388 3135 -162 2224 2781 -1137 -4935 -1482 1040 1934 18 966 889 -519 -1382 -5057 -5210 -948 -4952 2870 4744 2738 -2222 -3374 -1694 -530 -1571 2104 5592
-1285 6744 252 1828 4086 1510 218 -4624 744 584 2107 -2895 -1538 -2775 2757 -1486 -47 1500 2471 4377 1684 1103 57 -435 -1621 -1439 2009 -2737 -59 1366 514 1435 636 1549 6948 -2979 -5839 -1967 2323 2623 2207 2513 409 -1611 638 868 199 1848 -5275 -277 -282 345 -951 -1378 -1499 -4311 -389 1207 -1314 -2099 2586 -3551 1414 532 -819 1044 -344 695 4109 1756 -1836 -353 2966 -50 2170 2858 -1037 -4908 -1507 1020 1959 -55 945 958 -390 -1301 -5042 -5252 -1106 -4900 2772 4720 2733 -2206 -3371 -1747 -504 -1429 2241 5455
-1204 6763 77 1804 4084 1520 135 -4688 686 533 2079 -2944 -1676 -2825 2768 -1526 -84 1475 2446 4349 1805 1053 236 -288 -1673 -1533 2052 -2674 -105 1248 376 1274 622 1586 6885 -3088 -5855 -1925 2348 2675 2177 2412 521 -1720 676 881 202 1799 -5288 -351 -290 460 -1028 -1370 -1422 -4182 -473 1187 -1307 -2117 2494 -3518 1351 503 -937 1025 -317 730 4024 1766 -1818 -357 2785 13 2164 2879 -1018 -4916 -1551 900 1906 -150 938 1064 -220 -1231 -4930 -5201 -1275 -4825 2679 4697 2725 -2200 -3371 -1761 -528 -1336 2399 5350
+239 120 -129 -18 -88 -27 16 -107 29 238 28 -188 132 -132 85 -67 124 107 2 -61 -111 63 92 -396 304 -68 -122 4 118 -221 41 -61 -177 -4 318 -67 -217 -158 60 -300 -24 -22 -194 195 -147 72 101 10 -181 15 73 220 -59 -25 21 259 -140 -86 -2 48 69 -82 56 -125 -33 152 -40 -85 318 -19 -348 -328 22 87 -118 69 45 -4 -35 1 393 47 -162 -221 408 13 121 78 120 -399 351 172 90 27 82 -89 -88 42 296 -36
+343 122 -74 27 11 100 38 -60 113 344 -124 -179 223 -2 71 -51 126 0 50 -47 -148 143 37 -602 331 -201 -64 -92 -60 -258 73 -15 -149 -75 451 -192 -297 -188 3 -298 26 -67 -180 332 -133 81 98 -73 -196 -35 239 215 -73 0 58 289 -31 -8 61 14 -97 -67 185 -86 26 170 -43 -212 209 -43 -401 -246 110 90 22 23 -137 -56 20 43 265 2 -161 -151 526 -49 120 117 3 -417 376 215 202 -29 -72 -152 -26 158 139 -44
+265 75 -127 39 61 128 149 -3 64 338 -182 -178 125 48 17 -120 134 -75 73 25 -93 88 -12 -516 133 -150 -190 -228 -31 -150 91 21 -168 -110 584 -278 -348 -192 -91 -269 -100 -58 -126 418 -90 3 124 -39 -197 55 375 292 -55 5 57 321 86 41 39 -50 -204 -154 141 -235 29 107 -85 -393 20 -43 -360 -57 124 108 62 -24 -284 -76 114 93 66 -47 -34 -55 574 -83 74 92 -66 -540 411 282 291 12 -226 -251 -25 115 -70 98
+200 -36 -108 8 68 59 163 50 41 132 -130 -58 27 -21 -90 -134 182 -153 70 101 -66 23 -35 -219 -121 -72 -328 -266 72 15 79 0 -177 -130 707 -327 -362 -168 -151 -177 -332 -113 -108 448 -51 -91 189 -63 -73 114 480 332 2 40 63 256 82 3 -38 -65 -103 -115 19 -343 95 122 -27 -359 -9 -66 -395 -62 119 180 91 -61 -348 -54 135 35 -25 -33 58 -65 495 -57 21 12 -19 -581 429 354 371 109 -370 -341 -8 5 -257 274
+228 -25 -122 -60 31 -37 137 146 25 -138 -14 54 -21 -137 -165 -117 218 -186 144 63 -40 -45 -56 -151 -278 79 -378 -321 118 155 46 30 -179 -162 784 -329 -360 -133 -192 -87 -473 -148 -112 467 -119 -141 269 -51 -118 160 592 305 31 51 78 140 -40 -51 -124 -17 130 -114 -93 -360 253 212 35 -211 25 -143 -456 -98 91 140 94 -163 -210 97 236 48 -15 -36 90 -153 341 48 31 -73 68 -552 462 366 408 244 -409 -401 -6 -52 -256 332
+303 34 -131 -127 0 -60 219 251 29 -330 177 124 -84 -323 -182 -110 244 -108 201 -48 -58 9 -106 -360 -203 175 -258 -293 43 100 37 85 -194 -251 798 -238 -370 -147 -195 -140 -498 -253 -124 461 -155 -132 216 -71 -157 64 541 214 35 65 54 90 -136 -116 -129 80 290 -93 -149 -174 389 353 156 -25 81 -251 -569 -290 18 -9 216 -237 -82 236 281 37 96 -15 28 -243 247 94 59 -85 122 -516 446 347 347 222 -418 -375 52 36 -175 273
+424 58 -164 -138 82 -38 239 249 -3 -239 183 80 -75 -389 -167 -88 279 10 230 -124 -112 130 -69 -718 -68 192 -152 -239 -79 -21 8 126 -229 -312 731 -142 -393 -189 -196 -343 -362 -376 -227 472 -220 -52 208 -151 -178 9 496 157 -28 -11 -34 61 -159 -74 -59 124 289 -163 -184 35 371 362 230 66 68 -361 -685 -427 73 -137 358 -244 -110 225 279 38 138 -11 29 -188 227 22 -29 -112 99 -418 443 325 297 86 -376 -297 107 156 -90 158
+455 27 -190 -119 168 14 318 276 -23 -58 55 79 -157 -350 -184 -133 262 113 155 -122 -117 126 13 -880 -29 236 -127 -177 -85 -29 -63 147 -232 -373 630 -30 -400 -221 -190 -419 -268 -440 -197 444 -231 -69 168 -181 -114 -65 385 190 -118 -131 -166 32 -79 10 17 96 145 -306 -223 5 298 295 269 33 69 -354 -700 -450 113 -269 532 -277 -181 146 232 25 158 43 93 -171 268 -153 -68 -7 108 -500 459 382 331 55 -286 -227 114 192 -35 209
+420 -59 -189 -47 216 33 328 246 -57 138 -82 5 -95 -242 -120 -176 237 54 73 16 -84 -11 114 -669 -85 297 -239 -140 62 44 -101 103 -236 -316 536 43 -380 -229 -169 -453 -268 -431 -178 280 -211 -105 192 -141 -128 -8 369 302 -248 -264 -270 66 -15 65 76 36 -55 -434 -226 -144 242 224 219 -126 -10 -279 -636 -310 141 -304 575 -314 -239 78 197 7 103 140 234 -69 319 -297 -95 35 144 -608 469 421 349 96 -248 -231 51 110 -58 345
+350 -89 -123 -27 170 31 299 246 0 163 -182 -84 -25 -146 -186 -231 218 -4 3 140 2 -65 28 -440 -122 273 -392 -183 163 172 -207 107 -170 -233 515 167 -373 -261 -216 -338 -381 -426 -118 232 -113 -151 297 -3 -94 4 388 316 -312 -317 -308 26 -68 -6 20 48 24 -380 -225 -281 305 271 148 -247 68 -124 -545 -155 107 -216 362 -536 -209 162 284 86 217 223 298 -205 260 -336 9 64 189 -796 460 494 434 211 -256 -284 -29 -57 -145 481
+339 -94 25 39 120 -12 248 192 60 256 -109 -255 217 -84 -148 -207 252 -144 125 159 17 -37 -120 -481 -45 322 -463 -286 192 187 -230 134 -73 -179 538 300 -388 -268 -240 -235 -432 -394 -61 232 -48 -162 287 88 -66 25 465 257 -224 -248 -276 -7 -166 -120 -92 7 196 -291 -238 -319 384 392 140 -231 202 -39 -516 -73 55 -186 189 -657 -85 332 417 163 220 162 212 -428 203 -115 113 74 230 -998 449 563 494 245 -319 -339 -71 -234 -297 505
+432 -97 177 84 52 -75 272 160 78 331 92 -422 380 -193 -174 -148 309 -112 267 77 -28 118 -205 -749 30 304 -463 -358 101 115 -198 179 -56 -192 603 280 -416 -270 -274 -105 -436 -386 54 286 -55 -190 181 231 -144 -10 524 130 -150 -121 -206 -80 -221 -189 -215 -52 311 -248 -218 -321 340 446 139 -188 335 -18 -544 -111 51 -210 120 -706 15 430 463 177 191 64 22 -631 265 -36 180 84 159 -1095 544 619 552 198 -407 -362 -14 -306 -451 510
+534 -112 194 95 52 -125 280 68 -21 407 247 -667 455 -289 -207 -117 338 -130 347 -11 -138 139 -81 -909 -22 242 -491 -382 46 13 -178 160 -133 -336 689 135 -458 -238 -312 -49 -447 -429 79 262 -97 -192 76 191 -120 -148 514 81 -37 -24 -173 -101 -112 -126 -276 -136 272 -349 -186 -365 229 360 109 -182 423 -36 -573 -222 48 -326 234 -608 -62 395 428 233 146 -5 -26 -614 405 -119 230 164 7 -1133 608 617 511 31 -434 -329 64 -238 -493 504
+629 -82 66 104 152 -141 354 -10 -180 403 272 -714 344 -368 -283 -140 324 -50 358 -44 -182 121 33 -874 -166 288 -530 -403 125 7 -109 70 -247 -418 800 -77 -518 -223 -257 -17 -434 -436 150 149 -114 -205 -10 178 -136 -286 497 113 -25 -10 -166 -61 53 -14 -260 -221 143 -445 -81 -364 150 205 2 -296 422 -25 -576 -362 82 -392 435 -445 -138 330 376 310 224 82 117 -473 471 -183 260 235 -104 -1113 636 558 437 -28 -410 -317 98 -150 -426 480
+679 -106 5 56 189 -160 379 7 -200 268 139 -595 111 -295 -332 -205 272 40 262 -24 -185 9 -3 -678 -200 360 -551 -377 198 9 -71 -36 -351 -414 924 -308 -579 -183 -54 -73 -419 -416 145 -28 -95 -164 30 177 -147 -341 568 214 -11 -6 -213 -92 145 49 -215 -232 26 -548 -88 -414 204 239 10 -366 420 11 -552 -501 81 -276 511 -381 -89 340 343 360 444 217 204 -527 481 -197 298 263 -54 -1130 688 538 418 59 -362 -359 63 -79 -299 481
+618 -159 -16 20 178 -140 433 18 -190 131 -32 -520 58 -114 -359 -234 258 100 243 20 -141 26 -214 -642 -8 442 -441 -350 204 40 -60 -26 -309 -316 1078 -347 -615 -194 30 -57 -392 -385 94 -83 21 -155 157 282 -95 -269 601 289 -2 12 -255 -113 105 49 -187 -188 87 -651 -219 -337 379 433 70 -379 355 26 -555 -530 117 -32 402 -464 1 411 400 467 726 367 231 -614 410 -115 369 246 13 -1204 647 512 390 172 -385 -450 3 -12 -268 478
+442 -223 72 -61 69 -111 432 135 -51 47 -132 -418 50 3 -371 -256 263 36 294 54 -69 101 -354 -660 181 351 -381 -338 139 -11 -69 42 -239 -269 1241 -226 -626 -234 17 -33 -344 -304 8 -111 77 -175 173 387 -10 -222 550 367 -9 -4 -316 -139 -16 -93 -234 -95 319 -621 -402 -274 360 515 111 -310 311 2 -606 -544 154 185 261 -451 56 430 434 430 773 384 210 -751 309 -42 361 201 63 -1242 606 486 450 281 -408 -537 -42 -65 -332 490
+470 -271 73 -103 -8 -111 403 267 11 92 -106 -316 56 -69 -391 -257 263 -62 329 60 -72 81 -252 -835 297 317 -472 -360 162 -107 -106 65 -249 -332 1337 -96 -651 -316 -111 4 -299 -339 -109 -22 73 -187 101 348 37 -263 430 417 -48 -8 -353 -166 -182 -223 -310 -79 473 -661 -479 -406 242 488 124 -315 372 -27 -716 -551 249 252 291 -324 15 350 426 301 585 269 149 -842 285 -41 276 126 3 -1277 680 550 567 298 -513 -619 -46 -163 -526 517
+625 -224 -33 -104 2 -128 404 358 12 121 4 -204 119 -291 -467 -267 249 -225 373 31 -97 23 -60 -1028 268 323 -754 -419 255 -99 -199 104 -322 -442 1317 1 -678 -383 -234 19 -389 -384 -96 85 -35 -206 -17 269 -58 -326 432 475 -104 -25 -402 -186 -244 -252 -358 -71 545 -730 -410 -422 116 307 45 -378 345 -114 -836 -495 384 146 392 -163 -174 215 419 225 308 217 205 -842 246 -113 198 114 -97 -1272 674 580 645 267 -580 -649 -30 -238 -530 568
+814 -69 -225 -109 86 -113 317 394 -15 121 204 -128 179 -512 -551 -269 302 -289 363 -13 -142 -16 -43 -1083 333 340 -1014 -447 378 -49 -284 69 -404 -602 1312 115 -713 -443 -286 2 -478 -440 -31 130 -116 -252 -50 142 -154 -408 495 471 -219 -45 -409 -113 -138 -223 -351 -173 370 -834 -284 -402 97 209 -37 -497 445 -145 -954 -505 574 114 518 36 -342 63 381 276 326 261 340 -787 151 -200 222 181 -87 -1264 671 623 694 294 -580 -643 -39 -327 -389 573
+854 31 -327 -72 145 -127 337 416 -32 42 288 -115 166 -556 -645 -291 386 -229 299 -14 -141 -13 -243 -1081 422 376 -1120 -503 396 -7 -374 145 -356 -657 1265 139 -728 -430 -342 -6 -557 -409 11 174 -186 -267 50 126 -140 -382 624 455 -257 -21 -356 -51 -35 -155 -257 -171 171 -854 -199 -319 245 332 10 -513 457 -189 -1036 -540 643 184 588 94 -389 77 386 339 480 364 383 -834 -6 -143 345 238 -47 -1237 654 624 732 386 -561 -623 -48 -313 -250 570
+687 -96 -211 -38 89 -127 401 388 -18 57 232 -238 180 -493 -553 -270 477 -72 250 82 -69 5 -487 -1035 468 453 -987 -517 284 -8 -419 315 -200 -661 1345 212 -706 -365 -318 -78 -641 -304 36 226 -125 -319 200 247 -119 -169 752 486 -224 31 -289 2 -30 -173 -238 -146 126 -759 -243 -266 468 526 82 -466 430 -207 -1075 -587 582 330 562 5 -342 165 397 365 735 475 363 -865 -13 -149 434 280 30 -1306 695 668 732 417 -546 -586 -79 -311 -158 592
+604 -152 -149 -34 48 -164 471 320 -61 167 113 -417 124 -332 -472 -328 522 89 177 161 18 -30 -452 -979 442 555 -796 -435 201 -110 -413 406 -99 -617 1435 274 -678 -331 -388 -141 -607 -264 -69 286 -29 -265 258 281 -53 -65 763 499 -63 108 -254 -98 -73 -234 -351 -123 319 -669 -412 -341 543 552 90 -421 340 -233 -1097 -672 401 588 512 -14 -296 211 344 259 815 473 284 -960 126 -191 479 328 7 -1539 671 738 763 382 -548 -547 -64 -257 -269 685
+576 -232 -136 -47 30 -176 562 227 -142 307 -46 -564 99 -218 -333 -392 502 96 157 221 18 -118 -218 -993 307 607 -749 -357 222 -82 -351 420 -101 -631 1521 241 -680 -310 -438 -251 -637 -254 -169 280 -82 -249 186 326 -63 -73 869 474 -42 136 -271 -193 -99 -264 -404 -118 394 -676 -520 -463 537 488 107 -405 221 -279 -1119 -744 243 659 531 37 -314 175 288 60 651 383 240 -975 396 -202 452 310 -143 -1701 683 801 835 380 -624 -594 -81 -233 -364 756
+832 -95 -247 -47 116 -207 565 143 -221 398 -124 -618 94 -251 -224 -478 426 -23 195 210 -57 -130 -92 -1151 286 725 -854 -338 362 24 -253 304 -231 -738 1594 140 -751 -354 -554 -207 -653 -336 -253 325 -186 -169 177 247 -153 -234 987 487 -85 69 -323 -225 -167 -296 -421 -125 449 -737 -459 -448 507 408 127 -425 220 -303 -1174 -818 176 515 538 -3 -382 161 306 37 586 337 231 -1053 531 -206 423 299 -210 -1742 671 834 862 417 -735 -697 -118 -257 -443 673
+930 62 -383 -18 160 -239 647 65 -327 346 -76 -690 183 -394 -192 -513 384 -172 242 146 -116 -131 -154 -1217 338 729 -978 -368 455 121 -243 185 -366 -826 1654 50 -859 -468 -584 -236 -694 -394 -233 333 -334 -168 174 245 -335 -259 1156 488 -124 -11 -404 -240 -153 -232 -355 -45 485 -865 -357 -292 510 419 172 -395 212 -382 -1243 -828 239 318 587 -65 -419 182 428 186 663 343 250 -1115 533 -161 513 342 -245 -1800 617 836 887 525 -760 -777 -103 -321 -465 633
+926 29 -378 36 230 -175 675 -25 -355 281 39 -776 307 -589 -246 -499 460 -186 341 161 -70 -80 -385 -1132 345 619 -1039 -426 440 138 -274 156 -365 -805 1704 -9 -957 -558 -562 -278 -700 -443 -123 380 -351 -154 315 401 -477 -100 1151 518 -237 -153 -508 -232 -138 -187 -232 22 406 -970 -331 -100 477 477 190 -307 375 -383 -1289 -815 290 89 481 -102 -430 251 613 452 724 325 237 -1106 364 -35 633 365 -177 -1795 535 767 817 523 -751 -777 -19 -262 -473 580
+788 -105 -239 126 177 -186 721 0 -332 267 185 -928 405 -674 -309 -426 588 -116 386 229 34 -127 -486 -935 254 460 -1000 -492 331 43 -361 223 -267 -726 1773 32 -1018 -632 -598 -302 -747 -431 -34 531 -231 -195 400 557 -449 10 1090 664 -310 -300 -570 -115 -134 -200 -144 126 375 -937 -404 -227 463 556 196 -248 499 -333 -1273 -751 275 85 347 -129 -299 318 659 520 786 308 181 -1128 330 45 647 331 -148 -1856 472 724 834 556 -723 -798 2 -267 -408 688
+706 -214 -62 183 69 -267 679 72 -233 427 246 -1000 411 -624 -399 -326 704 9 390 310 69 -129 -425 -749 151 267 -991 -505 268 -75 -420 256 -236 -617 1834 9 -1018 -638 -491 -356 -838 -400 29 599 -102 -212 345 636 -495 52 951 793 -381 -425 -615 -53 -117 -245 -165 168 408 -832 -483 -460 342 484 98 -313 585 -221 -1201 -612 154 88 238 -243 -238 342 583 397 684 278 193 -1004 472 -30 546 296 -157 -1889 457 701 836 474 -620 -765 -15 -279 -264 714
+839 -165 -10 202 0 -335 648 244 -109 459 164 -864 326 -507 -499 -324 720 29 311 272 11 -102 -299 -803 132 297 -1073 -518 356 -110 -446 171 -350 -558 1826 -16 -1020 -588 -361 -381 -945 -409 -1 546 -148 -223 239 568 -486 -69 960 789 -396 -437 -595 -78 -192 -328 -273 38 409 -812 -510 -668 198 421 53 -464 539 -149 -1156 -557 58 96 216 -324 -121 385 442 201 644 293 255 -876 663 -98 305 139 -163 -1905 560 724 912 478 -588 -807 -97 -296 -208 761
+1047 -31 -97 223 93 -348 603 362 -30 477 6 -589 204 -400 -628 -388 694 26 296 163 -107 -49 -299 -988 200 439 -1164 -547 493 -43 -394 74 -556 -566 1755 -102 -1050 -558 -348 -335 -1030 -484 -2 519 -260 -180 174 476 -548 -161 1001 650 -378 -388 -541 -130 -209 -330 -350 -55 412 -898 -420 -686 162 406 16 -606 494 -114 -1154 -566 80 39 364 -471 -101 445 372 128 826 400 293 -1007 618 -187 251 135 -137 -2006 621 771 942 552 -575 -870 -201 -362 -177 662
+1118 -4 -150 202 142 -302 584 420 -15 401 -114 -349 185 -393 -659 -473 578 -88 287 128 -132 -11 -347 -1052 238 656 -1137 -527 563 -43 -310 13 -680 -579 1710 -159 -1064 -531 -421 -376 -911 -630 -72 430 -349 -91 277 412 -521 -100 1086 563 -288 -300 -435 4 -117 -308 -384 -184 335 -960 -259 -609 190 512 59 -595 586 -113 -1216 -747 195 -115 513 -582 -130 509 391 172 1028 485 318 -1102 423 -125 351 202 -44 -1939 721 776 951 632 -612 -935 -288 -511 -289 635
+1001 -73 -182 124 173 -233 622 372 -88 288 -146 -275 187 -447 -632 -526 541 -165 313 188 -74 -64 -397 -852 146 704 -1006 -541 462 -146 -326 108 -672 -666 1756 -69 -1045 -496 -499 -457 -786 -714 -117 367 -335 -80 407 454 -551 61 1118 599 -224 -268 -400 62 16 -198 -316 -101 305 -962 -183 -491 309 556 34 -485 730 -121 -1235 -875 302 -269 645 -668 -202 545 437 253 1202 537 347 -1144 266 -118 510 287 -21 -2022 762 798 955 666 -680 -945 -297 -633 -385 706
+882 -288 -61 36 109 -225 717 404 -149 218 -60 -352 229 -544 -558 -496 538 -203 353 265 87 -108 -450 -642 -38 819 -937 -601 389 -217 -346 252 -500 -702 1851 60 -1007 -477 -599 -427 -673 -743 -125 274 -183 -116 464 509 -519 106 1160 772 -176 -291 -423 163 150 -150 -264 -49 296 -948 -208 -601 415 565 25 -376 901 -106 -1212 -950 307 -320 636 -677 -335 517 504 244 1060 481 354 -1159 213 -117 583 363 -40 -1988 927 866 1027 663 -797 -958 -269 -711 -438 859
+887 -343 10 -20 92 -235 751 456 -151 205 15 -464 254 -592 -517 -450 555 -143 397 276 173 -123 -490 -632 -135 868 -956 -644 344 -60 -356 360 -343 -717 1932 117 -1003 -476 -582 -321 -710 -706 -84 259 -96 -156 429 522 -514 -11 1181 964 -168 -326 -484 141 148 -146 -255 9 361 -938 -324 -634 458 513 29 -413 818 -128 -1166 -879 269 -280 503 -615 -349 470 527 149 887 358 294 -1052 570 -221 500 357 -114 -2083 983 901 1026 530 -932 -949 -231 -741 -407 912
+996 -339 -23 -18 153 -252 770 512 -126 287 44 -583 204 -501 -548 -413 644 -53 404 204 104 19 -543 -862 -86 876 -1083 -719 412 120 -344 333 -319 -762 1973 82 -1032 -478 -404 -202 -849 -620 4 241 -14 -166 363 454 -436 -104 1206 983 -193 -333 -556 77 72 -169 -204 71 345 -1122 -532 -603 410 510 116 -463 654 -158 -1121 -723 230 -203 321 -707 -248 527 538 33 873 314 149 -1078 975 -228 427 318 -149 -2193 982 948 1028 419 -961 -916 -190 -611 -295 735
+1109 -290 -66 -13 243 -208 738 479 -117 300 6 -715 301 -384 -632 -366 729 26 369 115 -24 106 -575 -1190 67 839 -1197 -716 446 285 -307 271 -395 -800 1955 -51 -1110 -487 -155 -100 -950 -587 126 284 -63 -158 259 394 -316 -138 1195 945 -175 -306 -619 -44 -82 -261 -277 16 420 -1319 -694 -387 462 602 242 -489 440 -254 -1201 -587 381 -79 302 -691 -133 553 568 34 955 320 80 -1119 1215 -185 371 222 -96 -2235 1011 979 1016 473 -982 -964 -199 -516 -299 601
+1102 -217 -141 -49 314 -61 725 345 -87 353 -74 -837 396 -263 -714 -362 787 66 298 134 -52 115 -585 -1263 120 808 -1263 -716 463 228 -294 197 -456 -860 2004 -143 -1175 -527 -43 -77 -954 -616 214 333 -126 -157 284 435 -371 15 1128 945 -263 -310 -641 38 -89 -233 -276 -36 388 -1459 -671 -280 509 664 243 -495 514 -252 -1329 -611 586 -74 359 -638 -111 516 563 148 1148 376 72 -1108 1191 -184 432 249 71 -2267 931 1013 1038 527 -913 -973 -191 -490 -470 482
+1084 -233 -108 -26 298 -44 717 178 -132 457 -99 -917 522 -295 -719 -460 770 -17 239 241 -45 -31 -561 -1066 159 718 -1281 -630 436 101 -320 191 -498 -852 2102 -140 -1169 -524 -89 -175 -864 -655 237 335 -85 -265 314 579 -358 -17 1047 1032 -366 -337 -608 201 -19 -225 -273 -45 389 -1342 -533 -337 627 647 145 -496 774 -190 -1421 -746 692 -103 468 -438 -182 415 511 223 1144 417 195 -967 1103 -192 462 272 163 -2327 905 1027 1080 587 -858 -976 -163 -523 -665 562
+1057 -270 -94 -69 237 -119 688 96 -204 589 -56 -976 605 -438 -677 -495 676 -141 219 298 -14 -94 -665 -879 205 711 -1237 -541 410 -50 -390 210 -511 -797 2204 -82 -1181 -551 -207 -290 -811 -654 119 248 -45 -382 293 652 -435 -184 1098 1136 -513 -389 -598 326 140 -160 -250 -45 363 -1219 -439 -539 604 554 14 -546 1004 -138 -1462 -901 618 -72 554 -266 -265 308 413 98 1088 477 331 -892 1076 -324 411 322 209 -2364 849 1077 1144 638 -776 -971 -147 -606 -739 687
+1125 -305 -92 -6 184 -230 774 175 -215 670 10 -880 569 -597 -653 -561 632 -236 304 206 -27 -59 -797 -985 315 769 -1236 -552 483 38 -465 212 -475 -735 2290 -47 -1188 -548 -325 -209 -813 -634 -10 99 12 -383 263 623 -444 -262 1238 1203 -544 -343 -519 406 182 -165 -243 -60 303 -1179 -470 -590 531 512 20 -565 970 -183 -1439 -977 385 -67 518 -197 -292 304 352 -37 1062 505 383 -873 1091 -462 286 338 235 -2460 891 1158 1172 619 -698 -930 -111 -632 -614 804
+1209 -276 -98 61 208 -317 800 262 -209 682 128 -881 453 -695 -675 -529 614 -263 381 127 -42 74 -825 -1179 395 836 -1240 -556 570 92 -465 245 -520 -711 2322 7 -1184 -523 -329 -211 -847 -546 -86 -22 -37 -390 190 606 -444 -353 1355 1008 -486 -237 -511 265 210 -130 -279 -98 279 -1319 -544 -614 379 565 107 -603 804 -258 -1419 -993 208 66 486 -226 -254 387 368 -142 1160 543 365 -920 1048 -504 260 323 210 -2505 971 1201 1182 663 -613 -907 -81 -681 -553 819
+1156 -265 -221 67 247 -330 834 318 -223 556 139 -904 370 -635 -765 -483 726 -232 395 50 -74 73 -701 -1344 323 821 -1270 -606 554 86 -479 277 -565 -804 2357 -36 -1198 -470 -316 -206 -942 -484 -112 17 -131 -283 173 591 -322 -186 1388 800 -308 -56 -483 65 123 -161 -387 -207 286 -1390 -611 -547 412 662 217 -555 660 -334 -1482 -1009 212 257 532 -279 -266 462 398 -203 1350 631 287 -1024 987 -477 229 262 338 -2547 1095 1242 1146 665 -595 -895 -49 -641 -552 750
+1200 -169 -289 80 317 -261 902 295 -256 446 85 -981 303 -488 -861 -424 833 -113 366 76 -12 59 -596 -1274 171 739 -1312 -690 480 -29 -462 332 -590 -897 2374 -78 -1210 -458 -289 -259 -947 -472 -56 148 -169 -297 217 654 -239 -231 1386 771 -231 -47 -574 -67 179 -86 -394 -263 229 -1434 -536 -501 579 724 183 -520 709 -312 -1543 -1025 337 404 616 -186 -329 401 367 -119 1530 710 283 -1027 954 -464 258 271 490 -2632 1190 1225 1114 650 -614 -916 -106 -660 -581 733
+1283 -133 -288 106 286 -270 962 303 -358 367 -35 -1003 337 -480 -880 -440 886 -23 256 143 32 -27 -610 -1092 131 720 -1397 -782 447 -67 -491 327 -583 -943 2450 -106 -1239 -484 -281 -335 -908 -480 -13 208 -160 -362 271 810 -343 -380 1501 912 -279 -140 -671 -132 161 -27 -341 -165 293 -1333 -456 -627 692 720 129 -476 910 -258 -1641 -1053 490 426 675 -116 -405 294 346 23 1616 783 305 -1047 1104 -575 225 290 548 -2663 1274 1244 1163 575 -800 -1018 -193 -652 -612 864
+1296 -123 -253 107 196 -300 1004 377 -353 391 -147 -842 373 -524 -847 -512 861 12 168 137 52 -37 -767 -1043 298 806 -1543 -866 560 19 -485 239 -581 -904 2517 -133 -1259 -499 -208 -371 -963 -490 128 388 -29 -482 285 822 -381 -509 1625 1071 -435 -294 -758 -63 153 -20 -254 -65 386 -1327 -399 -668 570 623 70 -449 1043 -236 -1688 -1031 508 356 646 -3 -364 227 296 110 1667 843 392 -967 1365 -649 203 342 461 -2607 1312 1169 1167 501 -1087 -1165 -278 -723 -675 966
+1321 -216 -196 126 38 -437 961 460 -326 370 -190 -608 433 -590 -827 -552 853 -103 156 92 -24 26 -885 -1161 491 858 -1684 -871 674 68 -492 90 -635 -814 2575 -195 -1320 -559 -212 -320 -1092 -517 112 491 -40 -575 206 711 -450 -450 1694 1141 -554 -414 -840 -54 119 -26 -146 78 410 -1497 -469 -568 247 554 128 -402 1043 -302 -1701 -978 353 244 594 68 -204 289 289 146 1764 815 290 -1130 1571 -710 221 384 375 -2642 1407 1185 1209 436 -1198 -1216 -320 -735 -639 971
+1340 -263 -146 127 -54 -461 910 541 -224 362 -114 -473 429 -669 -804 -618 828 -266 185 70 -66 33 -754 -1381 626 862 -1686 -755 732 35 -500 -7 -667 -811 2670 -214 -1404 -627 -187 -330 -1124 -558 2 551 -124 -551 208 561 -383 -361 1607 1031 -549 -412 -858 -85 55 -97 -186 34 375 -1619 -553 -523 33 517 165 -395 1061 -337 -1725 -1012 151 141 532 58 -37 390 364 259 1893 794 149 -1310 1549 -617 377 469 326 -2683 1447 1183 1175 424 -1282 -1246 -275 -729 -649 988
+1365 -259 -158 188 -15 -370 892 508 -148 351 47 -465 351 -613 -719 -577 877 -325 217 58 -63 18 -574 -1582 602 855 -1602 -644 690 -171 -438 39 -694 -839 2695 -256 -1463 -691 -251 -412 -1147 -638 -160 596 -259 -462 265 499 -207 -215 1478 890 -526 -375 -861 -215 -12 -179 -300 -64 324 -1622 -624 -563 87 554 146 -427 1109 -302 -1736 -1083 46 95 546 58 -30 444 402 276 1894 766 84 -1400 1481 -519 446 461 408 -2811 1584 1308 1229 462 -1229 -1224 -227 -718 -541 1042
+1450 -110 -219 189 70 -239 956 436 -149 412 173 -743 358 -561 -676 -579 942 -312 210 122 -23 -15 -517 -1603 584 875 -1504 -566 650 -301 -402 123 -725 -890 2743 -269 -1521 -755 -345 -515 -1030 -702 -258 662 -314 -381 380 528 -172 -303 1308 884 -473 -333 -798 -227 -100 -240 -455 -219 411 -1478 -516 -733 328 612 84 -459 1221 -216 -1737 -1175 85 118 696 91 -206 349 357 205 1814 790 173 -1174 1507 -489 492 463 435 -2961 1592 1353 1247 492 -1092 -1167 -212 -781 -511 1054
+1517 -119 -182 205 139 -221 974 408 -179 539 199 -999 334 -588 -634 -520 1014 -131 227 162 48 50 -757 -1580 591 1021 -1469 -556 684 -195 -365 177 -701 -853 2785 -356 -1537 -715 -369 -657 -921 -739 -249 676 -325 -370 543 565 -173 -411 1370 974 -520 -367 -740 -164 -22 -212 -487 -238 470 -1358 -453 -813 442 613 37 -468 1267 -151 -1695 -1152 187 178 798 108 -341 331 364 183 1828 918 317 -1028 1635 -599 380 445 491 -3060 1607 1458 1327 549 -985 -1141 -225 -782 -466 1059
+1441 -222 -206 153 155 -212 1095 449 -222 665 111 -1154 427 -606 -654 -506 1104 27 187 132 28 58 -953 -1540 566 1169 -1592 -684 815 89 -379 230 -656 -762 2791 -371 -1578 -709 -332 -729 -899 -701 -169 657 -196 -356 623 556 -290 -400 1486 1000 -549 -353 -646 -9 -14 -220 -461 -179 506 -1334 -433 -809 420 652 107 -507 1092 -192 -1608 -1017 248 146 762 -65 -429 359 410 161 1839 983 400 -916 1791 -743 273 457 452 -3190 1576 1558 1395 615 -857 -1102 -232 -828 -584 1060
+1339 -371 -137 110 135 -276 1102 468 -198 754 -29 -1191 594 -744 -700 -466 1200 82 149 113 17 111 -921 -1501 403 1244 -1689 -773 871 310 -364 258 -630 -682 2804 -345 -1554 -666 -348 -654 -944 -716 -101 710 -153 -391 644 516 -385 -243 1569 1034 -438 -320 -640 19 7 -234 -402 -27 531 -1473 -511 -661 361 703 232 -495 922 -299 -1548 -881 218 86 634 -278 -373 487 490 184 1951 1049 408 -913 1699 -767 262 458 461 -3136 1491 1538 1418 745 -823 -1110 -204 -805 -793 1078
+1341 -438 -138 83 163 -259 1139 427 -211 719 -129 -1163 740 -811 -739 -442 1257 27 168 78 -18 141 -715 -1547 164 1117 -1626 -836 737 365 -421 346 -607 -742 2855 -311 -1534 -625 -302 -573 -1078 -737 -36 801 -159 -407 581 499 -491 -96 1618 1058 -336 -297 -702 -61 -11 -253 -421 -43 507 -1572 -607 -515 401 659 264 -437 947 -355 -1538 -851 102 -14 546 -431 -237 583 519 100 1974 1045 394 -1067 1517 -775 218 433 667 -3091 1345 1512 1386 801 -867 -1130 -129 -759 -984 1091
+1436 -320 -208 102 310 -212 1149 346 -262 718 -100 -1223 794 -852 -727 -429 1233 -126 234 8 -62 212 -608 -1703 95 961 -1554 -897 560 214 -412 429 -630 -870 2895 -214 -1480 -602 -352 -484 -1132 -799 21 909 -222 -413 540 577 -415 -108 1596 1116 -196 -271 -785 -169 -138 -303 -452 -33 576 -1560 -676 -511 595 642 198 -388 1116 -350 -1616 -898 186 -89 605 -435 -279 504 451 17 1886 1044 387 -1182 1361 -746 291 454 768 -3031 1181 1411 1355 806 -956 -1170 -91 -762 -1035 1013
+1611 -158 -258 148 444 -153 1162 300 -325 712 7 -1278 667 -850 -726 -499 1158 -214 315 -19 -55 276 -701 -1810 183 858 -1469 -857 443 155 -449 430 -647 -1011 2934 -96 -1471 -636 -417 -447 -1153 -867 53 925 -203 -463 477 680 -394 -325 1578 1208 -190 -309 -860 -265 -291 -405 -513 -13 737 -1552 -708 -585 780 698 168 -369 1276 -316 -1717 -964 345 -189 801 -388 -338 423 445 58 1846 1041 422 -1191 1376 -839 255 497 856 -3160 1166 1434 1367 664 -1035 -1166 -44 -719 -908 944
+1613 -210 -219 198 464 -191 1185 386 -336 721 75 -1236 368 -740 -768 -578 1065 -241 333 -42 -23 319 -917 -1775 344 948 -1504 -804 547 185 -444 361 -712 -1078 2958 -18 -1532 -724 -455 -529 -1095 -895 29 802 -198 -495 523 688 -413 -335 1505 1338 -188 -344 -886 -232 -361 -420 -503 31 813 -1595 -808 -564 764 765 217 -394 1285 -298 -1772 -898 611 -276 995 -298 -408 371 498 194 1858 1013 428 -1096 1527 -944 201 505 748 -3254 1279 1471 1393 540 -1137 -1187 -36 -671 -826 917
+1452 -391 -168 165 371 -219 1104 494 -294 674 155 -1145 126 -616 -879 -565 1021 -222 240 -73 -20 272 -971 -1569 420 894 -1608 -745 739 212 -451 237 -753 -1031 2947 -91 -1618 -777 -382 -481 -1156 -879 106 569 -127 -552 519 620 -537 -170 1455 1378 -229 -346 -865 -126 -375 -449 -483 88 834 -1633 -808 -530 579 740 225 -483 1135 -316 -1751 -762 775 -308 997 -342 -421 428 592 301 1988 1031 376 -1115 1669 -938 177 516 677 -3324 1426 1515 1417 482 -1206 -1217 -60 -741 -863 889
+1407 -515 -53 139 262 -281 1075 608 -177 622 170 -976 154 -523 -1011 -509 1073 -144 169 -75 -31 255 -823 -1459 353 905 -1653 -703 832 179 -469 143 -736 -925 2997 -154 -1678 -809 -320 -520 -1165 -876 55 378 -134 -534 510 535 -645 17 1331 1395 -287 -368 -828 14 -217 -390 -452 32 660 -1685 -826 -552 423 760 248 -611 1065 -309 -1675 -714 701 -230 911 -414 -407 488 642 304 2022 1066 356 -1091 1767 -869 413 625 530 -3308 1561 1527 1418 604 -1097 -1251 -199 -857 -865 971
+1554 -379 -59 88 236 -219 1033 626 -76 570 172 -849 368 -525 -1089 -429 1157 -90 153 -137 -122 342 -691 -1627 258 934 -1664 -781 754 58 -460 150 -725 -862 3065 -276 -1715 -795 -255 -377 -1170 -870 -23 261 -181 -513 525 552 -695 -29 1323 1369 -346 -349 -804 21 -94 -359 -501 -88 535 -1682 -761 -755 383 669 138 -662 1159 -284 -1611 -775 481 -260 826 -518 -434 527 588 146 1970 1036 346 -1107 1802 -774 534 677 619 -3298 1735 1576 1465 764 -1065 -1346 -357 -1005 -885 1080
+1707 -207 -68 80 317 -141 1064 604 -22 589 132 -734 631 -641 -1048 -396 1223 -32 194 -238 -181 436 -684 -1923 289 957 -1633 -862 661 -13 -446 146 -740 -821 3118 -443 -1719 -721 -227 -281 -1148 -863 -74 328 -183 -435 527 622 -571 -152 1415 1297 -347 -295 -777 -23 -91 -382 -595 -166 623 -1615 -699 -977 442 714 117 -555 1350 -290 -1629 -929 335 -237 801 -545 -500 479 524 63 1865 995 387 -1109 1833 -674 641 703 538 -3315 1765 1554 1500 821 -1065 -1421 -486 -1172 -803 1138
+1835 -95 -102 178 428 -79 1176 560 -101 668 27 -759 851 -687 -995 -386 1259 -82 312 -239 -204 557 -838 -2044 297 1061 -1666 -979 677 44 -388 167 -804 -799 3148 -537 -1751 -698 -194 -155 -1133 -809 -23 423 -157 -457 563 712 -498 -228 1524 1209 -236 -197 -728 -89 -148 -423 -699 -268 701 -1592 -673 -1013 502 763 104 -461 1498 -303 -1729 -1100 325 -204 850 -509 -542 456 490 123 1895 1022 415 -1215 1863 -675 552 641 473 -3432 1882 1614 1555 746 -1189 -1459 -459 -1208 -820 1170
+1731 -209 -86 188 439 -74 1332 641 -173 687 -60 -842 884 -693 -960 -421 1265 -168 329 -188 -147 507 -940 -1933 250 1207 -1762 -1012 786 210 -348 161 -857 -786 3196 -608 -1764 -653 -139 -132 -1097 -777 91 484 -66 -502 591 684 -385 -165 1574 1191 -105 -132 -736 -163 -234 -435 -737 -205 829 -1707 -637 -898 498 869 188 -398 1494 -329 -1801 -1108 427 -182 881 -480 -512 491 553 332 2085 1071 430 -1222 1836 -644 465 547 332 -3543 1954 1674 1576 650 -1167 -1384 -378 -1221 -777 1153
+1579 -419 0 245 299 -257 1381 673 -259 646 -61 -1051 711 -663 -977 -452 1247 -252 290 -93 -47 369 -938 -1755 192 1308 -1860 -1011 885 228 -374 226 -876 -802 3258 -479 -1751 -672 -203 -133 -1116 -747 192 536 63 -597 561 593 -410 -25 1574 1270 -108 -197 -835 -238 -245 -375 -669 -158 776 -1777 -582 -734 427 803 191 -461 1474 -286 -1820 -1006 476 -126 795 -432 -414 547 638 537 2305 1092 384 -1326 1718 -608 417 515 330 -3574 1908 1660 1530 600 -1171 -1322 -285 -1170 -791 1139
+1601 -423 27 279 234 -331 1357 627 -282 612 11 -1254 534 -615 -989 -457 1255 -297 240 -64 -59 306 -900 -1823 261 1329 -1852 -989 899 155 -467 300 -778 -864 3342 -300 -1706 -669 -238 -167 -1126 -756 215 537 86 -621 550 537 -486 -19 1691 1454 -149 -328 -967 -217 -155 -262 -528 -147 606 -1864 -637 -622 411 713 148 -497 1516 -220 -1828 -914 394 -38 712 -335 -282 563 594 455 2379 1121 376 -1269 1632 -609 408 512 544 -3568 1791 1608 1469 613 -1103 -1278 -249 -1093 -781 1174
+1754 -345 58 304 208 -393 1301 557 -275 636 121 -1376 388 -693 -995 -490 1259 -214 220 -162 -134 387 -901 -2172 540 1283 -1782 -923 832 11 -520 392 -724 -967 3373 -110 -1687 -704 -266 -163 -1165 -779 119 526 22 -649 545 525 -482 -166 1766 1546 -308 -478 -1088 -237 -78 -192 -461 -156 514 -1988 -764 -730 422 657 144 -376 1701 -192 -1859 -979 155 19 611 -181 -238 491 454 153 2252 1128 459 -1211 1640 -642 399 483 675 -3414 1538 1466 1465 739 -1129 -1353 -284 -1012 -781 1126
+2020 -104 -44 328 266 -344 1284 460 -290 780 178 -1432 402 -807 -967 -516 1278 -87 194 -252 -239 485 -947 -2508 757 1263 -1773 -880 799 11 -549 446 -725 -1058 3316 22 -1679 -747 -318 -190 -1154 -850 -53 580 -71 -602 543 561 -417 -471 1911 1531 -421 -543 -1115 -241 -140 -245 -458 -139 531 -2146 -892 -744 430 704 242 -141 1780 -287 -1920 -1039 36 19 592 -36 -308 405 386 4 2158 1127 523 -1181 1693 -775 300 485 820 -3414 1340 1428 1510 781 -1190 -1433 -281 -946 -878 1027
+1961 -121 -134 327 308 -328 1267 431 -319 923 135 -1334 475 -903 -992 -568 1312 -85 134 -271 -296 491 -918 -2469 852 1171 -1850 -801 866 62 -559 338 -791 -1181 3243 2 -1762 -799 -266 -188 -1226 -839 -175 483 -163 -564 528 556 -302 -480 1909 1390 -483 -527 -1068 -219 -252 -358 -557 -120 695 -2148 -878 -663 373 747 311 -7 1795 -403 -2007 -1133 39 -12 658 15 -372 399 394 11 2211 1160 548 -1273 1852 -890 221 526 801 -3520 1246 1486 1550 779 -1196 -1451 -236 -854 -992 967
+1770 -276 -212 310 310 -294 1259 492 -313 988 50 -1238 567 -901 -1100 -594 1382 -100 133 -234 -207 354 -892 -2187 672 1108 -2004 -798 909 193 -543 273 -760 -1157 3140 -46 -1799 -823 -244 -275 -1203 -804 -201 413 -167 -561 536 499 -203 -379 1853 1330 -526 -499 -970 -170 -313 -425 -633 -136 792 -2048 -705 -560 362 783 381 -5 1719 -478 -2041 -1125 220 -23 804 -81 -573 416 499 201 2256 1104 463 -1443 1819 -803 254 497 610 -3633 1411 1630 1622 854 -1156 -1455 -204 -899 -1037 1012
+1643 -370 -233 240 234 -276 1256 566 -213 975 0 -1219 675 -820 -1102 -596 1464 -235 150 -133 -100 290 -904 -1963 510 992 -2065 -846 898 230 -565 285 -695 -1054 3134 -159 -1848 -831 -335 -348 -1156 -765 -126 546 -105 -545 583 539 -267 -382 1803 1389 -634 -523 -909 -80 -245 -383 -652 -210 737 -1880 -583 -539 340 682 311 -173 1597 -466 -2012 -1090 321 103 919 -79 -672 396 546 348 2306 1065 420 -1527 1671 -685 384 530 566 -3806 1539 1764 1667 958 -1067 -1442 -224 -1045 -963 1172
+1736 -411 -241 185 194 -271 1236 578 -180 849 57 -1278 650 -745 -1085 -608 1456 -309 231 -146 -13 352 -973 -2082 520 960 -2010 -950 799 218 -597 373 -608 -973 3260 -161 -1850 -825 -426 -416 -1129 -777 -50 664 -56 -595 606 642 -408 -465 1823 1487 -737 -563 -899 -5 -86 -256 -573 -261 539 -1887 -622 -747 406 663 247 -293 1604 -401 -1978 -1068 349 230 899 -43 -657 372 508 207 2195 986 339 -1598 1678 -634 525 615 617 -3832 1684 1857 1713 1040 -998 -1433 -274 -1162 -775 1298
+1827 -259 -292 103 177 -239 1285 587 -187 735 157 -1372 529 -740 -1005 -594 1396 -349 263 -232 -36 455 -1021 -2384 598 1008 -1970 -973 746 170 -568 389 -587 -956 3434 -211 -1847 -795 -528 -446 -1179 -792 8 840 -103 -632 590 800 -512 -618 1941 1503 -750 -561 -891 87 64 -165 -505 -267 434 -2023 -787 -874 438 698 248 -213 1654 -382 -1985 -1070 288 300 842 42 -540 367 440 -4 2081 970 366 -1531 1793 -624 598 645 633 -3822 1741 1845 1762 1052 -1021 -1461 -338 -1213 -641 1281
+1923 -169 -398 64 283 -205 1301 523 -325 588 198 -1372 432 -801 -998 -608 1314 -323 190 -287 -121 396 -898 -2509 562 1125 -2049 -1034 819 143 -542 309 -728 -1070 3583 -307 -1912 -806 -551 -394 -1410 -869 -10 903 -268 -678 520 839 -446 -661 2048 1395 -665 -478 -906 -10 9 -159 -453 -184 386 -2149 -888 -860 518 797 286 -116 1680 -455 -2047 -1082 283 274 863 90 -404 408 460 -92 2131 987 378 -1536 1966 -616 585 675 541 -3819 1786 1846 1799 937 -1110 -1474 -363 -1161 -631 1184
+1885 -246 -419 77 291 -216 1367 544 -423 512 98 -1239 444 -920 -1032 -626 1314 -299 73 -285 -188 281 -778 -2363 519 1167 -2130 -984 940 112 -517 250 -944 -1194 3672 -426 -2005 -858 -462 -338 -1589 -912 1 876 -357 -699 434 768 -368 -561 2116 1340 -549 -400 -944 -140 -166 -260 -434 -10 519 -2091 -799 -596 611 871 310 -110 1732 -516 -2133 -1127 340 85 1015 119 -370 452 547 -5 2228 1010 414 -1482 2012 -622 573 660 353 -3788 1892 1851 1861 842 -1320 -1555 -381 -1102 -784 1149
+1809 -316 -379 160 309 -254 1425 606 -436 548 -74 -1109 597 -1009 -1115 -615 1390 -193 11 -205 -175 230 -828 -2170 547 1242 -2127 -922 973 69 -495 233 -1013 -1256 3774 -516 -2103 -955 -407 -372 -1625 -912 17 781 -335 -670 478 739 -396 -474 2178 1442 -517 -406 -1026 -212 -293 -313 -465 49 683 -1959 -547 -481 629 787 234 -252 1845 -484 -2142 -1110 463 -134 1121 93 -389 472 655 137 2311 1061 491 -1482 1927 -550 511 566 322 -3838 1926 1808 1806 776 -1456 -1624 -455 -1124 -808 1218
+1814 -319 -281 265 290 -295 1424 603 -389 671 -204 -1023 715 -923 -1153 -667 1523 -142 10 -168 -197 276 -1018 -2148 697 1304 -2080 -911 912 -72 -533 316 -989 -1220 3830 -493 -2161 -1041 -445 -451 -1509 -940 0 721 -259 -713 591 685 -403 -516 2171 1601 -526 -450 -1101 -228 -274 -278 -451 56 758 -1917 -496 -581 504 671 132 -429 1844 -437 -2080 -989 593 -209 1193 -4 -531 426 633 132 2229 1038 511 -1540 1763 -431 538 527 426 -3941 1968 1801 1777 815 -1499 -1679 -521 -1277 -824 1301
+1884 -312 -105 284 258 -278 1427 615 -268 795 -207 -1104 833 -829 -1147 -689 1596 -143 119 -155 -178 396 -1160 -2358 847 1310 -2010 -978 853 -89 -621 403 -859 -1179 3905 -329 -2114 -1049 -511 -588 -1355 -984 -15 749 -226 -672 656 810 -453 -572 2172 1714 -596 -488 -1125 -120 -180 -200 -434 -4 728 -2013 -706 -796 404 648 128 -422 1844 -374 -1991 -894 579 -123 1093 -176 -515 451 546 -25 2082 1007 535 -1661 1609 -481 574 547 561 -3934 1958 1769 1780 907 -1517 -1727 -523 -1397 -772 1380
+1962 -300 -50 286 246 -238 1380 619 -184 947 -129 -1208 763 -743 -1135 -723 1586 -214 196 -187 -153 442 -1081 -2570 875 1311 -2123 -1024 949 4 -661 407 -781 -1121 3891 -117 -2099 -1068 -569 -681 -1256 -1018 -92 750 -208 -646 700 867 -457 -610 2132 1663 -720 -497 -1091 -13 -61 -162 -388 -59 554 -2178 -938 -924 290 755 198 -347 1764 -363 -1892 -826 437 16 921 -390 -390 604 497 -125 2070 994 499 -1781 1585 -538 471 525 632 -4009 1851 1688 1741 884 -1499 -1711 -437 -1347 -762 1267
+2035 -222 -128 282 313 -225 1388 634 -188 1009 -11 -1368 641 -750 -1168 -673 1544 -324 244 -195 -149 409 -960 -2623 684 1412 -2341 -1081 1126 163 -704 333 -829 -1172 3830 -7 -2057 -1040 -610 -620 -1413 -1084 -101 819 -325 -632 670 853 -392 -553 2025 1516 -721 -411 -988 86 -4 -173 -399 -111 404 -2198 -963 -946 350 902 245 -346 1737 -349 -1826 -853 273 117 795 -567 -253 766 536 -97 2202 986 389 -1902 1626 -651 389 546 613 -4038 1849 1738 1752 855 -1410 -1670 -348 -1290 -861 1165
+2051 -218 -239 335 407 -246 1427 638 -262 1053 35 -1448 518 -911 -1139 -691 1473 -328 188 -138 -87 329 -909 -2481 469 1507 -2461 -1104 1221 201 -691 323 -868 -1273 3735 60 -2051 -1007 -642 -531 -1594 -1067 -46 780 -346 -642 630 804 -405 -421 1959 1407 -735 -347 -915 82 14 -205 -439 -109 412 -2090 -706 -900 409 936 227 -449 1732 -349 -1801 -936 155 -40 907 -651 -226 868 641 97 2402 1043 376 -1912 1612 -795 410 653 606 -4099 1873 1784 1749 895 -1213 -1619 -326 -1239 -965 1146
+2026 -224 -273 344 439 -310 1431 599 -323 1012 103 -1498 473 -1035 -1131 -677 1434 -200 152 -135 -23 362 -1135 -2354 445 1600 -2362 -1087 1135 139 -720 410 -798 -1379 3733 137 -1994 -922 -674 -489 -1651 -963 82 762 -240 -694 584 768 -429 -431 2004 1487 -707 -319 -894 71 -45 -279 -550 -135 572 -1884 -455 -919 382 783 116 -550 1906 -295 -1809 -1010 147 -299 1071 -459 -206 821 689 271 2513 1086 378 -1947 1719 -799 502 711 667 -4247 1906 1878 1805 1018 -1084 -1631 -396 -1250 -979 1222
+1995 -393 -155 341 362 -358 1443 532 -365 914 130 -1508 571 -1100 -1100 -673 1445 -90 154 -171 -25 423 -1344 -2303 464 1632 -2188 -1093 939 24 -732 533 -718 -1407 3781 173 -2000 -927 -771 -432 -1603 -975 137 736 -71 -706 587 765 -485 -430 2133 1644 -723 -391 -954 39 -42 -302 -649 -194 730 -1936 -489 -929 300 630 60 -452 2111 -278 -1884 -1044 240 -558 1201 -286 -291 708 704 313 2476 1094 484 -1857 1763 -739 598 742 773 -4398 1853 1953 1874 1118 -998 -1636 -469 -1331 -963 1361
+1933 -443 -42 263 232 -393 1464 464 -405 787 99 -1524 679 -1013 -1142 -681 1458 -81 187 -236 -75 427 -1302 -2301 462 1554 -2063 -1075 841 -45 -744 591 -692 -1406 3881 133 -2008 -895 -734 -415 -1540 -1002 145 635 -39 -696 609 856 -516 -408 2117 1691 -642 -422 -1044 -12 -10 -215 -572 -140 660 -2229 -729 -912 262 659 145 -217 2245 -289 -1959 -983 301 -619 1134 -263 -277 664 693 250 2432 1086 484 -1958 1963 -693 597 699 788 -4474 1844 2024 1922 1079 -1097 -1610 -378 -1306 -1141 1314
+1941 -466 17 250 201 -406 1394 446 -412 754 9 -1509 741 -829 -1315 -722 1519 -136 207 -305 -160 432 -1093 -2323 386 1482 -2115 -1049 870 39 -693 501 -748 -1374 3961 41 -2062 -931 -625 -372 -1543 -1070 89 522 -128 -657 611 883 -502 -331 2197 1674 -610 -443 -1089 -11 -10 -155 -476 -100 536 -2426 -877 -732 411 849 306 -29 2241 -324 -2005 -861 290 -519 973 -429 -224 739 788 267 2358 995 384 -2071 2023 -661 558 688 731 -4470 1885 2041 1948 945 -1269 -1592 -259 -1259 -1205 1242
+2029 -458 -70 221 264 -374 1329 506 -408 744 -95 -1520 795 -710 -1439 -721 1543 -343 244 -332 -222 370 -938 -2358 367 1383 -2224 -1055 962 175 -650 389 -923 -1409 4062 -163 -2143 -955 -434 -289 -1604 -1091 -22 375 -271 -547 575 862 -508 -251 2174 1620 -523 -454 -1135 -53 -34 -157 -350 13 450 -2446 -826 -578 576 906 372 17 2145 -351 -2008 -786 158 -344 884 -635 -203 853 883 324 2390 954 267 -2166 2044 -643 518 629 528 -4483 1993 2113 1957 798 -1430 -1558 -130 -1219 -1270 1131
+2143 -330 -167 271 377 -300 1327 605 -361 784 -168 -1539 825 -633 -1513 -709 1534 -438 292 -253 -200 366 -1036 -2347 460 1328 -2346 -1141 994 217 -607 335 -1054 -1458 4139 -262 -2182 -970 -287 -291 -1627 -1023 -67 306 -275 -517 512 858 -599 -327 2115 1678 -453 -495 -1202 -94 -131 -266 -386 26 516 -2349 -737 -557 656 860 375 -64 2031 -400 -2009 -793 85 -243 939 -662 -409 785 872 399 2385 952 247 -2152 1983 -655 537 663 547 -4439 2168 2177 1943 753 -1555 -1599 -159 -1244 -1131 1213
+2159 -466 -124 259 379 -201 1380 711 -248 826 -27 -1532 845 -742 -1451 -627 1494 -408 339 -170 -68 418 -1276 -2250 559 1213 -2319 -1178 893 207 -594 428 -1027 -1464 4237 -263 -2172 -962 -265 -347 -1545 -925 -49 325 -132 -547 494 904 -720 -311 2117 1756 -529 -573 -1212 -108 -221 -365 -450 22 622 -2302 -748 -625 556 765 406 -40 2025 -474 -2055 -852 148 -290 1112 -536 -663 613 771 436 2376 1038 409 -1990 1842 -624 625 736 662 -4366 2193 2140 1918 866 -1593 -1699 -314 -1335 -1006 1303
+2031 -531 -124 231 316 -163 1426 710 -232 864 99 -1518 870 -978 -1360 -612 1427 -334 294 -69 -4 379 -1385 -2159 564 1146 -2317 -1192 817 176 -652 478 -962 -1424 4276 -198 -2185 -972 -249 -470 -1479 -883 44 406 -7 -599 569 1050 -840 -223 2098 1777 -585 -616 -1175 -117 -249 -423 -587 -63 754 -2399 -892 -716 329 727 450 93 2112 -550 -2138 -1010 175 -405 1214 -340 -844 487 644 298 2395 1172 567 -1962 1791 -680 606 756 856 -4376 2166 2136 1977 993 -1593 -1790 -448 -1388 -981 1443
+1837 -674 -86 116 211 -176 1430 771 -189 868 140 -1314 801 -1046 -1310 -650 1435 -241 233 -76 -79 269 -1247 -2131 462 1188 -2364 -1184 865 158 -794 488 -913 -1357 4277 -96 -2163 -962 -387 -485 -1433 -882 95 429 -25 -668 610 1127 -802 -134 1995 1758 -619 -622 -1122 -71 -214 -426 -679 -174 759 -2501 -984 -734 274 803 454 195 2310 -555 -2235 -1172 216 -360 1216 -168 -874 452 631 245 2489 1289 657 -1954 1758 -721 504 705 912 -4419 2166 2114 2013 979 -1576 -1814 -485 -1367 -1060 1474
+1838 -633 -267 55 200 -206 1445 747 -249 936 1 -1231 683 -955 -1414 -779 1450 -174 164 -151 -207 191 -1064 -2250 417 1316 -2479 -1185 1004 171 -837 391 -946 -1308 4202 -106 -2192 -961 -425 -437 -1528 -939 145 511 -145 -670 602 1112 -768 -108 1951 1689 -639 -606 -1039 61 -91 -383 -660 -193 650 -2552 -928 -803 367 875 404 139 2415 -515 -2280 -1268 84 -226 1031 -155 -716 563 702 187 2561 1284 574 -2147 1769 -769 354 592 793 -4420 2283 2133 2077 986 -1605 -1866 -477 -1313 -1103 1404
+1993 -446 -468 105 329 -249 1427 702 -318 947 -198 -1230 623 -826 -1461 -840 1547 -147 156 -187 -261 204 -1051 -2430 514 1543 -2491 -1142 1100 151 -842 320 -980 -1335 4134 -110 -2148 -914 -492 -384 -1605 -956 106 529 -305 -636 564 1032 -752 -194 1949 1669 -663 -610 -1014 97 -47 -370 -607 -191 524 -2389 -810 -875 453 881 282 -109 2422 -408 -2237 -1179 -34 -77 954 -216 -663 602 764 168 2537 1228 494 -2181 1773 -774 348 624 744 -4524 2380 2172 2086 948 -1561 -1866 -431 -1303 -1075 1382
+2176 -307 -491 220 483 -204 1524 669 -388 979 -340 -1367 622 -639 -1427 -863 1681 -139 253 -175 -212 258 -1209 -2507 666 1696 -2424 -1113 1135 39 -744 351 -991 -1316 4018 -141 -2145 -862 -461 -389 -1629 -911 61 550 -329 -631 564 1013 -753 -338 2067 1752 -637 -651 -1085 70 -43 -385 -582 -106 563 -2297 -733 -993 435 787 197 -310 2344 -383 -2234 -1079 2 94 960 -133 -753 505 812 283 2498 1165 482 -2179 1747 -782 537 765 731 -4655 2446 2213 2077 1002 -1486 -1877 -412 -1329 -915 1474
+2236 -291 -415 356 504 -198 1635 573 -449 973 -279 -1606 673 -680 -1297 -835 1714 -238 324 -23 -51 250 -1372 -2394 748 1789 -2392 -1085 1143 -75 -674 399 -960 -1345 4001 -61 -2125 -864 -519 -527 -1540 -875 -52 567 -204 -643 616 1034 -807 -388 2168 1797 -593 -656 -1184 -110 -185 -457 -578 -32 622 -2352 -805 -1050 375 815 237 -325 2298 -418 -2262 -985 180 118 1036 -81 -843 400 803 380 2511 1232 559 -2110 1831 -756 646 825 858 -4723 2426 2224 2078 1014 -1472 -1869 -347 -1287 -871 1519
+2158 -454 -208 384 343 -244 1709 559 -461 860 -56 -1789 738 -943 -1229 -798 1706 -320 359 122 113 197 -1384 -2186 626 1740 -2462 -1133 1149 -63 -667 465 -878 -1380 4083 12 -2136 -874 -543 -645 -1459 -887 -74 549 -122 -682 685 1122 -864 -330 2268 1862 -465 -621 -1277 -289 -318 -507 -640 -29 740 -2524 -895 -935 385 888 307 -177 2371 -484 -2326 -964 426 68 1063 -4 -870 359 794 540 2642 1284 564 -2103 1907 -725 757 942 913 -4789 2314 2187 2099 1044 -1483 -1861 -301 -1285 -1003 1541
+2081 -515 -185 402 225 -345 1673 528 -485 831 190 -1880 784 -1231 -1195 -736 1635 -362 294 144 114 159 -1276 -2224 673 1645 -2644 -1156 1220 0 -736 428 -842 -1402 4173 96 -2186 -959 -569 -829 -1457 -982 -82 606 -186 -722 750 1076 -748 -307 2351 1910 -435 -621 -1372 -392 -339 -470 -625 -12 779 -2639 -957 -822 505 996 353 -44 2540 -503 -2380 -1072 532 -16 957 -41 -781 461 805 600 2799 1311 479 -2129 2098 -830 640 879 869 -4918 2244 2205 2117 993 -1499 -1826 -271 -1253 -1168 1560
+2142 -442 -286 437 238 -404 1548 528 -490 893 276 -1855 831 -1404 -1220 -747 1598 -362 233 41 36 172 -1226 -2479 817 1565 -2825 -1298 1230 87 -819 387 -865 -1476 4325 93 -2247 -1039 -590 -852 -1570 -1099 -46 717 -306 -723 762 1010 -611 -354 2390 1946 -461 -611 -1387 -329 -293 -397 -591 -46 775 -2615 -893 -779 498 934 318 -16 2630 -468 -2324 -1137 433 10 878 -72 -664 582 791 568 2899 1287 326 -2237 2287 -750 545 782 745 -5010 2251 2248 2150 972 -1604 -1856 -291 -1219 -1278 1545
+2281 -333 -427 433 274 -428 1458 500 -479 926 245 -1813 863 -1341 -1328 -796 1635 -296 187 -77 -84 200 -1265 -2714 936 1489 -2841 -1333 1123 23 -917 350 -927 -1571 4423 -1 -2320 -1111 -591 -771 -1703 -1200 -52 774 -427 -698 654 854 -505 -447 2417 1943 -619 -637 -1352 -182 -173 -381 -535 -10 739 -2583 -866 -906 374 806 245 -141 2584 -424 -2276 -1219 295 14 907 -45 -613 629 744 449 2822 1296 406 -2184 2278 -684 544 734 672 -5075 2212 2237 2107 1014 -1589 -1871 -364 -1197 -1181 1498
+2347 -289 -482 418 331 -323 1475 514 -421 1010 117 -1708 859 -1138 -1333 -842 1704 -245 207 -62 -73 207 -1317 -2614 911 1432 -2766 -1293 1037 -33 -878 367 -985 -1613 4507 -87 -2401 -1170 -643 -677 -1787 -1188 -77 865 -401 -716 580 868 -512 -559 2373 1851 -704 -562 -1249 -92 -166 -446 -565 -1 724 -2512 -904 -1028 250 769 309 -181 2441 -414 -2212 -1227 192 15 1060 -41 -600 622 674 302 2785 1362 531 -2111 2246 -579 605 755 754 -5079 2221 2286 2110 1078 -1594 -1879 -378 -1273 -1090 1528
+2316 -375 -353 373 260 -258 1524 522 -411 1055 -2 -1695 781 -870 -1333 -946 1732 -174 261 24 17 124 -1306 -2336 806 1464 -2665 -1197 1085 -38 -818 369 -1066 -1573 4559 -196 -2424 -1154 -671 -649 -1830 -1165 -56 958 -207 -745 547 971 -646 -589 2266 1762 -695 -473 -1205 -118 -150 -516 -648 -29 741 -2504 -946 -948 245 818 342 -184 2357 -418 -2210 -1209 288 48 1244 -16 -716 583 661 310 2835 1451 696 -2007 2092 -523 649 728 813 -5033 2182 2282 2108 1054 -1678 -1879 -319 -1275 -1071 1623
+2197 -487 -249 281 131 -291 1577 616 -375 965 -43 -1637 713 -753 -1343 -940 1761 -190 301 79 46 126 -1338 -2130 642 1578 -2612 -1092 1173 69 -777 322 -1125 -1453 4568 -303 -2450 -1104 -626 -686 -1829 -1146 -81 885 -148 -762 614 1099 -688 -558 2215 1693 -712 -416 -1177 -172 -163 -520 -744 -123 759 -2505 -948 -806 420 951 390 -74 2475 -398 -2211 -1160 420 -49 1374 -177 -655 656 738 368 2960 1544 750 -1981 1932 -588 640 740 807 -4895 2085 2211 2142 1053 -1737 -1889 -278 -1297 -1074 1675
+2118 -568 -184 253 67 -359 1622 756 -364 890 -52 -1565 692 -859 -1387 -954 1681 -296 349 36 12 171 -1368 -2223 637 1606 -2610 -1082 1192 227 -812 309 -1115 -1378 4613 -363 -2462 -1075 -619 -769 -1830 -1196 -108 775 -188 -721 715 1138 -707 -498 2227 1748 -737 -420 -1196 -204 -145 -466 -787 -216 746 -2566 -930 -803 556 930 305 -24 2666 -349 -2213 -1095 465 -155 1305 -249 -568 699 801 450 3146 1519 606 -2061 1903 -689 535 743 750 -4910 2090 2280 2286 1090 -1781 -1939 -304 -1388 -1134 1709
+2227 -580 -208 345 177 -388 1648 856 -370 912 -60 -1582 722 -1126 -1407 -888 1618 -320 400 -32 -51 244 -1422 -2569 657 1608 -2589 -1182 1116 301 -845 381 -1042 -1359 4643 -347 -2435 -1034 -610 -847 -1752 -1228 -106 680 -254 -649 724 1100 -583 -607 2330 1829 -796 -470 -1234 -176 -146 -422 -737 -165 769 -2610 -938 -912 455 795 244 21 2768 -349 -2197 -979 366 -296 1124 -284 -466 711 759 343 3129 1475 504 -2091 2095 -746 442 725 765 -4950 2149 2339 2353 1168 -1760 -2002 -388 -1438 -1168 1748
+2274 -574 -231 394 309 -325 1685 896 -400 879 -13 -1583 712 -1333 -1384 -843 1562 -289 393 13 -46 295 -1408 -2782 668 1623 -2573 -1270 991 172 -825 536 -960 -1463 4680 -228 -2421 -1048 -691 -838 -1706 -1240 -121 677 -286 -581 661 1077 -469 -646 2399 1879 -783 -499 -1292 -119 -144 -398 -595 3 764 -2642 -932 -975 371 716 281 13 2728 -371 -2185 -871 234 -387 955 -254 -425 661 671 151 2972 1416 449 -2130 2303 -703 491 725 773 -5040 2214 2376 2378 1276 -1690 -2050 -464 -1435 -1208 1694
+2327 -552 -203 456 429 -213 1763 829 -423 866 9 -1618 829 -1339 -1362 -794 1625 -222 378 102 -45 240 -1357 -2672 611 1609 -2642 -1338 965 97 -768 612 -976 -1569 4698 -100 -2440 -1100 -721 -730 -1720 -1227 -154 663 -253 -635 593 1078 -466 -632 2454 1800 -711 -471 -1320 -123 -235 -447 -489 185 807 -2605 -896 -761 386 753 350 -29 2515 -441 -2172 -808 113 -504 970 -201 -400 646 637 116 2938 1439 558 -2050 2415 -679 605 771 859 -5097 2289 2412 2417 1375 -1669 -2096 -476 -1398 -1278 1580
+2285 -612 -99 367 381 -103 1711 765 -363 866 -55 -1630 825 -1161 -1391 -830 1706 -115 329 203 2 227 -1362 -2424 578 1684 -2744 -1342 1095 125 -682 549 -1018 -1624 4715 -58 -2462 -1133 -676 -570 -1790 -1196 -76 708 -91 -761 542 1171 -607 -666 2416 1775 -665 -464 -1358 -161 -257 -441 -418 255 728 -2545 -834 -558 586 950 444 -27 2420 -473 -2203 -853 130 -461 1180 -237 -434 679 692 178 3019 1522 641 -2039 2376 -657 709 865 886 -5090 2281 2344 2345 1301 -1678 -2075 -415 -1308 -1244 1535
+2236 -661 -88 234 308 -96 1638 775 -273 897 -97 -1614 814 -903 -1485 -881 1791 -149 350 132 -23 242 -1458 -2377 658 1804 -2903 -1390 1265 223 -673 425 -1090 -1620 4733 -67 -2485 -1162 -594 -453 -1874 -1168 -11 693 -28 -913 578 1223 -723 -672 2449 1832 -643 -491 -1433 -299 -252 -418 -507 148 780 -2597 -866 -624 726 1034 433 -17 2445 -486 -2292 -1029 223 -322 1415 -289 -515 721 769 240 3089 1522 644 -2012 2296 -700 768 935 725 -5072 2310 2297 2310 1226 -1711 -2056 -364 -1282 -1103 1606
+2280 -696 -158 158 280 -162 1503 785 -195 1004 -84 -1608 762 -809 -1557 -933 1825 -207 423 16 -66 311 -1539 -2605 853 1913 -2909 -1360 1347 235 -680 326 -1132 -1549 4739 -224 -2539 -1170 -436 -345 -1948 -1159 139 744 -110 -986 693 1268 -761 -619 2417 1910 -693 -545 -1411 -309 -253 -421 -614 -75 738 -2650 -1032 -901 587 984 390 -25 2505 -488 -2311 -1111 165 -296 1550 -349 -565 752 792 154 3032 1431 552 -1954 2346 -710 760 941 549 -5103 2396 2291 2335 1196 -1782 -2079 -339 -1333 -994 1749
+2291 -642 -266 167 341 -145 1491 784 -163 1107 36 -1665 803 -885 -1542 -901 1792 -312 520 -55 -56 343 -1418 -2826 871 1907 -2784 -1333 1265 89 -749 313 -1123 -1450 4746 -358 -2557 -1129 -320 -352 -1838 -1155 143 690 -156 -916 710 1130 -656 -591 2483 1934 -858 -609 -1313 -152 -174 -386 -661 -136 775 -2733 -1119 -1126 243 804 339 -21 2523 -536 -2311 -1066 74 -302 1553 -381 -629 683 667 -81 2953 1400 506 -1908 2519 -694 758 951 578 -5263 2325 2260 2320 1228 -1888 -2169 -387 -1406 -990 1794
+2340 -701 -308 277 523 -60 1572 669 -234 1222 227 -1802 850 -1126 -1430 -807 1772 -272 620 -13 8 372 -1278 -2887 739 1898 -2607 -1248 1174 -32 -748 360 -1103 -1378 4764 -444 -2567 -1064 -222 -427 -1707 -1128 142 668 -214 -824 703 1051 -478 -455 2454 1803 -922 -589 -1184 63 -128 -410 -648 -90 738 -2722 -1049 -1198 42 802 356 -109 2467 -601 -2349 -969 11 -374 1493 -357 -622 657 574 -277 2870 1401 524 -1840 2686 -624 726 858 706 -5381 2264 2269 2300 1250 -1896 -2207 -428 -1448 -1092 1763
+2362 -568 -217 344 602 -15 1726 636 -344 1146 332 -1944 910 -1324 -1312 -775 1685 -262 601 96 93 276 -1203 -2722 579 1874 -2528 -1211 1169 -40 -746 390 -1136 -1371 4779 -444 -2570 -1046 -321 -417 -1590 -1075 27 635 -201 -808 684 1010 -534 -444 2505 1751 -968 -607 -1158 130 -58 -382 -589 -47 646 -2596 -805 -1020 121 877 291 -251 2537 -575 -2416 -920 50 -373 1394 -379 -616 644 570 -164 3121 1536 548 -1888 2766 -599 758 881 858 -5481 2174 2256 2340 1315 -1883 -2248 -477 -1399 -1199 1729
+2417 -547 -167 396 562 -30 1846 654 -422 1109 308 -2051 960 -1340 -1312 -723 1690 -202 572 118 78 314 -1367 -2560 521 1877 -2644 -1247 1286 161 -750 359 -1220 -1360 4772 -433 -2570 -1023 -374 -456 -1566 -1072 -108 562 -147 -789 769 1043 -555 -452 2495 1800 -994 -653 -1179 136 -5 -351 -556 -43 594 -2527 -667 -967 366 970 231 -302 2648 -542 -2556 -992 281 -337 1361 -375 -590 683 654 46 3326 1579 548 -1981 2649 -694 674 857 879 -5495 2040 2239 2388 1300 -1842 -2225 -499 -1413 -1227 1733
+2482 -531 -90 448 419 -221 1865 733 -469 1081 66 -2094 933 -1171 -1451 -776 1737 -157 502 101 11 320 -1520 -2543 547 1948 -2810 -1316 1358 306 -791 316 -1260 -1357 4764 -410 -2581 -1046 -464 -384 -1628 -1095 -126 537 -141 -871 835 1062 -667 -478 2575 1963 -978 -760 -1334 16 -35 -365 -629 -101 685 -2669 -747 -1121 505 966 185 -241 2751 -507 -2645 -1064 486 -250 1367 -343 -588 701 733 288 3452 1582 494 -2059 2584 -870 575 904 886 -5584 2062 2313 2414 1238 -1783 -2171 -518 -1437 -1079 1839
+2483 -545 -65 491 392 -257 1837 791 -478 1111 -185 -2002 936 -927 -1646 -859 1839 -212 471 28 -105 342 -1458 -2660 584 1790 -2941 -1433 1309 355 -851 348 -1227 -1399 4791 -374 -2594 -1073 -459 -363 -1682 -1158 -70 558 -167 -881 901 1032 -751 -330 2644 2139 -909 -807 -1440 -109 -112 -342 -678 -155 751 -2905 -999 -1217 427 908 231 -147 2721 -529 -2669 -1121 547 -191 1352 -298 -540 706 691 236 3412 1529 499 -2069 2601 -948 526 951 941 -5511 2140 2367 2412 1255 -1754 -2121 -482 -1441 -957 1930
+2452 -632 -125 526 310 -332 1796 808 -459 1150 -295 -1902 850 -825 -1698 -938 1871 -259 476 -24 -193 279 -1229 -2807 564 1600 -2924 -1483 1164 219 -917 410 -1163 -1432 4841 -342 -2598 -1105 -447 -395 -1677 -1229 5 669 -242 -808 835 946 -658 -267 2739 2155 -820 -789 -1484 -122 -177 -314 -657 -117 767 -3117 -1149 -1136 290 873 340 -69 2607 -606 -2642 -1171 429 -177 1295 -189 -542 625 585 65 3293 1513 513 -2013 2650 -943 590 969 958 -5569 2254 2490 2447 1317 -1689 -2061 -415 -1456 -884 1966
+2428 -628 -196 565 333 -232 1814 786 -445 1144 -216 -1728 813 -897 -1679 -975 1879 -265 574 -13 -238 302 -1115 -2927 654 1452 -2814 -1400 1062 62 -867 450 -1126 -1456 4808 -360 -2635 -1126 -405 -392 -1703 -1253 97 835 -292 -750 752 906 -610 -215 2795 2007 -727 -693 -1427 -86 -246 -317 -557 -15 723 -3068 -1033 -788 373 929 430 -70 2543 -640 -2567 -1209 204 -180 1186 -95 -492 625 487 -119 3324 1535 469 -2122 2689 -848 696 1007 1044 -5578 2263 2525 2460 1392 -1813 -2105 -327 -1366 -1026 1866
+2468 -531 -241 530 335 -157 1828 803 -380 1067 -40 -1635 786 -1151 -1576 -994 1816 -264 587 -2 -198 258 -1220 -2948 833 1413 -2767 -1320 1105 81 -800 399 -1175 -1534 4828 -375 -2674 -1151 -287 -424 -1768 -1251 124 900 -263 -774 654 879 -612 -370 2887 1956 -719 -656 -1393 -7 -165 -283 -489 13 694 -2916 -859 -576 570 970 429 -72 2670 -603 -2556 -1322 106 -172 1129 -91 -532 673 519 -60 3574 1615 418 -2170 2698 -849 660 945 1102 -5545 2319 2582 2561 1423 -1988 -2209 -309 -1309 -1168 1815
+2509 -499 -303 451 261 -187 1786 904 -318 980 93 -1579 766 -1332 -1494 -973 1747 -294 513 12 -138 211 -1408 -2915 1019 1555 -2782 -1230 1219 150 -721 331 -1247 -1615 4847 -437 -2705 -1148 -278 -442 -1882 -1225 55 887 -290 -825 711 959 -714 -438 2960 2065 -831 -708 -1368 77 -77 -304 -514 -50 629 -2872 -883 -683 678 973 384 -3 2863 -536 -2580 -1400 203 -151 1176 -54 -576 658 551 92 3755 1678 393 -2214 2815 -901 591 954 1089 -5556 2346 2604 2632 1402 -2099 -2311 -388 -1258 -1134 1846
+2494 -515 -286 408 149 -253 1759 1011 -263 977 139 -1598 770 -1385 -1463 -946 1776 -281 397 44 -73 205 -1472 -2891 1014 1726 -2882 -1243 1311 215 -732 283 -1235 -1606 4871 -466 -2719 -1169 -328 -496 -1929 -1222 -39 820 -236 -842 836 1025 -834 -415 2901 2167 -918 -735 -1335 135 1 -336 -661 -176 745 -2980 -1081 -1020 577 923 352 61 2959 -478 -2609 -1401 419 -116 1172 -29 -653 649 606 186 3737 1692 404 -2196 2986 -1018 546 992 1040 -5460 2368 2562 2648 1382 -2193 -2397 -449 -1249 -1084 1960
+2429 -609 -294 407 145 -294 1681 961 -234 1041 45 -1700 814 -1342 -1551 -936 1877 -279 320 77 -55 169 -1316 -2957 928 1773 -2927 -1286 1277 152 -815 335 -1177 -1594 4999 -418 -2744 -1183 -487 -582 -1868 -1267 -165 772 -288 -818 924 997 -864 -279 2832 2141 -935 -678 -1268 188 -5 -408 -809 -268 816 -3121 -1237 -1171 373 900 403 37 2861 -461 -2597 -1269 552 -67 1082 33 -713 574 576 160 3570 1651 449 -2177 3122 -995 582 997 979 -5423 2443 2551 2679 1453 -2190 -2444 -469 -1201 -985 2025
+2454 -666 -287 444 190 -232 1749 866 -260 1114 -30 -1831 946 -1251 -1481 -910 1992 -271 338 38 -81 230 -1172 -3195 807 1826 -2963 -1378 1234 128 -874 434 -1077 -1524 5078 -391 -2767 -1207 -656 -665 -1785 -1326 -178 779 -341 -781 956 1035 -841 -269 2665 2075 -860 -595 -1199 232 -125 -470 -864 -240 885 -3044 -1215 -1033 288 903 396 -88 2730 -446 -2520 -1116 471 -55 934 34 -650 579 579 84 3442 1564 431 -2189 3217 -834 668 1000 1035 -5487 2562 2574 2663 1469 -2087 -2411 -428 -1181 -931 2009
+2563 -591 -323 504 312 -154 1812 698 -377 1108 -113 -1972 1024 -1142 -1498 -954 2103 -175 426 -40 -98 405 -1217 -3392 826 1902 -2975 -1449 1211 179 -913 454 -1056 -1477 5116 -410 -2785 -1198 -744 -679 -1717 -1407 -167 893 -396 -751 913 923 -678 -431 2623 1950 -831 -551 -1190 243 -226 -463 -785 -145 853 -2827 -971 -881 449 997 379 -221 2808 -386 -2483 -1094 351 11 888 -42 -594 605 595 -7 3470 1616 440 -2226 3047 -725 722 966 1083 -5518 2598 2534 2600 1452 -2009 -2379 -378 -1173 -893 1927
+2644 -551 -347 546 387 -69 1901 649 -439 1141 -151 -2029 1106 -1193 -1407 -956 2128 -211 558 -64 -73 510 -1441 -3400 936 1953 -3064 -1490 1338 293 -840 437 -1160 -1459 5091 -514 -2814 -1204 -628 -583 -1860 -1386 -81 944 -370 -830 839 926 -633 -622 2685 1982 -864 -578 -1242 236 -209 -403 -646 -11 811 -2756 -863 -939 619 1023 296 -246 2996 -349 -2530 -1243 242 -64 916 -101 -616 648 687 72 3577 1628 393 -2267 2936 -709 715 966 1040 -5702 2701 2616 2669 1422 -2072 -2428 -378 -1240 -935 1940
+2586 -524 -357 544 414 -108 1927 670 -527 1131 -124 -2037 947 -1296 -1427 -1041 2063 -221 565 -63 9 482 -1617 -3269 939 2091 -3105 -1473 1404 263 -829 421 -1280 -1504 5053 -574 -2835 -1201 -478 -474 -2087 -1349 36 1037 -333 -925 860 951 -578 -609 2763 2131 -896 -693 -1387 199 -175 -392 -553 79 756 -2859 -944 -1139 628 999 238 -139 3210 -342 -2623 -1425 326 -191 1141 10 -785 565 701 137 3653 1712 502 -2148 2800 -912 618 1007 1047 -5924 2763 2698 2674 1342 -2013 -2402 -444 -1367 -983 1978
+2452 -755 -277 517 320 -187 1912 730 -548 1225 -76 -2140 852 -1357 -1391 -983 1998 -293 528 -15 17 468 -1615 -3170 993 2009 -3125 -1450 1419 123 -827 437 -1319 -1532 5042 -526 -2829 -1190 -331 -430 -2248 -1299 87 1022 -215 -1041 899 1014 -561 -480 2824 2228 -812 -717 -1487 61 -207 -421 -594 76 847 -2988 -1107 -1217 500 982 261 -115 3174 -391 -2706 -1532 489 -269 1399 53 -935 448 621 40 3578 1783 646 -2001 2787 -1090 526 1007 1067 -6047 2807 2747 2690 1354 -2082 -2435 -485 -1458 -1174 2148
+2371 -852 -264 453 321 -117 1902 746 -488 1395 0 -2206 753 -1403 -1401 -984 1901 -265 471 -26 25 398 -1441 -3258 1047 1964 -3000 -1394 1367 -14 -842 526 -1221 -1565 5139 -279 -2790 -1196 -398 -500 -2229 -1315 89 1058 -194 -1126 946 1071 -637 -343 2812 2185 -691 -650 -1489 -45 -324 -525 -699 25 988 -3046 -1117 -1107 411 1036 358 -188 2883 -485 -2710 -1467 570 -272 1511 32 -934 453 566 -55 3486 1818 753 -1933 2895 -1108 565 1077 1225 -6063 2791 2732 2615 1338 -2015 -2366 -502 -1457 -1258 2206
+2477 -804 -317 402 335 -45 1894 765 -423 1388 67 -2243 794 -1317 -1449 -975 1834 -283 411 -98 -92 422 -1326 -3499 1205 1972 -2934 -1376 1349 -74 -873 519 -1160 -1603 5203 -56 -2728 -1205 -535 -626 -2101 -1374 29 1024 -173 -1088 886 1008 -650 -472 2814 2018 -621 -550 -1423 -122 -469 -554 -759 -26 1076 -2928 -1014 -999 442 1088 422 -335 2627 -540 -2691 -1391 498 -121 1452 -56 -832 563 590 -72 3470 1798 682 -2145 2936 -1076 620 1111 1397 -6053 2741 2687 2549 1292 -2123 -2345 -426 -1370 -1309 2162
+2631 -669 -361 376 428 25 1866 780 -338 1351 -18 -2189 892 -1155 -1616 -1002 1904 -256 389 -172 -217 488 -1372 -3614 1271 1968 -3005 -1407 1422 46 -876 448 -1165 -1597 5173 33 -2737 -1248 -674 -677 -1970 -1428 -71 928 -274 -1035 786 951 -590 -742 2812 1946 -647 -505 -1333 -64 -461 -558 -781 -67 1078 -2778 -989 -1098 481 1117 407 -401 2535 -563 -2671 -1270 338 67 1285 -280 -642 756 694 9 3541 1761 578 -2350 2868 -1033 626 1132 1476 -6045 2572 2634 2494 1146 -2125 -2260 -347 -1293 -1218 2129
+2745 -481 -390 363 486 46 1875 825 -307 1268 -176 -1985 1089 -1070 -1811 -986 2020 -288 383 -184 -270 513 -1487 -3469 1093 2001 -3086 -1451 1462 180 -879 367 -1218 -1673 5127 -55 -2788 -1268 -682 -650 -1952 -1485 -163 849 -283 -952 764 904 -498 -899 2787 1943 -790 -562 -1313 -48 -359 -503 -823 -120 1038 -2778 -1096 -1342 476 1074 345 -365 2721 -533 -2715 -1242 233 18 1206 -398 -600 840 842 274 3608 1698 468 -2464 2858 -1110 539 1107 1437 -6059 2580 2664 2533 1015 -2294 -2271 -255 -1236 -1128 2135
+2648 -579 -269 428 447 -26 1908 934 -314 1224 -279 -1787 1237 -1093 -1915 -950 2119 -365 438 -130 -237 465 -1542 -3184 783 1935 -3144 -1478 1399 229 -904 311 -1210 -1707 5067 -272 -2832 -1223 -606 -513 -2009 -1410 -178 719 -265 -941 805 974 -426 -756 2790 1973 -947 -653 -1338 21 -247 -481 -804 -164 883 -2889 -1198 -1468 476 1079 304 -290 2945 -539 -2852 -1300 294 -110 1234 -347 -724 734 862 458 3590 1686 506 -2390 2869 -1110 469 1049 1335 -6127 2620 2761 2614 1014 -2361 -2306 -199 -1216 -1178 2197
+2548 -785 -79 492 332 -137 1933 1004 -326 1143 -242 -1664 1217 -1202 -1890 -883 2168 -332 448 -150 -205 464 -1569 -3119 555 1878 -3136 -1544 1240 172 -942 367 -1200 -1690 5078 -459 -2888 -1178 -454 -434 -2066 -1284 -152 661 -147 -944 900 1105 -486 -526 2681 2019 -950 -681 -1394 -48 -183 -501 -812 -129 849 -3056 -1216 -1300 432 1061 274 -329 2967 -576 -2961 -1346 421 -352 1337 -298 -784 661 835 465 3600 1722 556 -2330 3021 -1038 472 1033 1302 -6116 2733 2852 2685 1167 -2297 -2337 -191 -1246 -1353 2244
+2604 -836 13 524 291 -174 1949 954 -373 1039 -45 -1726 1113 -1279 -1791 -878 2102 -343 479 -216 -216 548 -1573 -3333 530 1868 -3100 -1584 1125 162 -984 419 -1168 -1610 5188 -578 -2871 -1114 -385 -440 -2090 -1244 -76 691 -63 -992 857 1126 -526 -546 2688 2047 -955 -704 -1466 -187 -335 -565 -883 -151 978 -3092 -1108 -1040 483 1044 286 -397 2944 -569 -3005 -1420 409 -473 1417 -272 -735 665 847 470 3692 1775 597 -2254 3130 -885 575 980 1294 -6132 2896 2977 2785 1397 -2201 -2395 -229 -1252 -1459 2283
+2784 -774 -21 569 284 -199 1933 929 -405 899 49 -1802 871 -1292 -1752 -914 1991 -351 442 -326 -277 581 -1575 -3639 761 1931 -3109 -1560 1209 212 -968 390 -1251 -1549 5322 -614 -2887 -1121 -358 -454 -2098 -1257 54 764 -105 -1052 731 1159 -582 -794 2801 2027 -893 -683 -1550 -309 -447 -587 -902 -92 1162 -3034 -1010 -937 564 1069 332 -459 2795 -569 -2976 -1488 275 -331 1409 -434 -602 823 866 348 3789 1845 600 -2373 3122 -705 606 938 1342 -6060 2972 3015 2846 1567 -2104 -2443 -270 -1174 -1468 2339
+2859 -661 -187 512 302 -143 1883 867 -462 797 43 -1978 738 -1217 -1793 -955 1911 -425 337 -335 -317 510 -1525 -3748 898 2092 -3221 -1522 1353 290 -971 339 -1372 -1632 5472 -575 -2925 -1177 -389 -442 -2072 -1330 132 803 -202 -1081 574 1088 -609 -1020 2968 2041 -935 -702 -1605 -379 -589 -613 -911 -59 1310 -3014 -1085 -1076 632 1061 376 -353 2667 -598 -2929 -1528 82 -68 1333 -473 -489 939 888 301 3756 1802 588 -2434 2984 -717 593 928 1270 -5983 3026 3021 2921 1635 -2056 -2492 -332 -1111 -1321 2326
+2821 -658 -265 474 319 -163 1784 808 -502 884 -111 -2099 696 -1057 -1892 -995 1911 -466 177 -270 -269 351 -1443 -3533 907 2121 -3305 -1470 1412 222 -971 394 -1508 -1788 5579 -517 -2945 -1230 -404 -467 -2083 -1390 110 796 -289 -1082 553 1067 -579 -975 3035 2037 -888 -677 -1661 -391 -510 -522 -835 -51 1175 -3163 -1245 -1192 749 1132 437 -212 2642 -622 -2874 -1494 -27 107 1293 -502 -525 958 856 262 3633 1792 640 -2429 2937 -836 524 950 1204 -5952 3158 3034 2947 1534 -2129 -2500 -292 -1065 -1267 2337
+2595 -796 -296 450 304 -127 1753 820 -464 1158 -261 -2095 801 -1049 -1896 -1034 2052 -442 166 -203 -201 315 -1498 -3351 906 2144 -3261 -1422 1396 73 -934 497 -1504 -1894 5578 -356 -2953 -1272 -446 -554 -1982 -1365 3 748 -285 -1015 680 1107 -547 -686 3049 2028 -807 -606 -1635 -385 -418 -456 -752 -91 946 -3235 -1284 -1121 799 1178 482 -132 2692 -641 -2876 -1476 55 22 1333 -373 -682 861 818 308 3515 1777 731 -2428 2794 -945 435 923 1201 -5998 3249 3047 2914 1414 -2236 -2487 -229 -1058 -1264 2409
+2506 -891 -170 473 249 -164 1793 828 -400 1432 -230 -2166 1117 -1153 -1786 -983 2169 -436 272 -216 -151 434 -1624 -3356 977 2041 -3163 -1464 1291 -34 -924 638 -1381 -1967 5609 -191 -2932 -1300 -563 -602 -1918 -1319 -110 718 -195 -980 860 1163 -568 -520 3070 2023 -766 -547 -1612 -417 -301 -434 -713 -133 792 -3288 -1197 -872 816 1210 501 -222 2742 -638 -2908 -1463 241 -176 1373 -284 -838 776 849 409 3574 1801 747 -2470 2796 -897 487 938 1254 -6139 3327 3054 2893 1362 -2353 -2509 -231 -1164 -1260 2473
+2646 -960 -150 487 238 -145 1843 807 -337 1510 -60 -2181 1273 -1291 -1791 -976 2242 -357 418 -311 -166 580 -1689 -3537 996 2007 -3161 -1559 1262 31 -964 697 -1226 -1908 5557 -36 -2878 -1289 -664 -701 -1809 -1268 -188 664 -103 -958 953 1193 -661 -585 3128 1997 -755 -481 -1540 -374 -274 -496 -821 -181 899 -3239 -1175 -802 654 1088 421 -322 2811 -602 -2960 -1454 487 -379 1418 -194 -820 751 870 429 3652 1786 658 -2596 2772 -830 531 969 1433 -6188 3253 2991 2846 1296 -2553 -2588 -296 -1268 -1238 2531
+2772 -839 -216 509 322 -66 1902 769 -350 1489 41 -2231 1255 -1396 -1776 -953 2255 -317 478 -368 -203 643 -1643 -3672 980 2055 -3271 -1611 1433 190 -944 622 -1160 -1788 5444 -15 -2845 -1227 -623 -721 -1827 -1251 -101 699 -167 -984 924 1142 -657 -722 3125 2019 -826 -465 -1428 -251 -317 -591 -979 -215 1143 -3205 -1192 -1075 452 985 335 -362 2932 -523 -3016 -1547 542 -396 1334 -234 -758 769 933 391 3670 1719 542 -2672 2715 -820 572 984 1439 -6247 3168 2954 2822 1230 -2620 -2620 -389 -1326 -1185 2597
+2840 -709 -287 517 373 -50 1994 796 -411 1383 67 -2350 1115 -1404 -1828 -900 2263 -327 427 -315 -216 613 -1543 -3583 793 2056 -3452 -1662 1585 311 -980 520 -1143 -1722 5346 -2 -2836 -1185 -630 -827 -1840 -1310 -39 794 -330 -970 907 1101 -720 -744 3139 1987 -964 -488 -1382 -162 -345 -637 -1075 -207 1314 -3134 -1278 -1438 357 970 256 -386 3032 -433 -3039 -1685 439 -212 1299 -170 -651 781 941 248 3624 1740 594 -2627 2757 -1008 557 1096 1428 -6246 3056 2875 2783 1124 -2671 -2639 -432 -1272 -1129 2644
+2822 -631 -376 581 447 -34 2084 806 -493 1308 10 -2381 915 -1347 -1803 -888 2214 -356 305 -230 -164 525 -1535 -3358 685 2011 -3427 -1647 1585 222 -1008 474 -1171 -1782 5303 -21 -2857 -1169 -638 -778 -1919 -1371 23 863 -454 -956 935 1102 -751 -519 2998 1948 -972 -473 -1359 -51 -348 -638 -1046 -209 1212 -3182 -1255 -1595 460 1143 269 -422 3011 -383 -2984 -1659 339 -6 1294 -98 -666 721 904 138 3511 1753 738 -2481 2886 -1138 502 1137 1410 -6375 3025 2907 2737 1056 -2574 -2571 -388 -1170 -1158 2611
+2709 -748 -354 616 409 -60 2099 864 -519 1272 -67 -2357 762 -1312 -1749 -953 2149 -311 182 -126 -130 482 -1666 -3246 774 2026 -3317 -1562 1507 75 -1019 516 -1178 -1873 5326 47 -2867 -1212 -755 -743 -1992 -1370 64 1021 -409 -935 1025 1192 -750 -298 2953 1980 -960 -523 -1431 5 -241 -526 -888 -147 998 -3220 -1158 -1333 674 1283 267 -533 2963 -361 -2915 -1607 341 69 1413 -90 -699 725 922 113 3436 1728 817 -2465 2938 -1118 594 1212 1387 -6531 3077 2980 2709 1103 -2424 -2504 -318 -1099 -1131 2527
+2700 -809 -350 596 329 -49 2105 930 -473 1148 -82 -2187 803 -1331 -1699 -999 2169 -341 148 -165 -136 564 -1831 -3236 963 1910 -3155 -1456 1407 -40 -1011 559 -1201 -1847 5401 65 -2864 -1225 -851 -756 -2083 -1367 27 1022 -291 -982 1052 1302 -820 -302 2964 2146 -929 -616 -1539 -6 -205 -496 -790 -117 895 -3275 -1010 -1141 700 1250 226 -687 2894 -385 -2886 -1567 466 32 1478 -101 -784 756 988 310 3547 1753 808 -2580 2869 -939 732 1225 1374 -6703 3167 3120 2797 1315 -2231 -2466 -285 -1126 -1092 2552
+2740 -893 -349 521 221 -36 2052 960 -404 1028 -72 -1996 981 -1315 -1774 -1047 2275 -382 161 -285 -239 601 -1772 -3342 1083 1900 -3190 -1422 1423 -7 -1052 533 -1264 -1794 5547 89 -2915 -1296 -875 -769 -2193 -1421 -28 953 -235 -1060 920 1314 -844 -511 3074 2322 -889 -690 -1602 -12 -227 -524 -770 -57 963 -3334 -1121 -1211 603 1132 232 -696 2884 -458 -2945 -1605 683 47 1511 -206 -796 842 998 387 3659 1694 659 -2736 2854 -797 781 1174 1424 -6800 3104 3169 2855 1415 -2164 -2460 -236 -1176 -1125 2546
+2732 -872 -455 437 214 32 1938 938 -367 960 -30 -1793 1046 -1399 -1843 -1067 2324 -472 196 -359 -284 549 -1576 -3470 1125 1871 -3351 -1431 1491 112 -1091 439 -1331 -1764 5653 -16 -2983 -1354 -776 -754 -2281 -1497 -89 923 -253 -1072 827 1211 -880 -560 3162 2369 -843 -723 -1610 3 -291 -622 -839 1 1167 -3398 -1272 -1355 495 1083 271 -556 2886 -537 -3028 -1699 711 39 1388 -296 -823 868 945 324 3639 1607 522 -2838 2801 -757 730 1125 1350 -6712 3040 3179 3005 1562 -2252 -2537 -186 -1155 -1238 2614
+2743 -743 -535 452 298 11 1886 981 -366 955 -22 -1694 1042 -1442 -1932 -1026 2418 -480 196 -404 -252 511 -1504 -3502 1088 1867 -3495 -1529 1523 172 -1125 398 -1397 -1773 5714 -232 -3075 -1379 -622 -743 -2328 -1589 -118 818 -437 -932 800 1181 -920 -492 3238 2256 -768 -646 -1542 18 -333 -636 -920 -9 1332 -3376 -1371 -1435 634 1223 371 -434 2877 -590 -3118 -1785 623 108 1286 -359 -820 856 856 177 3550 1571 512 -2831 2913 -903 609 1105 1320 -6611 2966 3145 3060 1552 -2329 -2577 -163 -1029 -1341 2568
+2804 -632 -536 540 396 -9 1899 998 -391 1145 22 -1821 919 -1402 -1905 -1021 2402 -457 189 -376 -132 491 -1697 -3496 1100 1927 -3534 -1627 1447 158 -1113 437 -1420 -1802 5711 -382 -3129 -1374 -483 -832 -2297 -1665 -156 814 -566 -800 895 1233 -989 -328 3275 2140 -680 -583 -1469 74 -270 -605 -923 -64 1276 -3331 -1252 -1183 893 1372 411 -477 2790 -588 -3157 -1788 470 148 1187 -423 -764 832 778 79 3558 1620 592 -2769 2951 -935 535 1100 1321 -6412 2941 3071 3092 1598 -2483 -2668 -152 -901 -1472 2522
+2899 -609 -430 648 414 -86 2016 1027 -390 1330 90 -2077 759 -1366 -1799 -1038 2359 -323 160 -308 -9 583 -2015 -3464 1064 2019 -3471 -1706 1361 170 -1081 482 -1400 -1836 5683 -399 -3108 -1339 -521 -811 -2233 -1618 -181 858 -458 -716 958 1364 -964 -377 3307 2125 -780 -625 -1417 120 -159 -486 -869 -153 1063 -3345 -1127 -1024 1001 1389 392 -620 2722 -577 -3201 -1742 446 148 1176 -379 -704 867 891 214 3679 1681 684 -2753 2962 -849 593 1052 1286 -6281 3019 3044 3082 1641 -2586 -2738 -201 -866 -1377 2513
+2952 -670 -301 765 411 -134 2075 950 -409 1441 80 -2253 740 -1331 -1657 -1000 2308 -305 143 -250 76 613 -2128 -3457 995 2176 -3420 -1670 1392 281 -1050 538 -1316 -1848 5673 -283 -3051 -1297 -647 -753 -2271 -1529 -140 932 -243 -815 987 1449 -913 -478 3268 2250 -864 -730 -1427 232 14 -447 -830 -180 927 -3333 -1202 -1045 837 1230 356 -664 2766 -583 -3270 -1690 596 74 1295 -390 -627 922 1002 398 3898 1734 643 -2946 2890 -678 682 1030 1305 -6277 3060 3015 3043 1653 -2639 -2780 -318 -950 -1144 2544
+2920 -845 -163 764 290 -178 2122 900 -427 1370 48 -2362 829 -1294 -1702 -1032 2297 -350 82 -256 0 582 -1966 -3454 834 2232 -3454 -1620 1465 345 -1071 540 -1243 -1826 5614 -112 -2979 -1252 -778 -699 -2379 -1455 -72 943 -100 -916 859 1466 -942 -537 3187 2379 -950 -834 -1466 272 39 -498 -831 -126 921 -3399 -1384 -1280 585 1065 309 -598 2846 -638 -3387 -1721 774 -36 1377 -279 -591 897 1018 479 3975 1703 561 -3090 2781 -656 719 1019 1286 -6304 3085 3019 3064 1629 -2695 -2797 -365 -1066 -951 2695
+2849 -921 -170 758 267 -193 2109 786 -554 1350 -116 -2408 1018 -1346 -1785 -1075 2308 -465 92 -288 -110 552 -1788 -3549 793 2347 -3468 -1562 1542 315 -1118 517 -1198 -1838 5589 55 -2944 -1221 -838 -650 -2414 -1454 -56 909 -157 -991 770 1397 -862 -524 3095 2357 -994 -866 -1501 226 -85 -554 -835 -12 1065 -3400 -1413 -1496 503 1096 325 -446 2974 -672 -3449 -1808 776 -127 1417 -205 -586 861 969 377 3907 1614 448 -3193 2775 -787 699 1087 1224 -6431 3094 3052 3094 1542 -2706 -2778 -369 -1171 -957 2757
+2770 -910 -250 765 355 -132 2098 704 -638 1391 -239 -2437 1220 -1451 -1923 -1095 2364 -495 197 -348 -194 587 -1847 -3695 876 2405 -3426 -1542 1510 194 -1130 567 -1129 -1871 5559 159 -2924 -1213 -863 -709 -2379 -1511 23 939 -285 -949 760 1338 -802 -440 3112 2240 -938 -843 -1574 84 -235 -609 -839 45 1167 -3378 -1305 -1353 690 1263 393 -357 2985 -699 -3457 -1912 622 -79 1369 -194 -600 847 854 199 3747 1558 437 -3173 2862 -891 679 1158 1152 -6493 3182 3103 3116 1515 -2739 -2805 -368 -1269 -1103 2774
+2888 -812 -282 738 398 -73 2101 725 -656 1394 -216 -2525 1339 -1468 -1983 -1073 2405 -473 274 -372 -197 607 -2040 -3646 1003 2409 -3389 -1558 1454 22 -1077 630 -1153 -1934 5506 165 -2939 -1235 -809 -700 -2298 -1549 60 970 -421 -932 856 1357 -842 -501 3230 2146 -967 -827 -1620 25 -295 -543 -765 89 1151 -3314 -1070 -1136 946 1424 450 -415 2836 -692 -3384 -1937 340 -6 1302 -393 -692 869 836 191 3775 1626 523 -3050 2909 -948 780 1275 1146 -6647 3189 3161 3120 1532 -2679 -2828 -417 -1351 -1184 2764
+2997 -862 -230 689 408 -3 2091 792 -557 1389 -120 -2583 1285 -1387 -2029 -993 2449 -393 328 -338 -109 593 -2188 -3407 1006 2330 -3413 -1592 1514 54 -1000 636 -1203 -1953 5511 87 -2969 -1252 -708 -687 -2201 -1516 43 945 -396 -938 947 1409 -901 -598 3369 2209 -1016 -866 -1701 -26 -273 -472 -705 90 1050 -3339 -1026 -1098 934 1350 429 -529 2651 -679 -3321 -1915 245 94 1297 -525 -806 923 882 220 3860 1686 554 -2999 2897 -850 967 1378 1193 -6796 3103 3141 3055 1561 -2614 -2854 -485 -1360 -1194 2803
+2946 -1048 -103 580 284 44 2090 953 -350 1257 83 -2547 1182 -1329 -2026 -913 2426 -358 272 -221 -18 562 -2082 -3207 941 2276 -3522 -1591 1640 195 -915 601 -1291 -1897 5560 6 -3046 -1314 -593 -661 -2121 -1402 -24 792 -236 -995 964 1492 -871 -726 3528 2402 -1078 -912 -1716 30 -137 -387 -621 94 921 -3431 -1103 -1277 719 1216 396 -591 2642 -635 -3321 -1901 299 98 1325 -584 -900 885 917 307 3970 1759 615 -2916 2920 -676 1033 1325 1190 -7022 3000 3127 3044 1543 -2537 -2829 -505 -1296 -1128 2878
+2827 -1182 -57 497 251 86 2051 1063 -197 1162 199 -2374 975 -1223 -2073 -873 2363 -354 203 -239 -50 491 -1860 -3242 855 2213 -3634 -1681 1675 291 -933 560 -1320 -1892 5705 -100 -3095 -1333 -454 -604 -2166 -1276 -1 718 -71 -1075 897 1472 -813 -763 3524 2457 -1043 -876 -1724 7 -73 -403 -599 137 913 -3473 -1260 -1406 581 1158 383 -530 2758 -617 -3379 -1851 495 -15 1446 -447 -967 818 900 194 3846 1724 644 -2890 2959 -710 993 1345 1204 -7131 2843 3075 3011 1443 -2471 -2731 -405 -1209 -1200 2921
+2736 -1147 -106 485 292 64 1977 1141 -176 1136 163 -2101 815 -1206 -2059 -946 2272 -438 123 -285 -163 490 -1721 -3426 878 2111 -3642 -1766 1564 234 -1052 572 -1346 -1854 5852 -157 -3171 -1379 -459 -562 -2200 -1303 -29 693 -143 -1088 839 1369 -675 -727 3557 2328 -1012 -729 -1599 5 -99 -488 -675 86 996 -3412 -1256 -1323 610 1246 388 -434 2953 -599 -3429 -1833 573 -169 1473 -287 -956 735 826 -47 3651 1664 674 -2825 3129 -806 818 1223 1139 -7124 2815 3032 3030 1409 -2527 -2690 -265 -1023 -1199 2847
+2881 -1005 -281 492 407 56 1960 1135 -271 1193 41 -1880 777 -1283 -2055 -1065 2158 -513 114 -339 -244 483 -1805 -3572 944 2154 -3591 -1834 1408 45 -1135 565 -1380 -1984 6014 -246 -3181 -1375 -563 -574 -2176 -1387 -10 775 -316 -1062 818 1296 -682 -715 3532 2124 -976 -580 -1512 3 -187 -565 -791 -24 1038 -3346 -1181 -1258 688 1336 330 -526 3116 -523 -3422 -1849 497 -179 1385 -173 -823 754 826 -96 3663 1705 686 -2868 3135 -850 748 1232 1141 -7171 2709 3009 3037 1453 -2571 -2686 -148 -950 -1282 2742
+3068 -842 -395 504 473 7 1937 1054 -431 1195 -72 -1810 889 -1332 -2084 -1138 2171 -589 117 -340 -269 456 -1934 -3401 852 2217 -3561 -1819 1361 -114 -1220 500 -1490 -2061 6082 -322 -3189 -1343 -655 -637 -2170 -1514 40 837 -471 -1112 813 1328 -705 -824 3633 2131 -1055 -579 -1498 23 -157 -529 -878 -145 1028 -3417 -1118 -1255 677 1287 254 -664 3079 -495 -3375 -1871 349 -129 1304 -144 -704 810 933 116 3833 1748 659 -2881 3035 -850 812 1269 1240 -7115 2740 3032 3077 1612 -2729 -2811 -137 -955 -1245 2781
+3136 -796 -369 486 382 -33 2011 1035 -520 1099 -147 -1910 966 -1304 -2169 -1179 2310 -562 156 -333 -191 428 -2005 -3142 752 2315 -3623 -1697 1527 -91 -1157 385 -1633 -2050 6036 -414 -3234 -1337 -689 -698 -2178 -1496 56 880 -451 -1146 791 1427 -877 -961 3626 2213 -1192 -654 -1540 75 -114 -501 -909 -179 998 -3485 -1148 -1447 541 1175 202 -809 2940 -480 -3311 -1866 320 27 1278 -228 -615 894 1001 270 4056 1803 595 -2913 2957 -757 920 1317 1320 -7031 2755 3065 3180 1831 -2778 -2920 -189 -1012 -1263 2883
+2969 -970 -278 497 276 -7 2067 1029 -484 1069 -186 -2040 1051 -1259 -2162 -1061 2468 -527 262 -289 -102 445 -1971 -3081 645 2415 -3641 -1566 1728 92 -1082 317 -1655 -1925 5932 -484 -3239 -1324 -635 -695 -2154 -1421 28 814 -262 -1137 810 1483 -839 -928 3568 2410 -1295 -753 -1617 179 -33 -484 -858 -118 956 -3580 -1245 -1631 489 1152 218 -877 2792 -493 -3310 -1905 503 136 1311 -247 -692 867 996 401 4086 1844 607 -2887 2936 -782 941 1333 1335 -6971 2819 3105 3259 1948 -2796 -2984 -261 -1042 -1250 2995
+2792 -1119 -256 552 304 36 2103 1022 -446 1117 -193 -2244 1046 -1293 -2173 -1016 2558 -408 257 -293 -55 534 -1927 -3362 792 2400 -3670 -1529 1777 213 -1067 340 -1554 -1810 5853 -464 -3276 -1336 -549 -624 -2235 -1305 46 758 -136 -1115 826 1508 -781 -813 3452 2531 -1301 -817 -1695 164 -30 -488 -785 21 1038 -3668 -1293 -1523 576 1234 279 -792 2725 -556 -3351 -1887 828 192 1438 -304 -798 819 882 248 4073 1891 642 -2918 3102 -891 826 1320 1325 -6917 2873 3155 3333 1927 -2742 -2944 -274 -984 -1235 2984
+2827 -1066 -328 679 392 44 2170 980 -493 1312 -154 -2510 1114 -1401 -2084 -956 2549 -357 287 -325 -56 605 -1972 -3760 996 2309 -3625 -1548 1623 188 -1119 462 -1367 -1727 5785 -397 -3270 -1356 -531 -618 -2220 -1358 -30 755 -157 -926 886 1454 -687 -681 3437 2439 -1205 -776 -1759 48 -97 -536 -763 100 1148 -3698 -1278 -1311 827 1396 333 -698 2785 -602 -3409 -1961 946 166 1459 -272 -823 804 788 105 4014 1925 709 -2871 3216 -964 664 1195 1248 -6831 2991 3184 3343 1848 -2736 -2906 -270 -854 -1139 2847
+2988 -895 -452 735 493 96 2192 855 -551 1536 -130 -2637 1125 -1534 -1885 -1012 2447 -333 240 -229 -43 599 -1992 -3884 1083 2188 -3598 -1631 1457 179 -1176 599 -1205 -1792 5800 -268 -3256 -1408 -530 -687 -2170 -1468 -94 796 -266 -806 961 1451 -686 -684 3515 2419 -1090 -734 -1803 -39 -150 -551 -823 21 1191 -3781 -1312 -1126 989 1393 333 -676 2849 -647 -3466 -2001 902 92 1432 -336 -781 892 814 68 4065 1962 696 -2948 3249 -896 684 1194 1207 -6831 3153 3262 3374 1853 -2744 -2932 -306 -904 -998 2831
+3153 -768 -442 770 504 97 2257 759 -606 1617 -103 -2686 1178 -1656 -1814 -1129 2346 -359 186 -113 12 491 -1977 -3663 1075 2129 -3667 -1650 1508 229 -1149 651 -1211 -1895 5835 -97 -3230 -1442 -650 -755 -2093 -1591 -107 890 -373 -816 987 1499 -757 -724 3563 2321 -942 -635 -1760 -146 -272 -592 -954 -150 1191 -3841 -1340 -1133 1014 1304 307 -742 2913 -643 -3500 -2063 787 -18 1413 -356 -668 992 903 196 4216 1989 644 -3011 3203 -704 825 1211 1211 -6861 3298 3337 3387 1826 -2835 -2984 -321 -904 -922 2918
+3156 -785 -318 722 362 39 2215 723 -541 1589 -118 -2560 1286 -1558 -1845 -1203 2425 -443 187 -110 -3 397 -1977 -3426 976 2218 -3776 -1655 1648 285 -1090 617 -1333 -1990 5828 -42 -3245 -1465 -660 -807 -2182 -1667 -90 978 -369 -922 978 1443 -790 -707 3619 2349 -859 -608 -1726 -109 -191 -574 -1019 -291 1134 -3875 -1382 -1156 933 1182 293 -797 2873 -657 -3550 -2083 711 -36 1489 -329 -666 1006 955 340 4323 2021 669 -2902 3101 -675 995 1303 1235 -6898 3293 3353 3380 1725 -2906 -3015 -350 -1036 -994 3106
+3090 -843 -256 698 204 -45 2177 769 -450 1470 -123 -2386 1253 -1444 -1917 -1252 2480 -423 185 -193 -54 394 -1999 -3471 1056 2306 -3821 -1684 1748 353 -1057 554 -1460 -2065 5854 -102 -3262 -1430 -613 -746 -2384 -1647 -27 956 -300 -1050 934 1424 -881 -642 3659 2322 -814 -587 -1645 -32 -101 -506 -972 -254 1110 -3860 -1390 -1143 918 1242 345 -801 2796 -671 -3602 -2032 790 -36 1592 -251 -669 947 942 399 4324 2044 714 -2762 3201 -757 947 1346 1307 -7027 3274 3382 3382 1580 -3069 -3047 -304 -1111 -1254 3242
+3002 -855 -277 743 170 -117 2155 840 -406 1461 -95 -2348 1147 -1266 -1987 -1228 2566 -419 297 -303 -163 559 -2055 -3718 1158 2396 -3714 -1741 1694 244 -1059 508 -1489 -2051 5935 -217 -3272 -1370 -537 -580 -2588 -1602 12 977 -201 -1109 951 1277 -813 -530 3707 2310 -865 -626 -1626 7 -62 -553 -956 -173 1158 -3758 -1409 -1133 954 1388 413 -732 2803 -658 -3638 -1996 913 29 1589 -228 -611 893 899 402 4303 2061 764 -2641 3359 -923 834 1362 1302 -7122 3165 3331 3336 1496 -3112 -3054 -325 -1193 -1401 3266
+3117 -833 -295 846 270 -133 2185 953 -413 1473 -55 -2369 1010 -1255 -2020 -1185 2610 -336 366 -358 -193 655 -2005 -3901 1182 2328 -3538 -1752 1522 93 -1088 532 -1449 -1929 5990 -326 -3259 -1317 -539 -487 -2672 -1640 -3 1025 -289 -1118 1035 1276 -772 -487 3746 2288 -935 -685 -1652 -33 -63 -589 -980 -130 1230 -3696 -1436 -1274 944 1465 431 -664 2921 -611 -3638 -1978 944 121 1552 -305 -588 927 868 299 4302 2091 742 -2670 3505 -963 708 1298 1339 -7267 3129 3305 3288 1520 -3088 -3060 -346 -1184 -1422 3218
+3190 -746 -356 880 378 -21 2286 1043 -477 1402 -17 -2451 961 -1402 -1987 -1098 2582 -266 382 -236 -135 641 -1873 -3797 1108 2252 -3436 -1686 1460 24 -1077 529 -1457 -1779 6004 -440 -3245 -1266 -498 -531 -2571 -1689 -50 1107 -409 -1057 1082 1279 -737 -509 3643 2227 -947 -725 -1710 -99 -79 -663 -1053 -112 1318 -3698 -1460 -1456 805 1363 354 -699 2967 -587 -3592 -2000 712 167 1359 -490 -506 1030 938 295 4399 2104 684 -2783 3539 -898 726 1236 1359 -7327 3126 3240 3159 1532 -2935 -2993 -334 -1040 -1256 3128
+3234 -819 -356 845 390 78 2344 1154 -494 1255 -20 -2365 912 -1563 -1910 -1045 2516 -265 297 -88 -38 562 -1813 -3524 942 2240 -3506 -1706 1560 128 -1045 473 -1483 -1680 5960 -531 -3295 -1268 -502 -518 -2454 -1774 -108 1068 -466 -995 1125 1368 -788 -507 3464 2284 -912 -786 -1815 -248 -242 -653 -1101 -190 1276 -3746 -1438 -1617 677 1276 294 -792 2999 -566 -3501 -2035 344 103 1279 -520 -566 1046 952 258 4444 2166 704 -2776 3531 -790 779 1243 1497 -7451 3103 3226 3082 1541 -2718 -2886 -289 -980 -1125 3149
+3169 -948 -274 777 286 130 2312 1251 -395 1142 -55 -2171 1013 -1681 -1868 -1024 2504 -339 312 -26 4 589 -1913 -3427 957 2258 -3631 -1721 1750 291 -1001 406 -1553 -1619 5872 -581 -3357 -1318 -476 -579 -2362 -1767 -103 1033 -394 -1008 1141 1359 -875 -540 3342 2349 -852 -821 -1876 -277 -356 -666 -1050 -159 1233 -3781 -1358 -1568 728 1345 316 -847 2997 -567 -3483 -2132 123 -34 1337 -375 -750 918 945 227 4344 2177 809 -2677 3433 -864 780 1278 1586 -7489 3089 3200 3091 1527 -2731 -2868 -181 -939 -1221 3174
+3027 -1018 -239 663 265 140 2224 1285 -310 1128 -158 -2026 1152 -1651 -1877 -1065 2490 -417 347 -113 -17 613 -1996 -3556 1084 2294 -3765 -1789 1788 329 -980 388 -1578 -1668 5847 -546 -3369 -1353 -459 -631 -2379 -1699 -79 938 -285 -1062 1159 1332 -977 -539 3402 2417 -930 -873 -1906 -244 -353 -595 -942 -74 1221 -3713 -1289 -1418 802 1454 342 -832 3030 -551 -3532 -2233 65 -194 1477 -224 -861 824 881 125 4243 2201 905 -2559 3418 -1099 722 1388 1626 -7513 3062 3234 3211 1577 -2669 -2849 -132 -999 -1324 3218
+3019 -1057 -314 621 276 84 2123 1252 -298 1329 -266 -1976 1100 -1544 -1999 -1211 2472 -475 378 -216 -93 627 -1984 -3850 1190 2273 -3803 -1822 1671 222 -1073 426 -1534 -1754 5890 -423 -3341 -1365 -450 -665 -2488 -1662 -83 890 -267 -1162 1095 1285 -1093 -488 3579 2471 -924 -873 -1882 -212 -381 -650 -868 57 1288 -3644 -1281 -1433 896 1574 402 -695 3094 -550 -3645 -2301 267 -215 1612 -117 -883 771 855 108 4282 2199 865 -2609 3429 -1342 644 1460 1682 -7489 3087 3295 3337 1678 -2780 -2918 -80 -1017 -1542 3272
+3106 -1050 -377 613 377 66 2081 1113 -358 1484 -342 -2112 1097 -1384 -2071 -1269 2505 -462 451 -286 -158 512 -1792 -3985 1131 2209 -3791 -1837 1570 75 -1142 470 -1475 -1803 5959 -262 -3300 -1371 -460 -629 -2561 -1644 -91 916 -312 -1190 1023 1293 -1004 -478 3704 2420 -926 -817 -1819 -162 -295 -667 -895 55 1337 -3614 -1379 -1520 862 1512 403 -621 3182 -516 -3734 -2277 448 -105 1609 -150 -765 844 849 51 4451 2229 744 -2691 3452 -1334 592 1404 1699 -7456 3153 3349 3443 1827 -2809 -2979 -126 -1077 -1649 3245
+3158 -1034 -383 604 472 122 2131 984 -397 1571 -264 -2362 1098 -1293 -2138 -1240 2533 -398 523 -261 -177 448 -1727 -4034 1062 2305 -3760 -1783 1574 110 -1169 431 -1449 -1859 5998 -210 -3270 -1328 -420 -614 -2554 -1676 -29 1004 -375 -1166 939 1350 -929 -472 3739 2405 -843 -713 -1732 -159 -266 -729 -1015 -41 1396 -3660 -1382 -1615 771 1393 370 -669 3148 -533 -3728 -2075 519 53 1563 -229 -636 898 831 29 4505 2156 586 -2835 3552 -1138 679 1339 1684 -7480 3224 3419 3491 1914 -2868 -3040 -187 -1098 -1659 3227
+3167 -1026 -331 624 500 87 2154 883 -429 1517 -154 -2567 1108 -1301 -2170 -1173 2552 -359 508 -245 -139 473 -1904 -3980 1046 2465 -3758 -1716 1645 190 -1152 378 -1509 -1872 5960 -264 -3319 -1353 -398 -603 -2495 -1672 42 1079 -470 -1132 946 1375 -902 -421 3640 2314 -692 -581 -1659 -205 -324 -721 -1118 -186 1363 -3733 -1345 -1539 786 1372 403 -755 3067 -573 -3677 -1920 454 103 1477 -275 -671 860 822 104 4570 2212 638 -2819 3703 -974 751 1333 1700 -7368 3391 3479 3501 1895 -2891 -3032 -215 -992 -1552 3215
+3157 -982 -307 609 484 97 2161 847 -432 1436 -48 -2664 1190 -1458 -2172 -1134 2496 -355 481 -178 -132 483 -2113 -3950 1075 2649 -3730 -1675 1706 258 -1118 344 -1586 -1943 5958 -411 -3363 -1342 -397 -607 -2372 -1614 70 1045 -422 -1125 942 1318 -933 -557 3561 2358 -597 -495 -1597 -198 -365 -711 -1156 -270 1287 -3812 -1344 -1350 841 1411 422 -773 3007 -640 -3646 -1878 410 91 1424 -289 -796 764 783 156 4566 2228 725 -2729 3810 -965 707 1273 1603 -7343 3458 3519 3486 1743 -2989 -3015 -202 -897 -1434 3164
+3170 -1017 -251 659 439 36 2206 858 -484 1475 6 -2730 1316 -1611 -2055 -1118 2437 -393 442 -163 -144 516 -2168 -4032 1035 2721 -3709 -1742 1653 262 -1141 397 -1561 -1995 5980 -558 -3379 -1303 -417 -633 -2285 -1538 72 994 -338 -1078 1040 1262 -1008 -540 3560 2382 -667 -506 -1589 -90 -345 -681 -1140 -205 1300 -3779 -1414 -1352 907 1525 457 -721 3065 -665 -3689 -2047 530 37 1450 -262 -887 728 798 208 4526 2233 801 -2636 3940 -1031 697 1314 1472 -7231 3550 3524 3457 1647 -3071 -3018 -233 -882 -1203 3169
+3189 -1052 -251 661 452 50 2230 831 -525 1581 -69 -2720 1335 -1650 -2004 -1177 2349 -462 442 -121 -213 509 -1961 -4135 928 2698 -3722 -1807 1580 195 -1202 503 -1528 -2010 6073 -550 -3366 -1269 -470 -652 -2234 -1487 -56 837 -233 -1069 1060 1251 -981 -653 3582 2533 -814 -607 -1596 113 -346 -735 -1068 -102 1308 -3712 -1510 -1558 870 1499 428 -617 3151 -693 -3825 -2345 750 21 1492 -250 -892 805 854 215 4634 2230 717 -2763 3876 -1045 646 1296 1450 -7179 3557 3543 3476 1648 -3219 -3093 -260 -938 -1115 3263
+3178 -1077 -267 697 451 83 2306 835 -621 1589 -151 -2747 1307 -1516 -2047 -1238 2363 -521 481 -154 -313 485 -1716 -4239 854 2592 -3854 -1880 1599 224 -1252 513 -1504 -1962 6157 -437 -3369 -1306 -541 -725 -2225 -1501 -182 864 -295 -1089 1073 1232 -889 -591 3557 2657 -950 -733 -1689 183 -249 -716 -995 -4 1305 -3781 -1602 -1697 827 1405 388 -621 3150 -678 -3878 -2489 919 84 1456 -251 -852 887 897 224 4733 2147 541 -2866 3843 -1002 722 1313 1493 -7275 3490 3535 3464 1665 -3291 -3168 -301 -1082 -1199 3338
+3216 -1106 -326 690 472 138 2309 855 -625 1463 -240 -2648 1179 -1304 -2174 -1297 2397 -525 532 -186 -336 447 -1692 -4258 938 2528 -4017 -1926 1676 303 -1290 505 -1537 -1932 6244 -330 -3368 -1328 -568 -791 -2211 -1586 -234 857 -405 -1109 1027 1216 -742 -561 3523 2672 -959 -760 -1759 144 -273 -726 -990 2 1322 -3903 -1508 -1601 868 1341 326 -799 3022 -649 -3818 -2403 893 147 1428 -216 -771 927 861 133 4717 2127 475 -2942 3830 -907 746 1312 1655 -7481 3458 3577 3514 1714 -3307 -3233 -310 -1153 -1344 3294
+3301 -1014 -378 697 434 52 2241 936 -631 1285 -221 -2547 1056 -1182 -2287 -1290 2437 -491 529 -242 -296 416 -1864 -4173 1125 2502 -4101 -1924 1742 334 -1279 488 -1630 -1983 6253 -326 -3405 -1374 -536 -817 -2249 -1621 -173 925 -496 -1187 924 1163 -660 -757 3564 2589 -890 -715 -1785 94 -323 -715 -1006 -31 1374 -4024 -1386 -1315 1004 1428 380 -931 2873 -653 -3704 -2182 758 256 1351 -122 -693 885 747 31 4675 2153 533 -2882 3878 -963 734 1347 1784 -7656 3371 3574 3550 1712 -3229 -3249 -321 -1129 -1401 3224
+3311 -937 -383 699 384 -37 2164 1085 -531 1167 -110 -2377 929 -1250 -2361 -1272 2481 -387 466 -268 -214 406 -1996 -4077 1275 2449 -4027 -1857 1655 151 -1187 495 -1715 -2059 6225 -400 -3451 -1409 -500 -816 -2264 -1689 -64 1007 -493 -1250 910 1058 -662 -822 3726 2523 -843 -655 -1750 28 -415 -699 -1017 -84 1373 -3992 -1371 -1147 1170 1542 404 -937 2898 -658 -3659 -2013 676 272 1232 -86 -685 848 690 71 4741 2203 627 -2885 3960 -1271 626 1391 1802 -7827 3350 3574 3564 1646 -3105 -3202 -282 -1014 -1331 3183
+3260 -1021 -311 699 277 -176 2109 1236 -468 1217 86 -2253 863 -1529 -2333 -1247 2431 -386 419 -202 -189 369 -1924 -4024 1263 2355 -3908 -1813 1559 -45 -1144 519 -1719 -2168 6213 -382 -3462 -1450 -515 -805 -2361 -1702 -8 1059 -391 -1349 914 1073 -708 -847 3849 2569 -834 -667 -1736 35 -363 -625 -1054 -162 1342 -3920 -1440 -1212 1136 1503 343 -838 3113 -634 -3687 -1982 758 164 1195 -160 -631 870 729 198 4825 2257 696 -2905 3938 -1358 585 1476 1795 -7796 3328 3486 3464 1650 -2878 -3111 -271 -921 -1142 3252
+3280 -1022 -259 736 239 -191 2127 1245 -448 1322 210 -2223 1003 -1750 -2277 -1209 2397 -439 406 -200 -235 382 -1668 -4090 1246 2264 -3797 -1787 1546 -110 -1071 527 -1689 -2131 6232 -329 -3428 -1429 -525 -753 -2411 -1698 -46 1040 -312 -1428 965 1189 -793 -789 3992 2649 -874 -689 -1695 110 -216 -551 -1035 -182 1256 -3895 -1545 -1419 1038 1456 332 -714 3290 -623 -3785 -2138 904 98 1170 -185 -604 893 773 232 4935 2244 630 -2996 3810 -1385 593 1501 1875 -7814 3251 3407 3356 1673 -2703 -3032 -262 -926 -1042 3384
+3327 -976 -228 751 262 -111 2178 1239 -399 1372 156 -2198 1127 -1846 -2174 -1215 2439 -492 448 -187 -275 492 -1623 -4283 1244 2391 -3832 -1863 1649 11 -1059 485 -1649 -2088 6288 -215 -3404 -1399 -584 -757 -2489 -1724 -134 1057 -339 -1429 1001 1311 -779 -795 4019 2584 -907 -701 -1707 82 -121 -512 -1012 -236 1153 -3900 -1536 -1529 855 1328 288 -734 3270 -623 -3829 -2218 991 -18 1204 -225 -685 874 808 190 4857 2141 526 -3102 3777 -1228 778 1560 1844 -7763 3316 3413 3356 1830 -2672 -3031 -251 -965 -1113 3481
+3436 -922 -319 752 307 11 2279 1151 -413 1297 -20 -2279 1235 -1742 -2126 -1287 2479 -539 507 -164 -276 560 -1754 -4348 1316 2500 -3946 -1914 1807 222 -1046 442 -1612 -1992 6265 -186 -3384 -1343 -596 -770 -2499 -1713 -193 1042 -421 -1351 1003 1336 -704 -856 4086 2437 -912 -686 -1724 90 -27 -513 -987 -238 1074 -3955 -1450 -1496 716 1356 322 -871 3112 -603 -3777 -2209 819 -89 1247 -209 -786 832 817 16 4643 2077 545 -3018 3797 -1181 817 1558 1900 -7805 3309 3419 3400 1866 -2838 -3088 -215 -1021 -1308 3466
+3413 -891 -373 785 354 74 2295 1070 -399 1347 -291 -2380 1187 -1487 -2084 -1334 2593 -541 604 -98 -179 616 -1977 -4232 1233 2568 -3988 -1956 1816 319 -1100 439 -1533 -1996 6259 -251 -3400 -1341 -614 -802 -2455 -1741 -218 957 -445 -1189 1038 1327 -658 -941 4191 2356 -1019 -738 -1799 -26 -129 -518 -1032 -285 1100 -4059 -1349 -1462 695 1428 353 -935 2984 -596 -3678 -2164 550 -110 1311 -216 -826 850 820 -112 4515 2048 592 -2907 3890 -1168 769 1496 1842 -7897 3292 3457 3478 1838 -3061 -3174 -245 -1119 -1407 3384
+3325 -960 -367 800 352 130 2365 1017 -409 1479 -361 -2547 1111 -1365 -1978 -1333 2692 -360 662 -45 -5 631 -2076 -4116 1129 2540 -3940 -1932 1738 267 -1113 531 -1485 -1980 6263 -339 -3393 -1311 -647 -925 -2385 -1728 -154 966 -371 -1134 1085 1393 -710 -919 4182 2387 -1124 -826 -1861 -21 -213 -546 -1074 -302 1164 -3975 -1328 -1553 679 1431 307 -900 3094 -555 -3638 -2159 403 -14 1403 -243 -882 852 839 -101 4559 2099 657 -2859 3971 -1191 677 1455 1732 -7918 3375 3532 3586 1813 -3248 -3253 -294 -1152 -1434 3359
+3149 -1102 -349 802 299 128 2441 988 -473 1657 -301 -2725 1045 -1404 -1882 -1279 2706 -173 694 -1 25 597 -1981 -4144 1088 2439 -3864 -1855 1680 190 -1169 589 -1494 -1945 6250 -376 -3441 -1350 -662 -932 -2378 -1726 -86 1019 -212 -1130 1155 1486 -768 -778 4119 2537 -1222 -943 -1984 -110 -305 -515 -1063 -227 1269 -3890 -1382 -1734 700 1411 259 -755 3308 -538 -3646 -2182 364 -8 1489 -317 -848 943 905 13 4709 2158 713 -2870 3900 -1221 662 1479 1707 -7728 3384 3496 3563 1742 -3309 -3239 -319 -1113 -1289 3469
+3116 -1174 -345 808 331 120 2466 933 -562 1761 -147 -2923 1065 -1622 -1814 -1231 2680 -149 676 -77 -45 647 -1913 -4417 1181 2466 -3852 -1791 1704 232 -1200 539 -1552 -1880 6307 -341 -3471 -1391 -639 -881 -2461 -1806 -54 1047 -144 -1175 1149 1589 -856 -671 4008 2634 -1295 -1008 -2081 -127 -221 -416 -946 -135 1193 -3921 -1427 -1752 667 1363 263 -649 3448 -579 -3741 -2209 470 -78 1656 -288 -822 951 871 16 4756 2150 674 -2955 3804 -1091 771 1485 1688 -7586 3454 3523 3572 1815 -3242 -3212 -345 -1151 -1197 3562
+3290 -1175 -402 754 357 85 2467 896 -611 1642 37 -2952 1134 -1762 -1916 -1271 2628 -170 620 -198 -191 633 -1881 -4632 1463 2481 -3936 -1758 1819 305 -1253 432 -1638 -1799 6351 -297 -3489 -1436 -650 -699 -2690 -1890 -66 1111 -200 -1281 1074 1605 -894 -665 3948 2613 -1319 -997 -2082 -181 -233 -397 -809 -75 1044 -4112 -1402 -1631 691 1405 348 -628 3348 -669 -3822 -2148 608 -209 1799 -250 -829 886 803 -47 4590 2111 696 -3039 3837 -982 866 1458 1696 -7482 3478 3547 3564 1806 -3157 -3161 -319 -1071 -1116 3446
+3432 -976 -567 710 450 58 2379 857 -639 1550 88 -2940 1256 -1856 -1984 -1320 2557 -329 487 -163 -259 503 -1841 -4598 1562 2598 -4038 -1724 1899 371 -1291 373 -1690 -1785 6359 -310 -3520 -1449 -557 -554 -2873 -1914 -34 1119 -340 -1286 971 1577 -920 -765 3988 2539 -1236 -927 -2044 -168 -121 -379 -785 -111 960 -4281 -1394 -1292 734 1420 473 -602 3189 -743 -3872 -2035 627 -236 1793 -164 -756 831 752 -93 4460 2020 655 -3047 3919 -934 854 1444 1717 -7477 3516 3592 3612 1803 -3127 -3162 -286 -1033 -1138 3327
+3488 -862 -576 730 498 -12 2303 888 -612 1528 -4 -2824 1332 -1775 -2099 -1354 2574 -470 393 -87 -219 360 -1871 -4339 1451 2639 -4042 -1755 1840 267 -1318 450 -1663 -1926 6365 -252 -3519 -1485 -535 -566 -2910 -1960 -17 1098 -403 -1226 899 1437 -854 -787 4139 2472 -1189 -816 -1924 -150 -128 -445 -888 -176 1026 -4276 -1393 -1194 894 1473 512 -610 3133 -743 -3877 -1935 518 -179 1654 -259 -690 901 849 -31 4410 2019 663 -3060 3909 -1000 773 1416 1634 -7610 3562 3707 3716 1843 -3144 -3241 -294 -1130 -1242 3227
+3382 -918 -475 755 477 -30 2301 1004 -537 1650 -93 -2710 1334 -1621 -2185 -1373 2598 -550 393 -2 -132 363 -1869 -4054 1215 2496 -3962 -1795 1664 168 -1302 609 -1562 -2090 6327 -142 -3514 -1483 -591 -706 -2769 -1936 1 1084 -383 -1185 934 1354 -816 -691 4175 2479 -1147 -718 -1824 -116 -191 -505 -1039 -283 1176 -4119 -1384 -1289 1001 1460 490 -562 3181 -714 -3858 -1925 425 -17 1543 -344 -595 1014 1004 221 4610 2039 601 -3171 3760 -1088 725 1429 1550 -7741 3620 3770 3754 1886 -3147 -3320 -334 -1209 -1384 3266
+3253 -1033 -340 740 392 -26 2343 1190 -440 1667 -121 -2607 1295 -1481 -2203 -1288 2645 -564 504 -54 -126 479 -1944 -4111 1044 2431 -3898 -1895 1547 193 -1310 700 -1480 -2225 6320 -9 -3466 -1447 -694 -898 -2544 -1910 -69 983 -316 -1149 1071 1339 -795 -587 4111 2573 -1074 -666 -1769 -80 -271 -551 -1117 -300 1288 -3932 -1413 -1511 1061 1433 445 -523 3314 -686 -3844 -1988 433 53 1498 -389 -577 1090 1109 346 4785 2109 614 -3193 3607 -1125 757 1485 1607 -7820 3614 3753 3717 1935 -3072 -3332 -353 -1300 -1517 3418
+3316 -1068 -365 712 349 -32 2314 1228 -468 1499 -61 -2459 1087 -1473 -2333 -1329 2618 -458 568 -217 -209 549 -1975 -4320 1007 2456 -4020 -2058 1577 274 -1330 664 -1461 -2295 6295 107 -3422 -1402 -829 -948 -2505 -1911 -197 877 -333 -1150 1165 1366 -879 -517 4104 2675 -1027 -644 -1738 -22 -306 -556 -1071 -250 1260 -3933 -1503 -1480 981 1445 489 -486 3332 -756 -3869 -2095 521 39 1646 -326 -630 1049 1043 264 4805 2205 721 -3187 3500 -1045 850 1491 1657 -7859 3518 3668 3621 1958 -2952 -3298 -388 -1295 -1467 3423
+3520 -1057 -428 693 351 -45 2272 1170 -540 1215 -4 -2401 928 -1564 -2425 -1348 2572 -423 559 -311 -292 596 -1979 -4409 925 2527 -4148 -2176 1653 356 -1366 568 -1471 -2305 6298 122 -3389 -1365 -842 -890 -2567 -1896 -271 825 -442 -1202 1156 1372 -982 -617 4057 2760 -1045 -691 -1767 61 -246 -541 -964 -134 1141 -4125 -1572 -1431 786 1463 537 -537 3282 -823 -3893 -2194 573 -26 1799 -273 -637 1010 878 26 4712 2219 772 -3206 3613 -1009 922 1552 1757 -7824 3399 3521 3532 1877 -2976 -3260 -347 -1179 -1366 3341
+3614 -955 -532 701 403 -7 2303 1089 -640 1051 76 -2374 905 -1716 -2427 -1315 2545 -380 529 -310 -311 472 -1922 -4266 896 2581 -4236 -2184 1704 292 -1389 508 -1492 -2253 6320 78 -3397 -1334 -770 -740 -2649 -1877 -245 855 -518 -1216 1048 1343 -1006 -696 4037 2759 -1065 -735 -1816 102 -242 -591 -939 -99 1039 -4250 -1617 -1413 716 1429 509 -604 3284 -827 -3917 -2295 594 -13 1842 -274 -670 998 794 -55 4666 2240 822 -3229 3758 -1020 851 1516 1761 -7862 3327 3425 3451 1717 -3070 -3231 -290 -1040 -1255 3137
+3526 -935 -437 736 425 -7 2344 1089 -632 1118 22 -2369 888 -1800 -2364 -1336 2494 -330 454 -189 -239 374 -1896 -4007 913 2551 -4156 -2096 1711 137 -1357 524 -1525 -2178 6314 -15 -3447 -1361 -716 -618 -2615 -1816 -124 991 -529 -1207 999 1325 -1044 -652 3969 2865 -1087 -827 -1897 110 -220 -657 -940 -14 1138 -4160 -1563 -1537 770 1409 406 -683 3397 -721 -3904 -2327 566 175 1807 -348 -634 1076 852 89 4749 2238 810 -3251 3704 -1027 795 1493 1629 -7932 3279 3410 3438 1561 -3143 -3204 -268 -1028 -1170 3137
+3358 -1001 -307 786 399 -32 2416 1093 -611 1329 -89 -2514 993 -1689 -2305 -1362 2508 -399 457 -153 -159 358 -1995 -3916 999 2583 -4047 -2000 1706 15 -1288 552 -1588 -2156 6332 -124 -3528 -1421 -613 -570 -2536 -1708 72 1130 -479 -1209 1036 1375 -1050 -498 3854 2881 -1061 -836 -1915 110 -319 -721 -1020 3 1361 -3986 -1443 -1684 971 1477 326 -730 3546 -603 -3883 -2258 579 379 1678 -404 -677 1106 949 289 4803 2230 793 -3231 3571 -994 815 1503 1536 -8049 3321 3448 3444 1562 -3198 -3228 -297 -1212 -1290 3223
+3298 -1179 -182 804 310 -155 2352 1077 -527 1517 -234 -2670 1187 -1459 -2299 -1355 2562 -558 468 -190 -184 432 -2116 -4087 1181 2637 -4004 -1919 1767 34 -1252 567 -1695 -2168 6372 -182 -3579 -1478 -566 -650 -2507 -1672 206 1149 -452 -1247 1085 1449 -1031 -404 3827 2898 -985 -817 -1873 137 -375 -748 -1064 -19 1499 -3888 -1405 -1708 1063 1545 318 -743 3614 -550 -3864 -2113 734 404 1539 -371 -753 1083 1064 473 4791 2188 738 -3267 3478 -922 923 1521 1533 -8202 3443 3556 3518 1709 -3187 -3261 -352 -1370 -1410 3418
+3401 -1155 -225 752 295 -212 2265 1011 -487 1567 -338 -2766 1345 -1333 -2441 -1395 2588 -677 475 -260 -246 420 -2105 -4316 1270 2671 -4123 -1951 1812 102 -1315 520 -1773 -2214 6457 -226 -3652 -1547 -495 -705 -2571 -1645 165 1060 -395 -1263 1114 1527 -1082 -576 3940 2823 -903 -751 -1814 121 -431 -749 -1064 -6 1554 -3925 -1430 -1623 934 1587 399 -743 3552 -586 -3817 -1947 878 186 1532 -221 -861 954 1072 452 4572 2152 810 -3131 3512 -819 1042 1544 1562 -8177 3513 3635 3610 1898 -3132 -3281 -370 -1408 -1446 3548
+3524 -1072 -331 697 386 -161 2188 879 -532 1541 -285 -2805 1405 -1365 -2527 -1380 2632 -688 487 -296 -282 373 -2026 -4428 1172 2725 -4278 -2035 1807 165 -1417 518 -1776 -2250 6567 -279 -3655 -1537 -492 -731 -2633 -1624 20 937 -484 -1241 1082 1574 -1206 -629 4113 2766 -795 -703 -1752 196 -293 -699 -1054 -103 1340 -4072 -1521 -1534 722 1507 440 -730 3535 -644 -3828 -1962 967 -61 1583 -45 -938 829 1027 274 4440 2101 783 -3219 3692 -812 1031 1537 1600 -8164 3598 3707 3714 1986 -3081 -3266 -376 -1333 -1342 3517
+3525 -1010 -369 694 434 -120 2212 859 -598 1566 -173 -2803 1355 -1546 -2470 -1317 2643 -544 429 -228 -257 371 -2014 -4369 1036 2752 -4386 -2143 1748 136 -1482 579 -1691 -2261 6632 -280 -3636 -1507 -543 -707 -2649 -1644 -105 856 -569 -1204 1017 1588 -1237 -638 4198 2660 -795 -712 -1753 187 -150 -673 -1081 -192 1210 -4112 -1539 -1509 698 1440 453 -604 3692 -654 -3878 -2204 893 -126 1645 49 -964 785 1046 243 4502 2136 789 -3318 3757 -919 947 1531 1537 -8095 3610 3749 3761 1945 -3059 -3238 -325 -1227 -1278 3449
+3436 -1083 -324 737 467 -66 2352 928 -625 1744 -73 -2880 1292 -1747 -2312 -1264 2674 -336 459 -194 -133 483 -2197 -4234 891 2828 -4282 -2146 1688 223 -1422 639 -1583 -2189 6589 -271 -3619 -1479 -620 -708 -2536 -1602 -171 902 -521 -1096 989 1606 -1257 -600 4198 2646 -716 -725 -1811 150 -20 -663 -1093 -251 1111 -4056 -1507 -1643 789 1428 376 -593 3808 -587 -3866 -2389 696 -87 1606 -14 -960 856 1130 366 4662 2178 758 -3468 3653 -994 893 1535 1479 -8039 3675 3776 3764 1919 -3072 -3235 -278 -1076 -1242 3487
+3298 -1152 -313 767 450 -62 2427 1025 -644 1801 3 -2942 1238 -1815 -2233 -1273 2688 -239 392 -166 -88 606 -2393 -4148 1024 2904 -4252 -2108 1745 263 -1330 587 -1566 -2206 6480 -227 -3616 -1458 -696 -760 -2420 -1599 -170 930 -477 -1037 1063 1633 -1210 -537 4118 2686 -694 -771 -1910 31 -78 -718 -1191 -286 1256 -4056 -1442 -1724 877 1462 344 -571 3850 -514 -3799 -2442 465 33 1514 -106 -946 890 1131 421 4775 2232 789 -3522 3497 -1046 877 1517 1501 -7964 3751 3820 3781 1981 -3070 -3275 -295 -1114 -1283 3539
+3281 -1241 -380 734 369 -52 2457 1110 -606 1686 -29 -2969 1206 -1703 -2306 -1340 2704 -302 329 -191 -79 596 -2387 -4142 1084 2890 -4254 -2022 1868 367 -1302 522 -1597 -2212 6339 -232 -3636 -1477 -795 -762 -2455 -1639 -89 1025 -412 -1045 1111 1685 -1067 -702 4145 2694 -722 -815 -1985 -65 -202 -742 -1233 -227 1471 -4063 -1473 -1655 825 1538 439 -531 3733 -518 -3731 -2277 397 159 1502 -163 -1018 903 1082 366 4759 2287 841 -3547 3433 -981 902 1508 1638 -7893 3751 3804 3825 2054 -3287 -3416 -307 -1166 -1417 3613
+3422 -1166 -439 686 361 -9 2430 1127 -568 1462 -164 -2819 1160 -1510 -2361 -1370 2725 -418 285 -207 -117 549 -2203 -4256 1176 2871 -4255 -1889 1931 353 -1335 478 -1578 -2246 6244 -186 -3614 -1455 -749 -748 -2618 -1670 20 1053 -365 -1150 1141 1775 -1044 -900 4186 2719 -913 -936 -2056 -133 -325 -741 -1219 -146 1539 -4216 -1573 -1633 636 1479 496 -510 3613 -558 -3691 -2044 502 176 1554 -133 -1132 830 972 303 4676 2274 869 -3460 3449 -952 854 1442 1788 -7901 3672 3776 3844 2050 -3430 -3515 -355 -1231 -1453 3508
+3504 -1176 -516 625 395 97 2446 1098 -555 1336 -292 -2672 1133 -1438 -2438 -1437 2721 -528 301 -175 -122 521 -2018 -4303 1238 2709 -4205 -1827 1875 199 -1415 551 -1485 -2266 6260 -57 -3581 -1420 -653 -686 -2848 -1666 120 1087 -327 -1235 1068 1788 -1099 -836 4186 2733 -1026 -1008 -2132 -126 -318 -697 -1157 -170 1411 -4299 -1626 -1606 525 1342 466 -508 3616 -615 -3713 -1900 596 55 1595 -218 -1185 885 1038 305 4574 2199 799 -3467 3548 -969 792 1415 1774 -7922 3649 3759 3823 1928 -3521 -3531 -323 -1204 -1509 3508
+3513 -1105 -479 663 426 98 2465 1075 -588 1375 -357 -2577 1178 -1524 -2434 -1438 2738 -542 348 -203 -90 505 -2077 -4294 1334 2525 -4136 -1836 1760 71 -1489 647 -1384 -2269 6341 102 -3572 -1484 -644 -633 -2984 -1758 70 1137 -345 -1203 952 1716 -1061 -726 4090 2741 -1098 -1054 -2197 -172 -182 -615 -1088 -187 1284 -4404 -1582 -1459 637 1268 400 -434 3745 -662 -3805 -1914 590 -81 1542 -308 -1148 950 1103 344 4636 2157 710 -3494 3674 -1012 716 1420 1704 -7973 3726 3795 3809 1833 -3447 -3479 -316 -1221 -1526 3535
+3530 -918 -492 715 465 59 2497 1128 -581 1472 -213 -2499 1189 -1692 -2379 -1414 2775 -475 371 -269 -28 552 -2362 -4193 1418 2497 -4142 -1880 1760 68 -1461 696 -1425 -2242 6424 197 -3588 -1542 -705 -697 -2958 -1855 -21 1108 -416 -1196 900 1628 -1011 -723 3967 2749 -1066 -992 -2155 -173 -129 -616 -1022 -178 1214 -4359 -1511 -1388 881 1330 416 -316 3939 -673 -3904 -2030 480 -216 1467 -329 -1052 997 1170 393 4652 2129 652 -3550 3673 -1090 741 1484 1645 -8032 3823 3809 3725 1755 -3252 -3371 -312 -1201 -1440 3505
+3561 -901 -440 741 387 -89 2511 1214 -539 1487 -78 -2456 1159 -1846 -2368 -1352 2797 -339 327 -299 -20 572 -2547 -4114 1455 2549 -4306 -1952 1855 190 -1381 651 -1592 -2255 6517 150 -3645 -1604 -777 -698 -2914 -1976 -115 1052 -418 -1194 911 1572 -905 -778 3949 2646 -1079 -888 -2084 -226 -114 -649 -1040 -181 1229 -4429 -1445 -1273 1043 1452 458 -303 3860 -733 -3966 -2083 359 -318 1429 -365 -1026 988 1207 478 4709 2209 784 -3486 3607 -1077 865 1574 1721 -8194 3844 3839 3633 1726 -3042 -3281 -340 -1276 -1357 3495
+3634 -892 -432 739 336 -176 2492 1236 -456 1458 34 -2436 1102 -1831 -2353 -1336 2850 -369 280 -308 -84 556 -2478 -4051 1270 2502 -4459 -2083 1948 364 -1316 579 -1744 -2283 6611 -75 -3729 -1647 -758 -747 -2842 -2059 -165 961 -404 -1176 1054 1611 -814 -947 4060 2648 -1048 -779 -1980 -209 -191 -717 -1124 -214 1329 -4464 -1471 -1189 979 1513 589 -295 3665 -799 -3973 -2051 338 -346 1513 -343 -998 918 1161 521 4690 2230 885 -3436 3682 -1060 1031 1693 1822 -8393 3853 3870 3594 1739 -2936 -3244 -375 -1336 -1263 3459
+3674 -947 -422 790 348 -138 2478 1173 -437 1489 34 -2415 1038 -1705 -2295 -1331 2922 -389 275 -305 -151 568 -2281 -4177 1111 2556 -4449 -2145 1910 366 -1257 603 -1783 -2262 6708 -299 -3773 -1616 -689 -765 -2786 -2058 -169 876 -381 -1194 1156 1690 -800 -949 4203 2699 -998 -700 -1911 -190 -218 -690 -1186 -246 1346 -4497 -1502 -1265 811 1459 602 -425 3453 -848 -3957 -1994 445 -224 1643 -370 -893 990 1140 505 4752 2219 834 -3480 3799 -1001 1009 1651 1830 -8588 3880 3939 3664 1746 -2977 -3261 -391 -1470 -1375 3501
+3596 -1053 -368 845 429 -30 2553 1089 -491 1667 -134 -2608 1068 -1620 -2224 -1356 2917 -412 306 -261 -172 659 -2220 -4331 1042 2618 -4239 -2144 1773 264 -1246 680 -1687 -2190 6805 -427 -3781 -1558 -585 -690 -2827 -1989 -79 904 -340 -1177 1144 1729 -831 -815 4250 2767 -999 -659 -1900 -205 -230 -668 -1181 -282 1243 -4417 -1531 -1491 685 1352 531 -488 3484 -836 -3933 -1984 561 -112 1822 -462 -766 1097 1161 547 4877 2165 666 -3573 3863 -1108 896 1606 1790 -8682 3905 3950 3706 1677 -3108 -3275 -336 -1500 -1618 3507
+3540 -1023 -400 893 457 -18 2646 1015 -613 1825 -336 -2841 1227 -1586 -2159 -1401 2910 -495 348 -233 -130 678 -2343 -4363 1129 2682 -4083 -2122 1678 180 -1271 731 -1548 -2148 6901 -406 -3762 -1486 -546 -644 -2921 -1915 -4 985 -345 -1170 1088 1795 -931 -709 4197 2871 -1014 -686 -1957 -175 -170 -620 -1087 -238 1125 -4361 -1563 -1606 718 1348 480 -433 3631 -849 -3955 -2016 620 -29 1906 -533 -686 1223 1186 484 4934 2100 500 -3672 3937 -1155 790 1569 1753 -8641 3845 3912 3710 1615 -3299 -3309 -267 -1490 -1837 3493
+3532 -958 -484 930 439 -41 2673 1003 -718 1879 -450 -2960 1408 -1685 -2192 -1377 2912 -534 329 -221 -66 665 -2501 -4193 1181 2860 -4114 -2068 1782 151 -1256 668 -1545 -2151 6923 -313 -3715 -1446 -577 -623 -2994 -1891 87 1074 -330 -1182 958 1758 -1006 -736 4158 2915 -1026 -720 -2019 -149 -152 -635 -994 -128 1106 -4358 -1496 -1663 678 1420 439 -396 3772 -839 -3933 -2013 510 -48 1905 -502 -752 1198 1149 416 4911 2127 517 -3705 3827 -1236 792 1617 1835 -8506 3854 3841 3680 1679 -3329 -3318 -243 -1359 -1813 3384
+3660 -962 -405 915 352 -197 2617 1039 -742 1757 -414 -3029 1510 -1822 -2270 -1358 2923 -534 234 -243 -7 529 -2488 -3998 1139 2950 -4287 -2001 1990 185 -1268 550 -1640 -2186 6823 -248 -3694 -1446 -663 -694 -2944 -1873 100 1061 -394 -1235 968 1789 -1047 -906 4126 2963 -1138 -789 -2074 -116 -195 -689 -939 49 1220 -4355 -1471 -1641 634 1491 422 -420 3839 -816 -3910 -1986 382 -194 1863 -409 -905 1084 1075 284 4835 2189 695 -3582 3796 -1254 852 1675 2011 -8358 3792 3751 3650 1747 -3311 -3334 -297 -1303 -1630 3225
+3643 -1051 -340 871 312 -232 2516 1004 -715 1581 -197 -3025 1512 -1936 -2384 -1281 2947 -484 203 -303 -30 477 -2339 -4016 1076 2955 -4438 -1978 2115 211 -1270 468 -1726 -2229 6688 -189 -3714 -1497 -696 -729 -2877 -1902 165 1047 -406 -1321 1032 1871 -1042 -951 4081 3037 -1149 -820 -2084 -43 -290 -765 -975 69 1297 -4346 -1412 -1671 569 1463 377 -556 3889 -706 -3861 -1968 292 -352 1712 -276 -950 971 980 137 4802 2288 826 -3492 3846 -1251 817 1615 2111 -8250 3780 3739 3708 1845 -3274 -3342 -311 -1241 -1444 3242
+3624 -1102 -276 856 317 -235 2531 1040 -642 1551 0 -2915 1314 -1895 -2438 -1322 2929 -350 196 -362 -105 570 -2196 -4258 1088 2806 -4425 -2015 2009 210 -1350 504 -1651 -2228 6577 -79 -3715 -1552 -720 -794 -2753 -1888 165 1047 -362 -1311 1119 1946 -1068 -875 3945 3093 -1207 -865 -2086 -39 -300 -736 -1000 28 1355 -4263 -1389 -1704 558 1337 281 -707 3943 -583 -3850 -1970 360 -406 1665 -317 -928 968 986 120 4883 2292 784 -3566 3902 -1220 759 1544 2018 -8257 3835 3813 3786 1905 -3171 -3319 -339 -1299 -1405 3259
+3525 -1166 -211 844 442 -142 2530 1083 -604 1605 126 -2867 1104 -1749 -2421 -1326 2880 -283 235 -385 -185 704 -2221 -4496 1146 2714 -4338 -2101 1821 191 -1449 598 -1514 -2187 6533 60 -3699 -1580 -706 -757 -2604 -1852 54 953 -330 -1223 1168 1878 -1016 -689 3915 3090 -1164 -858 -2067 -39 -233 -611 -997 -74 1283 -4316 -1498 -1670 646 1303 256 -667 4021 -532 -3869 -2023 532 -361 1692 -323 -846 988 998 100 4961 2225 616 -3684 3934 -1243 638 1470 1920 -8264 3970 3903 3871 1961 -3220 -3360 -294 -1334 -1542 3377
+3565 -1108 -274 793 560 -22 2561 1145 -522 1726 80 -2805 999 -1543 -2463 -1404 2859 -320 225 -366 -201 742 -2336 -4420 1091 2612 -4313 -2168 1717 297 -1527 642 -1425 -2113 6515 139 -3683 -1573 -665 -768 -2548 -1807 -57 975 -369 -1164 1105 1758 -1055 -674 4080 2976 -1160 -841 -2006 74 -74 -569 -988 -157 1206 -4317 -1513 -1584 753 1396 337 -495 4090 -542 -3853 -2066 553 -192 1722 -226 -710 1012 974 18 4949 2175 555 -3644 3985 -1165 642 1447 1794 -8241 4057 4017 3979 2115 -3225 -3453 -330 -1443 -1644 3402
+3674 -976 -326 668 518 5 2559 1267 -463 1650 -33 -2691 1017 -1411 -2549 -1425 2828 -485 222 -328 -152 655 -2415 -4147 928 2641 -4382 -2154 1776 427 -1546 618 -1513 -2099 6515 31 -3655 -1490 -549 -724 -2605 -1749 -80 1016 -459 -1197 1059 1640 -1108 -762 4278 2782 -1169 -805 -1962 157 41 -540 -940 -73 1180 -4383 -1446 -1406 872 1540 509 -268 4022 -652 -3787 -2027 446 -79 1738 -112 -699 946 907 -29 4894 2192 638 -3456 3983 -1104 766 1485 1791 -8265 4076 4067 4012 2235 -3199 -3523 -360 -1502 -1681 3386
+3750 -1007 -337 550 443 -16 2448 1300 -381 1546 -165 -2490 1095 -1448 -2611 -1425 2797 -665 204 -307 -123 582 -2401 -3954 749 2763 -4404 -2126 1871 498 -1531 554 -1651 -2207 6549 -97 -3696 -1476 -475 -721 -2659 -1726 -90 1057 -486 -1223 1106 1656 -1166 -873 4450 2715 -1115 -801 -1958 202 96 -581 -978 -91 1189 -4333 -1380 -1325 874 1524 580 -169 3979 -705 -3694 -1981 255 20 1697 29 -703 928 886 -26 4883 2229 743 -3315 4037 -1067 931 1577 1843 -8286 3978 4034 3948 2157 -3201 -3545 -396 -1543 -1623 3400
+3676 -1190 -317 482 355 -13 2388 1270 -389 1420 -194 -2393 1235 -1651 -2633 -1346 2774 -683 283 -400 -146 615 -2379 -4104 760 2849 -4349 -2100 1883 443 -1444 543 -1788 -2293 6593 -269 -3727 -1440 -416 -726 -2654 -1675 0 1120 -450 -1283 1212 1719 -1167 -791 4455 2790 -1085 -863 -2019 108 38 -615 -1031 -102 1226 -4293 -1339 -1402 749 1375 525 -256 3961 -679 -3621 -1957 201 -33 1644 31 -785 939 971 105 4985 2298 791 -3244 4139 -1041 989 1625 1824 -8357 3949 4010 3883 1972 -3178 -3493 -415 -1474 -1456 3484
+3574 -1241 -387 492 379 -3 2473 1267 -459 1352 -131 -2475 1309 -1842 -2625 -1275 2820 -631 364 -530 -233 700 -2392 -4437 926 2785 -4233 -2104 1775 265 -1414 544 -1836 -2330 6688 -362 -3767 -1429 -451 -751 -2617 -1696 33 1184 -443 -1320 1266 1589 -1122 -634 4362 2922 -1087 -987 -2136 26 -10 -575 -1056 -174 1212 -4379 -1411 -1526 674 1265 456 -322 4022 -630 -3689 -2000 391 -97 1627 -66 -819 1013 1025 139 5087 2304 713 -3348 4272 -1008 875 1545 1732 -8474 3890 3988 3827 1738 -3157 -3416 -449 -1474 -1335 3533
+3532 -1206 -461 612 521 -34 2573 1193 -623 1413 -138 -2713 1366 -1877 -2613 -1238 2887 -560 363 -609 -274 710 -2376 -4605 1048 2740 -4176 -2120 1677 156 -1398 497 -1808 -2268 6788 -443 -3767 -1410 -530 -762 -2581 -1719 5 1251 -443 -1302 1273 1506 -1091 -508 4265 2988 -1131 -1072 -2228 0 -95 -522 -1054 -227 1188 -4489 -1600 -1547 644 1270 448 -251 4145 -605 -3842 -2074 668 -116 1617 -51 -906 994 997 69 5147 2241 539 -3507 4420 -1104 804 1511 1646 -8643 3802 3944 3756 1580 -3225 -3391 -476 -1502 -1314 3467
+3624 -1110 -490 750 661 -60 2660 1183 -714 1558 -184 -2925 1338 -1792 -2630 -1194 3004 -475 310 -541 -252 703 -2367 -4472 1069 2692 -4253 -2140 1753 166 -1358 470 -1830 -2116 6853 -424 -3763 -1434 -613 -764 -2588 -1808 -149 1296 -508 -1290 1187 1404 -1052 -507 4339 2875 -1245 -1130 -2249 33 -87 -483 -989 -176 1151 -4609 -1713 -1479 663 1407 502 -118 4231 -638 -3969 -2063 850 -110 1704 22 -950 917 874 -81 5097 2275 575 -3520 4356 -1184 742 1513 1798 -8783 3689 3905 3721 1630 -3293 -3433 -528 -1536 -1270 3363
+3805 -934 -411 778 649 -91 2681 1210 -678 1614 -160 -3011 1240 -1600 -2603 -1247 3072 -422 226 -414 -157 629 -2336 -4284 1077 2791 -4453 -2146 1942 250 -1236 406 -1829 -1976 6810 -479 -3794 -1453 -500 -773 -2621 -1832 -251 1363 -521 -1262 1152 1416 -1074 -655 4445 2818 -1349 -1149 -2230 90 -70 -524 -914 19 1219 -4574 -1575 -1451 734 1481 515 -86 4223 -704 -4038 -2055 795 -9 1755 82 -1000 794 781 -50 5151 2355 708 -3438 4202 -1203 835 1575 1893 -8827 3599 3848 3745 1777 -3420 -3544 -581 -1670 -1355 3323
+3843 -927 -268 838 589 -123 2674 1189 -596 1712 -177 -2932 1216 -1558 -2498 -1315 3096 -425 207 -343 -101 716 -2389 -4307 1222 2770 -4533 -2128 2022 290 -1155 420 -1818 -1948 6735 -491 -3850 -1515 -344 -777 -2627 -1811 -236 1310 -443 -1232 1226 1592 -1086 -670 4406 2817 -1441 -1165 -2181 132 -66 -590 -885 101 1278 -4430 -1336 -1485 781 1450 474 -226 4182 -725 -3968 -1968 570 -23 1714 55 -909 826 792 72 5240 2403 726 -3397 4121 -1227 917 1668 1999 -8838 3530 3791 3758 1884 -3450 -3595 -599 -1758 -1445 3365
+3863 -918 -225 860 560 -154 2630 1104 -534 1807 -126 -2794 1202 -1620 -2357 -1408 2994 -485 256 -391 -112 797 -2472 -4611 1417 2874 -4512 -2153 1998 212 -1130 490 -1795 -2035 6685 -448 -3870 -1551 -344 -858 -2605 -1801 -212 1201 -421 -1238 1334 1693 -1155 -642 4329 2978 -1466 -1179 -2134 146 -26 -573 -905 32 1277 -4381 -1215 -1502 738 1328 415 -335 4171 -751 -3944 -1945 469 24 1720 -33 -809 931 884 281 5462 2456 732 -3320 4078 -1179 928 1654 1853 -8731 3571 3771 3832 1989 -3428 -3609 -585 -1825 -1527 3535
+3797 -987 -316 825 501 -213 2585 1043 -561 1887 -63 -2769 1204 -1805 -2297 -1499 2858 -557 260 -454 -151 837 -2456 -4890 1507 2913 -4481 -2196 1925 72 -1178 560 -1790 -2173 6703 -329 -3883 -1593 -393 -943 -2709 -1902 -151 1134 -398 -1236 1357 1608 -1177 -525 4225 3095 -1334 -1080 -2054 126 -74 -606 -1068 -191 1301 -4501 -1351 -1541 685 1332 446 -329 4143 -778 -3938 -1961 422 -30 1747 -72 -678 1047 968 359 5523 2465 684 -3344 4116 -1184 868 1623 1732 -8746 3605 3785 3857 2056 -3224 -3515 -557 -1810 -1459 3529
+3828 -958 -411 868 530 -209 2618 1020 -624 1910 -5 -2872 1272 -1912 -2343 -1481 2874 -533 273 -454 -184 826 -2358 -4933 1439 2960 -4450 -2177 1922 116 -1249 604 -1768 -2258 6776 -159 -3820 -1565 -536 -975 -2783 -1950 -127 1141 -424 -1300 1258 1525 -1141 -591 4266 3061 -1273 -988 -1969 127 -106 -589 -1115 -283 1206 -4602 -1599 -1461 664 1440 505 -260 4090 -837 -4042 -2064 591 -69 1745 -78 -724 1044 933 236 5420 2417 673 -3331 4296 -1137 747 1494 1690 -8716 3733 3829 3904 2138 -3027 -3427 -489 -1622 -1304 3316
+3762 -922 -499 831 500 -148 2680 1060 -673 1811 45 -2996 1294 -1940 -2393 -1403 2971 -484 261 -406 -142 747 -2283 -4789 1333 3034 -4439 -2102 2025 221 -1301 627 -1719 -2245 6851 -6 -3757 -1526 -706 -892 -2913 -2021 -76 1200 -419 -1364 1079 1570 -1088 -731 4299 3066 -1156 -891 -1909 177 -166 -612 -1138 -289 1139 -4613 -1685 -1538 737 1567 568 -208 4050 -855 -4106 -2129 658 -104 1801 -175 -883 984 883 23 5280 2457 742 -3300 4417 -1053 835 1494 1704 -8577 3763 3803 3926 2305 -2912 -3421 -460 -1504 -1259 3104
+3798 -935 -436 850 437 -110 2730 1177 -610 1695 47 -3037 1261 -1875 -2459 -1318 3097 -316 257 -378 -64 752 -2397 -4662 1324 3119 -4389 -2048 2087 371 -1311 628 -1693 -2178 6916 -25 -3738 -1497 -741 -798 -2974 -2029 -74 1299 -403 -1350 1070 1703 -1058 -804 4390 3088 -1134 -855 -1896 184 -206 -673 -1081 -178 1128 -4497 -1490 -1630 733 1537 511 -302 4023 -818 -4041 -2068 453 -139 1797 -266 -961 992 887 -80 5202 2488 827 -3238 4430 -990 891 1506 1806 -8527 3704 3802 3963 2343 -2945 -3460 -417 -1436 -1342 2969
+3811 -1050 -293 886 342 -121 2792 1250 -520 1562 39 -2983 1230 -1741 -2442 -1309 3192 -248 278 -389 -62 839 -2533 -4686 1419 3067 -4280 -1979 2012 378 -1287 671 -1669 -2170 6918 -125 -3759 -1450 -708 -736 -2942 -2021 -21 1301 -342 -1304 1144 1797 -982 -744 4361 3250 -1145 -907 -1963 196 -189 -689 -1011 -36 1206 -4356 -1241 -1767 654 1403 410 -473 4091 -739 -3955 -1980 223 -147 1752 -390 -1028 1015 1002 50 5311 2546 825 -3225 4364 -999 980 1573 1754 -8432 3684 3815 4030 2342 -3123 -3571 -413 -1461 -1547 3070
+3893 -1035 -243 925 344 -139 2832 1290 -503 1582 -1 -2927 1262 -1746 -2416 -1319 3205 -261 366 -409 -96 915 -2536 -4802 1448 2843 -4259 -2005 1904 321 -1298 666 -1707 -2179 6915 -287 -3814 -1456 -654 -760 -2857 -2024 28 1246 -264 -1324 1280 1823 -1031 -782 4291 3426 -1134 -968 -2060 153 -223 -719 -1024 4 1318 -4505 -1261 -1793 484 1306 357 -506 4143 -720 -3889 -1860 81 -301 1639 -489 -1091 1030 1094 265 5396 2514 773 -3174 4321 -1121 1036 1691 1662 -8448 3687 3878 4083 2248 -3295 -3643 -423 -1618 -1748 3210
+3921 -1002 -294 928 371 -134 2881 1335 -548 1641 -124 -2783 1320 -1784 -2368 -1353 3111 -436 423 -430 -173 872 -2361 -4905 1382 2640 -4331 -2042 1894 293 -1327 594 -1763 -2147 6944 -393 -3876 -1515 -629 -881 -2757 -2091 -3 1127 -215 -1300 1330 1738 -1051 -800 4219 3440 -1147 -990 -2133 74 -290 -761 -1138 -66 1455 -4712 -1525 -1699 385 1312 382 -367 4263 -730 -3924 -1833 171 -459 1693 -383 -1033 1001 1064 277 5393 2486 718 -3196 4438 -1200 995 1744 1624 -8571 3716 3957 4083 2151 -3455 -3703 -482 -1733 -1740 3268
+3896 -992 -344 896 433 -170 2885 1349 -609 1750 -285 -2660 1386 -1881 -2355 -1374 3024 -610 474 -399 -234 749 -2134 -4874 1286 2537 -4547 -2097 2006 382 -1367 496 -1764 -2109 7001 -406 -3929 -1594 -587 -919 -2797 -2163 -19 1052 -283 -1355 1250 1563 -1018 -840 4168 3349 -1118 -948 -2119 66 -338 -744 -1208 -163 1483 -4822 -1721 -1595 445 1360 412 -192 4375 -730 -3998 -1861 416 -619 1780 -211 -958 920 970 165 5272 2481 737 -3189 4513 -1218 998 1724 1650 -8597 3709 3968 4020 2119 -3489 -3705 -554 -1820 -1602 3225
+3848 -980 -347 814 493 -131 2821 1339 -578 1785 -323 -2561 1307 -1967 -2341 -1381 2978 -614 523 -379 -167 726 -2184 -4826 1289 2539 -4615 -2072 2123 456 -1355 436 -1743 -1998 7035 -399 -3940 -1612 -505 -887 -2784 -2158 -33 1035 -307 -1384 1131 1492 -817 -942 4079 3172 -1118 -895 -2065 53 -344 -696 -1225 -255 1402 -4737 -1673 -1572 699 1452 439 -173 4410 -689 -4023 -1954 559 -671 1944 -102 -945 842 886 67 5216 2518 825 -3201 4476 -1188 1003 1713 1822 -8691 3703 3987 3901 2042 -3366 -3625 -643 -1881 -1391 3127
+3793 -1041 -387 685 459 -108 2771 1368 -505 1701 -194 -2525 1241 -1956 -2361 -1348 2995 -557 487 -412 -165 736 -2409 -4772 1391 2635 -4577 -2053 2072 368 -1391 480 -1739 -2014 7054 -315 -3938 -1623 -482 -787 -2866 -2175 -48 1071 -366 -1388 1075 1487 -666 -909 3936 2999 -1107 -834 -2034 27 -231 -622 -1155 -219 1362 -4589 -1474 -1510 877 1444 468 -241 4229 -690 -3942 -1917 532 -567 1951 -191 -914 916 947 104 5324 2581 822 -3298 4438 -1196 1021 1726 1922 -8768 3742 3975 3829 1889 -3279 -3523 -632 -1846 -1370 3241
+3805 -1012 -428 634 487 -135 2647 1302 -468 1692 -20 -2714 1157 -1869 -2443 -1345 3068 -440 432 -431 -157 768 -2531 -4685 1341 2645 -4390 -2043 1871 176 -1347 548 -1726 -2105 7072 -264 -3957 -1638 -471 -732 -2897 -2131 -20 1207 -369 -1412 1118 1530 -662 -909 3986 2958 -1070 -824 -2031 77 -112 -653 -1200 -286 1396 -4518 -1358 -1461 860 1383 524 -277 4059 -716 -3850 -1885 426 -323 1900 -320 -998 948 1033 257 5499 2688 886 -3301 4326 -1253 1019 1774 1882 -8843 3689 3973 3822 1754 -3245 -3489 -644 -1885 -1409 3386
+3908 -1061 -479 613 494 -162 2571 1228 -486 1730 76 -2945 1151 -1760 -2567 -1414 3110 -384 320 -414 -214 759 -2387 -4706 1209 2741 -4284 -2098 1783 65 -1326 573 -1781 -2259 7059 -280 -3928 -1591 -505 -698 -2847 -2102 -3 1206 -296 -1440 1177 1523 -795 -923 4136 2933 -1101 -839 -2037 83 -89 -716 -1243 -278 1483 -4539 -1375 -1396 756 1440 658 -209 3928 -785 -3737 -1774 307 -264 1819 -457 -1079 1018 1116 311 5528 2710 912 -3244 4241 -1415 970 1807 1812 -8949 3704 3986 3855 1739 -3209 -3494 -653 -1986 -1547 3511
+3899 -1057 -513 585 514 -137 2587 1214 -532 1776 41 -3073 1222 -1705 -2591 -1401 3144 -417 326 -424 -285 725 -2113 -4781 1032 2875 -4315 -2145 1808 139 -1256 545 -1840 -2302 7034 -278 -3867 -1519 -554 -791 -2733 -2078 19 1250 -293 -1517 1208 1521 -947 -969 4336 2918 -1097 -876 -2114 4 -83 -732 -1363 -367 1529 -4718 -1586 -1336 684 1440 693 -15 4083 -800 -3755 -1780 408 -285 1788 -476 -1191 972 1045 205 5474 2735 943 -3197 4219 -1446 980 1857 1814 -8948 3728 3954 3929 1890 -3190 -3548 -644 -2065 -1637 3529
+3865 -1072 -447 636 573 -104 2638 1135 -629 1795 -61 -3071 1335 -1754 -2601 -1463 3070 -512 365 -405 -312 710 -1993 -4899 998 3068 -4460 -2269 1941 358 -1278 497 -1860 -2261 7035 -319 -3858 -1467 -536 -809 -2677 -2018 25 1253 -281 -1498 1155 1543 -1011 -977 4431 2814 -1051 -855 -2188 -126 -196 -755 -1422 -392 1552 -4664 -1689 -1387 702 1446 593 2 4358 -742 -3860 -1914 595 -453 1804 -339 -1215 917 945 95 5410 2707 933 -3235 4195 -1420 977 1843 1945 -9010 3683 3923 3953 2059 -3178 -3583 -579 -2086 -1790 3508
+3846 -1076 -376 724 607 -54 2757 1032 -732 1736 -179 -3002 1403 -1818 -2512 -1503 2969 -508 426 -395 -257 746 -2136 -4921 1111 3152 -4565 -2365 2005 499 -1337 541 -1792 -2214 7012 -335 -3867 -1440 -464 -737 -2652 -1907 -17 1174 -317 -1435 1109 1553 -925 -992 4403 2793 -1033 -874 -2272 -227 -330 -710 -1378 -346 1545 -4528 -1566 -1619 740 1386 436 -173 4568 -630 -3973 -2060 739 -484 1854 -210 -1097 925 903 108 5520 2711 874 -3341 4236 -1301 1002 1764 1990 -8899 3678 3833 3930 2168 -3150 -3576 -506 -1952 -1806 3453
+3853 -1049 -286 755 635 -88 2815 1011 -723 1638 -180 -2930 1438 -1898 -2475 -1535 2874 -524 464 -368 -140 746 -2355 -4819 1173 3184 -4590 -2431 1943 418 -1405 599 -1708 -2223 7015 -356 -3881 -1437 -395 -648 -2718 -1815 -56 1187 -438 -1296 1149 1600 -721 -948 4275 2803 -1109 -934 -2336 -263 -389 -698 -1249 -185 1513 -4386 -1507 -1745 709 1352 343 -368 4543 -589 -4048 -2098 753 -407 1891 -100 -921 972 940 286 5581 2674 802 -3426 4329 -1150 1019 1688 1902 -8881 3745 3815 3927 2181 -3114 -3541 -429 -1796 -1748 3382
+3907 -990 -255 805 576 -252 2832 1051 -719 1686 -171 -3020 1430 -1882 -2490 -1493 2863 -483 458 -333 -75 699 -2428 -4727 1079 3048 -4587 -2459 1828 294 -1462 675 -1675 -2292 7000 -325 -3915 -1497 -378 -634 -2752 -1705 -116 1146 -456 -1266 1232 1649 -777 -893 4301 2872 -1265 -1018 -2367 -227 -344 -703 -1160 -81 1544 -4411 -1480 -1819 570 1390 387 -478 4375 -609 -4027 -2030 658 -101 1813 -9 -787 1044 1013 485 5696 2654 768 -3450 4487 -1175 994 1685 1741 -8870 3832 3835 3955 2160 -3091 -3522 -412 -1651 -1613 3346
+3993 -969 -208 804 530 -369 2789 1154 -679 1739 -105 -3121 1360 -1761 -2612 -1439 2939 -458 475 -347 -123 674 -2296 -4802 1099 2951 -4553 -2340 1817 125 -1470 653 -1804 -2336 6999 -304 -3960 -1568 -459 -669 -2749 -1714 -164 1097 -449 -1334 1261 1656 -987 -889 4306 2937 -1400 -1079 -2359 -186 -238 -706 -1145 -33 1564 -4529 -1557 -1766 532 1494 446 -474 4225 -666 -3962 -1918 515 116 1722 72 -736 1016 1057 555 5600 2615 809 -3396 4603 -1232 939 1682 1690 -8841 3947 3890 3970 2179 -3161 -3568 -453 -1554 -1516 3316
+3942 -1014 -232 726 488 -349 2786 1271 -639 1769 -44 -3184 1368 -1646 -2764 -1371 2995 -471 488 -401 -266 709 -2185 -5013 1210 2959 -4604 -2255 1963 155 -1419 562 -1926 -2311 6964 -280 -3996 -1653 -533 -724 -2774 -1754 -82 1173 -368 -1437 1221 1703 -1186 -889 4289 2948 -1455 -1052 -2294 -178 -224 -731 -1234 -168 1593 -4589 -1566 -1770 620 1605 484 -418 4272 -687 -3923 -1894 468 295 1754 72 -810 944 1011 455 5496 2617 846 -3406 4584 -1278 989 1731 1731 -8788 4007 3956 4023 2262 -3253 -3628 -507 -1609 -1524 3331
+3923 -1080 -296 683 483 -325 2739 1323 -626 1768 -92 -3016 1364 -1594 -2852 -1389 3033 -480 498 -480 -405 715 -2207 -5161 1349 3058 -4640 -2219 2073 235 -1440 458 -1991 -2235 6967 -280 -3999 -1661 -531 -763 -2836 -1790 -3 1237 -390 -1571 1134 1664 -1272 -872 4241 2927 -1418 -960 -2170 -173 -356 -778 -1313 -270 1635 -4484 -1516 -1819 769 1583 443 -356 4389 -728 -4001 -2048 546 292 1780 52 -927 871 936 262 5519 2617 783 -3555 4513 -1264 1081 1821 1886 -8804 3975 4019 4085 2337 -3328 -3679 -551 -1799 -1702 3408
+3897 -1056 -369 649 490 -265 2686 1319 -601 1665 -141 -2770 1401 -1692 -2836 -1459 2993 -551 484 -468 -414 733 -2371 -5039 1379 3006 -4619 -2227 2006 218 -1459 446 -1932 -2204 6960 -309 -4028 -1647 -456 -718 -2948 -1806 17 1297 -513 -1533 1103 1663 -1157 -852 4209 2916 -1370 -849 -2040 -85 -396 -810 -1336 -257 1655 -4397 -1423 -1797 784 1552 458 -361 4437 -762 -4108 -2199 623 118 1868 41 -995 904 962 158 5593 2595 640 -3730 4384 -1242 1041 1750 1990 -8830 3937 4112 4174 2277 -3444 -3717 -564 -1903 -1796 3437
+3895 -1096 -434 641 470 -300 2669 1280 -601 1628 -206 -2680 1471 -1795 -2702 -1529 2940 -622 484 -369 -297 647 -2472 -4785 1231 3026 -4554 -2259 1886 172 -1501 524 -1840 -2250 7001 -282 -3998 -1594 -467 -689 -3038 -1864 -11 1347 -605 -1428 1161 1607 -1000 -697 4273 2956 -1349 -807 -1986 -57 -473 -802 -1257 -181 1493 -4492 -1465 -1718 742 1546 545 -367 4351 -843 -4264 -2344 636 -36 1955 39 -1100 926 1003 209 5690 2603 609 -3815 4268 -1263 945 1675 1949 -8898 3967 4193 4202 2088 -3561 -3721 -530 -1917 -1792 3397
+3886 -1056 -387 688 425 -319 2691 1335 -539 1662 -292 -2627 1418 -1865 -2558 -1547 2923 -610 490 -275 -156 613 -2436 -4596 1022 2867 -4546 -2263 1786 219 -1497 607 -1755 -2319 6981 -271 -3977 -1567 -565 -634 -3067 -1860 -89 1333 -587 -1362 1285 1590 -961 -657 4336 3066 -1268 -793 -1979 -9 -471 -834 -1197 -104 1394 -4613 -1494 -1571 691 1565 616 -326 4209 -887 -4310 -2330 523 -97 2018 67 -1227 881 986 237 5679 2624 720 -3709 4323 -1334 847 1662 1892 -9059 4079 4306 4183 1886 -3519 -3656 -513 -1851 -1649 3263
+3880 -1136 -326 705 388 -297 2748 1384 -503 1714 -290 -2672 1314 -1805 -2453 -1522 2940 -577 564 -266 -97 690 -2359 -4769 1034 2809 -4599 -2299 1855 398 -1476 669 -1734 -2408 6966 -202 -3965 -1569 -701 -710 -2985 -1877 -181 1237 -475 -1338 1405 1632 -1075 -584 4389 3300 -1200 -868 -2066 16 -373 -807 -1175 -120 1317 -4728 -1536 -1502 772 1579 610 -283 4290 -813 -4305 -2296 373 7 1999 83 -1171 879 921 117 5530 2664 935 -3551 4295 -1420 763 1648 1964 -9033 4129 4309 4062 1765 -3403 -3594 -537 -1852 -1503 3180
+3951 -1108 -308 757 416 -277 2792 1346 -564 1685 -287 -2779 1139 -1761 -2416 -1529 2983 -425 583 -301 -100 744 -2331 -5057 1262 2882 -4692 -2274 1972 485 -1469 643 -1736 -2489 7018 -126 -3983 -1616 -831 -772 -2930 -1982 -177 1182 -368 -1333 1420 1612 -1213 -613 4378 3451 -1103 -932 -2206 -58 -273 -743 -1156 -125 1348 -4853 -1560 -1572 821 1520 518 -239 4430 -741 -4256 -2157 349 69 1958 76 -1043 919 911 57 5377 2614 1000 -3493 4265 -1411 815 1652 2031 -9018 4181 4269 3963 1807 -3295 -3569 -550 -1739 -1441 3246
+3946 -1083 -366 786 520 -235 2826 1226 -657 1616 -196 -2928 1023 -1793 -2412 -1564 2999 -354 524 -287 -105 728 -2355 -5171 1454 2915 -4727 -2249 2022 478 -1524 646 -1739 -2493 7032 -111 -4016 -1650 -816 -822 -2911 -2045 -153 1096 -407 -1330 1381 1599 -1222 -600 4257 3551 -1076 -971 -2262 -95 -330 -746 -1176 -147 1458 -4924 -1608 -1759 760 1459 493 -154 4552 -724 -4236 -2100 395 54 1942 23 -882 995 947 15 5321 2554 974 -3503 4223 -1290 856 1627 2112 -8917 4135 4167 3907 1863 -3233 -3579 -589 -1856 -1603 3304
+4020 -987 -477 760 561 -290 2800 1113 -750 1515 -8 -3111 1133 -1860 -2467 -1574 3106 -296 434 -233 -122 644 -2382 -5011 1559 2974 -4741 -2244 2040 294 -1591 688 -1726 -2457 7136 -80 -4040 -1672 -744 -816 -3008 -2167 -88 1150 -528 -1295 1359 1572 -1108 -617 4231 3511 -1128 -1009 -2234 -11 -392 -740 -1190 -71 1605 -4936 -1632 -1727 601 1376 514 -123 4513 -778 -4204 -2017 495 -58 1985 -71 -798 1061 1038 42 5341 2442 798 -3614 4227 -1271 887 1645 2121 -8924 4124 4121 3930 1903 -3257 -3623 -598 -1922 -1703 3268
+4036 -923 -562 745 593 -340 2815 1033 -748 1639 58 -3201 1395 -2005 -2463 -1582 3165 -342 385 -130 -87 550 -2371 -4737 1553 3025 -4687 -2182 2070 108 -1570 672 -1755 -2366 7239 -77 -4032 -1671 -693 -765 -3089 -2238 -24 1244 -559 -1235 1326 1635 -996 -547 4288 3346 -1230 -998 -2135 125 -422 -746 -1175 -51 1600 -4864 -1587 -1611 574 1461 613 -128 4398 -843 -4220 -2068 495 -273 2031 -94 -864 1015 1107 133 5266 2342 670 -3641 4392 -1300 848 1643 2005 -9043 4183 4131 3992 1906 -3360 -3684 -566 -1944 -1771 3213
+3960 -940 -593 698 530 -359 2821 1081 -681 1848 35 -3198 1597 -2071 -2487 -1579 3196 -407 411 -95 -85 577 -2420 -4700 1631 3120 -4704 -2105 2172 35 -1444 633 -1865 -2304 7279 -119 -4042 -1707 -638 -700 -3186 -2271 58 1296 -473 -1246 1256 1710 -985 -500 4271 3260 -1262 -1006 -2071 233 -266 -691 -1170 -151 1470 -4846 -1515 -1406 728 1557 639 -178 4319 -819 -4167 -2154 310 -449 1923 -194 -1020 967 1146 235 5196 2300 729 -3562 4519 -1347 812 1639 1940 -9129 4276 4139 4001 1910 -3428 -3703 -525 -1962 -1839 3161
+3891 -1061 -551 612 450 -326 2813 1178 -596 1999 -125 -3107 1715 -2072 -2482 -1580 3157 -526 511 -138 -115 611 -2453 -4902 1673 3190 -4747 -2103 2239 102 -1350 617 -1955 -2291 7314 -187 -4081 -1722 -607 -656 -3201 -2206 102 1200 -296 -1354 1205 1782 -1113 -551 4173 3281 -1268 -1060 -2083 314 -38 -624 -1160 -241 1320 -4800 -1502 -1423 948 1579 566 -233 4348 -716 -4098 -2253 148 -432 1801 -246 -1033 990 1168 279 5178 2306 765 -3581 4623 -1347 837 1645 1950 -9233 4383 4182 4016 1972 -3351 -3662 -488 -1939 -1783 3256
+3909 -1098 -552 594 465 -287 2818 1192 -610 2033 -209 -3064 1614 -1939 -2458 -1591 3065 -605 576 -173 -172 615 -2454 -5113 1626 3229 -4816 -2206 2187 162 -1369 631 -1993 -2385 7338 -253 -4141 -1748 -609 -693 -3233 -2194 149 1088 -237 -1421 1123 1806 -1278 -693 4165 3311 -1245 -1121 -2150 281 161 -569 -1191 -374 1249 -4916 -1562 -1542 996 1577 534 -241 4386 -641 -4043 -2242 182 -401 1710 -503 -900 1186 1200 259 5318 2347 761 -3749 4525 -1258 889 1650 2088 -9145 4427 4153 4019 2088 -3211 -3599 -494 -1893 -1669 3394
+4008 -955 -606 656 532 -226 2871 1161 -684 1943 -250 -3040 1397 -1828 -2472 -1573 2971 -524 602 -163 -124 549 -2421 -5085 1418 3178 -4845 -2331 2057 157 -1400 737 -1876 -2441 7354 -263 -4177 -1774 -655 -715 -3149 -2196 132 1010 -280 -1353 1170 1825 -1326 -809 4250 3269 -1245 -1141 -2185 261 127 -596 -1286 -420 1337 -4949 -1642 -1682 828 1518 540 -263 4331 -661 -4018 -2148 315 -336 1683 -658 -781 1349 1205 230 5458 2379 717 -3875 4454 -1236 929 1655 2133 -9063 4308 4082 4012 2130 -3072 -3545 -543 -1934 -1640 3403
+4052 -950 -590 701 558 -225 2893 1162 -748 1791 -194 -3107 1224 -1738 -2486 -1573 2967 -473 587 -110 -45 448 -2395 -4822 1108 3124 -4829 -2448 1930 153 -1449 840 -1699 -2481 7398 -184 -4107 -1698 -746 -785 -3014 -2212 86 937 -415 -1234 1208 1851 -1225 -780 4405 3112 -1240 -1132 -2198 285 -25 -662 -1282 -300 1436 -4878 -1613 -1609 600 1509 577 -325 4226 -746 -4044 -1981 538 -314 1782 -691 -735 1400 1168 188 5501 2355 654 -3951 4448 -1294 862 1620 2070 -8989 4328 4130 4089 2126 -3086 -3544 -542 -1910 -1709 3303
+4030 -890 -507 773 516 -324 2992 1232 -790 1662 -80 -3126 1135 -1714 -2542 -1549 3020 -407 543 -119 -38 469 -2484 -4582 1058 3053 -4781 -2423 1930 210 -1497 849 -1633 -2491 7420 -25 -4038 -1653 -835 -871 -2948 -2219 -38 919 -497 -1162 1215 1866 -1110 -741 4533 2977 -1229 -1101 -2216 250 -187 -665 -1218 -190 1426 -4712 -1483 -1544 587 1504 515 -456 4211 -778 -4080 -1866 705 -354 1982 -568 -810 1298 1118 211 5436 2334 684 -3890 4410 -1393 735 1597 2051 -9028 4454 4273 4171 2119 -3181 -3590 -524 -1912 -1793 3286
+4004 -942 -367 829 453 -406 3057 1336 -770 1678 -95 -3089 1295 -1796 -2561 -1523 3094 -404 537 -159 -96 550 -2571 -4517 1196 3041 -4769 -2337 2055 377 -1471 741 -1653 -2477 7389 116 -3971 -1614 -856 -910 -2914 -2128 -116 926 -474 -1212 1184 1909 -1047 -769 4534 2971 -1204 -1133 -2317 180 -154 -609 -1171 -152 1422 -4654 -1352 -1617 752 1542 403 -595 4352 -693 -4098 -1895 692 -403 2017 -552 -932 1180 1085 229 5299 2329 769 -3789 4369 -1423 699 1549 1998 -9075 4537 4376 4189 2104 -3252 -3642 -566 -2002 -1827 3251
+4002 -976 -302 827 397 -527 2999 1411 -728 1570 -107 -2837 1336 -1893 -2701 -1564 3087 -449 567 -214 -151 608 -2539 -4584 1287 3030 -4791 -2246 2132 401 -1447 607 -1774 -2516 7282 74 -3979 -1606 -768 -862 -3007 -2019 -67 924 -384 -1368 1125 1868 -1048 -856 4472 3131 -1270 -1209 -2406 107 -32 -551 -1076 -165 1302 -4689 -1460 -1849 795 1541 285 -647 4473 -611 -4145 -2069 665 -308 1913 -549 -986 1137 1129 298 5360 2388 844 -3759 4224 -1361 704 1513 2095 -9072 4575 4432 4172 2104 -3346 -3697 -584 -2131 -1839 3364
+4086 -1013 -357 759 421 -507 2876 1353 -694 1494 -110 -2693 1432 -1907 -2715 -1583 3049 -612 578 -208 -196 587 -2385 -4687 1346 2926 -4735 -2182 2062 286 -1536 570 -1828 -2580 7239 66 -4004 -1617 -673 -796 -3089 -1928 40 995 -281 -1502 1050 1851 -1124 -965 4394 3249 -1362 -1274 -2434 147 93 -533 -1062 -149 1305 -4867 -1691 -2034 697 1514 296 -598 4395 -632 -4192 -2226 697 -236 1752 -541 -950 1160 1223 347 5366 2357 800 -3853 4073 -1259 791 1520 2147 -8984 4461 4360 4076 2020 -3381 -3695 -622 -2155 -1630 3466
+4087 -995 -415 686 471 -463 2763 1267 -678 1476 -169 -2710 1402 -1879 -2773 -1625 3016 -681 557 -169 -167 532 -2280 -4666 1209 2785 -4662 -2188 1887 116 -1686 576 -1813 -2567 7233 31 -4051 -1669 -609 -810 -3048 -1932 108 1114 -330 -1512 1095 1876 -1105 -986 4389 3204 -1525 -1234 -2345 168 89 -569 -1078 -121 1372 -5001 -1837 -1973 548 1542 428 -559 4184 -740 -4242 -2322 750 -175 1664 -503 -954 1169 1266 323 5353 2344 729 -3971 4173 -1248 805 1515 2118 -8938 4349 4307 4041 1884 -3464 -3700 -632 -2053 -1454 3402
+4098 -1095 -386 622 517 -373 2729 1222 -601 1563 -101 -2876 1328 -1814 -2743 -1618 2959 -624 555 -82 -75 504 -2341 -4576 1120 2794 -4608 -2248 1835 91 -1737 656 -1746 -2465 7287 64 -4084 -1747 -624 -778 -2982 -1930 124 1262 -436 -1497 1117 1906 -1100 -911 4410 3089 -1565 -1121 -2187 206 -39 -649 -1146 -87 1502 -4897 -1669 -1709 645 1622 532 -595 4009 -849 -4284 -2340 809 -159 1741 -312 -1040 1060 1221 287 5244 2288 778 -3850 4388 -1273 791 1538 2031 -8862 4315 4253 4019 1776 -3508 -3703 -641 -1920 -1377 3254
+4008 -1142 -356 578 454 -379 2712 1242 -575 1685 -6 -3086 1304 -1787 -2713 -1583 2982 -584 505 -75 0 569 -2571 -4445 1046 2933 -4727 -2355 1936 245 -1760 660 -1750 -2359 7367 49 -4074 -1742 -681 -807 -2936 -1939 24 1340 -503 -1416 1129 1923 -945 -876 4422 3007 -1569 -981 -2046 210 -205 -688 -1175 -115 1514 -4613 -1425 -1481 893 1650 530 -639 4023 -886 -4314 -2369 748 -155 1876 -127 -1116 999 1185 231 5150 2249 815 -3745 4560 -1335 817 1600 1902 -8946 4316 4259 4025 1738 -3577 -3741 -618 -1893 -1509 3217
+3993 -1099 -359 570 422 -456 2670 1311 -561 1751 93 -3147 1203 -1839 -2690 -1577 2970 -503 433 -133 -38 550 -2690 -4444 1020 3184 -4930 -2448 2073 421 -1719 586 -1853 -2353 7457 -30 -4134 -1750 -657 -818 -2956 -1900 -126 1233 -533 -1394 1104 1815 -964 -974 4424 3115 -1550 -956 -2030 215 -193 -682 -1188 -177 1425 -4617 -1364 -1465 1102 1629 483 -554 4219 -863 -4368 -2382 635 -64 1919 -19 -1189 983 1190 307 5248 2364 884 -3746 4535 -1297 907 1634 1883 -9139 4420 4354 4118 1865 -3531 -3799 -657 -1962 -1659 3288
+4020 -1171 -373 583 451 -468 2729 1305 -593 1760 184 -3185 1230 -1961 -2691 -1581 3008 -446 427 -180 -99 571 -2618 -4575 958 3316 -5009 -2493 2118 470 -1618 567 -1953 -2396 7480 -252 -4176 -1706 -601 -849 -2982 -1789 -229 1112 -427 -1401 1112 1806 -999 -1126 4486 3397 -1555 -1013 -2094 198 -123 -688 -1163 -152 1360 -4809 -1542 -1599 1075 1583 484 -399 4369 -841 -4433 -2403 514 101 1862 -13 -1142 1027 1181 359 5469 2445 858 -3826 4363 -1235 1021 1675 1931 -9354 4441 4402 4148 2018 -3402 -3813 -705 -2060 -1705 3424
+4026 -1191 -342 629 534 -454 2776 1188 -701 1738 131 -3203 1377 -1989 -2685 -1590 3055 -422 496 -216 -185 575 -2403 -4698 891 3300 -4910 -2478 2025 328 -1532 612 -1981 -2426 7514 -404 -4177 -1659 -593 -937 -2968 -1768 -273 1060 -331 -1335 1159 1790 -1021 -1138 4661 3555 -1565 -1065 -2183 160 -138 -740 -1150 -129 1295 -5133 -1779 -1670 895 1575 566 -306 4352 -836 -4480 -2383 480 175 1763 -60 -1092 1092 1211 330 5578 2488 776 -3964 4178 -1217 1128 1750 1953 -9470 4339 4372 4206 2130 -3345 -3814 -654 -2099 -1677 3425
+4024 -1218 -351 673 616 -345 2931 1067 -847 1795 3 -3388 1574 -1943 -2603 -1563 3081 -475 575 -176 -185 595 -2356 -4798 950 3264 -4744 -2415 1906 157 -1448 677 -1912 -2420 7527 -427 -4179 -1659 -651 -993 -2873 -1789 -260 1169 -360 -1322 1257 1898 -1044 -1005 4810 3621 -1489 -1056 -2267 78 -145 -772 -1098 4 1345 -5176 -1775 -1514 734 1539 586 -385 4209 -834 -4485 -2331 492 190 1730 -17 -1122 1025 1135 169 5477 2483 746 -4014 4211 -1340 1091 1786 1939 -9433 4332 4319 4220 2179 -3304 -3770 -556 -1971 -1610 3340
+4025 -1192 -312 683 631 -293 3105 1120 -887 1875 -198 -3474 1643 -1762 -2634 -1593 3102 -527 643 -207 -131 594 -2535 -4828 1196 3297 -4629 -2327 1948 151 -1415 686 -1832 -2412 7586 -322 -4124 -1618 -692 -1059 -2822 -1876 -154 1323 -451 -1269 1289 2015 -1069 -838 4810 3478 -1385 -969 -2310 -113 -297 -791 -1139 3 1454 -5052 -1623 -1463 791 1547 564 -489 4182 -806 -4493 -2377 490 192 1774 49 -1171 922 1055 44 5329 2469 796 -4008 4330 -1452 1014 1771 1823 -9437 4309 4260 4192 2174 -3232 -3686 -460 -1813 -1577 3236
+4035 -1106 -363 600 580 -326 3045 1210 -840 1846 -321 -3414 1600 -1637 -2689 -1557 3064 -653 623 -161 -60 601 -2799 -4761 1387 3374 -4759 -2274 2113 221 -1435 651 -1826 -2395 7559 -128 -4108 -1669 -786 -1011 -2908 -1994 -116 1451 -519 -1253 1216 1990 -1098 -789 4698 3451 -1282 -933 -2332 -179 -383 -793 -1159 -6 1556 -4806 -1511 -1613 840 1535 485 -493 4309 -765 -4492 -2450 478 166 1860 132 -1195 873 1062 110 5266 2506 889 -3953 4327 -1478 920 1734 1852 -9352 4314 4203 4127 2159 -3216 -3655 -455 -1740 -1559 3238
+4058 -979 -484 540 504 -441 2924 1306 -754 1788 -285 -3248 1446 -1715 -2723 -1556 3033 -666 537 -166 -18 535 -2820 -4673 1423 3340 -4931 -2301 2253 232 -1475 583 -1880 -2463 7471 29 -4106 -1704 -807 -868 -3128 -2037 -55 1436 -500 -1320 1125 1936 -1164 -842 4563 3444 -1276 -943 -2306 -171 -344 -731 -1230 -105 1600 -4837 -1602 -1876 879 1585 489 -380 4484 -755 -4506 -2563 425 157 1820 105 -1114 922 1122 326 5473 2592 927 -3979 4264 -1387 937 1690 1930 -9332 4214 4118 4084 2220 -3292 -3724 -541 -1808 -1586 3321
+4111 -962 -560 491 525 -435 2867 1355 -660 1672 -30 -3029 1271 -1874 -2696 -1573 3003 -638 507 -160 -78 526 -2609 -4746 1382 3224 -5011 -2316 2264 147 -1498 608 -1910 -2582 7392 142 -4132 -1764 -773 -871 -3292 -1998 7 1342 -395 -1404 1106 1911 -1263 -942 4528 3477 -1387 -1035 -2302 -51 -188 -694 -1256 -180 1558 -5007 -1791 -1963 755 1645 527 -275 4487 -799 -4507 -2604 372 96 1792 -4 -1035 1053 1226 603 5753 2671 931 -3950 4092 -1328 1006 1656 1974 -9289 4141 4113 4127 2255 -3418 -3813 -650 -1942 -1614 3446
+4121 -1000 -554 540 581 -312 2859 1355 -569 1621 209 -2810 1145 -2022 -2633 -1623 3048 -466 514 -176 -98 615 -2427 -4910 1332 3099 -4958 -2340 2128 102 -1515 730 -1847 -2612 7329 168 -4130 -1779 -731 -943 -3277 -1994 31 1240 -301 -1378 1196 1923 -1315 -836 4567 3404 -1501 -1085 -2274 43 -21 -710 -1237 -194 1497 -5057 -1783 -1860 676 1715 588 -374 4397 -795 -4485 -2583 385 66 1804 -40 -899 1137 1215 583 5807 2661 882 -3965 4101 -1234 944 1567 1948 -9316 4055 4128 4199 2215 -3594 -3883 -703 -2076 -1714 3505
+4019 -1074 -485 648 602 -252 2967 1337 -587 1654 261 -2800 1277 -2081 -2551 -1599 3153 -419 550 -244 -152 685 -2454 -5021 1397 2993 -4902 -2417 2039 152 -1560 794 -1818 -2534 7343 155 -4100 -1752 -737 -1057 -3137 -2091 -4 1169 -341 -1343 1313 1953 -1177 -622 4562 3179 -1484 -1061 -2280 52 46 -734 -1273 -234 1516 -4966 -1642 -1709 757 1719 583 -556 4296 -745 -4462 -2526 537 33 1986 -65 -877 1154 1162 448 5716 2641 868 -3886 4286 -1297 935 1593 1816 -9301 4062 4191 4283 2129 -3704 -3869 -631 -2059 -1768 3552
+4039 -1089 -491 670 606 -281 2946 1372 -602 1694 112 -2806 1377 -2030 -2608 -1631 3254 -427 486 -237 -137 667 -2599 -4859 1343 3015 -4900 -2443 2021 278 -1593 763 -1846 -2469 7374 78 -4123 -1735 -738 -1132 -3017 -2239 -95 1091 -487 -1247 1358 1914 -1171 -500 4476 3029 -1406 -1027 -2273 10 -47 -790 -1334 -288 1509 -4789 -1514 -1695 908 1702 505 -744 4232 -684 -4460 -2492 644 57 2098 -145 -892 1159 1104 256 5581 2623 869 -3838 4449 -1379 948 1625 1684 -9229 4200 4272 4259 2070 -3649 -3799 -578 -2054 -1763 3559
+4175 -934 -485 760 543 -426 2913 1363 -632 1720 -127 -2845 1386 -1900 -2685 -1662 3308 -452 404 -200 -43 565 -2661 -4650 1315 3110 -4921 -2353 2107 374 -1602 694 -1893 -2346 7454 -114 -4143 -1670 -704 -1099 -3003 -2372 -129 1095 -627 -1253 1350 1793 -1157 -568 4438 2975 -1297 -1027 -2318 -48 -143 -801 -1403 -355 1530 -4716 -1606 -1874 996 1701 481 -715 4301 -684 -4521 -2470 822 65 2234 -345 -951 1198 1157 256 5580 2636 850 -3915 4492 -1293 1111 1752 1664 -9288 4272 4340 4206 2091 -3458 -3731 -589 -2037 -1617 3539
+4366 -741 -452 846 483 -583 2923 1381 -654 1707 -232 -2920 1366 -1877 -2663 -1638 3327 -561 380 -157 37 524 -2589 -4610 1244 3175 -4893 -2268 2143 362 -1556 640 -1969 -2362 7541 -336 -4200 -1646 -632 -977 -3095 -2375 -112 1067 -613 -1347 1300 1729 -1256 -815 4466 3055 -1224 -1103 -2450 -107 -185 -788 -1432 -342 1524 -4905 -1788 -2008 992 1749 518 -606 4346 -726 -4534 -2381 867 65 2176 -456 -944 1264 1262 376 5699 2681 845 -3962 4422 -1287 1250 1837 1765 -9264 4347 4403 4219 2171 -3336 -3751 -634 -2038 -1549 3589
+4374 -727 -437 902 504 -607 2946 1273 -703 1753 -233 -3100 1339 -1940 -2557 -1603 3261 -539 406 -146 24 548 -2438 -4826 1356 3090 -4787 -2160 2112 247 -1482 626 -2006 -2349 7606 -481 -4234 -1654 -587 -819 -3184 -2306 -41 1111 -439 -1406 1317 1750 -1246 -852 4549 3137 -1173 -1151 -2520 -127 -188 -744 -1406 -307 1531 -5085 -1931 -1908 956 1815 580 -575 4307 -771 -4480 -2265 832 -61 2046 -553 -941 1290 1347 505 5775 2738 925 -3902 4403 -1317 1259 1831 1822 -9366 4294 4419 4224 2218 -3256 -3796 -686 -2036 -1597 3556
+4286 -883 -380 951 529 -581 3085 1191 -818 1785 -98 -3336 1387 -2058 -2460 -1564 3197 -540 469 -175 -44 662 -2420 -5163 1530 3093 -4746 -2108 2110 196 -1401 598 -2023 -2393 7676 -595 -4274 -1662 -540 -718 -3247 -2218 104 1164 -305 -1502 1358 1811 -1247 -654 4578 3177 -1143 -1168 -2585 -189 -131 -716 -1325 -208 1566 -5143 -1890 -1775 952 1764 521 -719 4284 -738 -4405 -2255 729 -244 1870 -470 -935 1211 1273 418 5658 2681 920 -3794 4536 -1424 1166 1793 1803 -9447 4257 4423 4293 2219 -3315 -3847 -649 -1966 -1752 3547
+4137 -1025 -399 916 546 -575 3159 1132 -891 1904 28 -3512 1419 -2136 -2420 -1525 3186 -443 471 -207 -94 733 -2518 -5278 1626 3125 -4839 -2121 2158 263 -1391 515 -2016 -2366 7712 -607 -4309 -1705 -469 -796 -3158 -2194 155 1213 -308 -1497 1384 1831 -1126 -479 4547 3168 -1189 -1178 -2564 -154 -59 -712 -1277 -163 1639 -5032 -1772 -1753 923 1643 431 -889 4254 -680 -4362 -2373 686 -441 1861 -475 -901 1171 1169 225 5454 2599 874 -3743 4721 -1549 1039 1791 1791 -9534 4187 4403 4298 2169 -3397 -3876 -592 -1986 -1962 3523
+4094 -1005 -471 802 538 -541 3146 1204 -879 1979 61 -3445 1383 -2129 -2502 -1573 3176 -453 416 -212 -133 699 -2618 -5098 1568 3182 -5008 -2205 2265 347 -1468 451 -1953 -2307 7746 -504 -4317 -1735 -421 -810 -3107 -2267 173 1271 -382 -1430 1374 1773 -1023 -467 4473 3200 -1169 -1120 -2455 -68 -96 -756 -1304 -153 1710 -4971 -1786 -1916 950 1615 400 -925 4233 -670 -4441 -2607 756 -412 1938 -469 -900 1181 1158 190 5527 2622 872 -3689 4655 -1538 942 1684 1793 -9517 4180 4334 4278 2169 -3524 -3913 -527 -1943 -1970 3534
+4213 -954 -436 690 508 -514 3073 1293 -740 2049 0 -3300 1424 -1958 -2574 -1571 3279 -429 406 -206 -108 651 -2661 -4934 1397 3258 -5119 -2252 2308 407 -1504 473 -1878 -2229 7685 -478 -4320 -1754 -350 -881 -2975 -2228 105 1212 -457 -1387 1312 1740 -1142 -660 4418 3208 -1215 -1077 -2325 -14 -149 -786 -1364 -213 1752 -4993 -1955 -1937 1043 1713 509 -746 4166 -728 -4510 -2716 778 -279 2023 -550 -852 1255 1171 159 5639 2635 840 -3684 4524 -1505 1022 1712 1846 -9441 4126 4234 4211 2158 -3544 -3899 -511 -1919 -1788 3568
+4269 -924 -384 592 488 -413 2968 1316 -586 2071 -78 -3199 1437 -1735 -2622 -1573 3308 -446 498 -257 -82 715 -2663 -4945 1293 3235 -5020 -2339 2226 304 -1521 555 -1818 -2195 7645 -418 -4287 -1731 -322 -873 -2924 -2119 38 1184 -385 -1329 1259 1772 -1184 -872 4351 3244 -1243 -1022 -2244 6 -177 -789 -1411 -333 1611 -5168 -2038 -1796 1174 1841 601 -656 4043 -820 -4545 -2654 760 -85 2136 -597 -895 1289 1235 204 5791 2741 938 -3670 4302 -1448 1071 1726 1968 -9406 4075 4145 4122 2087 -3561 -3862 -507 -1865 -1614 3526
+4312 -1086 -221 616 483 -330 2939 1349 -459 2114 -184 -3127 1581 -1597 -2655 -1492 3324 -440 624 -336 -89 861 -2632 -5156 1271 3268 -4828 -2389 2124 252 -1440 640 -1804 -2268 7633 -302 -4251 -1725 -422 -884 -2837 -2004 -96 1132 -232 -1317 1322 1824 -1239 -849 4324 3356 -1234 -995 -2168 40 -242 -770 -1431 -391 1497 -5208 -1923 -1569 1279 1907 641 -697 3985 -831 -4473 -2368 684 -52 2122 -602 -948 1288 1235 132 5789 2827 1078 -3563 4317 -1479 1005 1694 2004 -9344 4083 4074 4131 2067 -3564 -3832 -544 -1804 -1479 3497
+4218 -1162 -204 610 563 -226 2943 1323 -497 1929 -185 -3148 1663 -1639 -2715 -1471 3243 -547 662 -430 -90 908 -2610 -5346 1300 3322 -4747 -2417 2106 225 -1404 718 -1897 -2361 7641 -195 -4207 -1688 -539 -888 -2891 -1918 -120 1118 -217 -1358 1334 1870 -1186 -725 4337 3499 -1254 -1000 -2163 115 -228 -787 -1306 -250 1411 -5096 -1701 -1492 1242 1769 557 -805 4079 -774 -4415 -2198 575 -44 1948 -418 -1008 1183 1133 -32 5658 2837 1135 -3514 4524 -1577 944 1706 1938 -9430 4135 4117 4159 2076 -3529 -3790 -571 -1843 -1610 3527
+4134 -1171 -307 615 632 -270 2953 1335 -640 1758 -162 -3183 1627 -1805 -2828 -1487 3163 -614 569 -445 -116 818 -2535 -5237 1267 3444 -4813 -2422 2204 268 -1433 688 -1998 -2464 7701 -126 -4206 -1682 -680 -794 -2950 -1924 -156 1138 -321 -1366 1366 1791 -1060 -641 4432 3611 -1272 -1045 -2222 116 -239 -867 -1278 -141 1481 -5043 -1654 -1616 1027 1575 471 -813 4259 -713 -4491 -2283 635 -208 1794 -320 -991 1135 1077 -136 5554 2726 1038 -3451 4843 -1590 866 1615 1815 -9486 4350 4222 4228 2168 -3519 -3790 -601 -1897 -1755 3571
+4090 -1096 -410 592 677 -364 2958 1386 -724 1583 -111 -3025 1536 -2076 -2831 -1474 3090 -685 414 -392 -118 721 -2506 -5021 1205 3501 -4876 -2406 2255 302 -1487 685 -1977 -2525 7785 -141 -4210 -1661 -715 -809 -3016 -1918 -90 1215 -424 -1368 1279 1759 -978 -774 4607 3684 -1257 -1061 -2263 107 -295 -908 -1235 -6 1538 -5060 -1737 -1785 834 1519 455 -659 4400 -725 -4672 -2537 780 -324 1769 -209 -997 1110 1116 74 5676 2658 882 -3518 4884 -1431 967 1628 1738 -9613 4432 4367 4334 2337 -3489 -3824 -617 -1939 -1836 3632
+4216 -1032 -382 612 688 -453 2939 1429 -753 1576 -36 -2857 1403 -2146 -2832 -1497 3125 -596 333 -431 -128 729 -2545 -4875 1186 3376 -4854 -2444 2154 274 -1539 775 -1888 -2532 7860 -232 -4248 -1672 -622 -755 -3066 -1901 44 1324 -494 -1429 1189 1701 -1004 -931 4796 3686 -1188 -1026 -2290 18 -408 -877 -1236 -6 1538 -5141 -1824 -1901 842 1659 498 -586 4378 -768 -4842 -2870 807 -264 1787 -139 -931 1119 1114 221 5870 2631 755 -3566 4877 -1364 1071 1662 1836 -9574 4452 4406 4384 2442 -3532 -3884 -584 -1983 -1879 3625
+4243 -1051 -349 534 608 -470 2963 1431 -705 1551 9 -2828 1343 -2001 -2788 -1525 3211 -562 340 -464 -210 887 -2612 -4943 1209 3112 -4748 -2458 1951 247 -1561 823 -1826 -2536 7899 -383 -4290 -1685 -490 -784 -3100 -1798 80 1412 -455 -1483 1151 1777 -1107 -1036 4862 3592 -1088 -967 -2306 -65 -450 -791 -1204 -62 1480 -5102 -1765 -1844 912 1809 527 -617 4266 -793 -4858 -2980 688 -94 1878 -55 -991 1042 1062 334 5981 2659 766 -3545 4874 -1375 1142 1710 1902 -9543 4404 4423 4398 2363 -3534 -3863 -490 -1842 -1764 3586
+4287 -1067 -326 556 630 -445 2965 1359 -658 1664 -41 -2903 1247 -1770 -2773 -1583 3285 -485 405 -535 -282 1001 -2624 -5185 1291 2971 -4760 -2475 1876 245 -1500 722 -1886 -2521 7918 -537 -4364 -1736 -411 -780 -3076 -1779 2 1388 -370 -1545 1221 1751 -1110 -1025 4815 3577 -1072 -964 -2330 -106 -399 -683 -1186 -158 1412 -4969 -1719 -1930 954 1814 502 -756 4190 -764 -4752 -2908 511 217 1938 3 -985 986 986 342 6031 2729 859 -3543 4910 -1490 1102 1739 1864 -9472 4311 4375 4374 2243 -3535 -3846 -426 -1737 -1660 3644
+4261 -1068 -412 569 699 -427 2973 1313 -669 1838 -188 -3013 1228 -1566 -2805 -1638 3303 -518 424 -545 -304 930 -2490 -5313 1287 2956 -4902 -2485 2003 334 -1448 569 -2030 -2480 7941 -586 -4440 -1820 -479 -805 -3059 -1838 -174 1293 -370 -1581 1300 1779 -1111 -936 4733 3536 -1177 -981 -2321 -46 -268 -622 -1177 -196 1434 -4895 -1739 -2083 787 1695 461 -834 4196 -727 -4619 -2710 422 448 1819 60 -1000 967 931 250 6055 2755 883 -3560 5088 -1610 952 1697 1857 -9450 4287 4393 4346 2116 -3563 -3844 -395 -1670 -1644 3691
+4223 -1045 -475 611 761 -444 2969 1252 -688 2032 -313 -3156 1338 -1605 -2790 -1598 3305 -597 421 -497 -285 834 -2386 -5274 1188 3043 -5068 -2421 2177 452 -1423 411 -2118 -2363 7878 -599 -4489 -1901 -545 -845 -3038 -1970 -255 1286 -425 -1613 1355 1740 -947 -1010 4684 3481 -1362 -1059 -2292 64 -105 -641 -1212 -138 1577 -4906 -1808 -2209 624 1595 436 -803 4225 -754 -4610 -2554 510 527 1745 -14 -944 1082 947 91 6091 2771 818 -3697 5180 -1618 814 1579 1904 -9490 4269 4383 4306 2080 -3573 -3879 -453 -1655 -1591 3742
+4249 -1010 -418 707 737 -460 2980 1229 -706 2148 -301 -3160 1414 -1812 -2677 -1536 3238 -627 409 -447 -204 795 -2461 -5208 1200 3172 -5025 -2349 2257 459 -1448 406 -2076 -2288 7870 -594 -4495 -1909 -581 -874 -2960 -2065 -249 1359 -528 -1574 1369 1686 -826 -1012 4738 3323 -1519 -1110 -2254 214 4 -673 -1240 -119 1633 -4935 -1831 -2082 702 1691 496 -696 4309 -801 -4736 -2633 704 481 1694 -49 -933 1138 941 -48 6190 2796 707 -3852 5108 -1544 746 1459 2012 -9533 4224 4394 4306 2174 -3624 -3976 -562 -1683 -1548 3709
+4277 -1031 -240 768 677 -454 3051 1242 -699 2175 -115 -3284 1545 -2086 -2550 -1481 3221 -600 407 -424 -125 837 -2666 -5214 1189 3316 -4830 -2331 2168 394 -1522 534 -1918 -2219 7838 -572 -4460 -1860 -521 -894 -2926 -2076 -117 1441 -509 -1540 1343 1647 -796 -1061 4796 3117 -1537 -1073 -2244 191 -10 -673 -1295 -201 1577 -4972 -1735 -1755 1018 1864 543 -661 4299 -856 -4910 -2799 845 293 1710 41 -1040 1084 944 -38 6210 2826 727 -3819 4986 -1520 768 1433 2119 -9536 4174 4387 4306 2246 -3718 -4065 -612 -1705 -1600 3707
+4367 -938 -160 798 577 -480 3081 1206 -695 2091 132 -3481 1716 -2233 -2527 -1453 3262 -562 400 -452 -111 905 -2783 -5322 1300 3378 -4652 -2268 2039 246 -1551 695 -1848 -2257 7800 -477 -4425 -1837 -556 -862 -3011 -2097 -10 1537 -483 -1522 1337 1647 -946 -1053 4813 3007 -1459 -1065 -2335 53 -66 -576 -1314 -343 1415 -4981 -1654 -1614 1293 1899 518 -789 4297 -822 -4990 -2929 890 188 1714 137 -1179 963 928 4 6130 2847 876 -3639 4810 -1587 736 1466 2143 -9537 4174 4398 4346 2230 -3742 -4065 -610 -1853 -1741 3804
+4470 -965 -145 868 549 -521 3147 1174 -743 2002 111 -3593 1717 -2120 -2632 -1500 3355 -499 399 -467 -178 941 -2679 -5451 1304 3437 -4707 -2282 2087 288 -1567 730 -1898 -2373 7765 -422 -4368 -1738 -554 -854 -3094 -2078 49 1511 -423 -1529 1406 1683 -1042 -953 4823 3008 -1406 -1082 -2419 -2 -126 -564 -1334 -427 1329 -4941 -1722 -1693 1331 1800 492 -940 4138 -821 -4936 -2898 801 101 1706 125 -1179 917 947 96 6005 2830 991 -3391 4868 -1702 751 1546 2067 -9568 4320 4449 4371 2164 -3716 -4014 -609 -1990 -1731 3964
+4466 -945 -266 850 553 -500 3166 1200 -807 1893 -22 -3577 1656 -1908 -2777 -1587 3448 -496 392 -505 -212 837 -2412 -5500 1308 3387 -4897 -2282 2247 350 -1537 681 -2003 -2471 7700 -354 -4322 -1685 -664 -821 -3267 -2127 63 1508 -381 -1541 1448 1781 -1062 -895 4849 3149 -1439 -1164 -2551 -63 -131 -529 -1325 -402 1357 -5084 -1941 -1843 1140 1686 478 -973 4067 -804 -4815 -2751 762 189 1604 -25 -1028 984 970 64 5850 2747 980 -3328 4875 -1681 784 1559 1898 -9650 4399 4463 4337 2076 -3566 -3898 -619 -2093 -1610 4036
+4385 -917 -355 838 630 -431 3225 1278 -822 1910 -259 -3322 1528 -1736 -2776 -1576 3519 -473 457 -474 -206 919 -2391 -5566 1409 3370 -5058 -2345 2370 493 -1515 678 -2024 -2456 7705 -346 -4312 -1683 -625 -822 -3240 -2175 62 1467 -308 -1477 1487 1865 -1045 -820 4928 3172 -1410 -1155 -2568 -19 -99 -544 -1258 -252 1486 -5176 -2072 -1958 998 1697 520 -858 4061 -851 -4747 -2665 852 247 1583 -121 -847 1091 1033 89 5884 2702 912 -3417 4919 -1603 905 1590 1819 -9640 4564 4475 4324 2176 -3499 -3867 -624 -2074 -1515 4030
+4259 -986 -321 764 594 -376 3215 1382 -749 1929 -354 -3091 1407 -1737 -2680 -1563 3533 -437 515 -459 -118 978 -2584 -5499 1498 3331 -5082 -2401 2372 465 -1486 699 -1965 -2376 7697 -370 -4308 -1686 -619 -811 -3154 -2259 65 1443 -338 -1388 1437 1898 -963 -796 4974 3171 -1378 -1122 -2575 -60 6 -526 -1219 -184 1560 -5243 -2051 -1861 1072 1805 537 -761 4208 -832 -4716 -2683 967 201 1705 -198 -795 1160 1080 49 5900 2678 812 -3550 5078 -1466 1008 1598 1893 -9814 4607 4478 4317 2182 -3453 -3828 -615 -1929 -1500 3805
+4240 -1036 -329 678 538 -354 3175 1445 -641 1894 -312 -2933 1358 -1923 -2594 -1569 3417 -483 464 -404 15 1017 -2791 -5334 1591 3169 -5016 -2398 2257 360 -1504 741 -1880 -2322 7765 -323 -4349 -1764 -582 -841 -3044 -2325 67 1405 -349 -1293 1342 1847 -1011 -780 4907 3059 -1295 -1020 -2498 -73 18 -505 -1260 -302 1568 -5181 -1873 -1701 1263 1873 489 -807 4282 -800 -4743 -2803 989 75 1947 -191 -889 1102 1034 -78 5845 2712 839 -3608 5144 -1542 1035 1614 1943 -9866 4542 4449 4356 2197 -3550 -3860 -565 -1810 -1672 3694
+4276 -993 -495 624 519 -410 3083 1479 -555 1844 -100 -2919 1353 -2088 -2619 -1560 3332 -545 351 -386 23 894 -2725 -5254 1559 3123 -4998 -2399 2203 244 -1551 737 -1867 -2389 7844 -267 -4407 -1851 -654 -886 -3035 -2411 -24 1270 -431 -1246 1367 1731 -1045 -769 4893 3123 -1305 -985 -2431 -118 -21 -471 -1306 -413 1494 -5174 -1827 -1786 1242 1835 433 -922 4268 -741 -4728 -2885 916 -110 2131 -180 -1058 1029 1014 -107 5792 2746 912 -3530 5217 -1738 994 1681 1992 -9843 4482 4376 4379 2178 -3692 -3942 -537 -1743 -1823 3721
+4390 -974 -546 603 519 -500 2966 1566 -525 1759 76 -2941 1355 -2230 -2627 -1532 3288 -653 240 -384 -60 784 -2452 -5306 1539 3107 -5043 -2322 2260 175 -1514 647 -2023 -2458 7890 -311 -4454 -1908 -650 -845 -3142 -2416 -68 1161 -448 -1308 1393 1724 -1181 -769 4831 3293 -1397 -982 -2338 -70 -104 -518 -1411 -518 1504 -5202 -1915 -2019 1109 1743 432 -987 4218 -705 -4741 -2909 808 -195 2114 -319 -1156 1097 1095 -10 5863 2798 923 -3515 5211 -1878 969 1731 1907 -9769 4443 4321 4378 2195 -3759 -3995 -528 -1745 -1790 3819
+4405 -974 -602 632 580 -472 2971 1541 -582 1800 74 -2970 1311 -2193 -2704 -1569 3331 -642 215 -430 -206 731 -2278 -5436 1518 3228 -5092 -2259 2339 179 -1444 566 -2111 -2525 7906 -346 -4487 -1920 -574 -796 -3317 -2360 -64 1081 -342 -1412 1395 1811 -1248 -760 4793 3479 -1488 -1030 -2261 37 -147 -578 -1382 -421 1461 -5240 -2082 -2078 960 1743 473 -984 4182 -665 -4699 -2797 718 -244 1910 -519 -1062 1265 1197 93 5966 2801 839 -3644 5072 -1823 974 1717 1866 -9738 4482 4365 4394 2292 -3733 -4013 -510 -1819 -1701 3895
+4313 -981 -556 693 711 -389 3039 1462 -647 1928 -36 -3011 1325 -2018 -2754 -1592 3454 -572 252 -488 -261 812 -2337 -5433 1519 3284 -4974 -2245 2327 185 -1380 623 -2076 -2516 7942 -429 -4471 -1845 -567 -726 -3369 -2225 42 1145 -296 -1486 1388 1951 -1228 -717 4789 3553 -1471 -1014 -2240 74 -222 -692 -1374 -330 1505 -5234 -2013 -1921 1043 1861 494 -949 4253 -621 -4633 -2573 707 -303 1759 -727 -899 1439 1258 136 6010 2764 777 -3715 4889 -1717 990 1681 1962 -9740 4465 4398 4364 2335 -3692 -3975 -437 -1814 -1663 3852
+4212 -1037 -429 746 723 -390 3084 1328 -718 2031 -181 -3181 1338 -1845 -2731 -1600 3523 -515 309 -482 -201 870 -2619 -5309 1453 3337 -4915 -2314 2228 202 -1418 727 -1978 -2452 8011 -405 -4425 -1777 -526 -732 -3302 -2145 136 1236 -298 -1500 1297 1973 -1180 -654 4786 3574 -1398 -989 -2249 102 -243 -783 -1337 -265 1474 -5179 -1847 -1773 1167 1899 463 -876 4452 -583 -4624 -2524 719 -295 1726 -707 -831 1393 1174 131 6024 2800 830 -3829 4822 -1678 927 1556 2050 -9770 4433 4444 4374 2374 -3647 -3947 -411 -1719 -1612 3658
+4261 -996 -406 739 690 -431 3159 1186 -762 1985 -237 -3375 1466 -1743 -2701 -1619 3529 -511 309 -458 -131 844 -2757 -5095 1332 3299 -4929 -2417 2146 266 -1485 783 -1885 -2448 8105 -369 -4395 -1742 -648 -732 -3156 -2157 108 1305 -424 -1479 1300 1835 -1191 -624 4775 3594 -1316 -986 -2321 27 -290 -798 -1308 -241 1422 -5134 -1685 -1784 1210 1847 423 -876 4566 -566 -4627 -2567 692 -320 1881 -604 -747 1309 1067 51 5902 2805 946 -3774 4832 -1736 846 1502 2093 -9770 4356 4491 4401 2299 -3579 -3893 -376 -1614 -1695 3618
+4416 -926 -450 728 686 -501 3167 1137 -811 1924 -186 -3521 1593 -1879 -2743 -1597 3452 -565 351 -433 -95 809 -2772 -5139 1231 3296 -5063 -2476 2176 389 -1552 796 -1869 -2494 8189 -244 -4336 -1709 -769 -865 -3010 -2194 21 1298 -483 -1427 1345 1715 -1153 -673 4911 3759 -1243 -1041 -2404 -65 -424 -817 -1295 -200 1411 -5186 -1699 -1982 1067 1746 407 -927 4384 -663 -4665 -2661 582 -342 2089 -468 -733 1255 1094 238 5961 2871 1066 -3658 4851 -1894 749 1530 2084 -9778 4369 4554 4438 2198 -3634 -3946 -459 -1603 -1711 3734
+4510 -921 -496 716 723 -522 3166 1141 -859 1919 -116 -3516 1622 -2073 -2729 -1549 3331 -627 377 -428 -122 874 -2652 -5352 1262 3305 -5174 -2478 2276 505 -1576 769 -1933 -2603 8208 -192 -4336 -1746 -873 -885 -2980 -2133 -106 1243 -475 -1439 1465 1754 -1227 -695 5059 3814 -1225 -1064 -2421 -122 -508 -809 -1328 -185 1515 -5232 -1862 -2155 829 1708 491 -893 4199 -748 -4694 -2767 455 -256 2127 -407 -723 1271 1207 405 5993 2869 1077 -3636 4798 -1953 791 1582 1945 -9739 4433 4625 4505 2180 -3665 -4018 -556 -1698 -1683 3907
+4443 -1013 -414 728 748 -446 3240 1206 -838 2041 -31 -3491 1565 -2222 -2680 -1511 3231 -600 444 -426 -128 948 -2637 -5597 1371 3307 -5124 -2391 2280 588 -1591 809 -1924 -2658 8169 -168 -4365 -1792 -822 -858 -2994 -1981 -155 1194 -354 -1494 1533 1922 -1245 -671 5167 3802 -1132 -1037 -2416 -173 -524 -757 -1306 -104 1637 -5215 -2001 -2201 833 1842 580 -855 4088 -774 -4695 -2780 372 -64 2076 -437 -680 1386 1345 504 6080 2866 1011 -3670 4730 -1819 945 1691 1958 -9734 4483 4647 4463 2144 -3716 -4059 -606 -1758 -1684 4006
+4332 -1101 -336 729 793 -329 3224 1234 -816 2084 72 -3493 1573 -2187 -2727 -1482 3260 -540 455 -409 -113 1012 -2759 -5581 1485 3348 -4980 -2318 2211 507 -1621 854 -1855 -2685 8187 -155 -4412 -1851 -701 -841 -3038 -1839 -97 1176 -291 -1522 1508 2056 -1270 -636 5139 3651 -1073 -995 -2375 -152 -472 -770 -1322 -120 1728 -5068 -2039 -2039 994 1941 569 -843 4153 -748 -4726 -2759 400 62 1939 -422 -700 1419 1330 364 5938 2822 958 -3677 4748 -1735 1097 1682 1917 -9714 4500 4629 4427 2101 -3789 -4051 -559 -1752 -1622 4072
+4255 -1109 -292 677 702 -347 3146 1296 -761 1981 143 -3494 1567 -1965 -2902 -1550 3342 -565 365 -402 -82 926 -2849 -5309 1428 3448 -4946 -2310 2162 315 -1719 845 -1828 -2703 8233 -123 -4442 -1885 -642 -888 -3113 -1823 -57 1179 -383 -1520 1350 1963 -1217 -669 5028 3412 -1064 -974 -2338 -124 -302 -768 -1315 -183 1660 -5075 -1982 -1999 1116 1897 502 -798 4314 -729 -4782 -2707 568 96 1954 -430 -879 1337 1245 242 5836 2775 895 -3810 4797 -1640 1147 1703 1937 -9708 4605 4614 4449 2071 -3955 -4067 -456 -1710 -1648 4026
+4338 -1010 -330 676 599 -477 3018 1390 -690 1833 113 -3385 1565 -1721 -3106 -1609 3415 -630 271 -460 -121 809 -2859 -5026 1274 3478 -5030 -2339 2212 230 -1777 722 -1881 -2619 8241 -96 -4470 -1922 -665 -981 -3128 -1905 -14 1274 -521 -1470 1253 1775 -1147 -758 4968 3306 -1161 -1035 -2340 -44 -200 -785 -1371 -268 1600 -5106 -1924 -1967 1119 1765 449 -813 4375 -798 -4895 -2663 771 74 2017 -343 -1123 1219 1210 235 5793 2766 933 -3864 4883 -1675 1128 1695 1826 -9758 4639 4572 4440 2030 -3983 -4063 -462 -1732 -1546 4020
+4412 -1001 -348 658 529 -611 2942 1477 -644 1721 2 -3174 1464 -1642 -3198 -1668 3404 -666 277 -536 -173 757 -2803 -5020 1125 3619 -5168 -2411 2299 250 -1764 580 -1986 -2593 8263 -167 -4495 -1936 -783 -1006 -3181 -2003 13 1343 -629 -1449 1236 1754 -1236 -803 4944 3330 -1285 -1130 -2358 14 -189 -803 -1427 -315 1584 -5198 -1870 -1913 1048 1732 521 -806 4333 -913 -5032 -2712 867 37 2153 -291 -1317 1133 1209 402 5938 2845 986 -3891 4871 -1744 1072 1675 1688 -9871 4675 4580 4438 2032 -3944 -4063 -518 -1742 -1434 4157
+4404 -1049 -304 648 497 -628 2924 1573 -610 1715 -112 -2876 1376 -1769 -3124 -1687 3308 -664 362 -613 -240 780 -2741 -5226 1137 3589 -5245 -2512 2315 264 -1698 519 -2099 -2598 8244 -240 -4495 -1919 -854 -1034 -3174 -1997 -9 1381 -553 -1489 1235 1901 -1232 -957 4959 3272 -1350 -1185 -2396 7 -341 -864 -1503 -344 1667 -5198 -1861 -1798 1083 1792 618 -806 4196 -1005 -5105 -2792 880 37 2178 -229 -1364 1121 1269 530 6069 2887 1011 -3938 4796 -1746 1103 1707 1664 -9910 4700 4526 4408 2150 -3895 -4095 -599 -1708 -1379 4241
+4325 -1190 -252 708 555 -560 2988 1546 -673 1822 -208 -2751 1248 -1993 -2905 -1698 3217 -633 454 -572 -225 856 -2727 -5342 1112 3484 -5155 -2573 2233 234 -1576 597 -2088 -2566 8187 -360 -4468 -1837 -906 -1026 -3170 -1959 -46 1386 -388 -1518 1293 2089 -1215 -982 4959 3230 -1312 -1185 -2445 -12 -429 -875 -1482 -293 1679 -5116 -1901 -1697 1252 1902 654 -781 4112 -1018 -5112 -2893 824 193 2089 -117 -1259 1093 1241 464 6068 2912 1043 -3934 4714 -1696 1176 1708 1709 -9894 4675 4463 4414 2334 -3804 -4093 -606 -1681 -1523 4289
+4267 -1205 -244 704 654 -447 3092 1502 -750 1805 -151 -2883 1232 -2147 -2742 -1598 3184 -592 430 -419 -136 822 -2733 -5176 1054 3303 -5073 -2613 2071 164 -1553 746 -2003 -2671 8212 -328 -4463 -1832 -895 -1003 -3171 -1935 -80 1372 -314 -1494 1290 2158 -1226 -973 4978 3225 -1236 -1187 -2526 -62 -423 -882 -1456 -194 1753 -5068 -1914 -1775 1340 1934 611 -796 4199 -909 -5040 -2945 825 380 1964 65 -1175 1023 1185 269 5865 2867 1086 -3898 4584 -1706 1188 1699 1842 -9885 4562 4412 4456 2444 -3721 -4044 -530 -1627 -1672 4245
+4249 -1169 -312 727 647 -433 3192 1429 -771 1752 -46 -3226 1316 -2170 -2673 -1541 3261 -583 329 -224 -51 763 -2735 -4848 1011 3204 -5040 -2600 2085 182 -1544 852 -1901 -2697 8245 -191 -4436 -1814 -905 -1117 -3112 -1949 -114 1338 -335 -1351 1285 2104 -1225 -941 5025 3247 -1219 -1209 -2615 -92 -297 -847 -1426 -198 1680 -5119 -1996 -1895 1251 1834 507 -858 4212 -838 -4930 -2905 837 470 1890 177 -1099 982 1144 91 5662 2795 1115 -3805 4541 -1808 1066 1651 1912 -9878 4408 4384 4500 2468 -3684 -3998 -433 -1607 -1787 4144
+4371 -1125 -366 692 650 -425 3208 1411 -715 1747 19 -3462 1424 -2017 -2679 -1516 3434 -597 327 -147 -37 771 -2746 -4716 1130 3252 -5090 -2519 2283 346 -1505 888 -1851 -2647 8259 -27 -4420 -1827 -961 -1233 -3018 -2071 -108 1383 -463 -1241 1310 2047 -1208 -1003 5111 3315 -1201 -1213 -2654 -108 -149 -760 -1348 -167 1581 -5271 -1996 -2040 1068 1733 456 -940 4231 -815 -4860 -2800 915 423 1901 162 -1129 1003 1216 172 5605 2708 1068 -3798 4455 -1858 950 1561 1799 -9887 4363 4421 4553 2413 -3692 -3976 -337 -1598 -1776 4152
+4383 -1110 -438 643 611 -454 3153 1430 -619 1851 -23 -3498 1501 -1950 -2796 -1562 3540 -571 334 -228 -93 774 -2769 -4878 1373 3339 -5116 -2416 2450 465 -1491 824 -1885 -2643 8263 31 -4404 -1853 -901 -1270 -3010 -2134 -62 1351 -502 -1208 1332 2067 -1134 -1066 5095 3306 -1127 -1110 -2614 -174 -135 -727 -1334 -168 1631 -5338 -1896 -2014 919 1757 490 -960 4267 -823 -4823 -2771 907 321 1907 11 -1223 1066 1319 389 5744 2747 1079 -3826 4350 -1765 926 1515 1671 -9917 4350 4437 4501 2342 -3649 -3937 -273 -1566 -1758 4166
+4328 -1085 -467 597 600 -427 3043 1344 -624 2034 -121 -3450 1659 -1991 -2783 -1572 3603 -556 459 -323 -180 767 -2753 -5139 1527 3353 -5086 -2354 2455 432 -1520 785 -1978 -2691 8207 -13 -4428 -1889 -849 -1180 -3122 -2238 23 1366 -467 -1343 1373 2123 -1108 -1114 5077 3294 -1093 -983 -2492 -176 -191 -697 -1336 -249 1614 -5285 -1823 -2002 908 1834 472 -971 4354 -807 -4843 -2855 833 207 1805 -79 -1273 1106 1367 526 5883 2783 1080 -3892 4402 -1640 981 1483 1644 -9930 4426 4531 4479 2316 -3629 -3947 -284 -1539 -1771 4226
+4275 -1061 -527 620 635 -360 3084 1210 -733 2180 -164 -3457 1719 -2056 -2746 -1651 3574 -542 506 -346 -153 716 -2682 -5233 1471 3315 -5033 -2324 2281 275 -1560 781 -2049 -2764 8177 -91 -4498 -1930 -770 -1072 -3289 -2251 58 1372 -382 -1504 1326 2155 -1118 -1107 4959 3308 -1074 -873 -2340 -102 -251 -722 -1392 -253 1756 -5127 -1832 -2062 929 1859 438 -916 4519 -716 -4825 -2896 740 -11 1711 -283 -1261 1197 1351 502 5850 2787 1144 -3858 4502 -1472 1109 1482 1659 -9874 4432 4589 4476 2318 -3633 -3989 -361 -1556 -1826 4247
+4358 -1029 -557 658 661 -315 3116 1122 -789 2185 -114 -3498 1728 -2183 -2723 -1692 3467 -574 483 -249 -41 640 -2667 -5173 1301 3246 -5065 -2330 2134 162 -1655 781 -2071 -2764 8164 -169 -4504 -1912 -779 -996 -3433 -2278 90 1437 -317 -1628 1283 2119 -1188 -1053 4887 3379 -1124 -846 -2276 -28 -265 -771 -1411 -262 1707 -5035 -1953 -2170 941 1811 358 -906 4691 -581 -4810 -2933 716 -5 1632 -320 -1200 1202 1226 297 5782 2784 1173 -3842 4670 -1497 1139 1507 1707 -9800 4452 4582 4445 2237 -3740 -4032 -411 -1534 -1840 4256
+4371 -1045 -471 693 634 -337 3178 1182 -786 2076 -26 -3517 1553 -2179 -2671 -1733 3336 -560 426 -200 91 563 -2734 -5068 1183 3353 -5151 -2366 2186 233 -1674 757 -2037 -2683 8198 -145 -4494 -1910 -793 -990 -3419 -2305 51 1383 -383 -1606 1228 2024 -1188 -898 4897 3514 -1261 -907 -2308 2 -209 -797 -1410 -291 1572 -5195 -1970 -2157 876 1741 354 -906 4657 -544 -4769 -2813 785 -11 1706 -358 -1072 1246 1162 213 5810 2759 1091 -3859 4785 -1667 1104 1572 1707 -9791 4403 4585 4432 2116 -3894 -4082 -456 -1589 -1863 4232
+4442 -995 -479 756 608 -403 3178 1262 -799 2022 55 -3522 1319 -2114 -2639 -1719 3288 -513 369 -146 134 612 -2898 -5058 1173 3546 -5349 -2437 2341 462 -1674 726 -2000 -2538 8249 -242 -4506 -1876 -834 -1019 -3373 -2350 58 1403 -469 -1510 1230 2002 -1161 -898 4885 3587 -1333 -948 -2367 3 -154 -755 -1306 -222 1369 -5396 -1855 -1959 920 1776 444 -930 4489 -602 -4716 -2619 880 -23 1870 -453 -959 1345 1260 288 5871 2654 901 -4002 4816 -1718 1091 1596 1550 -9796 4541 4609 4427 2008 -4005 -4092 -462 -1562 -1742 4195
+4478 -922 -465 801 598 -472 3230 1406 -799 1964 28 -3451 1201 -2005 -2668 -1709 3285 -551 371 -182 103 645 -2932 -5148 1298 3613 -5429 -2478 2463 536 -1646 703 -1974 -2504 8279 -319 -4528 -1863 -799 -1071 -3296 -2352 57 1282 -556 -1460 1300 2043 -1152 -929 4873 3657 -1341 -969 -2432 -64 -209 -800 -1220 -158 1256 -5437 -1655 -1754 1033 1886 596 -889 4338 -732 -4699 -2502 908 40 2116 -407 -962 1366 1396 488 5951 2631 852 -4040 4774 -1679 1145 1600 1491 -9808 4735 4676 4430 1948 -4101 -4093 -436 -1640 -1706 4129
+4443 -973 -535 803 575 -558 3247 1504 -825 1905 -46 -3319 1160 -1943 -2801 -1759 3364 -565 363 -195 -7 680 -2796 -5243 1371 3544 -5416 -2474 2415 404 -1651 759 -2008 -2610 8303 -403 -4565 -1867 -829 -1045 -3316 -2337 -2 1081 -560 -1451 1372 2083 -1075 -966 4872 3705 -1267 -966 -2488 -165 -293 -746 -1160 -67 1276 -5414 -1673 -1752 1090 1917 656 -734 4352 -822 -4692 -2500 843 -22 2248 -488 -1043 1315 1415 561 5878 2620 911 -4085 4617 -1612 1224 1608 1542 -9927 4848 4724 4452 1970 -4080 -4061 -400 -1651 -1683 4229
+4383 -957 -658 772 638 -506 3259 1438 -893 1827 -159 -3263 1405 -1937 -2860 -1700 3438 -601 425 -190 -81 634 -2585 -5286 1400 3447 -5308 -2437 2324 193 -1667 794 -2043 -2783 8328 -431 -4579 -1855 -765 -997 -3346 -2241 -60 925 -464 -1439 1415 2046 -1086 -895 4983 3704 -1210 -945 -2506 -205 -378 -739 -1226 -66 1505 -5264 -1832 -1912 1071 1818 618 -562 4477 -855 -4737 -2618 746 -131 2175 -549 -1026 1288 1341 410 5728 2639 1025 -4008 4654 -1573 1183 1525 1652 -9907 4820 4686 4520 2072 -4099 -4090 -387 -1641 -1723 4352
+4385 -974 -608 773 613 -472 3302 1414 -867 1745 -204 -3160 1636 -2009 -2934 -1651 3505 -588 485 -184 -97 681 -2571 -5264 1498 3365 -5219 -2368 2290 98 -1635 777 -2036 -2830 8376 -344 -4560 -1869 -809 -948 -3394 -2212 -138 943 -363 -1455 1347 2021 -1212 -818 5153 3744 -1189 -952 -2486 -182 -333 -687 -1270 -114 1589 -5267 -2048 -2132 961 1756 592 -483 4564 -843 -4803 -2769 701 -180 2095 -611 -994 1292 1267 232 5621 2629 1095 -3984 4674 -1654 1053 1461 1756 -9876 4769 4632 4579 2179 -3978 -4084 -423 -1657 -1760 4393
+4430 -1007 -544 784 604 -474 3225 1416 -784 1746 -258 -3018 1694 -2101 -2913 -1630 3487 -583 527 -209 -47 678 -2756 -5160 1635 3394 -5238 -2309 2358 151 -1647 704 -2062 -2787 8403 -164 -4509 -1883 -853 -912 -3397 -2209 -86 1052 -386 -1456 1232 2045 -1331 -735 5337 3655 -1233 -969 -2483 -190 -218 -620 -1246 -194 1522 -5424 -2040 -2053 964 1755 582 -592 4493 -828 -4850 -2771 711 -342 1976 -722 -897 1397 1264 113 5645 2602 1004 -3987 4681 -1794 935 1424 1698 -9827 4669 4544 4616 2309 -3897 -4096 -430 -1567 -1824 4398
+4491 -1029 -444 731 481 -546 3131 1435 -671 1819 -232 -2950 1652 -2141 -2858 -1646 3375 -592 463 -208 -33 642 -2935 -5060 1685 3461 -5292 -2361 2431 289 -1709 634 -2048 -2745 8425 -19 -4478 -1898 -830 -921 -3347 -2227 -52 1243 -429 -1470 1158 2042 -1385 -742 5335 3493 -1251 -974 -2478 -165 -18 -562 -1188 -247 1383 -5538 -1903 -1798 984 1793 580 -750 4395 -844 -4926 -2703 787 -350 2078 -704 -906 1451 1336 199 5838 2663 1027 -3922 4606 -1907 865 1454 1690 -9812 4676 4505 4578 2372 -3763 -4059 -391 -1519 -1964 4295
+4503 -1022 -402 731 444 -575 2983 1454 -578 1868 -164 -2927 1400 -2107 -2834 -1699 3258 -618 416 -203 -31 622 -2931 -5052 1577 3453 -5360 -2487 2415 276 -1770 662 -2015 -2752 8407 24 -4480 -1907 -764 -900 -3335 -2176 82 1304 -501 -1475 1182 2097 -1380 -789 5305 3285 -1300 -965 -2448 -135 93 -539 -1199 -292 1345 -5520 -1808 -1766 1058 1842 597 -770 4392 -881 -4964 -2557 807 -331 2261 -640 -980 1440 1385 253 5885 2695 1070 -3829 4594 -1872 902 1446 1763 -9916 4755 4558 4564 2483 -3689 -4062 -343 -1465 -1972 4272
+4553 -1015 -390 782 506 -601 2925 1378 -614 1975 -66 -3224 1325 -1961 -2833 -1718 3208 -613 397 -188 -119 545 -2698 -5094 1277 3356 -5330 -2637 2289 215 -1841 731 -1998 -2804 8340 -40 -4506 -1905 -698 -905 -3328 -2122 104 1247 -468 -1428 1295 2050 -1255 -875 5204 3261 -1385 -1055 -2423 -7 99 -566 -1212 -245 1382 -5383 -1787 -1895 1049 1867 599 -671 4464 -918 -4947 -2427 750 -200 2381 -508 -1059 1354 1383 216 5755 2673 1140 -3706 4638 -1846 981 1477 1889 -10012 4722 4574 4486 2417 -3689 -4023 -237 -1356 -1858 4374
+4453 -1058 -407 783 617 -472 3018 1289 -682 1991 -6 -3500 1330 -1883 -2832 -1754 3251 -562 439 -178 -150 600 -2542 -5210 1153 3343 -5305 -2672 2221 204 -1805 765 -2002 -2841 8343 -103 -4546 -1912 -718 -862 -3268 -2013 67 1205 -365 -1377 1358 2001 -1235 -802 5192 3354 -1499 -1160 -2456 75 25 -627 -1325 -290 1474 -5266 -1935 -2075 1040 1827 579 -573 4570 -923 -4906 -2414 608 -7 2369 -378 -1046 1269 1312 76 5661 2651 1133 -3748 4693 -1905 995 1514 2019 -10063 4683 4587 4462 2334 -3762 -4037 -239 -1408 -1653 4476
+4405 -1164 -321 812 703 -356 3140 1232 -746 2029 -74 -3611 1489 -1869 -2838 -1766 3317 -547 570 -172 -149 710 -2639 -5241 1164 3461 -5297 -2646 2311 335 -1657 803 -2030 -2739 8370 -190 -4568 -1891 -728 -953 -3187 -1912 -16 1204 -297 -1366 1436 1990 -1343 -656 5215 3401 -1569 -1290 -2537 100 -71 -675 -1369 -305 1486 -5269 -2010 -1989 1037 1837 591 -591 4529 -934 -4840 -2451 490 -3 2223 -423 -945 1339 1337 33 5645 2596 1029 -3829 4843 -1973 925 1475 1958 -9986 4687 4618 4505 2201 -3868 -4048 -265 -1414 -1514 4488
+4331 -1345 -244 733 662 -342 3169 1228 -781 2032 -175 -3554 1700 -1966 -2844 -1664 3415 -533 674 -199 -115 850 -2935 -5181 1269 3598 -5237 -2546 2382 429 -1560 804 -2038 -2644 8446 -342 -4583 -1879 -761 -886 -3139 -1931 -137 1177 -374 -1375 1434 1956 -1342 -556 5256 3307 -1520 -1292 -2585 107 -127 -705 -1328 -233 1484 -5360 -1825 -1845 1036 1869 600 -747 4393 -925 -4807 -2590 423 -25 2036 -408 -865 1355 1342 53 5719 2578 908 -3950 4953 -2032 929 1528 1839 -9996 4641 4635 4520 2116 -3992 -4071 -282 -1430 -1636 4470
+4451 -1277 -333 632 582 -404 3126 1293 -823 2035 -257 -3421 1759 -2111 -2924 -1693 3407 -493 644 -206 -50 793 -3039 -5079 1301 3688 -5204 -2481 2347 422 -1564 770 -2031 -2572 8566 -510 -4625 -1865 -707 -882 -3097 -2015 -207 1256 -548 -1395 1429 1897 -1403 -640 5310 3251 -1435 -1282 -2637 121 -55 -684 -1245 -185 1402 -5469 -1628 -1708 1005 1856 568 -861 4354 -888 -4839 -2777 458 -149 1973 -414 -946 1312 1318 171 5828 2599 854 -3991 5089 -2083 1008 1593 1770 -9981 4779 4757 4561 2064 -4048 -4086 -331 -1446 -1751 4412
+4493 -1206 -515 550 601 -488 3082 1312 -872 2057 -284 -3486 1684 -2094 -2942 -1681 3320 -596 636 -230 -29 686 -2902 -5133 1240 3636 -5171 -2491 2216 246 -1582 739 -2071 -2581 8640 -706 -4716 -1900 -635 -898 -3104 -2118 -192 1248 -665 -1398 1476 1869 -1351 -793 5318 3273 -1349 -1243 -2639 93 5 -665 -1174 -77 1419 -5367 -1595 -1855 958 1805 479 -858 4505 -821 -4911 -2918 586 -194 2065 -272 -1092 1193 1223 228 5879 2697 969 -3864 5141 -2006 1098 1629 1854 -9995 4860 4842 4573 2048 -4046 -4098 -422 -1502 -1768 4402
+4493 -1070 -589 552 674 -470 3061 1347 -856 1990 -199 -3574 1593 -2041 -2977 -1652 3272 -629 627 -240 -76 676 -2710 -5410 1326 3506 -5129 -2482 2144 160 -1541 693 -2112 -2685 8692 -767 -4766 -1948 -591 -944 -3105 -2163 -95 1353 -640 -1471 1508 1790 -1242 -912 5385 3397 -1275 -1196 -2579 112 -3 -663 -1180 -36 1528 -5268 -1721 -2227 828 1746 422 -725 4713 -780 -4932 -2909 641 -153 2120 -180 -1232 1091 1143 318 6011 2788 1045 -3810 5068 -2007 1095 1560 1930 -10090 4984 4959 4667 2122 -4073 -4174 -530 -1607 -1664 4518
+4378 -1160 -567 606 716 -365 3136 1396 -821 1853 -40 -3613 1415 -1915 -2961 -1664 3239 -625 606 -202 -140 693 -2609 -5620 1398 3503 -5183 -2476 2205 246 -1507 622 -2196 -2710 8671 -749 -4776 -1982 -600 -980 -3215 -2152 44 1447 -518 -1600 1472 1802 -1205 -795 5411 3540 -1186 -1123 -2498 99 -86 -683 -1233 -71 1614 -5201 -1931 -2281 790 1723 425 -572 4819 -781 -4869 -2711 693 19 2038 -67 -1141 1143 1163 328 6142 2843 994 -3899 5014 -2036 1020 1519 1943 -10080 5007 4955 4706 2117 -4112 -4209 -570 -1707 -1486 4620
+4286 -1245 -411 686 748 -258 3177 1453 -705 1878 43 -3455 1302 -1887 -2889 -1680 3259 -578 583 -171 -116 716 -2682 -5560 1421 3562 -5278 -2482 2362 483 -1493 579 -2179 -2695 8650 -601 -4747 -2024 -685 -974 -3265 -2143 86 1525 -403 -1661 1436 1887 -1202 -690 5380 3550 -1136 -1048 -2397 111 -156 -716 -1241 -84 1609 -5240 -1934 -2188 736 1768 448 -638 4827 -747 -4754 -2519 669 141 1965 -60 -984 1272 1218 309 6267 2852 914 -4003 4855 -2112 886 1463 1851 -10072 5056 4963 4722 2102 -4053 -4170 -517 -1667 -1376 4573
+4425 -1212 -345 720 707 -219 3192 1549 -619 1852 31 -3124 1352 -1965 -2871 -1696 3330 -613 553 -147 -96 678 -2814 -5305 1289 3583 -5404 -2532 2381 595 -1603 605 -2110 -2705 8592 -464 -4709 -2020 -688 -968 -3348 -2160 82 1551 -396 -1651 1384 1946 -1311 -704 5291 3490 -1071 -939 -2297 102 -235 -741 -1275 -126 1562 -5337 -1837 -1924 717 1751 453 -731 4729 -749 -4681 -2393 706 174 1940 -39 -854 1329 1210 182 6268 2885 907 -4081 4770 -2171 792 1398 1790 -9908 5088 4883 4679 2175 -4049 -4172 -418 -1583 -1479 4478
+4655 -1085 -329 754 647 -335 3101 1613 -547 1917 -22 -2875 1431 -2084 -2857 -1726 3413 -592 520 -145 -81 626 -2780 -5056 1078 3437 -5335 -2583 2256 527 -1776 671 -1972 -2701 8520 -331 -4718 -2055 -729 -910 -3400 -2279 15 1513 -529 -1584 1413 1957 -1282 -909 5275 3490 -1081 -907 -2264 132 -247 -830 -1316 -143 1497 -5384 -1764 -1813 793 1722 492 -689 4709 -749 -4706 -2482 759 85 2010 2 -921 1262 1200 158 6231 2927 1030 -3934 4832 -2115 826 1377 1831 -9860 5009 4791 4636 2272 -4047 -4209 -373 -1458 -1587 4373
+4757 -926 -398 800 598 -392 3102 1528 -564 1948 -87 -2954 1657 -2158 -2864 -1695 3480 -592 594 -170 -134 606 -2660 -5157 967 3385 -5247 -2617 2134 336 -1874 732 -1937 -2671 8431 -335 -4713 -2019 -793 -930 -3352 -2357 -65 1397 -629 -1467 1473 1951 -1257 -1019 5210 3704 -1234 -1020 -2327 192 -252 -927 -1320 -67 1568 -5362 -1677 -1856 900 1686 507 -562 4761 -759 -4811 -2685 870 61 2092 91 -1020 1099 1110 145 6142 2969 1147 -3786 4955 -2012 869 1348 1925 -9852 4885 4678 4595 2371 -4000 -4254 -417 -1463 -1718 4298
+4702 -953 -416 847 651 -349 3176 1420 -630 1940 -243 -3103 1736 -2141 -2825 -1671 3539 -532 710 -214 -183 728 -2579 -5439 1141 3334 -5133 -2526 2174 296 -1804 765 -1987 -2623 8393 -281 -4671 -1987 -884 -1045 -3265 -2376 -68 1344 -534 -1435 1521 1956 -1157 -1070 5214 3971 -1375 -1156 -2388 252 -276 -998 -1303 -27 1547 -5341 -1742 -1858 1037 1740 575 -431 4743 -815 -4868 -2805 902 36 2097 35 -1169 1020 1114 283 6184 3026 1203 -3644 5045 -2005 899 1323 1930 -9908 4718 4580 4607 2396 -4032 -4305 -452 -1445 -1699 4366
+4523 -1063 -393 882 682 -278 3302 1359 -697 1934 -292 -3286 1672 -2109 -2723 -1634 3540 -464 778 -171 -151 871 -2596 -5615 1421 3362 -5093 -2413 2397 378 -1624 719 -2089 -2564 8349 -326 -4634 -1925 -954 -1076 -3200 -2334 -70 1295 -359 -1440 1526 1987 -1132 -967 5190 4067 -1418 -1221 -2479 134 -432 -1002 -1282 29 1565 -5466 -1797 -1787 1102 1817 640 -379 4628 -885 -4802 -2693 802 47 2007 -50 -1100 1074 1158 399 6227 2987 1083 -3654 5160 -2082 848 1330 1894 -10042 4703 4598 4645 2327 -4022 -4255 -403 -1445 -1747 4359
+4446 -1135 -352 951 718 -285 3372 1320 -779 1977 -281 -3462 1442 -2104 -2651 -1651 3534 -395 698 -74 -56 864 -2619 -5467 1533 3459 -5165 -2335 2613 429 -1458 693 -2216 -2535 8408 -369 -4621 -1891 -917 -1068 -3282 -2282 -40 1344 -213 -1476 1446 2018 -1143 -914 5169 3980 -1338 -1172 -2519 -36 -523 -944 -1290 -16 1554 -5594 -1865 -1650 1024 1786 643 -477 4540 -902 -4662 -2475 667 44 1840 -221 -1059 1173 1194 387 6251 2940 928 -3722 5269 -2168 850 1397 1800 -10155 4709 4619 4614 2246 -3991 -4142 -273 -1361 -1751 4308
+4482 -1116 -428 943 627 -366 3390 1359 -842 2050 -192 -3551 1252 -2133 -2591 -1738 3454 -454 552 1 0 753 -2630 -5254 1544 3428 -5214 -2309 2597 331 -1421 717 -2205 -2545 8488 -424 -4660 -1908 -807 -899 -3438 -2278 13 1432 -204 -1534 1340 2005 -1097 -957 5191 3745 -1173 -1031 -2523 -204 -575 -891 -1332 -111 1530 -5636 -1948 -1667 954 1729 615 -551 4589 -892 -4609 -2373 567 49 1829 -229 -1079 1199 1180 267 6196 2927 924 -3708 5341 -2185 971 1508 1736 -10251 4807 4714 4618 2244 -3939 -4038 -180 -1341 -1765 4324
+4644 -997 -457 966 561 -472 3286 1340 -849 2187 -84 -3640 1305 -2187 -2596 -1781 3435 -502 498 -27 20 727 -2600 -5241 1547 3332 -5250 -2418 2430 193 -1500 779 -2131 -2591 8651 -474 -4712 -1950 -668 -790 -3547 -2326 61 1512 -350 -1566 1320 2015 -1044 -1065 5297 3597 -1181 -992 -2530 -316 -453 -844 -1347 -137 1570 -5640 -1882 -1849 886 1664 522 -603 4703 -850 -4638 -2373 519 -107 1981 -200 -1185 1147 1177 156 6015 2931 1066 -3581 5278 -2152 1147 1601 1735 -10304 4792 4737 4586 2307 -3873 -4004 -195 -1419 -1711 4316
+4734 -978 -474 955 525 -553 3166 1314 -835 2200 46 -3636 1409 -2227 -2650 -1816 3445 -584 550 -162 -76 730 -2613 -5538 1589 3266 -5320 -2554 2225 131 -1600 804 -2084 -2676 8766 -557 -4761 -2002 -643 -781 -3540 -2419 56 1512 -412 -1532 1330 1918 -995 -1097 5392 3571 -1156 -994 -2560 -319 -271 -825 -1411 -193 1725 -5564 -1807 -2020 911 1670 444 -563 4868 -830 -4807 -2483 643 -154 2241 -163 -1314 1095 1179 88 5988 3020 1214 -3515 5191 -2178 1264 1675 1821 -10373 4772 4773 4593 2320 -3805 -4010 -304 -1540 -1679 4495
+4672 -960 -440 902 506 -465 3170 1324 -800 2133 80 -3591 1609 -2227 -2738 -1818 3471 -605 627 -309 -120 836 -2624 -5858 1708 3326 -5404 -2617 2249 195 -1576 723 -2133 -2694 8794 -610 -4823 -2079 -688 -776 -3451 -2462 31 1436 -417 -1602 1339 1858 -1025 -1108 5450 3519 -1264 -1041 -2515 -249 -166 -792 -1405 -227 1764 -5425 -1773 -2058 885 1767 464 -546 4900 -837 -4945 -2557 711 -197 2387 -219 -1353 1124 1234 149 6178 3120 1267 -3513 5094 -2204 1204 1629 1785 -10324 4722 4807 4667 2336 -3900 -4118 -438 -1645 -1703 4624
+4548 -1160 -404 872 500 -365 3170 1364 -746 2095 17 -3471 1701 -2183 -2862 -1766 3527 -563 681 -353 -135 829 -2588 -5915 1619 3499 -5518 -2597 2398 369 -1541 566 -2272 -2697 8773 -673 -4831 -2072 -644 -911 -3336 -2443 -72 1310 -270 -1645 1348 1726 -969 -1015 5358 3383 -1285 -1030 -2508 -294 -237 -827 -1436 -250 1756 -5464 -1842 -2066 840 1866 557 -551 4804 -888 -4955 -2502 682 -228 2343 -360 -1235 1206 1312 237 6300 3190 1234 -3528 5062 -2237 1022 1501 1688 -10278 4698 4799 4699 2270 -3991 -4176 -479 -1628 -1811 4655
+4483 -1199 -423 882 610 -241 3165 1417 -697 2046 -49 -3356 1641 -2165 -2928 -1655 3559 -496 686 -284 -68 848 -2605 -5777 1529 3588 -5479 -2511 2494 470 -1470 541 -2289 -2657 8723 -718 -4815 -2022 -629 -901 -3269 -2353 -157 1264 -295 -1660 1403 1715 -938 -966 5294 3255 -1331 -1047 -2530 -307 -316 -819 -1499 -392 1600 -5491 -1910 -2029 701 1830 566 -649 4713 -890 -4836 -2384 530 -278 2149 -428 -1070 1261 1293 179 6227 3150 1179 -3528 5110 -2232 828 1307 1631 -10217 4657 4783 4675 2242 -4068 -4225 -491 -1577 -1820 4644
+4538 -1162 -439 815 622 -226 3174 1554 -619 2008 -79 -3338 1466 -2111 -2932 -1602 3534 -492 619 -226 -11 812 -2682 -5618 1442 3658 -5317 -2400 2458 445 -1509 611 -2229 -2599 8667 -689 -4757 -1932 -587 -872 -3213 -2272 -245 1211 -315 -1596 1450 1756 -941 -1040 5261 3139 -1414 -1091 -2611 -300 -339 -816 -1531 -444 1499 -5550 -1927 -2056 723 1768 561 -661 4713 -901 -4719 -2301 401 -359 1996 -440 -1022 1214 1214 115 6116 3075 1150 -3449 5139 -2175 817 1243 1644 -10050 4622 4708 4681 2294 -4199 -4301 -464 -1491 -1629 4643
+4629 -1121 -387 751 646 -240 3204 1610 -626 1917 33 -3445 1442 -2082 -2937 -1563 3474 -529 538 -212 -46 874 -2812 -5660 1477 3691 -5206 -2429 2296 383 -1633 762 -2077 -2585 8647 -529 -4673 -1849 -576 -855 -3212 -2213 -217 1284 -419 -1577 1439 1873 -943 -1100 5210 3130 -1473 -1140 -2674 -310 -403 -836 -1570 -473 1535 -5426 -1849 -2081 830 1788 553 -590 4859 -890 -4724 -2432 334 -455 1975 -404 -1052 1162 1157 150 6045 3044 1201 -3349 5217 -2080 895 1227 1704 -9910 4620 4674 4657 2293 -4207 -4309 -432 -1455 -1447 4657
+4609 -1146 -411 699 597 -246 3212 1591 -624 1776 85 -3409 1408 -2075 -2923 -1616 3429 -604 550 -224 -149 936 -2825 -5785 1626 3640 -5154 -2406 2263 334 -1695 848 -1988 -2594 8628 -345 -4612 -1836 -707 -879 -3139 -2184 -186 1373 -451 -1535 1481 1852 -1003 -1002 5226 3221 -1533 -1220 -2740 -251 -367 -886 -1495 -297 1629 -5367 -1689 -1942 1010 1859 585 -452 4907 -924 -4814 -2579 411 -543 2120 -383 -1096 1208 1237 337 6109 3031 1173 -3407 5119 -2009 1007 1302 1693 -9867 4607 4647 4661 2260 -4265 -4338 -389 -1426 -1375 4706
+4569 -1162 -404 645 626 -202 3245 1535 -668 1669 82 -3279 1406 -2125 -2920 -1705 3353 -638 548 -276 -235 893 -2707 -5881 1677 3570 -5271 -2442 2359 423 -1715 869 -2033 -2654 8611 -237 -4569 -1822 -786 -987 -3100 -2142 -53 1424 -434 -1618 1459 1867 -1147 -959 5244 3310 -1451 -1183 -2741 -236 -323 -885 -1431 -136 1783 -5384 -1600 -1881 998 1944 666 -341 4928 -938 -4906 -2675 454 -548 2232 -381 -1172 1242 1321 518 6312 3079 1198 -3441 5049 -2043 1045 1362 1573 -9858 4603 4627 4676 2237 -4244 -4325 -357 -1398 -1472 4698
+4569 -1154 -462 686 733 -178 3249 1452 -743 1723 -105 -3090 1398 -2071 -2975 -1778 3359 -661 582 -312 -327 848 -2550 -5850 1564 3432 -5418 -2492 2412 521 -1737 841 -2083 -2769 8580 -177 -4590 -1875 -878 -973 -3099 -2124 17 1383 -358 -1696 1417 1825 -1221 -1001 5266 3335 -1315 -1083 -2649 -155 -248 -851 -1395 -108 1796 -5418 -1726 -1930 854 1871 682 -358 4922 -877 -4885 -2585 484 -461 2240 -388 -1126 1315 1325 435 6366 3099 1184 -3486 5132 -2158 1044 1373 1416 -9905 4708 4678 4729 2326 -4150 -4313 -373 -1402 -1661 4696
+4539 -1127 -462 790 804 -192 3222 1410 -755 1949 -314 -3053 1404 -2011 -3020 -1779 3430 -587 622 -339 -275 864 -2581 -5750 1489 3335 -5459 -2568 2401 464 -1680 797 -2118 -2799 8548 -268 -4678 -1938 -719 -929 -3167 -2050 86 1350 -346 -1679 1437 1901 -1300 -920 5358 3293 -1211 -971 -2569 -195 -292 -798 -1428 -196 1763 -5447 -1911 -2014 749 1722 619 -420 4943 -795 -4780 -2387 482 -273 2169 -416 -1070 1305 1186 154 6358 3138 1195 -3439 5382 -2161 1110 1447 1391 -10051 4789 4772 4764 2466 -3988 -4271 -406 -1440 -1762 4697
+4560 -1178 -349 853 777 -255 3157 1412 -735 2161 -392 -3226 1488 -1892 -3067 -1734 3500 -535 606 -401 -223 845 -2751 -5577 1424 3263 -5407 -2624 2300 341 -1677 749 -2120 -2738 8563 -366 -4752 -1996 -630 -865 -3229 -2061 17 1358 -381 -1603 1420 1928 -1225 -895 5460 3294 -1139 -847 -2467 -212 -320 -751 -1491 -326 1763 -5429 -2054 -2098 746 1637 539 -447 5036 -718 -4646 -2192 467 -115 2006 -381 -1025 1314 1089 -70 6292 3133 1186 -3427 5462 -2100 1202 1463 1424 -10216 4758 4769 4773 2645 -3831 -4241 -449 -1444 -1651 4727
+4618 -1183 -279 883 679 -318 3131 1412 -683 2258 -317 -3504 1591 -1858 -3074 -1669 3575 -489 559 -433 -137 850 -2929 -5540 1382 3396 -5358 -2658 2259 310 -1665 679 -2088 -2657 8681 -481 -4746 -1975 -519 -947 -3220 -2093 -77 1367 -502 -1499 1438 1969 -1204 -776 5465 3335 -1094 -777 -2369 -158 -371 -781 -1512 -374 1740 -5340 -1971 -2020 910 1726 556 -374 5149 -717 -4602 -2189 469 -86 1990 -332 -1008 1317 1089 -55 6284 3109 1146 -3456 5437 -2059 1289 1499 1506 -10283 4678 4747 4763 2632 -3842 -4211 -402 -1463 -1659 4738
+4631 -1161 -258 890 679 -316 3065 1368 -668 2203 -115 -3686 1705 -1981 -3070 -1636 3560 -586 479 -407 -146 848 -2854 -5512 1337 3501 -5315 -2604 2311 298 -1659 554 -2092 -2585 8798 -499 -4766 -1985 -559 -1102 -3145 -2221 -182 1299 -572 -1442 1465 1866 -1194 -741 5361 3445 -1130 -787 -2341 -54 -340 -851 -1463 -298 1712 -5314 -1847 -1840 1028 1855 647 -193 5212 -792 -4693 -2382 570 -131 1993 -245 -1029 1280 1190 225 6418 3074 1051 -3505 5319 -2105 1254 1489 1509 -10324 4597 4669 4716 2458 -3890 -4135 -293 -1404 -1667 4679
+4682 -1150 -376 922 676 -373 3068 1328 -749 2048 40 -3679 1650 -2163 -2989 -1630 3478 -727 443 -375 -239 804 -2649 -5598 1249 3630 -5352 -2541 2403 303 -1661 485 -2215 -2620 8879 -559 -4793 -2015 -719 -1213 -3130 -2291 -211 1275 -595 -1440 1482 1802 -1319 -760 5279 3523 -1172 -821 -2312 86 -376 -963 -1424 -156 1732 -5392 -1746 -1798 962 1892 747 -129 5089 -898 -4791 -2578 669 -258 2067 -133 -1045 1233 1243 398 6470 3057 1047 -3555 5125 -2280 1104 1467 1507 -10261 4465 4560 4640 2283 -4043 -4133 -201 -1345 -1729 4642
+4681 -1146 -433 913 733 -328 3155 1338 -844 1973 127 -3638 1594 -2307 -2899 -1657 3424 -774 428 -383 -264 808 -2508 -5642 1161 3664 -5326 -2512 2405 261 -1648 520 -2259 -2670 8892 -548 -4837 -2049 -817 -1279 -3116 -2326 -157 1309 -460 -1476 1495 1746 -1370 -863 5210 3711 -1230 -922 -2373 121 -396 -984 -1398 -90 1669 -5530 -1743 -1824 799 1777 735 -236 4902 -933 -4817 -2648 752 -303 2097 38 -1088 1127 1230 382 6340 3011 1113 -3571 5065 -2415 1028 1467 1503 -10236 4399 4514 4597 2228 -4093 -4142 -218 -1391 -1761 4614
+4592 -1201 -446 922 738 -320 3226 1343 -894 2013 25 -3610 1555 -2340 -2902 -1703 3449 -767 470 -361 -215 921 -2636 -5621 1198 3618 -5279 -2548 2337 196 -1626 629 -2226 -2768 8925 -592 -4824 -1987 -841 -1204 -3237 -2285 -77 1422 -377 -1467 1516 1808 -1325 -801 5190 3863 -1335 -1038 -2434 147 -453 -951 -1374 -90 1610 -5533 -1783 -1888 782 1663 685 -344 4876 -869 -4750 -2578 774 -184 2148 209 -1085 1013 1095 99 6135 3002 1209 -3505 5103 -2355 1002 1394 1551 -10240 4372 4485 4584 2326 -4150 -4232 -336 -1463 -1739 4594
+4526 -1201 -366 898 619 -345 3279 1377 -869 1996 -74 -3598 1541 -2153 -2919 -1705 3533 -709 480 -387 -164 926 -2909 -5536 1285 3584 -5300 -2603 2268 197 -1674 796 -2158 -2823 8948 -503 -4793 -1977 -891 -1110 -3344 -2305 -65 1492 -437 -1488 1515 1886 -1250 -725 5126 3833 -1339 -1071 -2494 67 -495 -886 -1391 -142 1639 -5503 -1779 -1852 894 1692 589 -488 4905 -800 -4669 -2430 780 55 2108 225 -998 1017 995 -183 6101 2997 1196 -3556 5197 -2250 1000 1306 1640 -10277 4351 4538 4623 2384 -4205 -4285 -393 -1434 -1749 4619
+4548 -1191 -319 851 512 -346 3322 1443 -782 1897 -133 -3594 1498 -1974 -2978 -1707 3652 -584 460 -379 -67 895 -3040 -5468 1353 3669 -5451 -2643 2389 353 -1682 866 -2099 -2804 8986 -387 -4734 -1952 -909 -1034 -3470 -2376 -57 1595 -486 -1466 1499 1901 -1138 -698 5132 3739 -1293 -1039 -2496 -18 -540 -849 -1363 -129 1619 -5461 -1787 -1825 1027 1855 581 -492 4971 -819 -4669 -2304 836 271 2256 166 -968 1109 1036 -242 6164 3028 1194 -3535 5133 -2121 1047 1266 1593 -10263 4463 4615 4669 2408 -4122 -4272 -452 -1499 -1776 4556
+4627 -1103 -368 853 482 -359 3222 1481 -695 1755 -113 -3441 1414 -1929 -3046 -1681 3638 -551 410 -380 -80 840 -2902 -5485 1366 3591 -5614 -2668 2487 468 -1698 886 -2101 -2804 8976 -213 -4709 -1979 -934 -1075 -3554 -2416 -83 1577 -564 -1463 1464 1866 -1185 -704 5120 3575 -1233 -992 -2447 -59 -493 -809 -1335 -119 1670 -5437 -1724 -1873 971 1888 574 -404 5083 -878 -4754 -2274 906 286 2451 18 -1103 1151 1106 -144 6291 3035 1141 -3543 5030 -2186 1079 1307 1475 -10221 4618 4716 4698 2300 -4077 -4203 -353 -1390 -1771 4558
+4747 -995 -449 919 543 -278 3246 1558 -627 1745 -75 -3259 1361 -1985 -2974 -1669 3632 -495 348 -387 -157 809 -2666 -5658 1397 3502 -5590 -2650 2465 517 -1677 899 -2070 -2843 8939 -144 -4729 -2018 -860 -1169 -3475 -2416 -93 1441 -462 -1477 1482 1819 -1223 -894 5171 3512 -1141 -980 -2442 -63 -344 -779 -1302 -90 1681 -5491 -1729 -2042 773 1797 561 -397 5101 -934 -4861 -2360 903 176 2566 13 -1198 1137 1116 -43 6288 3037 1147 -3550 5043 -2240 1116 1364 1293 -10169 4722 4817 4743 2262 -4017 -4170 -316 -1482 -1767 4582
+4762 -1079 -477 943 610 -194 3222 1589 -611 1891 -26 -3209 1365 -2241 -2919 -1696 3568 -528 324 -359 -178 834 -2625 -5760 1459 3404 -5390 -2527 2353 421 -1635 944 -2066 -2914 8840 -162 -4778 -2078 -832 -1238 -3426 -2407 -80 1363 -340 -1508 1498 1845 -1322 -1003 5270 3563 -1193 -1065 -2469 -41 -174 -710 -1256 -116 1606 -5531 -1718 -2198 520 1600 447 -531 5082 -914 -4912 -2478 787 -70 2504 7 -1299 1089 1088 -13 6218 3016 1165 -3581 5086 -2281 1184 1420 1263 -10095 4871 4884 4778 2352 -3977 -4204 -337 -1591 -1683 4673
+4631 -1126 -428 934 619 -112 3262 1602 -633 2064 13 -3246 1513 -2378 -2852 -1694 3569 -590 305 -343 -152 889 -2819 -5678 1437 3414 -5177 -2459 2226 311 -1644 987 -2022 -2985 8812 -168 -4811 -2117 -857 -1241 -3438 -2399 -67 1332 -325 -1536 1543 2049 -1355 -950 5326 3543 -1239 -1126 -2493 22 -99 -686 -1298 -238 1549 -5535 -1754 -2212 487 1561 385 -636 5074 -844 -4906 -2557 671 -281 2253 -46 -1185 1141 1107 -29 6067 2865 1073 -3713 5200 -2194 1280 1440 1316 -10074 4872 4895 4797 2449 -3974 -4268 -388 -1687 -1686 4722
+4521 -1288 -393 870 551 -100 3274 1555 -638 2161 -67 -3338 1622 -2332 -2831 -1738 3606 -636 244 -301 -92 858 -2978 -5392 1439 3429 -5199 -2355 2290 265 -1610 929 -2040 -2936 8788 -133 -4791 -2112 -926 -1186 -3463 -2377 -91 1329 -368 -1559 1481 2159 -1280 -820 5327 3388 -1274 -1118 -2489 -4 -184 -676 -1379 -355 1524 -5474 -1801 -2052 669 1718 453 -580 5064 -803 -4857 -2588 548 -267 2060 -173 -985 1262 1177 9 6081 2819 979 -3898 5228 -2087 1263 1350 1399 -10080 4820 4857 4787 2434 -4047 -4306 -390 -1571 -1783 4678
+4495 -1273 -458 803 511 -171 3179 1528 -632 2102 -214 -3305 1629 -2153 -2895 -1754 3657 -685 257 -310 -56 831 -2984 -5175 1330 3473 -5340 -2348 2456 369 -1635 813 -2061 -2858 8824 -127 -4766 -2057 -981 -1096 -3543 -2361 -136 1349 -458 -1503 1412 2128 -1104 -859 5284 3280 -1331 -1155 -2518 0 -345 -759 -1398 -283 1551 -5483 -1826 -1902 800 1849 561 -443 4974 -872 -4817 -2530 452 -200 1945 -263 -845 1363 1274 155 6184 2784 871 -4060 5277 -2156 1207 1301 1389 -10162 4773 4836 4838 2400 -4132 -4344 -370 -1441 -1912 4574
+4544 -1133 -636 804 557 -229 3174 1415 -706 2050 -398 -3328 1560 -1991 -2910 -1757 3708 -625 308 -400 -93 863 -2876 -5285 1293 3457 -5477 -2387 2567 464 -1682 715 -2064 -2767 8833 -177 -4761 -2026 -1012 -1019 -3640 -2315 -62 1348 -443 -1485 1311 2080 -1169 -991 5223 3290 -1412 -1239 -2581 34 -385 -819 -1401 -211 1575 -5448 -1747 -1864 857 1877 658 -315 4941 -946 -4774 -2460 343 -146 2079 -294 -863 1319 1264 232 6348 2866 929 -3962 5287 -2355 1015 1267 1418 -10193 4742 4776 4801 2326 -4186 -4357 -386 -1362 -1882 4530
+4634 -1094 -665 864 677 -240 3190 1349 -785 2077 -480 -3407 1530 -1956 -2904 -1692 3739 -569 397 -488 -128 963 -2826 -5573 1394 3379 -5505 -2482 2540 435 -1707 720 -2074 -2736 8830 -287 -4794 -2003 -907 -1009 -3613 -2214 42 1339 -378 -1528 1274 2090 -1249 -1148 5238 3449 -1510 -1364 -2669 105 -325 -859 -1349 -150 1507 -5561 -1684 -1908 806 1738 683 -258 4859 -1031 -4753 -2302 314 -157 2301 -280 -976 1233 1214 224 6283 2926 1090 -3821 5185 -2477 938 1299 1500 -10218 4707 4705 4728 2289 -4172 -4343 -417 -1389 -1708 4572
+4590 -1109 -625 920 745 -181 3275 1279 -899 2203 -418 -3611 1538 -2044 -2915 -1642 3700 -491 411 -508 -90 1024 -2899 -5697 1473 3263 -5457 -2564 2351 310 -1707 806 -2085 -2777 8771 -474 -4792 -1939 -791 -1010 -3506 -2152 142 1286 -255 -1581 1326 2233 -1350 -1162 5265 3618 -1577 -1445 -2755 132 -147 -773 -1279 -148 1472 -5672 -1704 -1831 755 1631 697 -230 4902 -1034 -4771 -2250 324 -265 2400 -252 -1031 1218 1197 177 6142 2904 1168 -3747 5015 -2489 1005 1332 1544 -10196 4707 4656 4637 2321 -4087 -4298 -454 -1525 -1519 4687
+4563 -1186 -474 981 727 -182 3361 1245 -961 2222 -188 -3828 1645 -2177 -2897 -1582 3685 -518 336 -441 -31 1012 -3009 -5531 1527 3228 -5427 -2609 2274 244 -1753 847 -2096 -2837 8766 -476 -4800 -1962 -731 -1033 -3370 -2137 93 1283 -213 -1550 1386 2333 -1392 -1078 5215 3622 -1510 -1374 -2745 46 -110 -712 -1283 -198 1466 -5812 -1821 -1708 794 1629 661 -212 4971 -978 -4824 -2281 359 -351 2274 -283 -978 1310 1198 92 6093 2881 1131 -3799 4905 -2291 1096 1282 1543 -10234 4799 4684 4655 2419 -3999 -4230 -435 -1668 -1521 4738
+4547 -1178 -403 1001 648 -228 3377 1244 -943 2070 63 -3825 1716 -2308 -2962 -1588 3618 -631 228 -389 -5 882 -3048 -5291 1548 3375 -5540 -2571 2422 234 -1721 825 -2174 -2842 8797 -335 -4752 -1955 -800 -1119 -3253 -2150 -82 1238 -307 -1490 1379 2306 -1291 -944 5325 3501 -1375 -1192 -2644 -16 -138 -679 -1292 -177 1556 -5807 -1925 -1687 879 1748 677 -144 5130 -887 -4890 -2352 489 -432 2068 -440 -969 1441 1308 162 6071 2843 1076 -3910 4771 -2178 1070 1197 1525 -10318 4837 4718 4681 2396 -4004 -4167 -355 -1700 -1673 4699
+4641 -1178 -361 1004 562 -311 3259 1332 -812 1901 213 -3695 1695 -2324 -2984 -1645 3515 -814 165 -373 -52 759 -2965 -5233 1594 3606 -5599 -2545 2584 312 -1744 769 -2228 -2854 8863 -142 -4730 -1985 -852 -1036 -3240 -2217 -194 1206 -423 -1410 1320 2126 -1123 -992 5409 3379 -1204 -990 -2519 -18 -221 -731 -1408 -251 1668 -5672 -1985 -1754 921 1776 638 -123 5236 -813 -4889 -2405 543 -486 1949 -554 -948 1549 1424 260 6088 2799 1008 -4060 4847 -2257 971 1129 1483 -10394 4877 4797 4737 2314 -4098 -4163 -307 -1652 -1836 4607
+4721 -1100 -404 1011 543 -319 3171 1391 -702 1887 147 -3465 1500 -2105 -3099 -1755 3489 -811 222 -469 -160 800 -2952 -5457 1612 3749 -5623 -2585 2601 325 -1807 735 -2205 -2871 8895 -26 -4720 -2019 -868 -1020 -3281 -2230 -240 1142 -469 -1396 1232 2004 -1033 -1137 5568 3465 -1199 -932 -2454 -34 -273 -797 -1434 -222 1740 -5569 -1822 -2001 881 1711 559 -225 5177 -834 -4866 -2420 617 -500 2066 -523 -1206 1404 1424 350 6033 2839 1112 -3964 4926 -2447 855 1133 1507 -10504 4909 4882 4762 2277 -4086 -4181 -358 -1632 -1824 4563
+4769 -1149 -402 945 523 -306 3110 1451 -660 1949 -22 -3401 1440 -1855 -3218 -1766 3515 -809 297 -578 -270 822 -2974 -5747 1619 3855 -5529 -2628 2484 192 -1833 771 -2154 -2926 8862 -53 -4764 -2062 -846 -982 -3293 -2240 -209 1133 -425 -1467 1228 1916 -1080 -1279 5638 3669 -1298 -982 -2465 35 -170 -830 -1442 -263 1690 -5539 -1735 -2041 737 1667 603 -286 5063 -915 -4817 -2403 625 -405 2278 -432 -1398 1211 1360 347 6005 2899 1237 -3823 5061 -2555 891 1235 1553 -10479 4969 4916 4768 2326 -4008 -4230 -473 -1604 -1697 4653
+4629 -1259 -396 876 519 -206 3151 1462 -733 2030 -211 -3464 1381 -1712 -3315 -1762 3553 -693 310 -575 -281 835 -3017 -5734 1403 3814 -5478 -2650 2322 100 -1850 804 -2146 -2969 8777 -238 -4814 -2055 -791 -966 -3330 -2215 -14 1194 -377 -1568 1284 2017 -1228 -1192 5574 3834 -1382 -1030 -2512 64 -143 -871 -1412 -280 1539 -5674 -1801 -2037 616 1681 673 -252 4977 -990 -4753 -2322 653 -274 2394 -339 -1474 1126 1386 369 5962 2873 1246 -3728 5081 -2518 1059 1309 1602 -10319 5033 4914 4716 2312 -3948 -4228 -462 -1506 -1586 4620
+4572 -1274 -399 817 528 -160 3190 1493 -790 1977 -286 -3443 1409 -1786 -3334 -1677 3598 -593 249 -501 -243 796 -3105 -5543 1208 3790 -5524 -2642 2303 125 -1832 784 -2165 -3004 8739 -376 -4844 -2028 -764 -979 -3320 -2240 31 1283 -378 -1582 1401 2029 -1272 -1035 5452 3905 -1430 -1063 -2583 10 -167 -895 -1388 -238 1457 -5822 -1927 -1926 621 1804 693 -270 4946 -991 -4731 -2327 710 -166 2334 -341 -1350 1198 1459 366 5952 2845 1165 -3789 5067 -2375 1185 1345 1628 -10193 5052 4898 4680 2249 -3921 -4209 -404 -1454 -1592 4546
+4587 -1241 -327 795 514 -196 3204 1556 -746 1849 -169 -3352 1469 -1999 -3268 -1626 3602 -546 220 -452 -173 785 -3132 -5319 1061 3720 -5634 -2638 2416 394 -1792 752 -2147 -2915 8752 -437 -4833 -1974 -773 -973 -3303 -2224 65 1388 -437 -1532 1454 2076 -1287 -965 5368 3832 -1498 -1062 -2580 4 -305 -931 -1391 -196 1483 -5751 -1938 -1908 773 1874 644 -308 5065 -887 -4733 -2402 734 -71 2199 -352 -1227 1280 1473 276 5918 2754 1033 -3927 5018 -2377 1123 1274 1590 -10108 5139 4932 4710 2179 -4031 -4230 -295 -1435 -1679 4391
+4662 -1268 -261 859 538 -256 3238 1650 -683 1785 -107 -3266 1568 -2229 -3125 -1578 3576 -575 221 -392 -135 869 -3148 -5293 1131 3696 -5629 -2590 2476 579 -1733 792 -2058 -2759 8827 -397 -4837 -1984 -823 -941 -3291 -2199 14 1438 -504 -1434 1468 2052 -1229 -947 5384 3705 -1529 -1057 -2615 -66 -448 -982 -1410 -91 1603 -5580 -1888 -1981 972 1871 531 -500 5166 -760 -4777 -2500 753 -100 2105 -265 -1153 1301 1483 307 5857 2774 1094 -3923 5022 -2417 956 1136 1483 -10074 5190 5001 4789 2170 -4204 -4327 -279 -1424 -1710 4322
+4678 -1266 -270 935 624 -272 3217 1621 -699 1869 -122 -3345 1674 -2327 -3038 -1590 3589 -704 276 -404 -141 882 -3083 -5408 1282 3570 -5532 -2573 2437 552 -1698 859 -1970 -2708 8905 -366 -4830 -1983 -870 -951 -3349 -2195 -28 1426 -471 -1377 1391 2016 -1204 -1007 5388 3731 -1615 -1088 -2585 -93 -560 -998 -1418 -47 1754 -5426 -1665 -2084 1031 1808 431 -695 5167 -706 -4813 -2541 758 -154 2071 -81 -1268 1223 1444 392 5782 2792 1186 -3955 4943 -2490 870 1124 1465 -10100 5255 5029 4812 2218 -4266 -4415 -381 -1521 -1654 4411
+4695 -1245 -309 977 681 -251 3187 1526 -771 2019 -225 -3552 1724 -2180 -3081 -1649 3616 -778 280 -429 -172 844 -2923 -5432 1386 3414 -5439 -2560 2342 408 -1731 939 -1981 -2823 9014 -273 -4833 -2040 -990 -982 -3345 -2247 -120 1288 -470 -1405 1413 2025 -1290 -1054 5378 3738 -1624 -1123 -2621 -177 -468 -975 -1405 -39 1799 -5483 -1670 -2068 947 1793 475 -715 5112 -779 -4863 -2516 775 -211 2134 -48 -1357 1185 1406 410 5839 2883 1310 -3931 4858 -2501 887 1102 1512 -10071 5307 5033 4804 2350 -4213 -4469 -530 -1684 -1647 4540
+4563 -1319 -379 912 668 -150 3186 1435 -797 2088 -365 -3672 1727 -1985 -3099 -1707 3643 -836 263 -407 -124 739 -2789 -5395 1378 3370 -5410 -2526 2313 300 -1770 970 -2059 -2990 9078 -153 -4875 -2152 -1113 -1046 -3368 -2346 -141 1211 -463 -1484 1455 2123 -1407 -956 5333 3692 -1568 -1116 -2634 -223 -301 -913 -1370 -32 1765 -5621 -1838 -2029 796 1804 560 -574 5096 -876 -4907 -2515 771 -197 2103 -139 -1338 1272 1452 481 5996 2984 1327 -3947 4740 -2419 907 1061 1634 -10040 5316 4999 4743 2310 -4131 -4402 -569 -1699 -1699 4599
+4518 -1271 -440 889 656 -120 3141 1328 -783 2090 -349 -3684 1626 -1881 -3106 -1705 3695 -760 289 -458 -60 715 -2833 -5283 1326 3428 -5422 -2496 2395 278 -1741 921 -2186 -3097 9078 -126 -4895 -2200 -1136 -1123 -3380 -2433 -156 1219 -482 -1445 1556 2116 -1531 -761 5351 3506 -1471 -1063 -2627 -241 -114 -837 -1385 -116 1736 -5704 -2056 -2003 723 1791 558 -407 5170 -909 -4919 -2557 723 -61 1969 -248 -1212 1346 1474 457 6152 3010 1269 -3931 4704 -2378 972 1062 1593 -9981 5303 4911 4711 2254 -4027 -4280 -556 -1686 -1684 4518
+4556 -1314 -445 854 623 -90 3080 1312 -680 2054 -195 -3539 1462 -1955 -3007 -1749 3696 -587 266 -461 -22 774 -2994 -5179 1308 3544 -5461 -2512 2472 371 -1753 853 -2226 -3079 9038 -64 -4893 -2193 -1049 -1105 -3499 -2433 -58 1293 -567 -1479 1542 2175 -1616 -654 5380 3321 -1376 -1005 -2598 -285 -155 -833 -1407 -172 1696 -5550 -2100 -2144 834 1779 493 -405 5291 -834 -4883 -2609 635 59 1911 -283 -1086 1376 1408 292 6158 2995 1224 -3927 4820 -2466 924 1091 1535 -10053 5283 4903 4703 2139 -3957 -4138 -465 -1704 -1684 4440
+4610 -1249 -469 907 610 -87 3105 1344 -605 2100 -4 -3452 1392 -2158 -2875 -1694 3665 -468 301 -459 14 880 -3137 -5208 1304 3616 -5470 -2594 2446 415 -1780 853 -2115 -2954 9036 -86 -4866 -2143 -927 -1021 -3651 -2416 50 1453 -529 -1427 1474 2190 -1512 -715 5449 3270 -1357 -1011 -2570 -267 -303 -882 -1465 -225 1746 -5312 -1969 -2143 965 1777 470 -495 5267 -759 -4849 -2668 553 70 1965 -191 -1048 1316 1383 319 6087 2966 1290 -3842 4802 -2526 836 1070 1484 -10234 5233 4929 4728 2082 -3969 -4083 -400 -1748 -1658 4480
+4630 -1251 -459 905 631 -124 3171 1412 -630 2139 154 -3523 1366 -2321 -2812 -1685 3636 -461 311 -415 -21 959 -3108 -5319 1249 3586 -5443 -2685 2375 372 -1810 869 -2038 -2836 8985 -206 -4853 -2073 -837 -889 -3750 -2321 104 1512 -501 -1470 1395 2197 -1452 -810 5379 3334 -1365 -1083 -2588 -226 -448 -972 -1482 -151 1803 -5293 -1803 -2069 1015 1826 574 -544 5031 -833 -4896 -2715 515 -1 2217 -120 -1066 1271 1400 378 5982 2956 1331 -3815 4784 -2552 876 1099 1453 -10352 5228 4920 4681 2089 -3970 -4084 -375 -1831 -1736 4556
+4621 -1219 -488 945 717 -68 3352 1463 -764 2163 223 -3755 1472 -2345 -2836 -1685 3640 -599 338 -344 -94 923 -2931 -5474 1193 3620 -5525 -2732 2393 301 -1798 852 -2004 -2790 8971 -253 -4826 -2034 -923 -910 -3765 -2304 114 1519 -380 -1506 1369 2222 -1433 -899 5305 3460 -1432 -1214 -2665 -179 -494 -1030 -1493 -138 1771 -5499 -1809 -1891 887 1837 740 -412 4820 -981 -4972 -2698 528 -65 2397 -161 -1117 1281 1418 508 6044 2964 1330 -3842 4769 -2426 1013 1120 1464 -10428 5137 4874 4648 2196 -3986 -4154 -386 -1892 -1895 4618
+4659 -1108 -548 1039 766 -66 3475 1447 -859 2082 128 -3881 1564 -2280 -2875 -1702 3646 -733 331 -270 -110 882 -2786 -5561 1271 3650 -5607 -2675 2550 331 -1705 784 -2098 -2734 8924 -313 -4860 -2053 -1096 -1004 -3595 -2361 -28 1541 -368 -1478 1568 2199 -1422 -831 5236 3509 -1482 -1316 -2772 -154 -352 -1006 -1491 -150 1710 -5797 -1943 -1761 823 1860 846 -207 4862 -1061 -5061 -2695 541 -21 2387 -151 -1071 1342 1468 618 6130 2951 1242 -3901 4903 -2401 1136 1141 1461 -10442 5081 4870 4645 2246 -3967 -4195 -391 -1878 -2073 4590
+4688 -1058 -451 1079 756 -43 3564 1526 -862 1992 -26 -3800 1597 -2152 -2930 -1706 3708 -713 362 -272 -71 907 -2904 -5584 1466 3718 -5640 -2525 2725 397 -1576 731 -2206 -2678 8877 -380 -4935 -2114 -1137 -1107 -3392 -2451 -135 1481 -398 -1408 1726 2144 -1445 -702 5243 3610 -1528 -1402 -2837 -101 -172 -940 -1443 -149 1641 -5859 -2029 -1765 889 1795 771 -93 5060 -1038 -5065 -2605 531 -75 2365 -294 -1004 1433 1433 526 6152 2927 1172 -3972 5040 -2423 1114 1170 1479 -10359 4981 4802 4650 2295 -3953 -4239 -410 -1745 -2067 4482
+4760 -1092 -360 1129 633 -110 3533 1565 -786 1962 -167 -3594 1627 -2048 -3019 -1696 3760 -613 392 -324 -26 919 -3120 -5526 1672 3708 -5562 -2424 2727 401 -1518 750 -2227 -2680 8954 -444 -4955 -2108 -1076 -1110 -3329 -2455 -156 1491 -432 -1389 1729 2121 -1411 -640 5225 3624 -1473 -1369 -2789 -73 -141 -898 -1432 -165 1658 -5749 -1960 -1782 1030 1738 626 -188 5261 -903 -4992 -2495 439 -168 2217 -250 -1010 1391 1359 306 6003 2922 1196 -3875 5213 -2651 1015 1193 1439 -10273 4901 4763 4630 2255 -3923 -4222 -374 -1604 -1969 4439
+4879 -1125 -294 1124 535 -170 3461 1621 -749 2026 -210 -3453 1651 -2091 -3033 -1699 3766 -532 399 -336 0 886 -3175 -5486 1753 3591 -5452 -2408 2567 231 -1543 814 -2198 -2702 8996 -546 -4977 -2108 -880 -1065 -3363 -2428 -232 1415 -474 -1407 1579 1984 -1306 -810 5128 3657 -1366 -1273 -2666 7 -192 -871 -1419 -147 1717 -5643 -1851 -1872 1047 1724 550 -381 5277 -816 -4942 -2432 408 -281 2141 -258 -1091 1338 1299 152 5968 2972 1202 -3892 5228 -2780 922 1164 1446 -10242 4942 4788 4612 2261 -3842 -4189 -383 -1590 -1822 4321
+4909 -1132 -314 1122 460 -242 3351 1628 -728 2091 -183 -3389 1628 -2178 -3045 -1703 3716 -458 351 -365 -60 821 -2988 -5603 1720 3525 -5368 -2462 2395 96 -1602 872 -2177 -2741 9054 -625 -4991 -2105 -714 -946 -3492 -2397 -232 1400 -471 -1445 1436 1884 -1209 -991 5068 3656 -1192 -1119 -2554 2 -236 -861 -1452 -159 1789 -5685 -1849 -1917 924 1772 595 -493 5094 -826 -4932 -2437 358 -361 2226 -305 -1166 1313 1354 204 6059 2973 1257 -3827 4978 -2723 1007 1173 1437 -10189 5000 4837 4632 2275 -3832 -4181 -388 -1633 -1757 4374
+4878 -1066 -405 1126 492 -219 3276 1602 -727 2086 -67 -3368 1540 -2271 -3038 -1727 3640 -489 353 -390 -146 830 -2774 -5761 1660 3485 -5439 -2543 2366 199 -1628 835 -2221 -2799 9088 -579 -4974 -2094 -597 -916 -3514 -2352 -165 1382 -423 -1526 1421 1863 -1176 -1068 5110 3715 -1048 -1010 -2500 -25 -253 -886 -1492 -220 1782 -5781 -1950 -2054 756 1805 639 -486 4944 -894 -5013 -2594 450 -261 2431 -302 -1205 1300 1442 304 6196 3020 1287 -3805 4774 -2592 1096 1127 1410 -10213 5081 4941 4717 2287 -3916 -4216 -368 -1711 -1788 4489
+4715 -1104 -504 1071 557 -107 3287 1569 -694 2032 88 -3369 1466 -2297 -2970 -1750 3583 -541 360 -396 -219 879 -2736 -5871 1650 3544 -5577 -2634 2476 393 -1597 732 -2296 -2841 9040 -518 -4935 -2079 -660 -942 -3472 -2346 -37 1467 -413 -1588 1493 1987 -1196 -940 5240 3761 -1039 -981 -2483 0 -127 -863 -1517 -317 1732 -5792 -2023 -2163 663 1831 643 -433 4952 -917 -5018 -2694 484 -162 2542 -341 -1110 1347 1501 309 6171 2968 1252 -3863 4730 -2525 1074 1052 1388 -10157 5152 4974 4821 2284 -4090 -4312 -420 -1802 -1824 4491
+4627 -1222 -405 1056 558 -32 3259 1518 -612 1999 94 -3357 1373 -2201 -2879 -1781 3552 -639 409 -365 -161 926 -2909 -5730 1547 3552 -5598 -2673 2536 628 -1556 715 -2314 -2846 8943 -415 -4907 -2065 -730 -1043 -3248 -2320 18 1604 -346 -1570 1600 2048 -1238 -804 5386 3865 -1101 -1017 -2508 98 -50 -915 -1526 -391 1658 -5738 -1968 -2284 693 1798 528 -492 5010 -878 -4940 -2678 494 -5 2497 -319 -988 1375 1447 187 6038 2950 1276 -3841 4884 -2563 988 1028 1333 -10189 5136 5017 4924 2264 -4212 -4378 -495 -1870 -1860 4494
+4583 -1347 -328 997 522 -45 3212 1477 -577 2014 -44 -3465 1437 -2055 -2890 -1743 3611 -693 439 -288 -38 935 -3065 -5478 1422 3495 -5562 -2707 2466 631 -1631 809 -2204 -2894 8893 -276 -4842 -2025 -843 -1041 -3145 -2257 51 1583 -355 -1601 1528 2137 -1262 -875 5397 3910 -1185 -1070 -2565 133 -122 -903 -1431 -279 1551 -5714 -1930 -2256 771 1786 500 -583 5035 -855 -4851 -2552 495 39 2310 -271 -951 1318 1294 -25 5874 2924 1335 -3731 5019 -2756 933 1056 1257 -10059 5180 4973 4909 2301 -4208 -4388 -557 -1908 -1881 4469
+4707 -1345 -286 955 497 -145 3212 1468 -597 2069 -140 -3652 1563 -1948 -3006 -1747 3658 -743 508 -277 -3 873 -3009 -5414 1313 3414 -5445 -2650 2301 454 -1733 929 -2083 -2899 8850 -234 -4794 -1974 -876 -1075 -3127 -2225 -22 1475 -396 -1569 1430 2057 -1268 -1094 5293 3946 -1252 -1094 -2555 177 -286 -954 -1374 -143 1524 -5697 -1895 -2120 799 1844 546 -698 4981 -852 -4817 -2450 546 -64 2148 -274 -945 1326 1218 -81 5942 2946 1293 -3671 5135 -2767 979 1127 1287 -10010 5203 4936 4834 2338 -4159 -4377 -605 -1888 -1828 4425
+4826 -1251 -343 954 538 -227 3256 1424 -733 2073 -215 -3827 1708 -2019 -3103 -1699 3649 -743 575 -344 -85 830 -2833 -5651 1331 3365 -5417 -2627 2223 320 -1870 946 -2004 -2893 8866 -219 -4757 -1910 -893 -1037 -3219 -2195 -168 1273 -458 -1557 1343 1977 -1241 -1292 5115 3894 -1262 -1088 -2584 90 -448 -963 -1374 -71 1541 -5787 -1915 -1942 838 1894 587 -801 4908 -861 -4908 -2476 689 -235 2041 -363 -1063 1354 1283 111 6152 2995 1232 -3696 5082 -2697 1132 1144 1257 -10019 5204 4866 4717 2331 -4072 -4312 -574 -1819 -1745 4330
+4778 -1244 -433 935 610 -226 3303 1381 -871 2025 -156 -3909 1733 -2189 -3127 -1713 3579 -649 610 -443 -226 824 -2703 -5980 1533 3495 -5486 -2548 2357 316 -1844 856 -2093 -2815 8853 -270 -4788 -1909 -886 -1067 -3283 -2219 -234 1143 -502 -1544 1388 1933 -1189 -1291 5073 3855 -1371 -1119 -2598 41 -443 -917 -1383 -120 1545 -5806 -1956 -1880 989 1906 585 -749 4947 -886 -5103 -2681 909 -356 2158 -374 -1238 1325 1325 298 6341 3008 1174 -3679 5092 -2662 1265 1192 1287 -10152 5111 4834 4650 2289 -4020 -4276 -530 -1791 -1671 4308
+4614 -1302 -423 925 685 -130 3287 1315 -932 1910 -53 -3815 1659 -2315 -3129 -1726 3511 -569 587 -492 -299 811 -2716 -6056 1722 3592 -5564 -2514 2536 389 -1731 720 -2237 -2754 8820 -425 -4868 -1944 -741 -1086 -3266 -2225 -196 1102 -473 -1573 1514 1977 -1219 -1099 5138 3873 -1513 -1191 -2641 -27 -342 -851 -1392 -166 1633 -5742 -1990 -1898 1156 1893 553 -656 5073 -860 -5231 -2897 1021 -324 2208 -368 -1326 1313 1339 271 6336 2975 1124 -3744 5262 -2677 1204 1174 1282 -10243 5071 4804 4686 2242 -4079 -4285 -469 -1749 -1646 4366
+4490 -1385 -347 943 677 -36 3356 1375 -893 1962 57 -3749 1531 -2317 -3058 -1734 3497 -534 514 -410 -280 777 -2818 -5868 1738 3711 -5614 -2535 2655 368 -1582 661 -2334 -2724 8878 -594 -4905 -1964 -669 -1104 -3247 -2241 -90 1202 -395 -1629 1532 2054 -1218 -1005 5272 3849 -1624 -1259 -2674 -37 -141 -770 -1359 -169 1676 -5763 -1997 -2033 1176 1855 525 -591 5098 -852 -5194 -2895 1038 -201 2231 -378 -1290 1297 1288 149 6250 2929 1129 -3727 5383 -2845 1080 1189 1309 -10326 4934 4770 4700 2221 -4082 -4278 -419 -1793 -1774 4418
+4523 -1495 -264 897 594 -18 3299 1481 -786 2042 140 -3762 1473 -2166 -3106 -1785 3503 -611 456 -307 -208 682 -2840 -5629 1536 3708 -5591 -2606 2552 271 -1575 681 -2333 -2742 8958 -697 -4984 -2051 -559 -1041 -3256 -2263 18 1304 -379 -1670 1410 2074 -1189 -1112 5327 3763 -1688 -1253 -2617 -2 -123 -772 -1296 -99 1704 -5738 -2006 -2089 1076 1874 593 -578 4984 -885 -5076 -2775 943 25 2124 -247 -1118 1295 1244 28 6194 2928 1171 -3671 5401 -2957 1016 1171 1312 -10408 4799 4745 4692 2305 -4023 -4257 -373 -1853 -2018 4463
+4732 -1393 -286 863 545 -54 3238 1627 -670 2162 86 -3768 1520 -2010 -3169 -1814 3515 -753 475 -225 -204 694 -2786 -5717 1433 3737 -5604 -2747 2419 204 -1651 709 -2289 -2754 9108 -711 -5004 -2059 -558 -952 -3352 -2347 53 1499 -373 -1702 1313 2015 -1207 -1319 5428 3533 -1605 -1151 -2539 12 -162 -741 -1263 -66 1649 -5677 -2048 -1986 961 1881 670 -595 4818 -936 -4927 -2575 809 104 1936 -232 -853 1402 1265 47 6292 2935 1145 -3709 5362 -2946 1041 1183 1386 -10388 4692 4698 4692 2418 -3887 -4219 -371 -1871 -2187 4344
+4839 -1292 -416 795 555 -18 3215 1685 -640 2140 -8 -3744 1600 -1897 -3208 -1853 3536 -820 529 -267 -281 738 -2727 -6006 1390 3834 -5693 -2881 2369 200 -1759 693 -2259 -2773 9241 -582 -4971 -2077 -641 -932 -3497 -2417 -13 1525 -440 -1740 1260 1912 -1205 -1337 5497 3451 -1518 -1119 -2582 -125 -235 -717 -1317 -159 1579 -5606 -2036 -1950 982 1891 680 -602 4888 -906 -4929 -2631 734 98 1872 -176 -745 1442 1310 238 6476 3024 1140 -3691 5249 -2914 1096 1164 1458 -10352 4717 4687 4652 2477 -3732 -4166 -382 -1852 -2158 4320
+4737 -1204 -476 812 587 -3 3178 1635 -644 2027 -150 -3456 1706 -2019 -3155 -1815 3504 -819 621 -343 -326 794 -2694 -6204 1424 3898 -5743 -2924 2400 347 -1797 630 -2283 -2808 9310 -502 -4961 -2067 -749 -962 -3577 -2492 -159 1475 -452 -1719 1385 1846 -1162 -1131 5539 3496 -1546 -1172 -2663 -185 -309 -701 -1359 -277 1480 -5557 -2001 -2035 1065 1903 610 -580 5015 -872 -5071 -2903 729 -31 1926 -108 -887 1324 1247 318 6603 3127 1225 -3617 5238 -2914 1056 1110 1471 -10375 4704 4714 4687 2455 -3672 -4150 -435 -1881 -1980 4329
+4631 -1230 -445 836 671 66 3232 1527 -708 1913 -260 -3294 1703 -2181 -3068 -1775 3550 -699 646 -349 -273 845 -2743 -6110 1415 3901 -5706 -2833 2494 420 -1785 618 -2331 -2823 9241 -492 -4929 -2032 -781 -1075 -3563 -2531 -226 1398 -415 -1683 1518 1830 -1140 -935 5465 3662 -1562 -1288 -2804 -276 -303 -726 -1433 -349 1532 -5596 -2055 -2117 1080 1867 578 -473 5162 -844 -5243 -3149 750 -170 1993 -84 -1047 1198 1166 263 6498 3122 1246 -3530 5363 -2956 964 1036 1365 -10307 4842 4773 4714 2384 -3686 -4153 -469 -1926 -1819 4420
+4653 -1292 -346 954 656 11 3283 1471 -758 1874 -217 -3267 1638 -2296 -2976 -1718 3576 -534 606 -293 -137 804 -2745 -5795 1380 3827 -5591 -2721 2537 330 -1739 654 -2343 -2835 9065 -538 -4937 -2011 -783 -1145 -3506 -2518 -248 1330 -396 -1627 1618 1864 -1139 -882 5382 3738 -1632 -1378 -2879 -220 -254 -747 -1399 -256 1558 -5721 -2059 -2094 946 1884 628 -437 5104 -856 -5304 -3126 763 -231 1998 -122 -1248 1115 1085 86 6348 3078 1253 -3484 5403 -2967 858 931 1247 -10278 5004 4841 4727 2341 -3785 -4193 -497 -1985 -1767 4461
+4739 -1320 -270 1083 618 -117 3369 1481 -778 1894 -173 -3436 1561 -2258 -2944 -1722 3649 -489 563 -233 -75 809 -2755 -5635 1458 3678 -5424 -2583 2473 270 -1726 739 -2276 -2865 8994 -528 -4909 -1967 -768 -1166 -3440 -2510 -221 1357 -422 -1525 1576 1811 -1044 -930 5280 3657 -1651 -1374 -2857 -104 -257 -843 -1353 -89 1643 -5813 -2035 -1957 832 1937 743 -455 4938 -900 -5232 -2869 695 -162 1950 -233 -1263 1183 1124 -39 6234 3016 1182 -3558 5281 -2919 883 905 1176 -10196 5118 4888 4753 2372 -3948 -4294 -532 -2069 -1876 4418
+4908 -1216 -298 1153 562 -230 3344 1520 -758 1948 -96 -3627 1418 -2157 -2880 -1800 3681 -514 533 -236 -84 816 -2728 -5701 1586 3526 -5390 -2524 2409 286 -1767 817 -2210 -2796 8887 -440 -4875 -1945 -794 -1136 -3506 -2526 -205 1452 -499 -1512 1551 1765 -1139 -1040 5316 3608 -1661 -1315 -2761 -31 -266 -832 -1296 3 1649 -5721 -1839 -1909 853 1930 681 -614 4869 -885 -5103 -2605 602 33 1971 -329 -1278 1278 1208 -48 6352 3065 1176 -3575 5140 -2800 1045 964 1203 -10078 5177 4885 4770 2431 -4091 -4411 -623 -2144 -1932 4309
+4924 -1136 -303 1238 551 -280 3370 1503 -765 1975 -73 -3751 1386 -2090 -2841 -1892 3639 -639 587 -269 -158 831 -2714 -5933 1747 3454 -5489 -2582 2456 430 -1747 827 -2153 -2761 8898 -257 -4857 -1970 -871 -1080 -3588 -2578 -220 1525 -546 -1489 1537 1736 -1170 -902 5284 3588 -1592 -1228 -2695 -53 -301 -789 -1350 -132 1654 -5529 -1785 -1988 1054 1938 593 -740 4938 -840 -5046 -2486 542 212 2105 -305 -1210 1322 1281 1 6410 3162 1321 -3440 5213 -2765 1144 984 1212 -9945 5111 4812 4752 2373 -4191 -4481 -700 -2179 -1927 4291
+4811 -1127 -404 1178 553 -194 3298 1404 -785 2010 -130 -3717 1402 -2195 -2815 -1958 3583 -703 626 -282 -176 804 -2673 -6063 1755 3366 -5593 -2594 2475 534 -1735 851 -2156 -2726 8905 -165 -4859 -2027 -918 -1072 -3674 -2561 -201 1576 -497 -1534 1552 1892 -1341 -769 5299 3709 -1534 -1167 -2644 -113 -287 -721 -1435 -301 1671 -5531 -1862 -2229 1136 1946 531 -687 5024 -847 -5100 -2590 587 176 2353 -243 -1288 1226 1271 61 6375 3176 1398 -3270 5458 -2824 1117 999 1220 -9961 5131 4826 4767 2227 -4240 -4499 -764 -2230 -1875 4294
+4682 -1220 -500 1111 529 -70 3293 1321 -783 2100 -184 -3713 1597 -2292 -2846 -1957 3559 -781 657 -247 -158 781 -2671 -5984 1601 3299 -5639 -2689 2396 491 -1697 884 -2175 -2831 8957 -191 -4887 -2080 -909 -1014 -3749 -2482 -77 1630 -427 -1668 1565 2050 -1443 -683 5219 3848 -1435 -1109 -2610 -92 -219 -734 -1525 -416 1704 -5650 -2099 -2368 1026 1982 568 -578 4972 -937 -5261 -2847 703 126 2533 -42 -1259 1132 1208 58 6299 3168 1424 -3176 5629 -2961 1034 987 1145 -10011 5129 4835 4740 2137 -4140 -4408 -739 -2194 -1775 4351
+4596 -1333 -528 1052 483 -75 3259 1359 -770 2218 -200 -3744 1777 -2449 -2871 -1846 3594 -680 636 -227 -121 706 -2746 -5842 1469 3259 -5609 -2732 2297 365 -1622 899 -2208 -2970 8992 -368 -4976 -2142 -791 -1062 -3697 -2432 9 1568 -397 -1656 1547 2070 -1415 -756 5247 3898 -1308 -1040 -2576 -96 -243 -805 -1561 -356 1806 -5804 -2215 -2339 809 1985 630 -577 4786 -1014 -5368 -3050 786 -62 2465 -56 -1163 1145 1221 92 6310 3132 1257 -3337 5740 -3018 930 933 1157 -10176 5205 4923 4744 2123 -4062 -4310 -632 -2109 -1783 4317
+4681 -1299 -532 1034 460 -113 3286 1436 -729 2345 -187 -3872 1923 -2466 -2973 -1770 3673 -583 644 -239 -123 775 -2919 -5819 1512 3378 -5556 -2734 2303 289 -1607 842 -2265 -2988 9092 -508 -5038 -2143 -711 -1136 -3571 -2423 -14 1545 -459 -1595 1541 1964 -1396 -886 5372 3843 -1231 -969 -2520 17 -254 -934 -1551 -236 1860 -5723 -2148 -2236 739 1947 631 -711 4671 -1010 -5364 -3123 758 -56 2331 -136 -1000 1225 1231 108 6411 3131 1165 -3450 5534 -2995 925 892 1220 -10289 5137 4910 4720 2259 -3943 -4247 -548 -2052 -1737 4180
+4724 -1355 -502 1010 426 -160 3313 1556 -722 2340 -95 -3937 1823 -2353 -3012 -1768 3686 -482 597 -240 -178 839 -3006 -5874 1609 3603 -5573 -2711 2462 352 -1557 730 -2329 -2876 9138 -639 -5083 -2143 -678 -1145 -3440 -2420 -89 1462 -553 -1483 1509 1923 -1357 -894 5489 3876 -1255 -994 -2526 87 -333 -992 -1475 -157 1731 -5558 -1946 -2121 909 1895 527 -935 4690 -921 -5269 -3034 697 -45 2151 -284 -957 1323 1267 114 6405 3138 1176 -3517 5349 -2985 1030 926 1307 -10375 5028 4915 4720 2375 -3812 -4194 -490 -2064 -1834 4102
+4733 -1287 -451 981 476 -99 3355 1593 -712 2168 -2 -3873 1663 -2201 -2981 -1812 3659 -448 639 -226 -192 849 -2905 -5949 1701 3820 -5549 -2630 2607 455 -1557 629 -2335 -2700 9204 -698 -5052 -2081 -688 -1113 -3365 -2376 -160 1477 -612 -1444 1572 1966 -1407 -793 5528 3924 -1282 -1029 -2575 83 -402 -984 -1504 -241 1701 -5565 -1897 -2064 1162 1907 476 -952 4777 -900 -5210 -2854 730 36 2136 -339 -1047 1313 1282 152 6362 3179 1337 -3438 5278 -2996 1075 983 1341 -10474 4930 4909 4770 2469 -3754 -4208 -485 -2124 -1931 4144
+4789 -1229 -420 979 542 -21 3458 1595 -764 1972 5 -3795 1475 -2036 -2992 -1867 3646 -503 642 -199 -221 851 -2731 -5999 1706 3807 -5512 -2581 2620 415 -1607 615 -2299 -2658 9218 -720 -5017 -2035 -717 -1072 -3285 -2309 -255 1446 -608 -1459 1678 2065 -1477 -746 5496 4076 -1374 -1136 -2656 44 -492 -999 -1576 -321 1677 -5764 -2066 -2076 1256 1967 509 -816 4838 -948 -5264 -2754 840 -3 2256 -276 -1175 1278 1271 173 6304 3255 1534 -3225 5314 -3130 1023 994 1304 -10535 4816 4843 4771 2482 -3709 -4235 -530 -2175 -1992 4233
+4844 -1193 -429 1031 616 47 3463 1519 -849 1897 -44 -3680 1448 -1973 -2931 -1874 3564 -643 672 -162 -206 785 -2591 -5961 1735 3686 -5479 -2540 2538 259 -1670 680 -2284 -2720 9184 -673 -4999 -2010 -725 -1046 -3268 -2247 -231 1403 -459 -1535 1723 2123 -1474 -823 5351 4118 -1419 -1199 -2694 -54 -522 -988 -1631 -327 1756 -5973 -2352 -1956 1181 1995 616 -679 4773 -1034 -5321 -2698 994 4 2396 -249 -1152 1312 1244 127 6308 3281 1560 -3182 5347 -3224 875 930 1273 -10501 4860 4813 4705 2429 -3685 -4226 -544 -2149 -2000 4220
+4823 -1293 -396 1022 631 40 3455 1555 -818 1890 -166 -3516 1526 -2008 -2952 -1844 3535 -718 674 -206 -155 781 -2756 -5935 1757 3604 -5548 -2621 2472 140 -1770 787 -2284 -2846 9108 -569 -4991 -2030 -824 -1084 -3247 -2220 -128 1447 -388 -1587 1678 2055 -1412 -918 5283 4031 -1447 -1215 -2680 -60 -498 -1036 -1671 -311 1895 -6081 -2388 -1844 1141 2001 687 -684 4667 -1045 -5355 -2809 1043 4 2358 -238 -1008 1404 1235 112 6490 3316 1440 -3296 5403 -3142 761 773 1281 -10525 4871 4768 4666 2414 -3757 -4258 -546 -2039 -1863 4168
+4721 -1378 -431 1003 575 -42 3339 1550 -780 1961 -232 -3429 1619 -2187 -2987 -1826 3451 -750 633 -239 -82 727 -2970 -5861 1766 3649 -5736 -2714 2528 175 -1808 795 -2281 -2920 9004 -340 -4984 -2078 -872 -1099 -3255 -2258 -36 1470 -438 -1625 1534 1921 -1251 -910 5272 3866 -1456 -1186 -2610 -13 -382 -1023 -1602 -195 1974 -5855 -2133 -1803 1258 1945 585 -942 4620 -918 -5270 -2950 920 32 2218 -309 -824 1523 1257 135 6622 3315 1296 -3477 5353 -2987 831 711 1288 -10448 4904 4740 4640 2406 -3807 -4275 -562 -1947 -1689 4046
+4666 -1438 -538 882 488 -104 3205 1562 -715 1894 -239 -3297 1631 -2315 -3091 -1846 3465 -736 564 -290 -98 668 -3007 -5732 1703 3642 -5925 -2790 2613 271 -1867 779 -2331 -2998 8982 -154 -4936 -2093 -907 -1160 -3317 -2370 -50 1457 -569 -1598 1414 1784 -1179 -852 5326 3800 -1523 -1231 -2604 1 -235 -952 -1559 -220 1957 -5726 -1923 -1867 1345 1865 448 -1056 4717 -766 -5145 -3070 723 66 2033 -353 -772 1520 1252 152 6643 3294 1259 -3521 5374 -2940 911 726 1315 -10306 4952 4719 4661 2349 -3981 -4338 -580 -1951 -1595 4040
+4687 -1401 -555 849 474 -107 3105 1541 -659 1817 -106 -3296 1647 -2308 -3207 -1776 3529 -698 550 -322 -239 644 -2861 -5734 1582 3647 -5983 -2831 2649 348 -1869 774 -2288 -2976 8952 -21 -4891 -2089 -911 -1026 -3396 -2409 -56 1418 -638 -1598 1373 1794 -1145 -825 5358 3769 -1497 -1227 -2578 -7 -233 -896 -1568 -291 1895 -5674 -1981 -2047 1377 1945 449 -1006 4793 -729 -5072 -3094 607 88 1981 -347 -796 1460 1273 218 6479 3276 1375 -3443 5413 -2991 984 803 1225 -10218 5073 4792 4737 2277 -4107 -4387 -615 -2140 -1701 4193
+4754 -1200 -604 878 587 -49 3066 1444 -680 1862 -74 -3466 1561 -2188 -3271 -1757 3588 -699 537 -327 -297 638 -2669 -5814 1403 3569 -5817 -2808 2476 323 -1891 804 -2167 -2991 8916 -42 -4890 -2094 -851 -890 -3501 -2406 -141 1321 -593 -1599 1424 1913 -1251 -939 5427 3786 -1384 -1227 -2645 -146 -260 -865 -1649 -429 1838 -5879 -2218 -2196 1149 2002 512 -813 4823 -814 -5109 -3030 714 40 2071 -272 -979 1351 1338 367 6340 3203 1380 -3433 5431 -3138 1016 899 1104 -10166 5292 4928 4831 2196 -4211 -4426 -655 -2270 -1837 4368
+4858 -1245 -525 937 666 -68 3132 1422 -733 1971 -18 -3723 1544 -2076 -3268 -1795 3683 -738 570 -320 -333 693 -2672 -5839 1356 3519 -5574 -2767 2293 184 -1868 855 -2115 -3027 8901 -167 -4919 -2068 -763 -817 -3546 -2364 -153 1213 -437 -1603 1472 1969 -1338 -1048 5475 3749 -1293 -1211 -2678 -246 -447 -924 -1738 -490 1861 -5968 -2330 -2220 949 2049 622 -675 4838 -888 -5172 -2966 819 9 2113 -248 -1106 1308 1412 448 6310 3160 1375 -3497 5355 -3140 1064 926 965 -10226 5428 5052 4855 2222 -4137 -4425 -745 -2426 -1908 4426
+4772 -1337 -465 1022 694 -127 3271 1405 -854 2185 -52 -3930 1588 -2077 -3235 -1860 3649 -786 546 -301 -261 724 -2903 -5729 1377 3630 -5483 -2729 2232 161 -1821 859 -2152 -3093 8919 -250 -4968 -2096 -829 -861 -3509 -2386 -201 1120 -349 -1564 1435 2015 -1253 -1124 5576 3765 -1262 -1251 -2752 -261 -465 -990 -1695 -420 1853 -5835 -2186 -2193 807 1938 546 -826 4837 -851 -5205 -2909 893 -79 2178 -281 -1108 1349 1448 354 6347 3141 1332 -3565 5313 -3056 1081 875 989 -10219 5540 5116 4873 2333 -4058 -4428 -802 -2460 -1843 4366
+4723 -1470 -313 1075 623 -235 3304 1415 -904 2233 -73 -3893 1568 -2208 -3103 -1904 3543 -833 515 -236 -161 677 -3059 -5492 1348 3719 -5557 -2657 2386 272 -1742 780 -2224 -3066 8945 -323 -4987 -2079 -924 -934 -3440 -2431 -190 1130 -378 -1532 1355 1993 -1149 -1135 5542 3784 -1285 -1302 -2801 -245 -486 -1042 -1641 -351 1810 -5668 -1972 -2132 892 1862 460 -992 4877 -756 -5235 -2902 928 -68 2158 -205 -1153 1323 1371 193 6329 3137 1309 -3569 5329 -3013 1082 803 1079 -10190 5536 5130 4859 2389 -3922 -4366 -804 -2345 -1705 4241
+4699 -1459 -246 1057 536 -310 3356 1397 -950 2119 -134 -3808 1575 -2322 -3031 -1966 3444 -815 501 -233 -128 614 -2996 -5439 1348 3747 -5728 -2647 2554 388 -1765 687 -2297 -2991 9030 -345 -4970 -2058 -1012 -982 -3488 -2554 -191 1188 -464 -1464 1328 1965 -1058 -1068 5488 3842 -1335 -1357 -2846 -217 -427 -1016 -1550 -243 1755 -5658 -1883 -2133 1032 1908 436 -1033 4900 -702 -5254 -2926 866 17 2164 -117 -1152 1280 1270 0 6247 3172 1364 -3512 5426 -3056 1058 801 1150 -10265 5348 5040 4796 2326 -3919 -4311 -683 -2238 -1716 4187
+4784 -1376 -260 1018 500 -242 3344 1357 -946 1992 -161 -3802 1696 -2332 -3032 -1893 3436 -761 529 -252 -160 609 -2820 -5646 1376 3641 -5821 -2713 2584 472 -1834 713 -2219 -2947 9114 -327 -4945 -2045 -1023 -942 -3603 -2545 -120 1322 -502 -1467 1314 2101 -1169 -1113 5321 3887 -1421 -1392 -2855 -167 -337 -956 -1514 -190 1750 -5789 -2022 -2164 1110 2023 520 -900 4843 -764 -5285 -2953 792 48 2246 -139 -1229 1250 1239 27 6173 3167 1468 -3392 5367 -3223 998 837 1140 -10214 5225 4940 4732 2222 -3890 -4260 -586 -2141 -1764 4193
+4877 -1269 -342 1007 538 -129 3346 1354 -950 1929 -179 -3887 1647 -2235 -3049 -1834 3482 -621 498 -300 -201 648 -2735 -5898 1451 3586 -5799 -2782 2449 401 -1920 825 -2155 -2907 9153 -337 -4936 -2011 -948 -832 -3811 -2530 -39 1354 -480 -1574 1329 2233 -1284 -1238 5241 3933 -1433 -1339 -2796 -122 -322 -883 -1523 -203 1811 -5878 -2178 -2137 1125 2187 681 -754 4800 -888 -5376 -3033 783 172 2313 -229 -1296 1270 1312 182 6224 3169 1456 -3475 5183 -3324 910 815 1085 -10243 5109 4895 4710 2165 -3941 -4275 -509 -2208 -1977 4231
+4837 -1315 -392 1000 567 -27 3351 1442 -890 2003 -138 -4012 1707 -2097 -3147 -1767 3670 -546 451 -302 -185 754 -2875 -5954 1583 3585 -5773 -2824 2355 335 -1925 894 -2126 -2910 9125 -336 -4965 -2046 -875 -803 -3882 -2449 -31 1321 -324 -1629 1357 2377 -1417 -1307 5246 3924 -1400 -1251 -2711 -134 -335 -872 -1552 -220 1925 -5823 -2145 -2123 1134 2131 690 -742 4753 -992 -5435 -3055 821 182 2361 -362 -1301 1304 1389 322 6365 3155 1353 -3706 5004 -3327 915 833 1144 -10276 4982 4813 4684 2254 -3939 -4323 -502 -2230 -2116 4188
+4697 -1505 -333 986 514 27 3362 1610 -776 2036 -10 -3924 1645 -1994 -3130 -1779 3775 -544 366 -230 -95 786 -3054 -5732 1617 3775 -5744 -2774 2434 362 -1843 905 -2194 -2917 9038 -303 -4994 -2109 -862 -847 -3825 -2466 -66 1308 -232 -1634 1388 2339 -1369 -1198 5330 3954 -1416 -1193 -2626 -107 -346 -870 -1549 -226 1998 -5584 -2019 -2150 1156 2008 614 -871 4786 -989 -5450 -3133 813 137 2239 -419 -1254 1328 1427 312 6345 3109 1321 -3813 4977 -3252 937 771 1226 -10312 4883 4755 4664 2362 -3897 -4344 -521 -2315 -2251 4187
+4556 -1613 -314 911 440 58 3328 1740 -610 2030 182 -3714 1574 -2076 -3092 -1789 3820 -597 356 -154 -13 803 -3128 -5434 1563 3903 -5715 -2647 2612 420 -1730 867 -2268 -2860 8950 -255 -5000 -2159 -898 -900 -3691 -2514 -63 1378 -303 -1616 1417 2255 -1315 -1047 5346 3933 -1382 -1115 -2568 -35 -289 -947 -1569 -230 1973 -5539 -1974 -2208 1159 1925 538 -936 4765 -965 -5433 -3162 760 109 2080 -393 -1198 1308 1361 134 6189 3049 1319 -3810 5133 -3178 975 741 1270 -10349 4816 4706 4647 2386 -3862 -4305 -502 -2220 -2261 4155
+4576 -1531 -373 905 418 -8 3239 1767 -492 1951 164 -3371 1547 -2234 -3032 -1843 3775 -716 385 -162 -30 790 -3019 -5422 1535 3950 -5698 -2622 2713 396 -1686 825 -2294 -2826 8911 -153 -4987 -2186 -970 -1017 -3600 -2610 -131 1395 -483 -1503 1474 2175 -1233 -966 5293 3948 -1339 -1062 -2598 -3 -258 -954 -1494 -153 1877 -5638 -2101 -2267 1209 2010 559 -899 4744 -950 -5445 -3192 688 22 1898 -384 -1166 1297 1336 81 6067 3001 1378 -3651 5328 -3209 1004 764 1175 -10465 4880 4742 4653 2317 -3742 -4177 -463 -2168 -2267 4141
+4716 -1370 -533 892 491 -69 3147 1687 -510 1897 30 -3239 1541 -2415 -2961 -1854 3684 -802 437 -226 -66 787 -2931 -5708 1564 4002 -5663 -2679 2641 257 -1751 827 -2221 -2879 8938 -94 -4958 -2155 -963 -1032 -3613 -2607 -178 1355 -632 -1448 1516 2127 -1199 -1028 5338 3985 -1290 -1029 -2635 -16 -226 -898 -1440 -180 1697 -5797 -2273 -2161 1260 2117 632 -797 4737 -965 -5516 -3234 702 80 1867 -322 -1121 1316 1368 170 6089 2976 1384 -3545 5402 -3245 1015 797 975 -10469 5153 4855 4679 2248 -3669 -4078 -443 -2108 -2161 4128
+4841 -1247 -670 918 627 -87 3227 1544 -705 1916 -125 -3359 1541 -2511 -2973 -1865 3586 -833 421 -324 -94 788 -2980 -5906 1617 3872 -5676 -2760 2524 113 -1864 822 -2152 -2954 8925 -72 -4957 -2129 -1023 -1015 -3745 -2560 -199 1375 -625 -1530 1521 2113 -1281 -1078 5390 3966 -1338 -1036 -2701 -65 -304 -898 -1429 -204 1625 -5836 -2258 -2098 1281 2152 663 -807 4721 -978 -5546 -3194 744 124 1946 -364 -1033 1421 1441 328 6213 2971 1338 -3566 5260 -3248 1133 870 817 -10422 5293 4871 4647 2243 -3668 -4075 -498 -2147 -2004 4120
+4797 -1267 -641 989 668 -108 3359 1543 -820 2023 -306 -3487 1449 -2435 -2990 -1834 3682 -682 387 -347 -50 779 -3105 -5839 1630 3766 -5741 -2778 2500 100 -1891 822 -2117 -3016 8959 -77 -4945 -2095 -1059 -1014 -3760 -2457 -177 1398 -516 -1620 1514 2119 -1372 -1002 5426 4005 -1368 -1058 -2745 -76 -375 -885 -1452 -209 1654 -5758 -2130 -2042 1258 2038 606 -892 4736 -981 -5543 -3077 849 189 2101 -440 -990 1482 1432 395 6334 2975 1302 -3707 5072 -3199 1259 908 788 -10348 5376 4928 4689 2301 -3724 -4143 -584 -2370 -1945 4178
+4663 -1363 -520 1066 699 -103 3452 1523 -879 2106 -323 -3655 1422 -2339 -3011 -1791 3812 -552 336 -304 26 752 -3144 -5526 1590 3648 -5854 -2717 2656 243 -1823 805 -2160 -2974 8963 -129 -4953 -2085 -1105 -1043 -3694 -2354 -77 1520 -349 -1631 1520 2120 -1429 -848 5389 4014 -1409 -1083 -2745 -108 -411 -886 -1470 -178 1768 -5688 -2009 -2070 1141 1911 560 -963 4805 -957 -5500 -2995 929 166 2174 -382 -938 1492 1345 261 6297 2982 1312 -3862 5002 -3093 1290 867 877 -10207 5327 4886 4729 2362 -3902 -4276 -655 -2491 -2043 4247
+4682 -1384 -459 1135 653 -142 3477 1524 -855 2136 -200 -3787 1421 -2261 -2988 -1740 3926 -520 341 -263 22 794 -3162 -5293 1488 3475 -5849 -2665 2718 388 -1742 769 -2205 -2917 8967 -213 -4990 -2103 -1054 -1083 -3505 -2300 -20 1635 -348 -1524 1543 2140 -1418 -777 5308 3951 -1483 -1118 -2727 -52 -350 -889 -1482 -153 1840 -5810 -2078 -2063 1065 1894 580 -875 4828 -952 -5454 -3029 948 130 2135 -399 -938 1473 1276 99 6150 2952 1306 -3967 5023 -3056 1246 785 880 -10154 5253 4857 4773 2311 -4096 -4368 -642 -2475 -2170 4282
+4736 -1310 -520 1156 667 -102 3430 1463 -791 2154 -50 -3854 1540 -2248 -3016 -1784 3955 -558 397 -286 -66 832 -3097 -5434 1612 3377 -5735 -2604 2673 420 -1741 826 -2189 -2871 9000 -315 -5019 -2123 -996 -1073 -3389 -2307 46 1704 -500 -1386 1612 2169 -1342 -758 5299 3848 -1598 -1156 -2761 -39 -124 -795 -1428 -153 1788 -6023 -2136 -1994 1152 2032 582 -855 4863 -885 -5394 -3141 896 44 1960 -462 -934 1466 1285 25 6087 2914 1274 -3989 5128 -3109 1084 674 796 -10092 5196 4856 4834 2230 -4232 -4433 -632 -2345 -2146 4217
+4886 -1168 -601 1109 612 -134 3390 1401 -841 2077 93 -3971 1699 -2299 -3052 -1845 3843 -721 386 -335 -189 814 -3011 -5649 1723 3343 -5671 -2605 2514 295 -1800 856 -2201 -2890 9071 -351 -5074 -2167 -894 -1053 -3359 -2363 -47 1626 -640 -1331 1619 2117 -1261 -903 5333 3765 -1683 -1196 -2730 -44 11 -702 -1334 -203 1601 -6038 -2096 -2024 1301 2138 571 -852 4892 -818 -5369 -3320 838 30 1914 -385 -953 1466 1374 159 6181 2937 1299 -3897 5125 -3152 971 577 698 -10100 5168 4858 4818 2194 -4177 -4425 -612 -2175 -2014 4130
+4849 -1176 -715 1003 579 -117 3361 1328 -939 2178 23 -3996 1752 -2327 -3098 -1912 3683 -841 346 -416 -282 774 -3016 -5783 1740 3416 -5671 -2674 2433 226 -1903 880 -2255 -2991 9195 -297 -5075 -2182 -827 -1071 -3440 -2338 -157 1400 -609 -1381 1549 2116 -1417 -904 5379 3772 -1683 -1219 -2734 -58 67 -627 -1339 -332 1519 -5934 -1969 -2116 1279 2080 492 -977 4854 -767 -5348 -3435 823 21 2020 -409 -1075 1435 1485 298 6329 2990 1322 -3830 4996 -3171 978 580 679 -10082 5144 4835 4728 2229 -4032 -4398 -617 -2048 -1812 4152
+4764 -1255 -651 994 554 -106 3353 1297 -972 2280 -58 -3927 1763 -2296 -3092 -1870 3577 -874 303 -409 -245 733 -3052 -5688 1635 3565 -5712 -2625 2503 298 -1847 892 -2309 -3037 9213 -175 -5033 -2183 -910 -1140 -3472 -2334 -258 1269 -523 -1468 1479 2128 -1515 -815 5374 3834 -1607 -1247 -2725 -64 -17 -645 -1429 -422 1570 -5821 -1935 -2353 1084 1917 433 -1051 4844 -749 -5332 -3358 854 -8 2189 -332 -1097 1410 1498 254 6261 3017 1417 -3729 4931 -3099 1069 580 750 -10035 5107 4824 4702 2354 -3863 -4379 -641 -2055 -1742 4247
+4717 -1290 -523 980 505 -94 3395 1392 -884 2259 -88 -3756 1668 -2195 -3104 -1832 3527 -772 279 -378 -137 714 -3073 -5498 1420 3734 -5737 -2613 2572 441 -1763 890 -2351 -3086 9220 -120 -4986 -2163 -945 -1229 -3421 -2269 -278 1224 -400 -1521 1459 2142 -1568 -814 5472 3911 -1554 -1293 -2736 -38 -105 -723 -1469 -354 1682 -5819 -1981 -2407 788 1844 464 -983 4825 -806 -5311 -3133 967 68 2306 -214 -1146 1320 1390 71 6053 2957 1476 -3620 4962 -3061 1163 639 822 -10091 5044 4827 4700 2404 -3786 -4342 -596 -2168 -1877 4335
+4775 -1318 -396 1026 460 -180 3336 1481 -772 2102 -65 -3667 1596 -2079 -3197 -1772 3617 -649 224 -404 -84 761 -3144 -5436 1321 3774 -5746 -2689 2519 435 -1738 906 -2310 -3182 9163 -121 -4966 -2124 -945 -1219 -3363 -2268 -163 1288 -431 -1468 1511 2156 -1574 -748 5579 3946 -1462 -1322 -2795 -76 -201 -839 -1527 -302 1770 -5931 -2085 -2276 708 1888 519 -827 4861 -848 -5254 -2839 1066 184 2323 -181 -1123 1307 1314 -53 5881 2877 1461 -3607 4937 -3149 1177 668 765 -10213 4972 4851 4760 2405 -3767 -4303 -559 -2281 -2111 4323
+4943 -1273 -376 1062 493 -286 3353 1571 -768 1893 -6 -3691 1609 -1991 -3313 -1735 3722 -637 216 -464 -165 799 -3176 -5606 1316 3786 -5745 -2828 2406 319 -1780 929 -2245 -3169 9071 -188 -4998 -2091 -906 -1079 -3358 -2307 -61 1339 -591 -1435 1509 2159 -1477 -799 5748 3883 -1411 -1342 -2881 -137 -196 -856 -1483 -297 1655 -6011 -2080 -2137 874 1976 477 -848 4977 -788 -5234 -2741 1070 272 2268 -247 -1024 1398 1345 -18 5870 2809 1421 -3637 4982 -3152 1154 648 586 -10410 5054 4952 4836 2414 -3841 -4288 -494 -2315 -2295 4265
+4924 -1270 -327 1049 517 -300 3345 1629 -797 1780 86 -3746 1711 -2068 -3400 -1729 3785 -695 232 -491 -225 806 -3058 -5746 1395 3728 -5772 -2892 2329 223 -1852 897 -2156 -3094 9035 -337 -4963 -1999 -802 -998 -3457 -2326 30 1396 -648 -1461 1489 2173 -1376 -877 5839 3952 -1428 -1410 -2919 -110 -142 -817 -1401 -269 1499 -5992 -1963 -1997 1135 2018 432 -952 4991 -736 -5233 -2857 909 281 2142 -341 -987 1501 1422 104 5995 2890 1409 -3721 4984 -3219 1140 672 583 -10502 5090 4965 4830 2376 -3838 -4255 -515 -2343 -2221 4186
+4814 -1343 -362 1072 605 -232 3422 1679 -880 1805 50 -3690 1717 -2229 -3378 -1721 3800 -793 297 -509 -245 809 -2915 -5828 1455 3780 -5903 -2885 2490 251 -1833 780 -2134 -2897 8964 -360 -4972 -1981 -785 -890 -3550 -2351 10 1359 -575 -1549 1472 2149 -1374 -877 5743 4041 -1461 -1458 -2921 -92 -67 -746 -1344 -237 1446 -5975 -1958 -1980 1230 1919 423 -1026 4855 -793 -5338 -3078 814 152 2188 -350 -1052 1470 1453 196 6114 2974 1446 -3714 4973 -3194 1168 686 683 -10525 5163 4941 4785 2391 -3816 -4241 -570 -2316 -2070 4254
+4742 -1439 -375 1082 689 -150 3442 1716 -824 1949 -114 -3475 1659 -2391 -3275 -1705 3776 -835 373 -475 -209 832 -2881 -5744 1432 3844 -5911 -2795 2694 363 -1718 701 -2211 -2765 8955 -374 -4938 -1956 -768 -881 -3572 -2310 -62 1301 -400 -1613 1495 2148 -1428 -884 5515 4167 -1426 -1438 -2824 0 -125 -780 -1373 -170 1573 -5973 -2066 -2086 1106 1910 563 -965 4617 -947 -5446 -3230 834 57 2272 -223 -1205 1349 1425 178 6080 3041 1554 -3552 5048 -3205 1211 679 808 -10389 5113 4813 4683 2307 -3739 -4177 -616 -2299 -1848 4334
+4689 -1498 -358 1007 673 -77 3342 1712 -712 1975 -233 -3341 1562 -2421 -3159 -1695 3720 -834 371 -456 -109 862 -3000 -5666 1545 3832 -5867 -2661 2804 386 -1653 651 -2307 -2750 8948 -385 -4952 -1979 -714 -959 -3602 -2329 -137 1218 -328 -1633 1509 2118 -1443 -934 5322 4176 -1410 -1370 -2700 66 -188 -841 -1464 -141 1868 -5973 -2194 -2032 1020 1930 681 -777 4501 -1107 -5522 -3206 936 5 2299 -137 -1215 1280 1399 98 5989 3018 1548 -3514 5161 -3288 1167 678 894 -10213 5070 4689 4610 2218 -3757 -4164 -632 -2286 -1744 4342
+4728 -1521 -406 913 609 -55 3238 1667 -583 2024 -313 -3320 1431 -2277 -3133 -1728 3684 -789 361 -445 -50 895 -3184 -5640 1588 3808 -5705 -2608 2710 227 -1671 685 -2354 -2794 8941 -380 -4992 -2026 -703 -1012 -3563 -2427 -199 1176 -381 -1604 1568 2060 -1396 -945 5328 4056 -1385 -1264 -2587 58 -267 -931 -1536 -150 2041 -5874 -2171 -1986 1181 2035 710 -704 4548 -1151 -5525 -3117 1002 94 2274 -277 -1155 1354 1466 169 5979 2933 1447 -3539 5121 -3340 1065 609 796 -10132 5153 4687 4620 2147 -3888 -4208 -612 -2282 -1805 4216
+4778 -1432 -440 844 564 -73 3144 1569 -580 2121 -304 -3530 1365 -2097 -3145 -1758 3701 -701 293 -452 -59 887 -3215 -5693 1536 3726 -5635 -2689 2481 118 -1754 774 -2326 -2890 9018 -356 -5012 -2076 -637 -1087 -3568 -2571 -216 1213 -532 -1584 1545 2012 -1251 -1019 5426 3986 -1334 -1199 -2580 106 -171 -937 -1541 -175 2015 -5824 -2033 -1976 1402 2072 602 -762 4727 -1073 -5448 -2973 955 263 2211 -400 -984 1490 1547 287 6114 2956 1356 -3668 5043 -3380 1025 592 678 -10082 5225 4738 4696 2214 -4025 -4320 -625 -2332 -1898 4110
+4829 -1417 -467 850 637 -61 3161 1463 -672 2247 -208 -3753 1406 -2061 -3172 -1817 3777 -655 255 -478 -199 886 -3075 -5825 1432 3695 -5591 -2756 2393 153 -1850 804 -2287 -2882 9059 -271 -4996 -2084 -662 -1115 -3566 -2686 -222 1251 -565 -1561 1491 1999 -1174 -1049 5479 4028 -1342 -1197 -2602 128 -84 -936 -1523 -246 1834 -5760 -1932 -2042 1416 1962 457 -904 4829 -984 -5398 -2891 837 364 2183 -470 -967 1539 1564 445 6257 2999 1370 -3760 4905 -3327 1013 540 672 -10217 5369 4881 4759 2346 -4062 -4425 -674 -2448 -2080 4093
+4883 -1355 -482 896 725 -32 3292 1414 -801 2348 -77 -3933 1546 -2122 -3159 -1823 3846 -603 273 -521 -276 925 -2838 -5893 1372 3675 -5692 -2851 2479 385 -1867 775 -2258 -2814 9098 -202 -4928 -2038 -740 -1022 -3645 -2722 -193 1334 -451 -1642 1432 2020 -1117 -1176 5505 4188 -1273 -1192 -2649 115 -123 -953 -1501 -221 1703 -5817 -2032 -2152 1181 1888 466 -946 4777 -992 -5463 -2976 756 235 2268 -471 -986 1503 1450 417 6298 3113 1509 -3769 4901 -3286 1006 483 798 -10236 5445 4986 4821 2443 -4015 -4474 -701 -2513 -2171 4254
+4838 -1321 -430 987 832 -5 3460 1426 -852 2361 0 -3959 1783 -2278 -3064 -1808 3916 -606 301 -530 -274 991 -2827 -5878 1365 3734 -5785 -2872 2623 656 -1796 730 -2252 -2726 9005 -199 -4893 -1989 -791 -937 -3667 -2599 -90 1443 -249 -1647 1444 2050 -1173 -1142 5485 4254 -1265 -1196 -2687 138 -205 -986 -1502 -199 1667 -5938 -2193 -2220 927 1899 567 -888 4699 -1055 -5616 -3131 805 2 2419 -373 -1110 1388 1330 336 6263 3178 1607 -3639 4974 -3318 1040 519 906 -10183 5452 5006 4830 2442 -3915 -4446 -710 -2469 -2097 4282
+4885 -1315 -351 1047 806 -46 3517 1378 -891 2254 24 -3991 1962 -2372 -3001 -1762 3928 -693 313 -511 -208 1022 -3028 -5785 1416 3722 -5753 -2863 2618 663 -1779 713 -2259 -2772 8931 -245 -4887 -1933 -727 -928 -3753 -2526 -55 1478 -198 -1672 1462 2113 -1218 -1099 5466 4198 -1221 -1149 -2691 64 -439 -1069 -1529 -121 1844 -5872 -2231 -2261 855 1980 620 -795 4727 -1076 -5714 -3263 882 -129 2471 -334 -1188 1363 1292 254 6234 3208 1579 -3507 5128 -3444 1032 554 897 -10068 5427 4972 4779 2257 -3771 -4308 -648 -2318 -1968 4188
+4922 -1292 -306 1021 665 -93 3580 1392 -881 2146 -62 -4045 2086 -2364 -2981 -1779 3876 -754 281 -450 -84 1010 -3179 -5760 1554 3666 -5699 -2799 2519 484 -1769 767 -2208 -2839 8821 -354 -4929 -1929 -708 -916 -3760 -2514 -26 1505 -212 -1575 1501 2162 -1255 -1102 5524 4070 -1214 -1096 -2722 -25 -475 -1085 -1618 -194 1966 -5787 -2120 -2151 998 2046 566 -783 4903 -989 -5643 -3234 889 -205 2360 -460 -1127 1454 1379 231 6228 3135 1458 -3492 5271 -3520 978 534 762 -10026 5424 4981 4771 2158 -3700 -4223 -607 -2191 -1812 4043
+4949 -1282 -313 993 522 -150 3553 1410 -925 2125 -210 -4081 1990 -2274 -3037 -1816 3808 -728 230 -429 -38 904 -3105 -5891 1689 3651 -5650 -2693 2437 296 -1812 801 -2181 -2936 8833 -442 -4955 -1923 -710 -1024 -3677 -2564 -85 1504 -398 -1529 1485 2168 -1224 -1171 5474 3906 -1278 -1067 -2729 -93 -399 -1060 -1651 -216 2037 -5696 -1946 -2118 1203 2028 485 -811 5075 -862 -5433 -3093 785 -151 2140 -537 -1060 1570 1524 258 6206 3091 1375 -3481 5340 -3525 967 543 729 -10056 5460 5013 4754 2141 -3721 -4200 -574 -2209 -1857 3950
+4982 -1219 -430 983 506 -181 3567 1505 -945 2159 -325 -3973 1773 -2207 -3086 -1827 3729 -689 208 -470 -105 888 -2894 -6174 1814 3667 -5706 -2604 2510 247 -1826 788 -2169 -2877 8886 -389 -4967 -1982 -839 -1159 -3596 -2626 -183 1461 -552 -1532 1445 2099 -1208 -1266 5437 3915 -1366 -1119 -2747 -96 -209 -983 -1635 -268 1974 -5754 -1894 -2148 1123 1952 448 -946 5009 -809 -5216 -2909 612 -38 2042 -545 -1094 1519 1569 320 6203 3110 1443 -3453 5314 -3535 967 513 852 -10207 5489 5055 4742 2162 -3832 -4257 -593 -2313 -1968 4071
+4963 -1183 -615 1028 576 -175 3504 1587 -942 2122 -353 -3723 1482 -2201 -3154 -1881 3707 -657 224 -544 -226 883 -2794 -6413 1926 3716 -5771 -2570 2604 317 -1826 745 -2230 -2832 8982 -324 -4975 -2038 -893 -1285 -3518 -2640 -280 1406 -512 -1519 1441 1946 -1174 -1302 5427 3953 -1471 -1171 -2746 -101 -118 -936 -1579 -205 1948 -5946 -2000 -2225 985 1994 595 -865 4835 -879 -5119 -2790 535 -13 2091 -444 -1143 1418 1586 371 6094 3122 1543 -3461 5181 -3563 986 516 1026 -10320 5446 5085 4777 2224 -3959 -4343 -610 -2431 -2084 4330
+4849 -1188 -698 1082 620 -187 3478 1622 -887 2038 -176 -3476 1313 -2294 -3196 -1940 3784 -635 196 -607 -320 930 -2934 -6395 1975 3783 -5833 -2620 2658 367 -1786 695 -2316 -2838 9097 -313 -5019 -2116 -920 -1259 -3539 -2593 -347 1314 -473 -1598 1447 1874 -1196 -1171 5492 3932 -1556 -1201 -2699 -78 -162 -903 -1528 -193 1905 -5977 -2093 -2253 967 2076 691 -777 4754 -922 -5155 -2854 594 -20 2225 -340 -1183 1318 1526 367 6108 3147 1589 -3398 5254 -3683 980 565 1097 -10402 5379 5044 4771 2198 -4053 -4387 -619 -2466 -2134 4410
+4777 -1219 -633 1071 518 -262 3385 1661 -780 1951 34 -3345 1368 -2325 -3225 -1900 3871 -678 198 -580 -298 921 -3113 -6161 1834 3830 -5857 -2715 2569 295 -1733 718 -2401 -2853 9094 -471 -5118 -2157 -775 -1087 -3591 -2502 -308 1336 -413 -1682 1500 1902 -1193 -1077 5570 3850 -1586 -1191 -2682 -121 -270 -926 -1563 -223 1984 -5762 -2078 -2244 1075 2095 661 -691 4902 -881 -5284 -3061 766 3 2332 -337 -1163 1311 1458 306 6209 3146 1513 -3426 5261 -3777 908 547 1039 -10348 5349 4989 4729 2143 -4041 -4347 -594 -2402 -2086 4371
+4824 -1283 -499 1067 425 -324 3355 1678 -709 1969 125 -3344 1474 -2367 -3240 -1899 3888 -794 238 -525 -261 878 -3174 -5998 1684 3766 -5844 -2829 2453 238 -1739 782 -2459 -2968 9174 -633 -5156 -2153 -620 -966 -3628 -2414 -144 1511 -450 -1747 1495 1969 -1255 -987 5615 3746 -1567 -1192 -2741 -267 -366 -929 -1655 -336 1968 -5690 -2087 -2225 1209 2015 512 -689 5140 -787 -5374 -3151 956 -1 2339 -334 -1065 1390 1414 218 6362 3199 1400 -3482 5433 -3911 869 596 1000 -10309 5408 4957 4655 2094 -3941 -4250 -562 -2266 -1956 4285
+5031 -1209 -403 1103 410 -360 3386 1678 -676 2070 48 -3419 1527 -2399 -3187 -1874 3910 -781 327 -494 -231 854 -3046 -6041 1521 3744 -5834 -2912 2477 318 -1696 779 -2447 -2973 9221 -713 -5132 -2100 -551 -973 -3521 -2391 -41 1672 -525 -1747 1525 2055 -1287 -1089 5650 3765 -1491 -1200 -2838 -333 -417 -1005 -1729 -405 1952 -5707 -2047 -2233 1194 1926 430 -748 5308 -698 -5316 -3005 1026 -39 2217 -350 -1119 1381 1350 109 6382 3245 1421 -3433 5495 -3915 874 571 990 -10413 5417 4963 4630 2131 -3798 -4162 -543 -2166 -1857 4270
+5125 -1125 -403 1129 507 -333 3450 1664 -712 2183 -164 -3531 1595 -2332 -3117 -1822 3817 -820 439 -528 -240 928 -2886 -6260 1606 3662 -5847 -2884 2546 431 -1704 746 -2416 -2862 9210 -659 -5073 -2028 -573 -1035 -3393 -2381 7 1776 -575 -1693 1555 1971 -1238 -1123 5693 3872 -1456 -1278 -2933 -388 -417 -1025 -1690 -394 1851 -5927 -2092 -2142 1028 1861 475 -778 5217 -746 -5224 -2772 976 -32 2107 -277 -1227 1315 1272 -4 6332 3309 1548 -3280 5481 -3907 909 583 1144 -10414 5457 4966 4625 2190 -3740 -4138 -536 -2153 -1872 4321
+5077 -1116 -491 1150 684 -243 3472 1544 -806 2205 -367 -3639 1566 -2271 -3029 -1771 3759 -733 480 -523 -241 944 -2810 -6344 1683 3634 -5758 -2843 2622 484 -1743 747 -2310 -2850 9246 -461 -5010 -1996 -696 -1107 -3351 -2325 6 1697 -491 -1673 1593 1934 -1269 -1107 5775 3977 -1444 -1317 -2955 -352 -405 -988 -1551 -247 1772 -6081 -2076 -2005 929 1949 630 -748 4997 -888 -5192 -2651 963 -35 2108 -230 -1398 1241 1294 101 6270 3281 1588 -3251 5379 -3853 954 534 1109 -10469 5501 5042 4777 2258 -3792 -4203 -543 -2202 -1987 4417
+4873 -1216 -484 1107 736 -121 3510 1422 -843 2186 -417 -3806 1650 -2223 -3004 -1737 3775 -624 466 -500 -191 958 -2894 -6155 1801 3581 -5610 -2727 2645 344 -1732 779 -2261 -2831 9165 -318 -4962 -1953 -815 -1090 -3282 -2244 -108 1575 -405 -1644 1689 1862 -1232 -916 5907 4032 -1432 -1319 -2938 -297 -381 -944 -1465 -122 1789 -6004 -2086 -1969 1028 2014 728 -653 4888 -1007 -5273 -2789 960 79 2194 -251 -1443 1278 1399 231 6332 3257 1541 -3301 5367 -3757 928 448 961 -10443 5545 5061 4881 2292 -3979 -4335 -552 -2217 -2075 4476
+4735 -1407 -388 1007 635 -48 3567 1411 -858 2184 -225 -3991 1810 -2181 -3024 -1697 3837 -578 409 -408 -167 995 -2931 -5799 1767 3523 -5553 -2601 2626 225 -1732 824 -2247 -2848 9030 -260 -4934 -1943 -829 -1114 -3282 -2189 -234 1439 -315 -1636 1757 1947 -1260 -836 5940 3984 -1445 -1275 -2861 -284 -412 -887 -1498 -176 1839 -5897 -2111 -2028 1196 2064 740 -550 4912 -1055 -5402 -3052 1071 137 2260 -324 -1346 1341 1448 301 6425 3180 1378 -3438 5434 -3774 880 425 809 -10401 5519 5070 4956 2302 -4091 -4415 -554 -2267 -2143 4493
+4752 -1487 -326 927 575 -13 3537 1426 -828 2243 8 -4100 1891 -2250 -3061 -1707 3878 -527 391 -392 -178 976 -2870 -5670 1762 3556 -5558 -2531 2683 118 -1663 782 -2258 -2845 8896 -248 -4937 -1972 -865 -987 -3284 -2244 -273 1419 -342 -1595 1751 2054 -1296 -847 5782 3863 -1446 -1198 -2796 -276 -401 -853 -1614 -314 1919 -5863 -2175 -2120 1280 2016 671 -565 4997 -1027 -5509 -3287 1101 200 2182 -272 -1172 1368 1414 308 6518 3182 1365 -3434 5570 -3775 819 349 744 -10226 5587 5050 4908 2327 -4069 -4423 -547 -2250 -2073 4488
+4964 -1453 -379 859 560 -66 3569 1528 -829 2326 130 -4118 1926 -2256 -3117 -1775 3852 -599 442 -439 -297 1031 -2786 -5810 1713 3521 -5646 -2545 2719 277 -1675 709 -2294 -2765 8771 -268 -4968 -2029 -832 -960 -3298 -2387 -270 1446 -437 -1562 1708 2038 -1341 -962 5501 3857 -1437 -1149 -2762 -328 -330 -835 -1656 -381 1916 -5992 -2166 -2086 1190 1964 608 -692 4981 -978 -5458 -3201 1027 163 2099 -157 -1006 1325 1294 211 6547 3222 1383 -3410 5689 -3784 857 379 842 -10132 5564 4995 4795 2346 -3960 -4378 -554 -2188 -2015 4416
+5058 -1332 -473 891 664 -79 3527 1607 -808 2362 45 -3974 1826 -2216 -3164 -1815 3769 -664 505 -481 -332 1047 -2748 -6106 1674 3616 -5761 -2672 2713 473 -1714 654 -2282 -2700 8780 -336 -5004 -2050 -697 -928 -3340 -2471 -167 1480 -535 -1499 1664 1952 -1436 -874 5386 3946 -1452 -1155 -2710 -231 -293 -853 -1638 -399 1906 -6104 -2077 -1965 1125 1988 613 -790 4979 -953 -5380 -3008 856 158 2013 -38 -949 1271 1199 173 6580 3287 1478 -3239 5678 -3795 921 389 939 -10046 5539 4965 4750 2348 -3905 -4370 -575 -2133 -1818 4404
+4973 -1250 -480 899 777 -88 3460 1609 -779 2310 -112 -3837 1769 -2121 -3262 -1838 3703 -758 500 -503 -288 996 -2815 -6214 1530 3645 -5755 -2803 2543 518 -1781 692 -2222 -2700 8859 -413 -5043 -2064 -575 -849 -3490 -2483 -45 1457 -473 -1532 1566 1869 -1413 -822 5394 3998 -1447 -1158 -2683 -98 -247 -888 -1538 -264 1880 -6084 -1894 -1991 1073 1973 592 -821 5037 -950 -5324 -2820 684 129 1974 -9 -935 1321 1258 277 6671 3330 1489 -3231 5638 -3856 921 429 997 -10078 5518 4987 4730 2227 -3894 -4341 -563 -2053 -1758 4323
+4797 -1306 -472 880 751 -99 3491 1638 -766 2160 -223 -3755 1689 -2069 -3353 -1806 3654 -757 428 -433 -172 900 -2918 -6124 1348 3680 -5747 -2906 2350 465 -1854 771 -2185 -2785 8946 -541 -5071 -2048 -516 -727 -3718 -2478 51 1404 -389 -1596 1491 1941 -1388 -726 5466 4055 -1444 -1168 -2662 53 -295 -908 -1501 -255 1797 -5879 -1789 -2128 1016 1927 495 -776 5189 -941 -5371 -2847 574 129 1964 -51 -1024 1363 1328 321 6674 3262 1378 -3274 5609 -3889 888 389 836 -10192 5490 5014 4743 2120 -4015 -4373 -552 -2076 -1900 4322
+4788 -1358 -361 894 714 -179 3425 1635 -771 2089 -200 -3708 1623 -2070 -3339 -1800 3643 -740 357 -397 -102 794 -2969 -5946 1249 3738 -5720 -2909 2276 397 -1825 803 -2249 -2935 9080 -623 -5064 -2018 -533 -681 -3852 -2515 33 1369 -301 -1659 1504 2017 -1284 -762 5512 4067 -1421 -1169 -2718 34 -355 -887 -1547 -305 1777 -5814 -1932 -2328 854 1831 426 -662 5369 -922 -5488 -3024 602 59 2048 -175 -1098 1418 1358 195 6599 3194 1315 -3337 5619 -3867 827 336 696 -10275 5494 5074 4805 2119 -4087 -4391 -526 -2148 -2129 4416
+4920 -1374 -357 914 653 -283 3466 1698 -802 1997 -82 -3597 1527 -2201 -3317 -1817 3636 -717 357 -432 -152 790 -3017 -5989 1364 3905 -5739 -2841 2400 413 -1779 725 -2383 -2990 9156 -623 -5063 -2014 -617 -693 -3851 -2576 -91 1328 -357 -1676 1513 2081 -1343 -781 5552 4037 -1350 -1144 -2746 -44 -452 -900 -1583 -350 1708 -5895 -2075 -2380 726 1802 456 -599 5329 -926 -5508 -3111 635 -40 2101 -227 -1248 1346 1264 -28 6422 3168 1392 -3313 5632 -3886 867 361 719 -10302 5404 5041 4789 2152 -4158 -4387 -491 -2202 -2311 4459
+5075 -1283 -364 961 662 -380 3463 1774 -815 1913 32 -3464 1424 -2386 -3216 -1877 3680 -673 402 -479 -245 845 -2996 -6121 1588 4018 -5745 -2770 2557 467 -1722 650 -2451 -2998 9210 -573 -5043 -2000 -817 -763 -3736 -2755 -242 1291 -476 -1550 1531 1986 -1298 -927 5530 3974 -1208 -1073 -2780 -97 -492 -901 -1610 -367 1696 -6064 -2097 -2200 733 1896 550 -672 5160 -919 -5435 -3087 562 -110 2107 -203 -1275 1267 1140 -257 6311 3208 1509 -3241 5616 -3885 938 398 846 -10323 5345 5031 4791 2234 -4083 -4335 -490 -2292 -2377 4460
+5103 -1240 -446 1014 714 -348 3467 1742 -834 1826 95 -3399 1358 -2450 -3175 -1926 3759 -657 493 -501 -321 858 -2911 -6204 1719 4005 -5725 -2732 2652 498 -1718 623 -2426 -2888 9204 -533 -5035 -1986 -904 -861 -3619 -2833 -281 1246 -564 -1470 1552 1935 -1371 -938 5518 3941 -1198 -1057 -2742 -89 -464 -906 -1554 -302 1710 -6056 -1940 -1995 824 1985 637 -771 5024 -888 -5250 -2900 442 -109 2120 -246 -1225 1263 1157 -226 6355 3256 1586 -3095 5511 -3974 1016 450 891 -10370 5258 4990 4753 2221 -3955 -4236 -485 -2278 -2253 4363
+5019 -1229 -426 1048 742 -253 3453 1659 -854 1822 18 -3529 1443 -2372 -3113 -1866 3843 -679 533 -427 -279 833 -2822 -6220 1761 3834 -5634 -2734 2558 376 -1781 739 -2271 -2841 9142 -482 -5022 -1985 -840 -952 -3569 -2818 -252 1247 -547 -1488 1596 1864 -1367 -912 5513 3933 -1196 -1061 -2700 -15 -314 -860 -1494 -169 1814 -6020 -1822 -1995 923 1966 658 -690 4994 -918 -5138 -2742 373 -34 2195 -291 -1084 1342 1236 -74 6530 3309 1596 -3033 5500 -3985 985 442 818 -10365 5228 4963 4746 2177 -3906 -4184 -472 -2157 -2083 4399
+4867 -1259 -345 1026 705 -106 3495 1557 -809 1924 -49 -3752 1615 -2216 -3053 -1798 3939 -669 573 -323 -162 858 -2858 -6100 1713 3721 -5612 -2758 2476 259 -1817 845 -2134 -2766 9017 -419 -4996 -1988 -750 -900 -3621 -2708 -136 1294 -399 -1581 1669 2020 -1317 -935 5452 3973 -1336 -1146 -2678 70 -194 -773 -1400 -88 1838 -5865 -1858 -2136 982 1930 661 -478 5132 -965 -5157 -2730 443 7 2279 -318 -966 1399 1324 79 6632 3284 1512 -3035 5578 -4017 911 389 692 -10431 5251 4958 4729 2165 -3819 -4150 -484 -2162 -2054 4447
+4905 -1342 -290 1045 603 -139 3513 1542 -757 2180 -183 -3944 1767 -2216 -2982 -1766 3915 -678 571 -238 -50 902 -3031 -5999 1686 3655 -5696 -2794 2500 250 -1796 893 -2106 -2804 8854 -297 -5017 -2039 -705 -866 -3745 -2594 -12 1409 -349 -1684 1692 2184 -1257 -1043 5320 3916 -1387 -1145 -2596 157 -160 -773 -1433 -106 1927 -5784 -2003 -2162 958 1889 672 -307 5228 -1013 -5231 -2737 598 -66 2395 -284 -899 1412 1331 -1 6547 3252 1494 -3056 5695 -3972 884 318 592 -10420 5307 4947 4766 2289 -3830 -4207 -466 -2145 -2103 4573
+4988 -1425 -290 1047 542 -273 3434 1545 -722 2360 -188 -3986 1858 -2340 -2934 -1788 3822 -641 517 -227 -13 884 -3128 -5916 1669 3695 -5824 -2840 2626 408 -1782 853 -2161 -2864 8787 -90 -4974 -2075 -758 -903 -3809 -2571 43 1511 -354 -1741 1626 2201 -1144 -1112 5319 3837 -1412 -1154 -2587 102 -255 -762 -1482 -239 1867 -5849 -2104 -2059 1002 1913 706 -285 5233 -1012 -5275 -2745 772 -305 2468 -189 -900 1373 1302 -184 6231 3127 1522 -3021 5677 -3821 891 233 582 -10335 5296 4881 4770 2483 -3877 -4317 -484 -2177 -2192 4616
+5061 -1309 -391 1011 456 -452 3393 1538 -791 2369 -163 -3939 1847 -2442 -2985 -1893 3728 -650 398 -297 -105 791 -3072 -5891 1637 3775 -5961 -2897 2707 409 -1824 808 -2270 -2974 8769 88 -4968 -2116 -910 -939 -3869 -2659 -1 1614 -545 -1779 1536 2035 -1155 -1088 5442 3773 -1424 -1171 -2601 52 -373 -806 -1512 -302 1783 -5919 -2027 -1896 1112 1942 688 -460 5184 -940 -5249 -2714 826 -412 2403 -245 -1037 1330 1277 -226 6139 3122 1544 -3090 5576 -3754 1021 264 616 -10232 5231 4817 4812 2588 -4020 -4450 -489 -2164 -2236 4546
+5021 -1191 -520 995 509 -453 3426 1481 -912 2309 -59 -3964 1807 -2550 -3022 -1993 3708 -643 366 -303 -204 725 -2891 -5964 1602 3785 -5938 -2894 2641 372 -1888 818 -2242 -2982 8790 104 -4981 -2133 -1001 -1001 -3767 -2687 -28 1608 -611 -1707 1462 1938 -1266 -1086 5575 3819 -1436 -1242 -2666 37 -453 -911 -1535 -290 1760 -5899 -1877 -1942 1186 1927 598 -667 5198 -830 -5194 -2682 840 -443 2284 -381 -1082 1408 1346 -131 6184 3143 1569 -3093 5398 -3769 1027 270 604 -10064 5200 4750 4808 2530 -4118 -4505 -482 -2098 -2139 4459
+4948 -1182 -547 951 530 -396 3536 1423 -1035 2182 112 -4064 1720 -2465 -3079 -2071 3721 -627 364 -353 -255 669 -2703 -6009 1645 3749 -5797 -2852 2516 206 -1945 869 -2175 -3025 8906 17 -4996 -2119 -999 -998 -3687 -2638 -51 1528 -585 -1701 1438 1950 -1251 -1151 5621 3964 -1367 -1297 -2755 39 -429 -972 -1514 -176 1765 -5823 -1898 -2071 1122 1886 566 -643 5241 -818 -5145 -2621 822 -322 2264 -586 -1123 1501 1402 36 6388 3204 1577 -3088 5363 -3842 1072 346 473 -9995 5220 4723 4808 2413 -4148 -4499 -451 -2013 -2108 4495
+4920 -1178 -569 920 562 -313 3616 1438 -1079 2098 148 -4115 1548 -2318 -3088 -2090 3745 -554 394 -296 -212 727 -2749 -5983 1716 3772 -5673 -2747 2470 136 -1954 894 -2150 -2989 8973 -168 -4993 -2060 -978 -1048 -3572 -2531 -109 1461 -508 -1656 1541 2069 -1262 -1263 5644 4102 -1339 -1369 -2835 -29 -396 -961 -1498 -102 1814 -5828 -2044 -2234 963 1844 566 -488 5300 -877 -5129 -2616 786 -147 2263 -628 -1055 1551 1373 79 6520 3285 1623 -3023 5510 -3889 1021 302 342 -10011 5333 4795 4811 2324 -4067 -4449 -484 -1991 -2001 4595
+4883 -1281 -539 906 551 -308 3680 1580 -1033 2051 52 -4053 1403 -2174 -3104 -2072 3748 -539 450 -285 -111 727 -2975 -5922 1832 3769 -5702 -2694 2538 264 -1915 821 -2234 -2976 9014 -403 -5050 -2037 -851 -1092 -3539 -2415 -237 1394 -435 -1552 1657 2174 -1240 -1245 5691 4154 -1325 -1361 -2849 -7 -341 -883 -1447 -107 1803 -5904 -2168 -2245 853 1922 670 -363 5261 -992 -5145 -2624 855 22 2301 -541 -1000 1479 1228 -24 6529 3293 1592 -3101 5631 -3928 1042 335 395 -10143 5316 4876 4784 2289 -3976 -4402 -558 -2008 -1869 4688
+4829 -1384 -591 893 524 -315 3609 1686 -938 2031 -84 -3862 1392 -2177 -3145 -1991 3737 -609 513 -222 -42 737 -3182 -5843 1908 3821 -5869 -2658 2720 375 -1857 689 -2375 -2990 9053 -548 -5075 -2005 -753 -1070 -3550 -2303 -260 1354 -442 -1472 1601 2219 -1151 -1170 5758 3990 -1279 -1243 -2784 -108 -400 -838 -1454 -177 1784 -5949 -2082 -2027 867 1997 720 -446 5092 -1059 -5091 -2576 849 -27 2371 -435 -943 1463 1202 -55 6443 3240 1535 -3197 5545 -3858 1090 286 423 -10231 5365 4980 4855 2367 -4044 -4441 -610 -2070 -1889 4710
+4836 -1404 -590 850 544 -293 3492 1740 -818 1975 -243 -3608 1465 -2227 -3196 -1905 3680 -656 542 -158 -7 660 -3082 -5856 1878 3763 -6001 -2699 2754 424 -1899 642 -2422 -2991 9100 -609 -5103 -2005 -732 -1032 -3556 -2302 -235 1435 -503 -1451 1510 2145 -1193 -1099 5848 3844 -1283 -1169 -2729 -191 -421 -815 -1519 -272 1807 -6016 -1951 -1934 979 2020 662 -675 5133 -968 -5091 -2712 769 -24 2251 -346 -845 1486 1273 19 6438 3226 1498 -3330 5347 -3774 1159 305 489 -10310 5272 5006 4893 2395 -4115 -4473 -663 -2174 -1881 4617
+4844 -1354 -656 843 557 -255 3443 1659 -816 1858 -174 -3538 1605 -2425 -3244 -1856 3629 -743 520 -147 -99 610 -2928 -6027 1702 3665 -6038 -2818 2614 381 -1982 691 -2352 -3008 9134 -534 -5114 -2068 -722 -1051 -3582 -2339 -154 1503 -525 -1433 1393 2128 -1260 -1021 5783 3756 -1316 -1100 -2656 -183 -402 -846 -1581 -338 1824 -6018 -1916 -2066 1062 1977 560 -802 5222 -863 -5121 -2821 687 -119 2070 -362 -845 1557 1435 221 6509 3206 1493 -3392 5168 -3835 1148 298 368 -10300 5248 5032 4915 2356 -4174 -4475 -673 -2262 -1925 4651
+4919 -1165 -684 784 609 -230 3431 1593 -840 1782 -27 -3524 1697 -2511 -3282 -1866 3634 -803 521 -197 -217 595 -2847 -6185 1738 3602 -6017 -2851 2497 235 -2052 821 -2299 -3022 9127 -416 -5084 -2099 -772 -1021 -3603 -2358 -85 1511 -507 -1558 1333 2133 -1305 -1233 5667 3869 -1400 -1128 -2640 -139 -348 -889 -1612 -307 1942 -5965 -1969 -2253 1061 1917 467 -720 5412 -813 -5259 -2974 630 -124 1970 -357 -955 1517 1537 376 6496 3243 1593 -3338 5107 -3884 1128 293 218 -10301 5124 5002 4860 2245 -4246 -4455 -611 -2280 -1992 4771
+5024 -1127 -682 796 643 -300 3397 1531 -884 1796 146 -3568 1720 -2518 -3313 -1931 3656 -872 443 -267 -291 591 -2981 -6058 1611 3705 -5985 -2896 2429 255 -2078 848 -2278 -3061 9117 -244 -5041 -2100 -835 -1043 -3621 -2372 -84 1447 -434 -1673 1335 2155 -1388 -1299 5567 3967 -1515 -1176 -2674 -74 -248 -904 -1599 -283 1942 -5988 -2083 -2299 954 1934 483 -549 5562 -840 -5360 -2953 673 -147 2009 -264 -1158 1405 1500 311 6395 3286 1695 -3245 5262 -3905 1113 305 190 -10343 5098 4961 4786 2251 -4172 -4418 -581 -2227 -1885 4807
+5032 -1138 -640 885 652 -421 3374 1529 -896 1959 201 -3603 1607 -2325 -3333 -1990 3706 -806 423 -274 -257 592 -3137 -5724 1577 3805 -5945 -2818 2512 305 -1963 829 -2344 -3048 9010 -97 -5015 -2103 -826 -1045 -3651 -2389 -128 1388 -406 -1690 1420 2216 -1329 -1186 5607 4034 -1648 -1235 -2732 -80 -257 -892 -1539 -270 1819 -6049 -2045 -2198 901 1936 534 -433 5545 -924 -5364 -2805 702 -175 2129 -158 -1345 1252 1380 161 6213 3236 1719 -3151 5392 -3869 1113 238 281 -10381 5009 4883 4719 2274 -4118 -4398 -541 -2081 -1753 4688
+4985 -1247 -545 946 641 -525 3376 1547 -869 2140 73 -3651 1508 -2141 -3308 -2024 3738 -730 417 -196 -159 576 -3125 -5436 1444 3819 -5842 -2741 2557 356 -1858 862 -2356 -3102 8950 -16 -4980 -2069 -870 -1032 -3671 -2388 -209 1317 -405 -1636 1490 2202 -1316 -942 5721 3953 -1680 -1208 -2770 -70 -335 -905 -1526 -301 1672 -6021 -1944 -2072 842 1883 575 -438 5443 -1002 -5330 -2667 763 -23 2268 -107 -1449 1188 1294 95 6257 3239 1662 -3217 5366 -3799 1130 203 370 -10360 4965 4797 4730 2379 -4078 -4397 -470 -1877 -1675 4516
+4945 -1299 -418 992 639 -514 3437 1505 -881 2230 -154 -3780 1526 -1960 -3206 -1948 3744 -645 533 -162 -93 637 -2953 -5422 1386 3761 -5710 -2726 2553 304 -1750 894 -2315 -3100 8882 -79 -4947 -1998 -754 -1014 -3662 -2355 -237 1268 -431 -1551 1502 2110 -1238 -858 5818 3854 -1575 -1134 -2781 -113 -465 -977 -1629 -373 1699 -6036 -1891 -2099 819 1826 600 -461 5388 -1004 -5250 -2628 691 62 2263 -157 -1413 1260 1372 185 6290 3209 1555 -3341 5277 -3848 1077 130 396 -10398 5001 4823 4831 2438 -4080 -4411 -405 -1746 -1816 4377
+5042 -1190 -378 971 685 -419 3533 1410 -943 2269 -273 -4002 1634 -2012 -3166 -1963 3695 -550 582 -170 -88 722 -2795 -5679 1497 3674 -5598 -2753 2484 230 -1757 960 -2204 -3086 8913 -154 -4940 -1947 -705 -959 -3644 -2385 -182 1180 -483 -1505 1490 2113 -1341 -987 5813 3870 -1520 -1096 -2827 -228 -550 -1036 -1662 -341 1759 -6045 -1948 -2228 813 1803 623 -375 5390 -973 -5216 -2707 567 161 2243 -93 -1353 1322 1476 364 6349 3224 1582 -3371 5148 -3976 1098 211 275 -10335 5073 4811 4875 2461 -4052 -4406 -363 -1757 -2026 4442
+5074 -1135 -451 957 727 -322 3604 1334 -1047 2250 -358 -4140 1734 -2209 -3114 -1961 3559 -607 583 -173 -89 776 -2842 -5797 1544 3637 -5677 -2867 2476 249 -1831 950 -2135 -3080 8965 -171 -4945 -1931 -715 -973 -3642 -2436 -96 1155 -504 -1542 1545 2128 -1391 -1135 5850 3957 -1464 -1092 -2866 -288 -514 -1074 -1687 -293 1925 -6035 -2054 -2199 851 1891 683 -229 5431 -961 -5258 -2868 478 167 2229 -48 -1355 1275 1497 412 6272 3248 1726 -3334 5074 -4033 1169 289 119 -10258 5222 4849 4952 2601 -4020 -4465 -424 -1936 -2127 4643
+5038 -1088 -472 932 714 -340 3620 1384 -1106 2213 -285 -4159 1821 -2443 -3122 -1980 3508 -626 480 -167 -90 749 -2986 -5646 1612 3642 -5849 -2940 2600 321 -1903 866 -2164 -3084 9093 -108 -4936 -1942 -889 -1035 -3672 -2541 -78 1196 -464 -1572 1646 2176 -1470 -1130 5844 3944 -1458 -1097 -2859 -272 -370 -1009 -1615 -234 1934 -6094 -2154 -2024 922 1982 806 -81 5381 -1018 -5329 -2953 459 79 2266 58 -1453 1192 1498 401 6146 3230 1808 -3318 5022 -4005 1259 363 152 -10234 5418 4931 4966 2681 -3982 -4504 -511 -2128 -2128 4767
+4958 -1355 -376 933 631 -423 3581 1530 -1020 2213 -121 -4051 1822 -2483 -3182 -1953 3571 -658 416 -116 -45 717 -3108 -5354 1521 3665 -5983 -2929 2681 422 -1945 783 -2245 -3059 9154 -41 -4946 -1999 -1012 -997 -3746 -2615 -42 1281 -412 -1653 1667 2254 -1501 -847 5872 3882 -1514 -1084 -2809 -271 -257 -931 -1514 -178 1847 -6120 -2121 -1794 914 1941 823 -95 5316 -1079 -5366 -2880 535 -40 2313 69 -1480 1115 1447 288 6103 3215 1778 -3397 4994 -3905 1272 296 216 -10247 5546 4970 4946 2701 -3951 -4504 -586 -2150 -1911 4707
+4867 -1478 -294 939 589 -423 3496 1643 -863 2188 24 -3956 1771 -2329 -3273 -1941 3710 -627 467 -96 -40 730 -3084 -5301 1428 3700 -5925 -2899 2645 364 -1907 781 -2305 -3026 9191 -55 -4994 -2060 -959 -975 -3782 -2590 -1 1350 -358 -1610 1632 2297 -1496 -654 5788 3720 -1484 -1018 -2733 -194 -227 -855 -1486 -204 1785 -6067 -2049 -1840 915 1850 783 -171 5348 -1078 -5321 -2697 668 -99 2344 -123 -1462 1203 1498 248 6191 3187 1628 -3543 5011 -3846 1256 209 242 -10275 5590 4982 4898 2551 -3997 -4456 -585 -2057 -1737 4651
+4946 -1406 -257 927 570 -430 3467 1703 -727 2123 110 -3923 1705 -2147 -3324 -1933 3837 -600 540 -158 -110 782 -3016 -5603 1482 3693 -5769 -2849 2518 240 -1929 799 -2305 -3023 9206 -151 -5029 -2085 -835 -956 -3834 -2607 32 1383 -385 -1559 1505 2247 -1489 -724 5642 3668 -1407 -998 -2698 -215 -295 -887 -1563 -241 1866 -6034 -1973 -1981 922 1744 671 -259 5408 -1037 -5235 -2507 720 -14 2249 -264 -1410 1276 1507 313 6375 3226 1555 -3593 5080 -3956 1134 159 263 -10296 5578 5012 4883 2339 -4094 -4413 -566 -1997 -1713 4685
+5030 -1271 -366 849 618 -366 3468 1685 -726 1972 105 -3823 1678 -2070 -3317 -1942 3835 -656 643 -261 -169 769 -3004 -5975 1549 3884 -5711 -2841 2452 191 -1961 800 -2320 -2967 9211 -241 -5062 -2104 -734 -1028 -3823 -2679 -32 1315 -472 -1513 1454 2176 -1421 -894 5535 3741 -1447 -1081 -2734 -207 -381 -964 -1632 -247 1981 -5964 -1920 -2091 1016 1806 610 -331 5468 -957 -5133 -2360 710 14 2187 -417 -1347 1375 1522 374 6373 3258 1610 -3511 5199 -4100 1045 168 222 -10225 5601 5019 4857 2162 -4273 -4451 -580 -2017 -1755 4774
+5058 -1091 -511 766 657 -351 3469 1643 -803 1922 9 -3663 1572 -2186 -3263 -2009 3700 -665 610 -313 -182 702 -3096 -6082 1555 4012 -5767 -2850 2501 285 -2011 756 -2317 -2908 9168 -275 -5084 -2108 -743 -1064 -3818 -2800 -74 1261 -581 -1513 1510 2122 -1422 -983 5546 3864 -1501 -1200 -2763 -172 -502 -1098 -1644 -192 2053 -5864 -1891 -2084 1080 1968 627 -344 5415 -937 -5102 -2394 648 28 2196 -416 -1297 1396 1505 415 6249 3210 1730 -3363 5192 -4160 1047 167 194 -10256 5554 5040 4819 2092 -4329 -4490 -619 -2093 -1808 4880
+4953 -1144 -621 693 636 -407 3402 1618 -844 1904 -154 -3433 1503 -2385 -3177 -2001 3613 -748 494 -299 -109 582 -3207 -5917 1464 4155 -5921 -2849 2620 415 -2038 709 -2336 -2935 9143 -212 -5071 -2102 -811 -1165 -3773 -2833 -154 1141 -601 -1503 1549 2172 -1485 -928 5717 4002 -1533 -1320 -2875 -219 -489 -1119 -1589 -128 1963 -5935 -1926 -2089 1030 2006 625 -411 5380 -910 -5117 -2519 651 -32 2292 -432 -1236 1461 1519 486 6153 3172 1769 -3400 5051 -4100 1125 206 299 -10234 5473 5044 4800 2076 -4455 -4574 -609 -2163 -1959 4900
+4852 -1392 -580 716 590 -403 3404 1685 -820 1979 -260 -3338 1498 -2522 -3053 -1973 3601 -706 453 -187 -19 565 -3223 -5723 1424 4041 -5942 -2811 2648 498 -1961 757 -2281 -2961 9056 -170 -5041 -2071 -929 -1208 -3700 -2769 -193 1190 -560 -1501 1667 2222 -1503 -754 5822 3990 -1481 -1341 -2904 -138 -449 -1091 -1501 -123 1710 -5907 -2016 -2224 828 1877 564 -541 5346 -913 -5169 -2723 687 -203 2395 -449 -1106 1550 1567 511 6101 3069 1665 -3492 4936 -4008 1143 140 390 -10266 5454 5092 4806 2090 -4426 -4547 -509 -2111 -2057 4853
+4916 -1376 -533 772 608 -416 3465 1667 -824 2016 -239 -3564 1694 -2571 -3018 -1889 3718 -701 438 -131 -11 616 -3175 -5781 1476 3869 -5889 -2810 2531 471 -1948 881 -2169 -3070 9009 -100 -4991 -2024 -979 -1150 -3685 -2666 -172 1305 -440 -1489 1658 2236 -1522 -813 5842 3999 -1369 -1334 -2905 -136 -351 -969 -1453 -195 1528 -6054 -2033 -2246 637 1721 506 -602 5358 -929 -5173 -2782 815 -292 2463 -507 -969 1637 1643 475 6161 3055 1580 -3584 4963 -3936 1048 35 408 -10286 5409 5099 4808 2065 -4300 -4430 -368 -1975 -2171 4784
+5007 -1325 -527 826 648 -369 3535 1629 -874 2028 -160 -3794 1679 -2414 -2980 -1930 3826 -667 486 -124 -60 719 -3088 -6010 1637 3707 -5799 -2811 2419 392 -1945 967 -2054 -3124 8964 -126 -4969 -1987 -1012 -1067 -3675 -2571 -153 1485 -446 -1475 1573 2193 -1423 -985 5805 3990 -1268 -1271 -2848 -135 -371 -932 -1436 -150 1601 -6067 -1956 -2317 700 1811 513 -652 5406 -926 -5126 -2740 882 -309 2442 -545 -946 1614 1631 380 6199 3062 1564 -3557 5106 -4058 909 -44 331 -10349 5461 5149 4849 2122 -4116 -4315 -295 -1830 -2073 4777
+5014 -1157 -614 873 741 -341 3584 1474 -1009 2050 -113 -4080 1647 -2281 -3036 -2002 3898 -662 468 -160 -165 761 -2977 -6118 1780 3597 -5881 -2835 2436 358 -1956 930 -2063 -3107 8953 -108 -4955 -1969 -988 -1024 -3717 -2546 -113 1593 -491 -1517 1484 2163 -1307 -1122 5800 3980 -1255 -1233 -2759 -162 -450 -953 -1459 -83 1840 -5960 -1880 -2167 875 1957 574 -563 5439 -920 -5038 -2586 795 -336 2368 -641 -1023 1555 1636 347 6116 3087 1647 -3422 5269 -4203 883 1 300 -10350 5590 5187 4840 2177 -3974 -4244 -254 -1672 -1972 4792
+4973 -1067 -708 902 783 -398 3576 1389 -1113 2185 -172 -4104 1528 -2233 -3133 -2067 3903 -639 445 -226 -214 752 -2952 -6057 1820 3609 -5986 -2811 2582 402 -1972 779 -2174 -3026 8984 -117 -4971 -1983 -926 -1090 -3677 -2585 -119 1634 -563 -1605 1491 2073 -1213 -1119 5838 3955 -1267 -1206 -2701 -146 -441 -1001 -1523 -60 2043 -5922 -1842 -2066 1038 2098 629 -522 5457 -907 -5022 -2506 694 -326 2284 -676 -1134 1505 1617 329 6087 3140 1783 -3208 5264 -4240 988 126 338 -10336 5674 5196 4862 2380 -3829 -4260 -333 -1709 -1902 4876
+4958 -1106 -716 947 746 -481 3566 1365 -1132 2272 -214 -3978 1520 -2251 -3181 -2056 3863 -633 371 -289 -186 692 -2919 -5854 1772 3672 -6029 -2818 2711 385 -1947 655 -2320 -2944 8989 -185 -5020 -2031 -833 -1120 -3607 -2562 -138 1573 -629 -1685 1576 2097 -1265 -974 5826 3918 -1350 -1210 -2651 -61 -324 -964 -1505 -50 2019 -5982 -1915 -2099 980 2023 633 -505 5436 -898 -5073 -2483 656 -367 2279 -736 -1189 1520 1617 318 6090 3112 1723 -3311 5105 -4239 1102 190 442 -10285 5675 5158 4921 2530 -3896 -4360 -375 -1744 -1970 4903
+5044 -1135 -613 980 635 -598 3558 1369 -1052 2369 -199 -3931 1606 -2385 -3157 -2048 3744 -662 373 -256 -112 661 -2979 -5752 1716 3718 -5915 -2799 2662 281 -1921 668 -2407 -2930 9016 -294 -5055 -2063 -759 -1077 -3573 -2499 -195 1527 -584 -1744 1640 2051 -1279 -980 5730 3893 -1468 -1241 -2643 48 -221 -927 -1492 -167 1844 -6034 -1983 -2164 865 1814 556 -543 5454 -860 -5149 -2545 676 -415 2325 -690 -1142 1587 1631 317 6132 3051 1619 -3477 4967 -4215 1094 134 486 -10206 5674 5072 4956 2551 -4112 -4469 -387 -1823 -2124 4879
+5168 -1112 -500 930 555 -602 3554 1429 -946 2305 -65 -3878 1748 -2452 -3111 -1989 3683 -669 380 -271 -77 675 -3027 -5818 1762 3730 -5778 -2781 2540 95 -1870 747 -2448 -2990 9012 -393 -5095 -2073 -650 -1054 -3604 -2425 -248 1459 -576 -1742 1622 2045 -1352 -1058 5633 3919 -1574 -1290 -2675 154 -161 -878 -1370 -141 1615 -6081 -1982 -2136 884 1751 562 -485 5485 -869 -5230 -2657 711 -387 2412 -587 -1081 1622 1602 207 6088 2973 1522 -3594 5050 -4194 1031 68 414 -10182 5714 5060 5000 2434 -4266 -4483 -332 -1826 -2224 4894
+5177 -1035 -518 936 516 -601 3627 1448 -940 2187 95 -3933 1771 -2440 -3098 -1975 3625 -667 404 -262 -81 698 -2953 -5943 1740 3732 -5730 -2826 2437 103 -1860 847 -2439 -3042 9063 -421 -5107 -2070 -636 -906 -3618 -2360 -268 1453 -549 -1732 1495 1982 -1153 -1191 5648 3939 -1551 -1268 -2686 209 -251 -901 -1342 -159 1497 -6078 -1958 -2041 1036 1882 633 -359 5592 -864 -5201 -2655 690 -327 2513 -439 -1087 1557 1495 73 5986 2958 1576 -3527 5192 -4242 918 11 319 -10201 5727 5039 4990 2280 -4444 -4494 -266 -1702 -2109 4896
+5117 -1014 -509 913 587 -496 3664 1535 -946 2084 155 -3963 1752 -2356 -3210 -1926 3676 -731 440 -278 -178 744 -2858 -6021 1654 3755 -5832 -2879 2535 245 -1831 837 -2434 -2968 9088 -353 -5091 -2060 -697 -936 -3551 -2354 -302 1515 -547 -1699 1473 2008 -1064 -1248 5698 3937 -1611 -1277 -2700 224 -363 -983 -1423 -187 1589 -5963 -1848 -1970 1142 1981 666 -246 5665 -869 -5125 -2656 508 -193 2473 -273 -1105 1466 1422 -30 5896 2985 1660 -3349 5330 -4265 918 -3 242 -10173 5787 5026 4898 2199 -4504 -4510 -306 -1669 -1864 4846
+4975 -1113 -474 952 629 -444 3713 1648 -951 2022 133 -3934 1655 -2227 -3312 -1871 3829 -700 509 -304 -225 804 -2792 -6081 1617 3765 -5951 -2900 2665 469 -1834 813 -2338 -2874 9128 -274 -5034 -1999 -829 -992 -3454 -2365 -234 1580 -559 -1681 1537 2127 -1057 -1097 5701 3912 -1492 -1196 -2705 122 -500 -1027 -1509 -166 1751 -5979 -1848 -2024 1069 1986 729 -155 5608 -922 -5069 -2644 285 -66 2377 -243 -1113 1430 1433 -60 5918 3020 1711 -3206 5326 -4161 998 -21 247 -10193 5872 5062 4814 2151 -4373 -4453 -356 -1588 -1694 4826
+4933 -1235 -478 914 626 -411 3705 1751 -948 1945 62 -3866 1608 -2124 -3405 -1829 3938 -727 562 -338 -247 876 -2896 -6080 1635 3768 -6022 -2888 2745 522 -1837 754 -2299 -2833 9095 -270 -5001 -1962 -864 -1021 -3439 -2391 -127 1609 -471 -1715 1631 2224 -1127 -1060 5713 3874 -1401 -1118 -2754 44 -375 -980 -1557 -185 1826 -6033 -1951 -2101 825 1845 748 -135 5528 -974 -5113 -2709 213 22 2282 -240 -1126 1403 1477 47 6118 3118 1715 -3136 5193 -4113 1046 -42 332 -10198 5839 5090 4770 2130 -4260 -4428 -416 -1652 -1684 4936
+5011 -1321 -386 899 610 -443 3589 1765 -885 1935 -68 -3744 1661 -2123 -3426 -1757 3971 -679 595 -394 -189 891 -3090 -6048 1718 3755 -5963 -2843 2670 391 -1855 745 -2313 -2902 9041 -382 -5029 -1940 -771 -1004 -3496 -2331 -72 1529 -397 -1767 1594 2224 -1282 -1216 5745 3885 -1366 -1096 -2789 -21 -207 -879 -1492 -190 1734 -6170 -2056 -2091 656 1732 755 -164 5462 -998 -5242 -2789 323 -1 2204 -217 -1134 1378 1508 118 6230 3120 1673 -3172 5193 -4153 1016 -76 336 -10229 5759 5120 4797 2080 -4198 -4415 -457 -1753 -1890 5004
+5127 -1345 -310 852 503 -495 3578 1749 -831 1932 -72 -3651 1690 -2187 -3324 -1749 3860 -653 606 -399 -153 915 -3213 -6070 1769 3743 -5895 -2786 2600 273 -1837 735 -2327 -2934 8981 -525 -5055 -1937 -702 -866 -3646 -2338 -18 1495 -364 -1774 1515 2218 -1418 -1245 5819 3867 -1339 -1059 -2754 15 -22 -716 -1366 -209 1560 -6223 -2111 -1997 772 1828 772 -199 5473 -1013 -5409 -2853 630 -54 2233 -119 -1164 1332 1466 106 6171 3049 1634 -3241 5267 -4275 936 -53 261 -10238 5669 5174 4883 2107 -4203 -4441 -478 -1927 -2107 5089
+5093 -1315 -252 847 542 -472 3550 1704 -821 2035 -125 -3541 1607 -2320 -3197 -1791 3718 -606 553 -403 -125 924 -3106 -6137 1756 3758 -5819 -2683 2607 275 -1849 669 -2376 -2936 8951 -574 -5129 -1987 -589 -771 -3719 -2382 -62 1409 -364 -1697 1406 2161 -1320 -1270 5868 3747 -1407 -1016 -2665 89 11 -650 -1315 -201 1520 -6170 -2027 -1906 980 2012 766 -223 5564 -991 -5481 -2854 831 -25 2265 -29 -1201 1307 1410 120 6103 2992 1623 -3282 5299 -4316 872 -76 233 -10327 5640 5246 4920 2130 -4230 -4443 -425 -1966 -2173 5043
+4999 -1294 -319 837 682 -401 3535 1682 -796 2250 -201 -3497 1509 -2315 -3107 -1839 3645 -629 509 -385 -167 945 -2861 -6212 1669 3809 -5748 -2613 2660 379 -1823 629 -2399 -2829 8999 -474 -5155 -2088 -653 -756 -3687 -2524 -161 1424 -392 -1609 1445 2128 -1160 -1126 5866 3662 -1433 -985 -2638 47 -85 -690 -1417 -236 1690 -6079 -1915 -1951 1105 2077 726 -234 5688 -959 -5445 -2755 891 88 2251 -57 -1199 1327 1387 178 6139 3013 1635 -3297 5335 -4324 926 -70 199 -10379 5624 5272 4913 2190 -4216 -4422 -374 -1920 -2104 5033
+4990 -1254 -349 902 838 -401 3585 1669 -802 2392 -223 -3638 1452 -2265 -3112 -1867 3688 -647 474 -394 -188 1008 -2793 -6287 1630 3827 -5690 -2646 2684 483 -1840 686 -2324 -2757 9109 -387 -5157 -2131 -694 -867 -3583 -2604 -164 1360 -428 -1519 1561 2147 -1104 -1016 5742 3694 -1472 -1013 -2642 24 -209 -761 -1463 -267 1744 -5931 -1900 -2168 1007 1968 624 -311 5704 -951 -5373 -2686 774 299 2248 -102 -1182 1388 1371 268 6377 3109 1641 -3288 5246 -4247 1018 -72 272 -10380 5551 5184 4865 2214 -4211 -4396 -296 -1824 -2114 5078
+5051 -1234 -410 891 927 -387 3561 1684 -755 2393 -71 -3813 1520 -2177 -3219 -1886 3849 -651 423 -439 -182 1063 -2937 -6190 1515 3815 -5662 -2806 2557 540 -1900 795 -2244 -2761 9220 -335 -5170 -2176 -754 -901 -3516 -2677 -120 1339 -367 -1504 1693 2099 -1139 -1014 5588 3806 -1516 -1120 -2754 -3 -215 -825 -1572 -364 1820 -6017 -1856 -2325 789 1812 548 -430 5637 -959 -5308 -2704 656 396 2196 -196 -1201 1421 1343 251 6615 3219 1580 -3324 5291 -4296 973 -80 421 -10305 5438 5079 4804 2138 -4159 -4336 -258 -1684 -1997 5049
+5077 -1240 -432 835 855 -411 3562 1597 -749 2326 91 -4026 1623 -2168 -3285 -1890 3956 -577 362 -455 -148 1023 -3124 -5977 1446 3815 -5655 -2907 2445 497 -1912 884 -2253 -2874 9306 -382 -5174 -2157 -764 -963 -3541 -2735 -79 1339 -297 -1550 1727 2008 -1229 -1053 5585 3962 -1612 -1252 -2867 -73 -232 -882 -1536 -334 1744 -6058 -1897 -2269 722 1786 539 -548 5493 -979 -5322 -2836 626 287 2215 -219 -1192 1424 1300 146 6611 3261 1619 -3219 5490 -4407 920 -86 397 -10161 5380 4966 4799 2150 -4155 -4339 -239 -1590 -1962 5029
+5087 -1299 -379 730 787 -383 3562 1539 -782 2235 180 -4113 1816 -2313 -3278 -1837 3999 -558 417 -456 -154 1045 -3177 -5877 1356 3737 -5707 -2956 2431 540 -1822 906 -2316 -2923 9306 -492 -5170 -2101 -696 -903 -3656 -2749 -7 1377 -292 -1627 1674 2018 -1348 -1035 5638 4021 -1658 -1317 -2888 -12 -225 -861 -1473 -323 1568 -6112 -1919 -2174 797 1898 543 -568 5498 -949 -5374 -3019 768 98 2231 -211 -1262 1327 1232 -52 6440 3244 1613 -3198 5644 -4525 861 -65 290 -10084 5369 4905 4811 2251 -4158 -4376 -266 -1588 -2058 4908
+5072 -1254 -364 758 749 -318 3669 1527 -871 2171 147 -4072 1899 -2465 -3144 -1785 3989 -531 523 -480 -152 1082 -3023 -5992 1390 3692 -5772 -2949 2555 627 -1707 844 -2375 -2911 9284 -529 -5124 -2012 -691 -834 -3694 -2747 -2 1501 -333 -1654 1614 1981 -1319 -944 5805 3899 -1669 -1324 -2865 53 -234 -861 -1414 -222 1518 -6060 -1912 -2120 942 2015 558 -555 5527 -909 -5410 -3134 896 -103 2202 -200 -1301 1270 1278 -12 6254 3146 1588 -3153 5633 -4503 894 -52 213 -10079 5489 4945 4882 2394 -4239 -4455 -275 -1579 -2133 4834
+5041 -1302 -363 838 805 -326 3710 1524 -940 2196 -29 -3928 1814 -2571 -3117 -1764 3919 -527 574 -558 -247 1097 -2892 -6277 1523 3649 -5738 -2846 2612 645 -1665 815 -2396 -2876 9258 -494 -5100 -1985 -731 -802 -3739 -2778 -13 1591 -454 -1663 1624 1962 -1219 -772 5923 3779 -1563 -1211 -2795 -45 -351 -858 -1453 -194 1657 -5951 -1939 -2191 988 1975 501 -609 5563 -849 -5343 -3067 894 -183 2014 -294 -1245 1325 1448 224 6291 3124 1592 -3168 5449 -4434 1049 -20 173 -10160 5603 5022 4920 2512 -4225 -4476 -283 -1689 -2210 4840
+5085 -1175 -435 932 910 -321 3760 1573 -992 2190 -131 -3903 1652 -2496 -3087 -1788 3854 -614 541 -557 -257 1136 -2953 -6411 1696 3607 -5678 -2827 2567 522 -1715 808 -2290 -2869 9236 -424 -5048 -1960 -775 -856 -3716 -2788 -27 1582 -512 -1612 1716 1953 -1122 -788 5875 3729 -1399 -1090 -2742 -162 -429 -869 -1508 -201 1814 -5941 -2021 -2251 918 1880 510 -614 5499 -857 -5207 -2832 772 -190 1863 -406 -1146 1441 1585 439 6400 3161 1598 -3211 5242 -4301 1151 -20 172 -10261 5683 5076 4984 2562 -4241 -4491 -292 -1786 -2244 5073
+5148 -1130 -429 969 857 -391 3764 1609 -978 2143 -93 -3961 1546 -2318 -3107 -1823 3813 -681 442 -514 -213 1061 -3135 -6315 1801 3675 -5625 -2790 2515 299 -1769 839 -2181 -2873 9139 -383 -5100 -2017 -815 -882 -3666 -2774 -58 1476 -526 -1595 1843 1895 -1193 -802 5841 3776 -1357 -1077 -2736 -281 -426 -870 -1567 -251 1941 -6041 -2059 -2163 892 1836 567 -635 5366 -906 -5094 -2546 629 -139 1857 -485 -1076 1506 1622 469 6479 3255 1707 -3141 5208 -4380 1107 -34 227 -10299 5714 5100 4988 2435 -4203 -4452 -355 -1888 -2030 5176
+5184 -1149 -371 962 724 -495 3715 1653 -936 2089 70 -3953 1384 -2174 -3152 -1914 3850 -714 313 -470 -183 972 -3241 -6112 1838 3815 -5652 -2741 2567 125 -1793 811 -2206 -2902 9050 -314 -5136 -2099 -770 -970 -3629 -2744 -107 1388 -511 -1651 1848 1831 -1298 -829 5849 3842 -1404 -1111 -2739 -297 -378 -908 -1575 -270 1952 -6138 -2094 -1895 941 1891 657 -538 5261 -978 -5093 -2423 616 -228 1933 -489 -1088 1479 1511 200 6360 3305 1785 -2961 5451 -4529 1022 -16 153 -10210 5663 5032 4934 2284 -4191 -4423 -428 -1855 -1768 5210
+5157 -1124 -289 950 586 -538 3721 1724 -830 2088 206 -3822 1402 -2152 -3162 -1911 3916 -699 353 -469 -203 943 -3167 -6086 1748 3905 -5789 -2763 2723 243 -1749 740 -2263 -2883 8977 -291 -5151 -2139 -746 -1039 -3577 -2645 -156 1375 -492 -1666 1759 1925 -1409 -882 5830 3758 -1521 -1120 -2672 -169 -372 -918 -1501 -195 1898 -6100 -2092 -1805 1083 1979 697 -430 5335 -963 -5193 -2540 749 -335 2178 -462 -1161 1399 1356 -76 6206 3316 1818 -2797 5560 -4613 975 16 136 -10182 5680 5028 4903 2201 -4192 -4411 -477 -1851 -1694 5046
+5137 -1061 -276 966 568 -559 3752 1832 -770 2199 176 -3635 1506 -2256 -3152 -1876 4014 -635 446 -549 -243 1014 -3023 -6301 1678 4018 -5900 -2805 2841 425 -1696 655 -2370 -2773 8943 -314 -5153 -2139 -679 -1049 -3572 -2589 -214 1483 -505 -1658 1692 2005 -1438 -837 5797 3678 -1563 -1120 -2668 -62 -398 -972 -1477 -99 1860 -5962 -2028 -1917 1186 1944 589 -419 5455 -880 -5274 -2722 878 -468 2328 -446 -1229 1395 1326 -170 6179 3321 1793 -2736 5567 -4600 1053 42 128 -10154 5610 4998 4831 2187 -4244 -4442 -500 -1773 -1754 4904
+5207 -1042 -453 994 668 -567 3714 1824 -791 2289 -12 -3508 1627 -2470 -3212 -1849 4064 -583 497 -637 -250 1047 -2959 -6545 1673 3955 -5952 -2875 2759 489 -1766 629 -2401 -2742 8971 -434 -5136 -2096 -608 -969 -3670 -2571 -210 1579 -561 -1619 1662 2070 -1372 -831 5700 3683 -1659 -1154 -2651 38 -537 -1048 -1481 -78 1841 -5801 -1929 -2079 1250 1892 540 -443 5517 -822 -5281 -2862 847 -524 2419 -585 -1319 1425 1388 -56 6296 3357 1794 -2756 5409 -4566 1159 54 214 -10157 5566 5007 4827 2210 -4320 -4504 -508 -1801 -1911 4907
+5184 -971 -656 997 742 -557 3671 1702 -895 2324 -251 -3547 1753 -2509 -3261 -1828 4045 -598 428 -627 -235 1042 -3075 -6600 1641 3942 -5954 -2991 2573 406 -1907 694 -2366 -2734 9066 -578 -5143 -2054 -564 -878 -3699 -2539 -175 1634 -600 -1602 1680 2041 -1278 -852 5711 3816 -1721 -1232 -2722 -26 -664 -1101 -1539 -134 1859 -5855 -1884 -2201 1132 1857 559 -521 5384 -853 -5211 -2844 680 -489 2409 -679 -1336 1472 1470 108 6415 3392 1776 -2914 5407 -4595 1145 58 341 -10269 5503 5058 4876 2207 -4434 -4584 -473 -1806 -1991 5024
+5112 -1066 -694 932 682 -557 3621 1588 -937 2192 -335 -3692 1776 -2480 -3256 -1848 3982 -642 270 -517 -144 930 -3151 -6348 1624 3831 -5891 -2951 2412 292 -2018 750 -2327 -2807 9165 -672 -5166 -2013 -500 -874 -3735 -2449 -65 1627 -559 -1671 1648 2065 -1330 -932 5808 3989 -1678 -1290 -2802 -109 -730 -1172 -1639 -179 1920 -5928 -1954 -2117 935 1889 642 -542 5182 -949 -5105 -2657 489 -418 2364 -673 -1322 1471 1517 222 6355 3362 1793 -2934 5416 -4700 1040 20 335 -10321 5446 5063 4906 2194 -4464 -4620 -438 -1802 -1857 5131
+4991 -1303 -517 877 551 -529 3659 1517 -918 2127 -287 -3861 1645 -2362 -3171 -1939 3888 -609 188 -408 -47 890 -3143 -6152 1740 3809 -5830 -2807 2517 367 -1968 751 -2355 -2813 9211 -778 -5177 -1973 -537 -866 -3661 -2349 1 1685 -420 -1710 1644 2114 -1365 -954 5951 4001 -1586 -1253 -2836 -114 -627 -1159 -1719 -293 1924 -6075 -2026 -2096 781 1927 715 -421 5159 -1037 -5120 -2531 490 -326 2410 -574 -1238 1439 1499 287 6329 3327 1761 -2985 5559 -4887 984 74 324 -10289 5489 5107 4921 2236 -4383 -4593 -372 -1736 -1753 5100
+5018 -1334 -454 879 523 -538 3663 1544 -881 2083 -163 -3927 1553 -2276 -3124 -1912 3890 -690 216 -375 -80 926 -3014 -6131 1898 3783 -5841 -2622 2743 504 -1854 707 -2438 -2833 9200 -698 -5163 -1984 -603 -899 -3568 -2317 -78 1646 -359 -1664 1633 2109 -1396 -974 5971 3911 -1450 -1148 -2766 -114 -578 -1164 -1710 -217 1998 -6041 -2104 -2174 775 1969 720 -347 5227 -1074 -5239 -2516 672 -175 2509 -510 -1086 1482 1471 256 6324 3293 1674 -2961 5687 -4835 1024 81 219 -10215 5520 5096 4896 2309 -4243 -4515 -297 -1640 -1740 4901
+5087 -1245 -440 899 586 -540 3688 1586 -874 2103 -52 -3971 1483 -2215 -3169 -1920 3945 -698 273 -433 -209 1002 -2895 -6224 2035 3683 -5825 -2531 2832 564 -1803 683 -2486 -2916 9191 -572 -5123 -1981 -695 -994 -3499 -2319 -252 1605 -489 -1612 1611 2099 -1347 -1055 5924 3827 -1328 -1068 -2695 -38 -436 -1056 -1624 -176 2012 -5907 -2036 -2290 848 1925 598 -415 5407 -989 -5385 -2622 913 -124 2559 -434 -976 1504 1465 322 6512 3315 1630 -2908 5729 -4724 1110 63 207 -10145 5548 5070 4869 2406 -4102 -4441 -270 -1583 -1827 4820
+5222 -1064 -562 928 762 -511 3671 1525 -967 2130 50 -4027 1554 -2260 -3269 -1906 3975 -748 329 -508 -341 1051 -2895 -6358 2068 3590 -5855 -2598 2749 412 -1843 700 -2427 -2986 9167 -441 -5086 -1987 -701 -1029 -3515 -2361 -388 1484 -613 -1545 1617 2040 -1286 -1037 5934 3813 -1302 -1013 -2621 -18 -385 -980 -1574 -163 2027 -5821 -1960 -2283 944 1932 529 -614 5451 -893 -5468 -2735 916 -123 2483 -404 -908 1570 1507 374 6732 3481 1708 -2852 5599 -4557 1127 -38 212 -10108 5497 5049 4925 2484 -4065 -4430 -307 -1569 -1852 4936
+5187 -1041 -635 884 788 -546 3627 1456 -1037 2154 134 -4022 1720 -2355 -3373 -1870 3965 -754 358 -601 -328 967 -2958 -6257 1876 3512 -5872 -2720 2541 235 -1961 785 -2318 -3052 9134 -318 -5081 -2018 -688 -1028 -3600 -2379 -363 1452 -625 -1593 1609 1968 -1114 -1044 6001 3905 -1328 -1070 -2648 -22 -371 -949 -1629 -284 2021 -6010 -1931 -2191 944 1960 530 -780 5310 -896 -5476 -2796 753 -124 2447 -292 -945 1520 1437 313 6759 3553 1812 -2812 5599 -4557 1038 -133 217 -10182 5504 5072 5030 2536 -4176 -4520 -438 -1684 -1860 5035
+5107 -1104 -557 780 758 -467 3659 1484 -982 2181 141 -3931 1832 -2397 -3405 -1823 3939 -656 390 -615 -249 939 -3081 -6074 1707 3457 -5892 -2813 2467 207 -1995 827 -2218 -2983 9060 -194 -5095 -2077 -663 -1087 -3529 -2415 -313 1404 -497 -1638 1608 1943 -1123 -1074 5980 3990 -1315 -1117 -2696 -103 -447 -983 -1743 -376 2062 -6152 -2076 -2036 973 2027 609 -755 5230 -957 -5469 -2897 535 -37 2371 -196 -1032 1457 1377 163 6565 3524 1884 -2829 5525 -4631 921 -201 104 -10250 5539 5110 5101 2503 -4250 -4553 -499 -1777 -1841 5145
+5026 -1257 -475 685 656 -416 3658 1579 -863 2213 92 -3793 1820 -2303 -3346 -1771 3881 -643 393 -576 -184 945 -3169 -5941 1497 3617 -5940 -2888 2562 324 -1935 829 -2235 -2930 9011 -104 -5093 -2114 -680 -1130 -3520 -2432 -161 1418 -383 -1741 1544 2025 -1160 -1125 5896 3958 -1327 -1163 -2753 -83 -470 -1056 -1799 -464 2007 -6246 -2208 -2189 968 2020 619 -645 5276 -1020 -5500 -3042 482 88 2317 -195 -1138 1413 1369 135 6419 3441 1869 -2804 5490 -4620 873 -259 -20 -10248 5642 5110 5083 2450 -4416 -4584 -477 -1856 -1867 5141
+5084 -1254 -421 691 640 -449 3661 1700 -805 2303 -58 -3715 1697 -2137 -3348 -1807 3795 -646 415 -556 -162 964 -3191 -5975 1550 3750 -5962 -2910 2740 458 -1827 832 -2326 -2935 9023 -81 -5069 -2089 -738 -1048 -3534 -2437 7 1523 -321 -1784 1493 2105 -1192 -1206 5789 3926 -1344 -1212 -2782 -57 -472 -1083 -1767 -436 1918 -6124 -2170 -2324 1068 1972 560 -554 5431 -1018 -5517 -3105 621 273 2296 -182 -1159 1433 1341 41 6347 3310 1724 -2903 5472 -4619 943 -237 -27 -10185 5725 5082 5007 2400 -4437 -4542 -424 -1896 -1923 5060
+5128 -1236 -476 734 714 -481 3628 1764 -853 2298 -204 -3766 1562 -2062 -3378 -1836 3748 -707 373 -532 -171 989 -3162 -6096 1614 3878 -5953 -2891 2746 402 -1777 870 -2424 -3014 9054 -142 -5077 -2072 -800 -965 -3670 -2524 23 1569 -429 -1718 1491 2110 -1153 -1167 5640 3923 -1389 -1256 -2789 14 -523 -1122 -1689 -346 1873 -5961 -2025 -2310 1213 1979 545 -564 5467 -991 -5522 -3136 839 377 2278 -187 -1174 1423 1371 139 6486 3353 1723 -2909 5404 -4529 1068 -213 -19 -10073 5696 4999 4924 2404 -4413 -4511 -394 -1879 -1894 5009
+5170 -1195 -506 771 779 -468 3695 1750 -949 2219 -205 -3815 1542 -2033 -3404 -1874 3760 -829 375 -502 -259 976 -3074 -6161 1669 3888 -5864 -2869 2662 238 -1802 924 -2418 -3113 9203 -243 -5072 -2021 -778 -913 -3822 -2550 16 1506 -525 -1703 1462 2181 -1162 -1155 5699 3963 -1532 -1321 -2783 84 -503 -1066 -1587 -239 1831 -5956 -1887 -2185 1246 2024 572 -707 5307 -973 -5500 -3071 992 343 2212 -159 -1141 1367 1337 158 6616 3461 1804 -2855 5492 -4608 1065 -178 157 -10035 5621 4934 4862 2349 -4329 -4488 -436 -1855 -1771 5027
+5144 -1179 -438 837 819 -422 3748 1683 -1034 2097 -147 -3797 1572 -2172 -3414 -1906 3830 -773 345 -501 -246 1009 -3064 -6107 1692 3927 -5772 -2835 2593 136 -1861 978 -2372 -3123 9381 -302 -5106 -2014 -731 -950 -3853 -2552 -12 1350 -526 -1690 1462 2221 -1244 -1154 5775 3996 -1527 -1325 -2792 26 -457 -984 -1585 -239 1827 -6119 -1989 -2056 1151 2041 650 -767 5203 -941 -5486 -3021 991 296 2200 5 -1162 1271 1277 128 6572 3482 1897 -2732 5553 -4707 988 -181 157 -10129 5546 4919 4832 2289 -4355 -4534 -455 -1790 -1817 5057
+5143 -1216 -257 909 806 -413 3784 1646 -991 2059 -71 -3726 1690 -2356 -3341 -1870 3922 -645 420 -496 -178 1040 -3139 -6029 1752 3930 -5734 -2779 2638 262 -1876 958 -2332 -3034 9535 -353 -5122 -2015 -790 -1120 -3690 -2606 -74 1245 -453 -1675 1552 2257 -1309 -1225 5821 3914 -1417 -1231 -2770 -66 -450 -958 -1673 -357 1888 -6251 -2223 -2030 1067 2017 680 -704 5210 -934 -5522 -3074 938 223 2184 69 -1216 1188 1278 95 6498 3461 1916 -2704 5600 -4842 897 -170 91 -10239 5599 5015 4853 2211 -4311 -4545 -452 -1739 -1927 5079
+5126 -1201 -166 959 760 -466 3746 1658 -873 2090 -122 -3598 1768 -2450 -3315 -1840 3983 -532 428 -479 -96 1034 -3306 -5931 1735 3979 -5798 -2749 2744 440 -1842 852 -2365 -2944 9554 -416 -5145 -2056 -859 -1310 -3555 -2659 -123 1174 -330 -1610 1575 2292 -1352 -1345 5895 3840 -1305 -1139 -2739 -214 -412 -906 -1728 -402 2000 -6228 -2339 -2074 1061 1937 618 -580 5382 -927 -5563 -3148 914 199 2258 8 -1163 1246 1320 -21 6422 3360 1780 -2834 5581 -4787 917 -212 -1 -10350 5649 5062 4861 2236 -4292 -4570 -416 -1696 -2062 5061
+5168 -1208 -159 993 745 -582 3647 1693 -766 2188 -275 -3532 1793 -2424 -3269 -1798 4002 -479 397 -459 -9 991 -3350 -5857 1672 3872 -5862 -2790 2732 510 -1845 732 -2427 -2950 9530 -461 -5182 -2100 -919 -1361 -3491 -2716 -135 1194 -393 -1582 1564 2334 -1356 -1352 5827 3713 -1299 -1076 -2708 -269 -348 -853 -1640 -313 2011 -6108 -2265 -2088 1168 1957 593 -527 5511 -910 -5576 -3166 1007 172 2280 -85 -1151 1346 1416 -40 6353 3249 1668 -2987 5505 -4623 1063 -197 -17 -10346 5630 5099 4857 2262 -4216 -4526 -335 -1768 -2174 5024
+5145 -1255 -234 998 764 -605 3582 1673 -714 2282 -347 -3615 1714 -2303 -3255 -1837 3993 -503 365 -384 -29 946 -3264 -5908 1511 3801 -5917 -2877 2612 470 -1871 711 -2437 -2973 9453 -449 -5208 -2148 -879 -1267 -3561 -2749 -141 1281 -488 -1493 1570 2339 -1287 -1096 5833 3719 -1390 -1084 -2686 -163 -286 -843 -1480 -169 1913 -5996 -2031 -2030 1265 2034 613 -580 5454 -912 -5504 -3043 1138 76 2284 -134 -1205 1391 1453 -68 6255 3185 1671 -3020 5477 -4551 1086 -236 68 -10313 5627 5133 4890 2272 -4128 -4458 -302 -1823 -2140 5044
+5070 -1178 -337 944 757 -573 3601 1593 -756 2327 -257 -3816 1638 -2192 -3161 -1851 3919 -608 325 -372 -87 871 -3087 -5975 1391 3764 -5894 -2896 2568 380 -1918 710 -2383 -2967 9330 -386 -5222 -2181 -790 -1118 -3747 -2705 -65 1418 -533 -1496 1528 2333 -1280 -924 5886 3721 -1576 -1122 -2676 -45 -328 -851 -1418 -146 1824 -5930 -1904 -1964 1235 2074 672 -656 5276 -918 -5402 -2893 1187 13 2280 -205 -1302 1368 1424 -28 6228 3201 1753 -2942 5522 -4651 1031 -233 116 -10262 5590 5119 4918 2229 -4147 -4422 -283 -1773 -1981 5034
+5081 -1193 -373 898 770 -486 3637 1551 -806 2276 -19 -3911 1515 -2275 -3074 -1958 3897 -652 297 -353 -139 875 -3032 -5946 1298 3776 -5847 -2876 2557 412 -1972 762 -2274 -2885 9243 -222 -5184 -2181 -786 -1021 -3886 -2660 43 1510 -504 -1576 1478 2412 -1385 -932 5796 3772 -1692 -1197 -2740 14 -344 -836 -1417 -162 1755 -6023 -1894 -2088 1100 2077 670 -771 5153 -875 -5322 -2869 1061 -35 2180 -263 -1339 1328 1383 67 6214 3243 1858 -2768 5565 -4792 880 -289 86 -10318 5560 5148 4925 2167 -4178 -4392 -296 -1819 -1995 5009
+5141 -1158 -453 824 718 -480 3627 1568 -832 2167 187 -3897 1440 -2371 -3079 -1993 3870 -715 311 -387 -200 857 -3170 -5796 1361 3784 -5844 -2820 2657 449 -1973 796 -2206 -2865 9190 -14 -5115 -2159 -877 -1088 -3885 -2655 37 1494 -410 -1613 1480 2337 -1511 -1050 5718 3784 -1733 -1192 -2783 -53 -411 -893 -1530 -265 1774 -6041 -1967 -2267 1044 2005 599 -756 5165 -815 -5284 -2955 862 1 2041 -407 -1241 1401 1393 152 6293 3223 1831 -2698 5554 -4825 798 -344 6 -10253 5624 5156 4913 2207 -4238 -4416 -339 -1748 -2021 4995
+5107 -1219 -533 784 646 -563 3604 1614 -898 2109 242 -3907 1465 -2460 -3103 -2011 3904 -734 339 -373 -173 807 -3316 -5579 1409 3785 -5830 -2751 2674 446 -1992 851 -2195 -2940 9163 105 -5047 -2105 -992 -1206 -3807 -2615 4 1441 -361 -1672 1516 2187 -1560 -1102 5696 3830 -1748 -1217 -2812 -69 -464 -932 -1576 -335 1798 -5925 -1990 -2475 1073 2008 531 -732 5322 -768 -5355 -3116 759 -9 2040 -638 -1082 1540 1439 183 6343 3181 1741 -2793 5535 -4690 787 -443 -4 -10238 5711 5189 4915 2302 -4313 -4480 -389 -1759 -2113 5112
+5040 -1338 -575 794 579 -659 3595 1659 -985 2143 61 -3976 1548 -2437 -3181 -1950 3922 -699 374 -362 -157 747 -3347 -5559 1460 3749 -5794 -2730 2610 316 -1989 892 -2231 -3128 9133 88 -5032 -2078 -1083 -1242 -3720 -2636 -118 1369 -526 -1567 1612 2129 -1471 -947 5777 3838 -1724 -1208 -2853 -125 -453 -946 -1579 -299 1800 -5877 -1950 -2457 1087 2044 522 -747 5345 -807 -5424 -3136 776 -7 2150 -731 -927 1637 1484 160 6378 3139 1676 -2972 5438 -4559 885 -477 6 -10104 5708 5105 4901 2390 -4392 -4533 -421 -1774 -2131 5135
+4995 -1365 -548 856 595 -641 3665 1638 -1075 2123 -117 -4053 1670 -2407 -3304 -1875 3977 -650 450 -345 -156 800 -3223 -5767 1556 3620 -5797 -2726 2503 157 -1940 944 -2287 -3220 9153 -5 -5050 -2042 -1067 -1203 -3685 -2651 -253 1381 -609 -1466 1659 2068 -1296 -714 5885 3803 -1702 -1138 -2790 -34 -364 -919 -1499 -168 1794 -5904 -1930 -2277 1004 2093 596 -790 5263 -901 -5484 -2993 919 -101 2288 -707 -941 1622 1500 105 6284 3112 1665 -3127 5389 -4534 926 -460 45 -10063 5666 5075 4925 2371 -4472 -4539 -371 -1734 -2080 5083
+5047 -1259 -499 868 644 -505 3796 1643 -1067 2139 -261 -4102 1792 -2312 -3288 -1824 3977 -620 508 -352 -148 861 -3092 -6057 1691 3608 -5856 -2802 2536 192 -1911 962 -2279 -3201 9209 -116 -5070 -2024 -995 -1126 -3630 -2551 -378 1418 -682 -1418 1705 2092 -1280 -692 6025 3768 -1636 -1066 -2717 20 -218 -804 -1391 -74 1720 -6013 -1918 -2222 889 2059 600 -893 5121 -960 -5459 -2771 941 -233 2371 -670 -980 1605 1514 60 6128 3079 1724 -3135 5411 -4675 925 -402 -4 -10021 5682 5042 4949 2303 -4522 -4519 -279 -1701 -2072 5018
+5166 -1119 -490 874 728 -396 3890 1627 -1010 2155 -294 -4035 1821 -2296 -3246 -1829 3927 -617 494 -312 -83 887 -3076 -6052 1781 3675 -5976 -2846 2699 402 -1861 880 -2284 -3097 9311 -203 -5072 -2024 -924 -1045 -3608 -2455 -384 1443 -597 -1455 1663 2180 -1393 -850 5988 3748 -1501 -982 -2676 35 -111 -695 -1371 -112 1757 -6077 -2019 -2234 928 2009 574 -941 5142 -926 -5499 -2761 907 -222 2285 -642 -1049 1581 1535 130 6128 3075 1728 -3143 5337 -4901 927 -288 -74 -10025 5823 5083 4971 2243 -4433 -4447 -204 -1689 -2063 5030
+5262 -989 -522 842 752 -427 3780 1658 -932 2065 -177 -3857 1774 -2293 -3269 -1909 3878 -700 352 -284 -48 775 -3193 -5837 1757 3834 -6162 -2951 2796 526 -1918 784 -2310 -3048 9459 -210 -5095 -2053 -893 -1055 -3608 -2374 -314 1469 -532 -1584 1596 2168 -1551 -1012 5969 3857 -1351 -952 -2663 -3 -123 -679 -1454 -241 1880 -6025 -2102 -2252 1061 2002 560 -883 5139 -928 -5576 -2911 843 -201 2222 -741 -1089 1674 1641 242 6211 3080 1697 -3136 5365 -4913 1002 -227 -147 -10117 5865 5098 4918 2229 -4293 -4413 -212 -1664 -2012 5130
+5254 -1044 -601 816 707 -572 3657 1665 -859 2106 -39 -3716 1711 -2387 -3310 -2017 3826 -765 258 -209 -6 680 -3260 -5628 1739 3950 -6173 -2942 2770 389 -1930 769 -2345 -3048 9484 -270 -5162 -2125 -817 -1055 -3645 -2332 -160 1488 -498 -1695 1580 2175 -1669 -1012 5960 3930 -1322 -1000 -2704 5 -135 -751 -1544 -323 1972 -6056 -2133 -2219 1236 2090 604 -806 5084 -978 -5651 -3116 730 -161 2166 -779 -1047 1715 1619 256 6325 3096 1624 -3159 5450 -4821 1134 -169 -94 -10179 5846 5104 4944 2347 -4192 -4469 -329 -1725 -1945 5154
+5036 -1219 -623 790 646 -639 3600 1707 -812 2148 79 -3583 1598 -2395 -3303 -2058 3806 -734 279 -233 -38 649 -3187 -5740 1723 3968 -6083 -2914 2666 171 -1942 798 -2381 -3114 9469 -333 -5241 -2195 -738 -1079 -3639 -2318 -48 1498 -511 -1669 1626 2206 -1519 -762 6005 3992 -1431 -1109 -2739 7 -271 -843 -1579 -287 1991 -6026 -2103 -2102 1272 2141 672 -763 4958 -1079 -5740 -3286 781 -98 2211 -677 -1075 1644 1518 148 6248 3068 1609 -3179 5560 -4696 1134 -279 -67 -10257 5819 5107 4955 2437 -4141 -4537 -451 -1764 -1914 5147
+4998 -1306 -633 815 650 -640 3640 1623 -869 2115 90 -3605 1526 -2353 -3274 -2057 3809 -690 384 -291 -129 704 -3039 -6025 1713 4012 -5991 -2874 2555 -20 -1935 823 -2417 -3193 9428 -402 -5264 -2201 -690 -1014 -3722 -2314 26 1521 -574 -1639 1559 2233 -1390 -683 5966 3918 -1483 -1153 -2731 104 -363 -983 -1526 -181 1865 -6052 -2053 -2024 1285 2167 729 -788 4794 -1180 -5717 -3246 841 -122 2301 -541 -1130 1547 1475 73 6076 3020 1685 -3135 5560 -4722 1105 -296 -87 -10295 5749 5093 4976 2426 -4221 -4580 -456 -1761 -2047 5065
+5020 -1205 -627 839 699 -549 3640 1533 -909 2078 19 -3635 1457 -2272 -3279 -2003 3797 -592 473 -358 -176 817 -2992 -6252 1813 4048 -5905 -2781 2635 18 -1862 819 -2438 -3134 9369 -394 -5215 -2122 -768 -978 -3718 -2348 -50 1485 -650 -1609 1490 2316 -1325 -786 5913 3959 -1563 -1268 -2786 179 -342 -1000 -1473 -121 1752 -6032 -2005 -2000 1241 2032 670 -837 4858 -1153 -5659 -3135 945 -73 2415 -427 -1227 1431 1478 123 5964 2998 1781 -3104 5334 -4765 1034 -334 -208 -10298 5672 5078 4974 2373 -4300 -4595 -444 -1794 -2067 4981
+5218 -1074 -663 862 741 -557 3638 1471 -1001 1981 -139 -3745 1397 -2153 -3352 -1985 3824 -575 451 -366 -212 804 -3108 -6148 1821 4041 -6040 -2757 2768 166 -1856 751 -2479 -3037 9323 -280 -5134 -2107 -888 -1016 -3700 -2371 -214 1351 -626 -1695 1407 2279 -1459 -1088 5791 4033 -1567 -1343 -2832 197 -265 -935 -1421 -196 1564 -6055 -1969 -2160 1292 1949 517 -933 5075 -1004 -5595 -3104 853 75 2336 -347 -1181 1459 1609 242 5971 3021 1835 -3089 5052 -4799 1046 -314 -329 -10296 5659 5068 4850 2227 -4301 -4522 -382 -1847 -2082 5008
+5200 -1034 -600 925 705 -650 3611 1483 -999 1976 -264 -3832 1488 -2156 -3409 -1940 3807 -663 396 -284 -136 697 -3204 -5850 1810 3959 -6127 -2772 2839 301 -1896 745 -2434 -2996 9284 -176 -5094 -2055 -1018 -1047 -3650 -2433 -283 1213 -550 -1695 1431 2247 -1600 -1164 5710 4114 -1542 -1395 -2865 128 -263 -901 -1491 -250 1671 -6118 -2064 -2178 1356 1981 468 -893 5246 -901 -5612 -3167 778 221 2182 -322 -1075 1516 1668 236 6061 3115 1894 -3095 4894 -4717 1093 -347 -359 -10178 5637 5030 4782 2183 -4259 -4488 -413 -1944 -1928 5010
+5190 -1229 -407 1035 681 -736 3619 1563 -1034 2073 -191 -3982 1668 -2242 -3401 -1876 3827 -758 395 -203 -147 691 -3262 -5775 1804 3804 -6112 -2827 2729 315 -1977 858 -2316 -3058 9225 -164 -5089 -2041 -1048 -1090 -3597 -2475 -251 1176 -502 -1621 1558 2209 -1585 -1038 5777 4197 -1496 -1421 -2854 70 -252 -913 -1608 -293 1888 -6155 -2178 -2148 1214 2015 497 -861 5276 -852 -5674 -3261 759 188 2077 -295 -1020 1547 1647 121 6128 3196 1878 -3157 5009 -4637 1110 -360 -207 -10204 5527 5007 4746 2160 -4264 -4498 -464 -1973 -1780 5065
+5068 -1360 -247 1052 674 -748 3709 1659 -1030 2161 24 -4098 1736 -2405 -3289 -1878 3797 -798 505 -177 -168 719 -3143 -5869 1765 3604 -5990 -2825 2561 283 -2014 954 -2246 -3135 9155 -229 -5138 -2059 -934 -1040 -3575 -2466 -101 1282 -514 -1533 1694 2213 -1401 -864 5858 4002 -1399 -1290 -2762 -1 -347 -1020 -1666 -229 2074 -6154 -2233 -2098 1032 2051 579 -844 5158 -889 -5716 -3344 816 146 2044 -101 -1096 1435 1486 -92 6131 3212 1879 -3149 5214 -4699 1081 -420 -168 -10172 5392 4988 4813 2187 -4361 -4541 -458 -1934 -1870 4960
+5074 -1377 -163 1032 658 -651 3803 1712 -1007 2165 240 -4112 1734 -2484 -3197 -1893 3763 -749 650 -235 -214 840 -3026 -6085 1764 3501 -5886 -2809 2509 352 -2019 975 -2227 -3199 9112 -240 -5159 -2079 -795 -1010 -3621 -2474 75 1374 -509 -1536 1653 2282 -1222 -977 5922 3853 -1317 -1201 -2727 -49 -292 -958 -1608 -198 2038 -6189 -2155 -2097 976 2002 559 -943 5091 -877 -5671 -3333 936 82 2155 -42 -1207 1337 1374 -95 6065 3192 1840 -3180 5278 -4776 1010 -419 -193 -10198 5419 5040 4924 2187 -4398 -4534 -437 -1835 -2029 4854
+5181 -1306 -231 925 720 -516 3782 1691 -980 2116 311 -4058 1726 -2459 -3215 -1915 3734 -730 690 -307 -247 848 -2972 -6125 1718 3590 -5900 -2776 2599 459 -2002 879 -2291 -3205 9173 -208 -5175 -2127 -789 -918 -3756 -2569 113 1463 -498 -1580 1532 2263 -1107 -1176 5966 3810 -1270 -1175 -2752 -113 -227 -902 -1511 -212 1861 -6072 -2052 -2132 1082 1969 490 -1023 5179 -818 -5582 -3287 1033 241 2210 -123 -1308 1366 1423 129 6229 3213 1810 -3240 5189 -4903 1021 -392 -364 -10148 5520 5093 4992 2247 -4409 -4529 -407 -1833 -2210 4825
+5172 -1244 -366 805 692 -491 3691 1676 -937 2124 187 -4026 1610 -2297 -3336 -1938 3748 -660 675 -341 -202 827 -3062 -5964 1572 3718 -5929 -2788 2679 533 -2033 740 -2395 -3133 9307 -209 -5193 -2170 -831 -890 -3851 -2655 41 1508 -488 -1649 1419 2128 -1126 -1261 5927 3945 -1343 -1264 -2804 -85 -158 -841 -1456 -290 1667 -6121 -2008 -2230 1198 2028 453 -993 5226 -799 -5460 -3178 1028 408 2216 -323 -1314 1452 1512 371 6328 3228 1797 -3329 5044 -4853 1192 -250 -485 -10164 5613 5153 5015 2377 -4249 -4489 -448 -1961 -2176 4869
+5137 -1221 -377 680 660 -468 3581 1714 -834 2187 -50 -3939 1550 -2089 -3409 -1946 3800 -578 630 -328 -112 757 -3121 -5798 1498 3845 -5877 -2759 2689 440 -2019 681 -2435 -2996 9402 -221 -5209 -2194 -825 -898 -3888 -2752 -35 1421 -423 -1760 1420 2082 -1283 -1293 5916 4181 -1501 -1410 -2873 -65 -176 -844 -1487 -325 1651 -6240 -2123 -2299 1217 2115 502 -877 5276 -790 -5417 -3166 974 634 2118 -370 -1170 1533 1583 475 6355 3235 1847 -3356 4974 -4731 1359 -200 -495 -10056 5731 5127 4994 2541 -4154 -4512 -519 -2136 -2038 4915
+5090 -1373 -351 636 573 -468 3561 1751 -747 2231 -178 -3872 1663 -2042 -3390 -1919 3842 -524 617 -280 -85 780 -3152 -5803 1462 3936 -5790 -2826 2595 312 -1990 720 -2436 -2931 9489 -328 -5237 -2205 -838 -960 -3814 -2810 -55 1421 -354 -1734 1536 2110 -1363 -1170 5807 4177 -1521 -1401 -2932 -94 -323 -1001 -1630 -328 1847 -6295 -2211 -2294 1090 2137 572 -810 5172 -839 -5450 -3169 962 630 2148 -304 -1023 1536 1581 392 6243 3205 1867 -3327 5063 -4593 1435 -218 -476 -10024 5783 5097 4996 2589 -4084 -4480 -478 -2094 -1891 4945
+5111 -1538 -232 715 585 -397 3630 1773 -713 2239 -202 -3798 1729 -2187 -3262 -1932 3830 -519 636 -219 -79 843 -3114 -5974 1505 4014 -5701 -2816 2563 252 -1839 782 -2434 -2881 9455 -528 -5283 -2178 -786 -1011 -3671 -2792 -63 1461 -411 -1688 1667 2170 -1216 -1119 5747 4084 -1546 -1364 -2935 -129 -464 -1113 -1705 -280 1971 -6201 -2131 -2298 1019 2055 522 -935 5103 -840 -5555 -3293 994 427 2251 -150 -1009 1472 1536 275 6099 3160 1848 -3286 5167 -4602 1350 -318 -513 -10056 5727 5035 5000 2576 -4128 -4488 -442 -2023 -1879 4767
+5174 -1404 -253 789 691 -317 3769 1745 -770 2079 -74 -3778 1754 -2388 -3105 -1921 3804 -583 667 -191 -127 915 -3033 -6139 1559 3998 -5731 -2861 2620 328 -1721 835 -2458 -2855 9412 -673 -5299 -2144 -718 -1030 -3622 -2790 -82 1423 -480 -1587 1651 2202 -1092 -1241 5748 3955 -1561 -1281 -2890 -159 -524 -1211 -1716 -244 2023 -5982 -1937 -2294 1126 1960 449 -1058 5142 -815 -5678 -3419 1118 201 2361 -90 -990 1440 1543 272 6123 3148 1779 -3240 5221 -4724 1146 -408 -512 -10075 5626 4950 4964 2473 -4201 -4490 -389 -1848 -1966 4687
+5229 -1274 -271 914 818 -294 3783 1682 -830 1966 -17 -3727 1758 -2519 -3047 -1922 3860 -637 656 -144 -159 911 -2914 -6172 1619 3993 -5879 -2872 2734 425 -1640 859 -2452 -2901 9445 -655 -5266 -2081 -769 -1036 -3657 -2715 -115 1437 -510 -1571 1583 2162 -1050 -1290 5802 4017 -1558 -1258 -2864 -106 -389 -1112 -1632 -240 1854 -5998 -1897 -2254 1304 1967 385 -1139 5217 -815 -5764 -3439 1262 43 2400 -141 -1038 1474 1624 416 6295 3223 1792 -3191 5168 -4788 1052 -471 -559 -10134 5548 4906 4959 2482 -4220 -4541 -443 -1854 -2027 4721
+5129 -1207 -323 964 912 -322 3740 1682 -859 1978 -61 -3724 1649 -2508 -3005 -1908 3900 -713 646 -125 -146 850 -2885 -6122 1619 3904 -5977 -2916 2739 472 -1672 893 -2362 -2912 9496 -544 -5227 -2055 -809 -1025 -3754 -2614 -123 1425 -451 -1590 1503 2141 -1131 -1266 5922 4153 -1600 -1269 -2854 -59 -200 -984 -1595 -275 1797 -6140 -2047 -2204 1365 2097 464 -1025 5262 -870 -5774 -3327 1269 35 2344 -401 -1053 1585 1703 527 6389 3305 1919 -3132 5024 -4702 1067 -503 -538 -10102 5498 4839 4897 2523 -4218 -4620 -571 -1973 -1996 4817
+5040 -1219 -387 981 886 -423 3688 1678 -885 2122 -148 -3787 1521 -2410 -3090 -1899 3952 -649 624 -174 -98 802 -2985 -6099 1616 3894 -5985 -2965 2682 379 -1737 949 -2260 -2964 9526 -480 -5205 -2052 -807 -939 -3913 -2531 -42 1436 -447 -1679 1503 2148 -1298 -1084 6055 4207 -1534 -1213 -2799 -6 -97 -889 -1538 -238 1795 -6350 -2383 -2199 1289 2215 624 -838 5103 -1021 -5721 -3084 1115 119 2193 -623 -1095 1642 1709 515 6302 3301 2015 -3046 4999 -4655 1157 -456 -400 -10090 5437 4816 4809 2420 -4258 -4658 -603 -2030 -1983 4878
+5129 -1270 -462 950 780 -579 3623 1626 -901 2220 -199 -3851 1409 -2266 -3090 -1985 3935 -620 597 -197 -91 732 -3052 -6082 1728 3867 -5928 -2932 2600 212 -1865 901 -2286 -3040 9555 -368 -5216 -2106 -819 -930 -3961 -2519 -24 1448 -395 -1787 1549 2154 -1410 -1062 6063 4123 -1413 -1091 -2752 -82 -154 -888 -1584 -214 1999 -6271 -2493 -2129 1186 2185 706 -785 4953 -1091 -5658 -2926 961 176 2219 -773 -1181 1651 1633 308 6050 3247 2096 -2949 4923 -4662 1209 -428 -377 -10113 5411 4824 4778 2287 -4215 -4596 -560 -2100 -2001 4888
+5177 -1258 -517 856 677 -651 3572 1582 -912 2245 -190 -3893 1456 -2260 -3149 -2011 3908 -550 585 -254 -155 725 -3090 -6138 1705 3867 -5907 -2904 2604 229 -1923 801 -2382 -3128 9502 -399 -5247 -2153 -817 -982 -3928 -2546 -30 1455 -544 -1821 1571 2218 -1469 -1094 6039 3929 -1277 -964 -2725 -189 -318 -989 -1659 -213 2188 -6038 -2302 -2068 1247 2090 666 -949 4797 -1089 -5641 -3019 762 176 2245 -761 -1216 1643 1608 159 5908 3180 2035 -2979 4955 -4777 1202 -385 -424 -10189 5478 4940 4774 2114 -4177 -4485 -454 -2044 -1936 4851
+5223 -1164 -591 826 640 -630 3581 1534 -946 2205 -123 -3878 1602 -2327 -3169 -2009 3873 -605 569 -315 -276 687 -2948 -6159 1689 3852 -5878 -2804 2659 271 -1923 652 -2518 -3156 9492 -404 -5259 -2190 -831 -961 -3838 -2626 -78 1448 -633 -1807 1488 2135 -1346 -1149 6025 3850 -1239 -927 -2733 -205 -389 -1053 -1666 -152 2255 -5827 -2018 -2065 1420 2061 584 -1082 4753 -1057 -5687 -3222 755 16 2381 -743 -1208 1664 1655 135 5939 3123 1917 -3010 5022 -4911 1084 -430 -480 -10277 5685 5108 4814 2054 -4143 -4412 -416 -2035 -1877 4859
+5133 -1144 -627 919 708 -532 3619 1507 -992 2257 -46 -3869 1757 -2473 -3125 -1963 3871 -699 627 -296 -291 743 -2822 -6232 1583 3794 -5886 -2771 2664 393 -1945 626 -2536 -3065 9482 -442 -5268 -2175 -808 -1050 -3721 -2550 -164 1481 -637 -1734 1451 2049 -1216 -1030 6115 4030 -1373 -1096 -2830 -126 -357 -1072 -1614 -152 2045 -5860 -1985 -2137 1525 2112 555 -1060 4881 -1002 -5759 -3465 768 -155 2460 -632 -1166 1640 1706 223 6102 3190 1910 -2937 5150 -4977 1131 -359 -495 -10303 5762 5180 4856 2171 -4110 -4441 -538 -2111 -1718 4902
+5097 -1118 -560 1012 728 -491 3740 1599 -987 2296 43 -3945 1876 -2592 -3140 -1934 3868 -783 646 -253 -227 845 -2805 -6257 1630 3694 -5811 -2770 2624 406 -1968 693 -2429 -3018 9528 -415 -5291 -2173 -696 -1014 -3648 -2443 -222 1415 -569 -1670 1506 1960 -1265 -838 6101 4155 -1528 -1246 -2876 50 -307 -1059 -1555 -171 1835 -6086 -2144 -2214 1461 2204 597 -894 4974 -1018 -5778 -3456 745 -306 2361 -525 -1115 1594 1689 220 6140 3267 1970 -2868 5298 -5005 1176 -325 -349 -10277 5758 5218 4893 2300 -4084 -4486 -662 -2189 -1720 4945
+5155 -1171 -518 1075 645 -542 3797 1640 -1012 2276 139 -4108 1837 -2541 -3183 -1942 3843 -789 630 -233 -203 878 -2956 -6202 1656 3594 -5849 -2832 2486 351 -2055 841 -2311 -2954 9566 -486 -5273 -2115 -627 -976 -3692 -2306 -196 1341 -506 -1688 1594 1961 -1333 -810 6028 4161 -1688 -1343 -2889 110 -287 -987 -1509 -189 1722 -6187 -2240 -2328 1240 2165 600 -820 5038 -1018 -5706 -3228 653 -341 2305 -455 -1111 1531 1666 205 6036 3229 1996 -2791 5416 -4993 1264 -260 -217 -10151 5756 5189 4922 2331 -4160 -4526 -693 -2193 -1842 4932
+5331 -1132 -443 1061 558 -600 3828 1637 -1050 2164 105 -4204 1745 -2396 -3273 -2012 3765 -765 601 -237 -123 872 -3115 -6107 1758 3568 -5983 -2890 2536 317 -2054 864 -2283 -2987 9593 -567 -5258 -2069 -654 -956 -3721 -2278 -146 1371 -500 -1743 1710 1966 -1432 -906 5957 4099 -1670 -1326 -2875 99 -289 -988 -1563 -226 1808 -6047 -2150 -2354 1120 2039 526 -929 5124 -947 -5635 -3024 633 -195 2164 -490 -1106 1506 1645 186 5967 3161 1934 -2832 5464 -5025 1178 -327 -210 -10002 5725 5120 4923 2299 -4291 -4557 -622 -2160 -2024 4867
+5420 -1158 -373 1000 450 -643 3776 1612 -1015 1971 -30 -4079 1598 -2183 -3320 -2040 3720 -708 614 -283 -101 843 -3099 -6137 1820 3600 -6090 -2892 2664 387 -1996 815 -2391 -3029 9579 -586 -5261 -2057 -694 -1001 -3620 -2347 -151 1409 -525 -1775 1715 1967 -1424 -1011 5895 3950 -1665 -1276 -2825 46 -325 -986 -1594 -247 1912 -5824 -1952 -2337 1061 1923 444 -1071 5112 -887 -5559 -2878 699 -105 2122 -646 -1108 1564 1660 245 6045 3032 1761 -2997 5414 -5053 1031 -445 -311 -9861 5736 5042 4944 2275 -4379 -4554 -511 -2026 -2081 4768
+5343 -1178 -363 954 474 -559 3742 1571 -992 1925 -256 -3882 1545 -2048 -3346 -2023 3742 -589 642 -322 -151 866 -2912 -6329 1949 3688 -6128 -2762 2799 407 -1842 727 -2522 -3031 9535 -587 -5251 -2054 -782 -1044 -3526 -2464 -112 1597 -605 -1767 1667 1942 -1367 -924 5941 3875 -1638 -1242 -2786 -50 -322 -987 -1658 -254 2041 -5818 -1843 -2292 1094 1977 462 -1106 5037 -879 -5573 -2908 911 -37 2196 -707 -1138 1622 1674 307 6172 3047 1691 -3048 5392 -5003 887 -594 -398 -9795 5790 5026 4965 2344 -4371 -4542 -433 -1993 -1987 4728
+5148 -1242 -364 935 576 -466 3728 1612 -941 2004 -364 -3671 1589 -2077 -3334 -1965 3781 -542 697 -383 -218 924 -2818 -6604 2065 3728 -6015 -2698 2785 351 -1769 729 -2546 -3019 9481 -553 -5248 -2065 -792 -1094 -3440 -2505 -114 1683 -612 -1724 1601 1867 -1259 -777 5954 3885 -1575 -1217 -2781 -65 -200 -912 -1651 -267 2040 -6032 -2008 -2298 1066 2127 567 -968 5000 -915 -5654 -3100 1140 14 2295 -671 -1205 1633 1657 343 6347 3137 1745 -3036 5396 -4900 829 -686 -340 -9816 5833 4996 4921 2438 -4252 -4519 -414 -1972 -1923 4711
+5088 -1217 -484 908 696 -418 3677 1686 -852 2143 -350 -3498 1670 -2250 -3291 -1917 3792 -574 619 -400 -236 901 -2838 -6654 2139 3826 -5846 -2675 2645 165 -1774 771 -2453 -3006 9471 -535 -5199 -2038 -692 -1059 -3493 -2500 -125 1643 -534 -1698 1596 1804 -1314 -708 5980 3937 -1515 -1230 -2801 -87 -105 -789 -1566 -291 1929 -6281 -2229 -2305 993 2185 654 -797 5019 -950 -5687 -3274 1209 60 2345 -496 -1304 1507 1507 241 6393 3265 1889 -2830 5444 -4883 871 -715 -239 -9884 5874 5002 4914 2537 -4099 -4492 -402 -1962 -1982 4729
+5196 -1287 -508 862 704 -458 3606 1762 -788 2241 -188 -3542 1718 -2409 -3229 -1926 3756 -673 549 -338 -223 876 -3041 -6468 2102 3892 -5770 -2686 2543 125 -1832 815 -2365 -2968 9450 -503 -5208 -2076 -606 -999 -3565 -2477 -112 1571 -483 -1706 1657 1876 -1352 -870 5985 3940 -1471 -1239 -2843 -110 -39 -682 -1457 -284 1786 -6305 -2224 -2313 987 2096 617 -814 5052 -941 -5648 -3361 1093 86 2332 -334 -1315 1436 1408 131 6371 3336 2007 -2593 5552 -4945 918 -646 -161 -9939 5849 4997 4895 2495 -3988 -4456 -393 -1935 -2182 4723
+5289 -1322 -508 815 669 -478 3516 1780 -715 2236 -7 -3627 1763 -2416 -3206 -1904 3762 -795 485 -267 -218 845 -3174 -6253 1937 4101 -5876 -2788 2629 221 -1847 752 -2379 -2944 9433 -530 -5215 -2079 -518 -1070 -3625 -2504 -139 1447 -472 -1706 1753 2009 -1438 -989 5973 3991 -1516 -1273 -2884 -93 -121 -685 -1428 -309 1714 -6117 -2085 -2217 1046 1967 529 -923 5113 -903 -5552 -3303 975 215 2237 -209 -1225 1429 1377 46 6326 3293 1913 -2642 5529 -5091 897 -581 -172 -10015 5847 5007 4875 2390 -3875 -4425 -476 -2059 -2258 4676
+5205 -1364 -442 816 687 -448 3492 1775 -668 2174 8 -3721 1739 -2388 -3270 -1870 3833 -849 552 -228 -235 844 -3100 -6246 1758 4166 -6016 -2877 2817 426 -1801 707 -2477 -2930 9401 -498 -5253 -2124 -518 -1122 -3583 -2547 -98 1421 -451 -1687 1775 2078 -1435 -972 5951 3991 -1562 -1290 -2874 -51 -321 -825 -1508 -348 1748 -5988 -1944 -2122 1164 1915 495 -974 5125 -907 -5528 -3172 1010 324 2123 -226 -1033 1493 1369 0 6426 3254 1775 -2816 5528 -5292 814 -524 -172 -10095 5901 5080 4876 2345 -3811 -4415 -567 -2212 -2246 4680
+5065 -1416 -348 843 766 -343 3511 1725 -708 2182 -97 -3834 1713 -2260 -3289 -1778 3933 -800 692 -278 -251 977 -2977 -6458 1686 4047 -6037 -2929 2856 516 -1750 748 -2500 -2963 9418 -456 -5248 -2128 -638 -1196 -3510 -2625 -67 1435 -479 -1613 1723 2105 -1405 -844 5853 4025 -1594 -1306 -2884 -45 -440 -953 -1561 -290 1758 -6121 -1990 -2114 1268 2024 548 -927 5119 -953 -5622 -3154 1235 397 2082 -261 -1004 1505 1399 60 6512 3229 1688 -3007 5549 -5333 824 -516 -178 -10182 5933 5120 4810 2214 -3921 -4413 -570 -2287 -2180 4688
+5043 -1373 -364 929 863 -310 3588 1655 -842 2304 -265 -3950 1684 -2203 -3315 -1767 3968 -686 752 -389 -277 1049 -2961 -6644 1732 3884 -5956 -2940 2727 412 -1752 803 -2533 -2994 9464 -533 -5281 -2118 -696 -1172 -3561 -2693 -15 1537 -524 -1583 1641 2044 -1344 -827 5742 4088 -1583 -1329 -2887 -36 -476 -988 -1588 -321 1713 -6276 -2123 -2150 1334 2117 565 -852 5156 -960 -5721 -3203 1420 396 2077 -223 -1068 1405 1393 187 6513 3213 1736 -2989 5645 -5273 932 -497 -125 -10248 5975 5160 4751 2089 -4060 -4400 -546 -2356 -2140 4667
+5117 -1260 -400 983 901 -364 3670 1544 -994 2293 -305 -4034 1729 -2251 -3291 -1805 3942 -632 668 -444 -268 994 -3076 -6504 1720 3759 -5907 -2953 2508 245 -1840 851 -2504 -3055 9583 -593 -5255 -2067 -729 -1097 -3728 -2700 -29 1518 -543 -1638 1562 2036 -1297 -954 5601 4081 -1551 -1282 -2833 7 -395 -951 -1537 -292 1692 -6340 -2161 -2212 1379 2133 564 -851 5169 -930 -5760 -3238 1424 353 2094 -156 -1170 1312 1359 207 6421 3213 1759 -2906 5699 -5233 1000 -458 -3 -10323 5966 5172 4695 1891 -4218 -4362 -456 -2276 -2145 4685
+5210 -1238 -421 925 835 -392 3715 1484 -1092 2238 -205 -4083 1734 -2351 -3279 -1958 3859 -586 557 -459 -220 887 -3187 -6169 1727 3714 -5891 -2932 2473 195 -1888 854 -2490 -3041 9697 -614 -5208 -2012 -789 -980 -3925 -2692 -5 1509 -521 -1803 1530 2103 -1313 -1164 5616 4187 -1492 -1250 -2790 118 -215 -825 -1452 -238 1675 -6136 -2091 -2181 1416 2028 476 -999 5185 -881 -5714 -3258 1221 331 2075 -77 -1178 1316 1384 185 6475 3223 1773 -2786 5792 -5322 988 -430 2 -10282 5892 5129 4682 1761 -4332 -4378 -469 -2263 -2163 4684
+5268 -1370 -324 897 739 -438 3671 1475 -1043 2094 -11 -4046 1681 -2400 -3233 -2036 3789 -614 442 -398 -195 853 -3132 -5910 1691 3696 -5866 -2827 2576 322 -1859 775 -2519 -2980 9701 -587 -5208 -2003 -814 -1037 -3972 -2698 -83 1491 -431 -1830 1593 2184 -1257 -1228 5669 4251 -1484 -1238 -2713 197 -121 -746 -1453 -231 1773 -6024 -2109 -2116 1261 1921 480 -1063 5123 -924 -5691 -3283 1011 363 2032 -92 -1105 1406 1412 60 6520 3220 1687 -2754 5879 -5405 867 -499 -102 -10167 5896 5122 4710 1746 -4365 -4420 -531 -2286 -2195 4688
+5143 -1470 -213 858 640 -389 3722 1578 -930 2060 109 -4037 1698 -2372 -3191 -2011 3818 -680 468 -363 -200 918 -2971 -5993 1715 3739 -5823 -2692 2732 447 -1770 721 -2507 -2939 9698 -491 -5219 -2056 -866 -1194 -3862 -2687 -70 1538 -437 -1860 1619 2262 -1268 -1129 5777 4268 -1426 -1183 -2671 169 -222 -815 -1515 -204 1911 -6056 -2149 -2094 1142 2000 566 -999 5046 -1012 -5660 -3230 879 377 2126 -131 -1036 1464 1369 -133 6596 3299 1715 -2729 5929 -5468 756 -540 -104 -10049 5909 5126 4744 1914 -4274 -4461 -596 -2229 -2197 4641
+5089 -1408 -216 885 700 -318 3740 1647 -872 2155 102 -4094 1695 -2292 -3242 -1973 3880 -738 518 -350 -198 993 -2840 -6160 1659 3715 -5773 -2664 2749 483 -1755 730 -2467 -2996 9612 -395 -5247 -2129 -849 -1330 -3714 -2698 -119 1524 -475 -1735 1634 2198 -1243 -1015 5812 4170 -1273 -1097 -2677 74 -293 -860 -1571 -232 1963 -6230 -2307 -2131 1167 2154 675 -832 5086 -1066 -5665 -3210 904 306 2303 -123 -1023 1475 1343 -287 6501 3338 1804 -2699 5865 -5443 772 -570 -72 -9982 5955 5136 4787 2173 -4155 -4478 -582 -2199 -2272 4577
+5158 -1392 -276 877 742 -281 3762 1747 -836 2239 20 -4112 1718 -2237 -3232 -1891 3919 -812 512 -394 -167 997 -2917 -6295 1550 3735 -5747 -2708 2617 403 -1854 802 -2381 -3107 9579 -303 -5281 -2214 -888 -1374 -3675 -2712 -182 1474 -561 -1705 1551 2081 -1227 -1083 5800 4180 -1133 -1059 -2695 20 -363 -898 -1571 -247 1944 -6232 -2308 -2199 1264 2230 668 -782 5158 -1049 -5719 -3315 973 240 2507 -102 -1128 1377 1275 -342 6284 3330 1920 -2636 5769 -5249 824 -647 -42 -10001 6022 5176 4866 2378 -4045 -4452 -489 -2133 -2326 4510
+5323 -1155 -444 963 757 -307 3725 1769 -836 2293 -72 -3938 1699 -2309 -3294 -1903 3942 -765 473 -415 -114 914 -3077 -6136 1350 3712 -5856 -2861 2495 388 -2034 812 -2287 -3195 9593 -245 -5303 -2263 -895 -1278 -3746 -2733 -269 1422 -564 -1646 1552 1962 -1162 -1229 5818 4218 -1138 -1125 -2765 77 -393 -941 -1539 -294 1811 -6087 -2133 -2290 1341 2203 600 -826 5253 -952 -5723 -3378 922 144 2567 -160 -1200 1333 1299 -200 6223 3293 1930 -2661 5579 -5194 856 -666 -61 -10009 6034 5218 4968 2492 -4001 -4437 -378 -2075 -2320 4573
+5353 -1203 -425 984 672 -442 3613 1766 -811 2233 -167 -3711 1727 -2396 -3323 -1899 4010 -658 366 -393 -118 906 -3227 -5913 1237 3758 -5984 -2903 2509 434 -2141 820 -2272 -3126 9581 -174 -5268 -2248 -962 -1186 -3827 -2685 -307 1352 -533 -1756 1577 1991 -1099 -1304 5829 4301 -1277 -1253 -2837 149 -372 -962 -1518 -269 1745 -5909 -1990 -2322 1241 2062 503 -973 5209 -901 -5700 -3341 778 48 2502 -314 -1160 1404 1399 72 6377 3300 1902 -2644 5483 -5218 806 -700 -147 -9953 6068 5228 5036 2497 -3984 -4426 -345 -2106 -2182 4616
+5244 -1328 -365 1011 552 -482 3569 1780 -766 2082 -209 -3473 1751 -2474 -3257 -1870 4050 -653 361 -389 -180 884 -3233 -5882 1243 3840 -6068 -2935 2616 526 -2110 795 -2291 -3034 9636 -190 -5239 -2193 -1010 -1131 -3853 -2609 -278 1423 -413 -1786 1616 2107 -1071 -1246 5893 4386 -1505 -1395 -2848 273 -372 -971 -1486 -170 1755 -5903 -1939 -2371 1033 2018 542 -943 5162 -911 -5662 -3178 643 -93 2345 -540 -978 1555 1458 120 6482 3272 1787 -2695 5595 -5346 656 -798 -225 -9982 6043 5204 5040 2473 -4018 -4464 -389 -2175 -2127 4705
+5088 -1402 -303 1049 489 -521 3563 1761 -806 2036 -240 -3452 1672 -2487 -3198 -1882 4076 -649 357 -380 -239 959 -3163 -6082 1499 3911 -6024 -2883 2698 478 -2039 815 -2357 -3067 9746 -200 -5211 -2135 -1017 -1118 -3747 -2555 -230 1512 -375 -1774 1566 2129 -1061 -1104 5794 4268 -1576 -1401 -2823 298 -421 -1032 -1544 -151 1829 -5962 -2030 -2380 945 2075 598 -817 5227 -945 -5637 -3025 612 -167 2251 -575 -858 1627 1465 31 6562 3323 1782 -2680 5762 -5447 654 -735 -167 -9915 5966 5105 4952 2463 -4011 -4477 -475 -2220 -2095 4599
+5071 -1419 -366 1104 566 -508 3620 1722 -904 2049 -200 -3648 1545 -2463 -3124 -1905 4040 -744 338 -391 -273 945 -3081 -6241 1766 3897 -5937 -2810 2713 267 -1943 869 -2477 -3122 9802 -375 -5217 -2067 -930 -1156 -3697 -2493 -91 1522 -452 -1745 1490 2097 -1190 -1019 5740 4033 -1540 -1322 -2799 129 -458 -1005 -1618 -252 1864 -6038 -2106 -2406 1022 2131 598 -716 5374 -934 -5608 -2895 702 -206 2335 -547 -910 1603 1432 -29 6498 3387 1847 -2626 5897 -5429 814 -616 -92 -9904 5897 5021 4886 2431 -4087 -4503 -540 -2188 -2162 4567
+5184 -1330 -494 1132 703 -442 3669 1623 -1006 2154 -201 -3861 1426 -2425 -3120 -1970 3989 -803 324 -368 -222 928 -3084 -6279 1900 3941 -5895 -2777 2688 159 -1862 958 -2496 -3191 9903 -498 -5233 -2043 -823 -1178 -3630 -2426 -25 1568 -484 -1640 1473 2139 -1288 -1046 5732 3891 -1479 -1245 -2789 -9 -420 -1004 -1693 -322 1916 -6019 -2032 -2409 1219 2096 525 -719 5462 -881 -5524 -2819 819 -244 2526 -479 -1004 1573 1472 14 6367 3343 1885 -2583 5827 -5414 963 -503 5 -10021 5812 5026 4825 2253 -4122 -4471 -605 -2207 -2181 4532
+5222 -1263 -574 1027 744 -354 3671 1597 -1003 2138 -56 -4072 1405 -2345 -3170 -1969 3953 -844 320 -386 -150 902 -3129 -6099 1921 3859 -5906 -2755 2735 160 -1811 950 -2485 -3185 9960 -570 -5283 -2074 -768 -1119 -3626 -2397 -48 1469 -511 -1569 1514 2141 -1362 -1094 5780 3936 -1471 -1253 -2813 -109 -346 -951 -1675 -353 1903 -5960 -2016 -2358 1275 2063 509 -815 5401 -845 -5466 -2893 789 -186 2579 -523 -1040 1628 1562 189 6437 3339 1844 -2679 5755 -5425 1041 -429 -99 -10174 5812 5043 4769 2060 -4220 -4465 -624 -2265 -2126 4634
+5169 -1303 -568 929 676 -335 3630 1563 -901 2128 27 -4106 1555 -2371 -3226 -1928 3980 -784 333 -424 -35 896 -3219 -5921 1886 3844 -5949 -2773 2812 280 -1805 926 -2450 -3124 10009 -483 -5314 -2134 -794 -1100 -3578 -2330 -141 1350 -490 -1575 1589 2273 -1362 -1102 5930 3961 -1611 -1301 -2812 -52 -249 -895 -1600 -276 1927 -6044 -2142 -2236 1085 2048 649 -794 5094 -946 -5433 -2978 674 -140 2467 -631 -995 1727 1657 327 6506 3332 1799 -2812 5650 -5449 981 -454 -221 -10281 5869 5085 4735 1936 -4247 -4468 -655 -2353 -1926 4729
+5028 -1458 -519 862 609 -313 3570 1560 -792 2176 25 -4041 1747 -2395 -3301 -1859 4022 -679 390 -441 -13 942 -3331 -5898 1808 3792 -6014 -2830 2783 402 -1807 902 -2341 -3095 9993 -356 -5339 -2217 -828 -1109 -3528 -2299 -216 1239 -425 -1566 1650 2364 -1336 -983 6052 3992 -1587 -1275 -2824 -53 -245 -862 -1561 -224 1989 -6131 -2302 -2132 927 2061 776 -672 4918 -1038 -5455 -3054 581 -143 2326 -716 -957 1755 1624 272 6467 3330 1794 -2848 5626 -5504 940 -500 -323 -10305 5921 5103 4656 1866 -4196 -4438 -645 -2464 -1898 4760
+4961 -1466 -515 818 603 -297 3572 1553 -804 2279 -28 -3985 1895 -2394 -3367 -1822 4018 -629 383 -487 -73 1003 -3360 -5953 1719 3694 -6027 -2901 2664 347 -1862 874 -2326 -3146 9887 -313 -5333 -2247 -864 -1115 -3498 -2338 -203 1249 -428 -1605 1608 2307 -1391 -895 6054 3918 -1579 -1235 -2843 -153 -363 -893 -1514 -161 2004 -6142 -2255 -2083 1036 2109 791 -584 4976 -1067 -5493 -3051 681 -143 2140 -664 -997 1670 1509 155 6336 3297 1834 -2752 5671 -5379 930 -561 -309 -10253 5938 5115 4656 1971 -4126 -4441 -613 -2502 -2009 4702
+5003 -1410 -559 874 638 -312 3634 1532 -905 2379 -18 -4072 1918 -2376 -3388 -1859 3963 -663 379 -550 -162 1024 -3305 -6034 1599 3664 -6026 -2952 2529 236 -1894 860 -2350 -3200 9733 -352 -5319 -2232 -831 -1164 -3528 -2402 -119 1271 -518 -1572 1508 2219 -1441 -879 6031 3838 -1496 -1159 -2921 -334 -499 -968 -1585 -275 1919 -6084 -2145 -2045 1318 2094 646 -640 5200 -1008 -5518 -2996 868 -133 2154 -578 -1064 1548 1443 78 6200 3327 1954 -2570 5646 -5204 1017 -589 -297 -10143 5861 5058 4666 2109 -4024 -4432 -601 -2489 -2138 4536
+5160 -1319 -575 935 696 -268 3701 1565 -997 2319 45 -4070 1818 -2260 -3429 -1885 3922 -738 307 -565 -258 1011 -3195 -6028 1580 3641 -6040 -2918 2554 244 -1947 824 -2386 -3248 9596 -356 -5293 -2188 -779 -1151 -3579 -2422 36 1378 -625 -1625 1448 2211 -1565 -904 6027 3888 -1542 -1197 -2979 -364 -572 -1046 -1658 -355 1813 -6064 -2022 -2133 1492 2032 491 -733 5407 -936 -5505 -2856 1141 -185 2201 -491 -1192 1483 1483 191 6194 3308 2003 -2398 5529 -5209 1039 -600 -277 -10074 5748 5021 4755 2220 -3926 -4435 -627 -2452 -2167 4464
+5205 -1231 -538 999 781 -235 3777 1601 -1034 2237 64 -4096 1597 -2199 -3446 -1934 3867 -799 273 -533 -239 1022 -3214 -5970 1688 3740 -6054 -2794 2736 330 -1915 791 -2399 -3165 9469 -267 -5256 -2141 -762 -1066 -3674 -2425 140 1452 -626 -1675 1478 2293 -1581 -923 6016 4104 -1684 -1278 -2994 -395 -617 -1097 -1698 -347 1793 -6096 -2101 -2102 1399 1980 478 -820 5318 -972 -5497 -2735 1312 -119 2220 -445 -1289 1468 1626 402 6326 3352 2044 -2385 5474 -5190 978 -679 -438 -10000 5696 5006 4870 2314 -3892 -4481 -705 -2478 -2114 4450
+5212 -1262 -452 1052 723 -268 3728 1685 -958 2048 69 -4019 1579 -2110 -3446 -1852 3885 -929 270 -468 -191 976 -3306 -5863 1808 3797 -6041 -2664 2861 427 -1980 821 -2332 -3070 9430 -155 -5200 -2077 -869 -1047 -3763 -2419 140 1503 -562 -1728 1587 2337 -1577 -903 5938 4259 -1760 -1318 -2956 -217 -494 -1096 -1675 -290 1796 -6197 -2206 -2170 1128 1976 561 -819 5237 -999 -5525 -2789 1251 62 2190 -317 -1315 1444 1649 374 6410 3417 2057 -2553 5361 -5229 830 -775 -504 -9958 5721 5021 4937 2386 -3909 -4503 -700 -2411 -2069 4541
+5128 -1352 -390 1060 709 -352 3676 1751 -901 1958 9 -3915 1621 -2183 -3450 -1805 3918 -908 293 -431 -126 926 -3420 -5843 1923 3860 -5972 -2637 2801 358 -2031 962 -2264 -3095 9494 -61 -5139 -2053 -972 -1041 -3845 -2446 72 1441 -530 -1708 1680 2342 -1480 -844 5815 4218 -1815 -1272 -2857 -117 -389 -1032 -1591 -198 1846 -6179 -2234 -2203 1000 1979 566 -846 5124 -1010 -5528 -2966 1028 62 2158 -288 -1317 1437 1609 179 6327 3413 2065 -2696 5342 -5220 810 -824 -556 -9869 5781 4990 4902 2443 -3969 -4501 -624 -2330 -2016 4471
+5079 -1402 -373 1065 706 -387 3649 1824 -882 1983 -125 -3753 1695 -2321 -3484 -1788 3943 -840 309 -447 -132 878 -3425 -5964 1912 3837 -5934 -2687 2658 227 -2105 1042 -2251 -3233 9557 -108 -5185 -2089 -1039 -1004 -3863 -2466 -4 1361 -546 -1680 1693 2200 -1460 -806 5791 4139 -1710 -1158 -2744 -54 -311 -954 -1541 -182 1900 -6058 -2081 -2294 1117 2018 508 -831 5290 -925 -5544 -3247 795 79 2179 -152 -1331 1371 1500 -27 6116 3334 2013 -2838 5293 -5153 982 -736 -506 -9830 5785 4993 4887 2501 -4027 -4493 -534 -2324 -2092 4373
+5066 -1378 -385 1042 769 -348 3622 1769 -901 2142 -233 -3645 1762 -2460 -3473 -1764 3992 -710 339 -490 -179 908 -3277 -6119 1827 3775 -5936 -2736 2596 192 -2082 1046 -2326 -3286 9565 -329 -5275 -2133 -922 -1048 -3827 -2554 -78 1319 -616 -1575 1682 2109 -1453 -790 5781 4052 -1585 -1071 -2714 -106 -306 -930 -1637 -325 1945 -5981 -2008 -2316 1200 2003 383 -903 5460 -864 -5589 -3409 833 83 2220 -89 -1396 1307 1449 -44 6103 3283 1919 -2875 5362 -5047 1157 -686 -577 -9860 5700 4979 4886 2524 -4059 -4485 -519 -2364 -2105 4269
+5088 -1405 -363 1014 800 -242 3636 1733 -864 2194 -279 -3601 1744 -2423 -3394 -1765 4027 -670 326 -528 -237 934 -3195 -6233 1711 3870 -6024 -2808 2655 316 -2012 922 -2438 -3291 9619 -465 -5385 -2231 -865 -1095 -3769 -2687 -140 1363 -711 -1495 1693 2085 -1481 -712 5866 4187 -1441 -1053 -2741 -140 -303 -966 -1685 -328 1983 -6087 -2063 -2250 1139 2000 432 -859 5524 -889 -5583 -3373 910 47 2230 -82 -1379 1316 1463 141 6273 3290 1853 -2884 5393 -5137 1226 -572 -588 -9743 5711 4960 4921 2537 -4147 -4540 -570 -2465 -2185 4300
+5219 -1353 -336 1003 794 -227 3531 1704 -743 2174 -169 -3571 1653 -2283 -3390 -1812 4075 -707 304 -521 -244 958 -3256 -6152 1571 4003 -6160 -2905 2807 541 -1938 769 -2506 -3193 9718 -498 -5414 -2273 -830 -1204 -3750 -2760 -189 1454 -693 -1472 1706 2171 -1508 -792 5959 4310 -1421 -1111 -2786 -174 -357 -1012 -1705 -350 1973 -6278 -2174 -2166 907 2000 556 -773 5383 -993 -5524 -3076 980 82 2196 -139 -1370 1347 1550 380 6405 3320 1877 -2817 5479 -5189 1186 -562 -713 -9873 5632 4960 4900 2452 -4147 -4548 -654 -2512 -2223 4404
+5242 -1343 -294 1013 715 -277 3432 1666 -655 2127 -63 -3721 1534 -2147 -3401 -1891 4084 -740 212 -445 -192 948 -3447 -5934 1479 4125 -6168 -2933 2837 535 -1894 711 -2490 -3067 9807 -501 -5404 -2279 -851 -1153 -3835 -2750 -164 1483 -563 -1501 1723 2302 -1496 -895 5951 4336 -1388 -1156 -2819 -101 -382 -1028 -1628 -269 1898 -6345 -2253 -2212 739 2002 629 -710 5258 -1063 -5482 -2866 900 190 2118 -60 -1353 1310 1529 457 6414 3331 1960 -2753 5551 -5285 1142 -561 -751 -9995 5702 5009 4897 2407 -4065 -4533 -724 -2485 -2192 4488
+5200 -1346 -316 1025 671 -354 3405 1648 -692 2156 58 -3919 1409 -2115 -3324 -1977 4005 -832 172 -363 -114 879 -3492 -5751 1400 4136 -6109 -2942 2702 308 -1937 711 -2444 -3077 9869 -499 -5388 -2265 -815 -1133 -4009 -2645 -106 1483 -478 -1606 1665 2319 -1507 -989 5973 4330 -1408 -1245 -2862 28 -343 -1027 -1492 -154 1761 -6189 -2167 -2268 859 2015 634 -616 5357 -995 -5447 -2797 686 236 2033 -81 -1238 1348 1532 348 6228 3272 2013 -2765 5479 -5218 1129 -634 -739 -10152 5689 5036 4862 2356 -4010 -4507 -737 -2481 -2138 4471
+5080 -1483 -275 1049 617 -371 3471 1627 -767 2220 69 -4067 1479 -2298 -3257 -2025 3935 -879 227 -343 -102 896 -3355 -5815 1410 4014 -5923 -2851 2579 120 -1921 826 -2387 -3163 9869 -465 -5380 -2252 -838 -1058 -4151 -2588 9 1524 -405 -1629 1582 2282 -1449 -965 5895 4234 -1396 -1253 -2873 149 -274 -988 -1514 -250 1689 -6058 -2005 -2274 1085 1964 524 -580 5524 -910 -5511 -2935 554 271 2037 -100 -1152 1358 1476 169 6080 3177 1980 -2884 5306 -5041 1184 -681 -674 -10249 5642 5010 4812 2278 -3941 -4455 -719 -2507 -2054 4408
+4995 -1500 -275 1078 627 -330 3631 1554 -968 2291 -19 -4234 1697 -2484 -3184 -1936 3940 -818 346 -453 -118 956 -3151 -5991 1426 3849 -5845 -2790 2579 82 -1891 878 -2383 -3233 9780 -418 -5375 -2238 -916 -1011 -4137 -2535 70 1527 -481 -1637 1512 2310 -1462 -895 5887 4195 -1476 -1337 -2872 176 -241 -987 -1589 -314 1688 -6087 -1891 -2223 1176 1930 482 -526 5677 -869 -5596 -3082 525 173 2154 -223 -1096 1471 1542 160 6110 3150 1934 -2981 5080 -4984 1249 -660 -695 -10222 5565 4940 4754 2185 -3953 -4432 -690 -2528 -1910 4402
+4969 -1510 -320 1012 649 -205 3709 1503 -1039 2247 -122 -4239 1912 -2574 -3214 -1877 3978 -786 380 -540 -155 992 -3060 -6058 1526 3805 -5892 -2755 2709 179 -1842 909 -2426 -3287 9733 -317 -5370 -2248 -1069 -1045 -4016 -2600 16 1507 -565 -1551 1485 2329 -1438 -903 5744 4170 -1531 -1372 -2842 186 -239 -1008 -1660 -337 1790 -6257 -1967 -2095 1041 1919 556 -488 5586 -952 -5630 -3050 712 21 2372 -297 -1059 1578 1603 213 6280 3231 1922 -3024 5010 -5047 1156 -709 -776 -10141 5532 4898 4732 2107 -3981 -4424 -676 -2585 -1818 4478
+5089 -1425 -451 919 623 -156 3714 1528 -1029 2103 -228 -4200 1990 -2539 -3336 -1852 4043 -687 319 -557 -117 951 -3144 -5900 1605 3809 -6023 -2783 2828 278 -1852 924 -2444 -3275 9689 -196 -5307 -2197 -1158 -1173 -3874 -2623 -131 1412 -685 -1563 1557 2381 -1440 -1102 5705 4081 -1563 -1378 -2818 177 -249 -1042 -1655 -260 1889 -6297 -2075 -2057 981 1966 716 -408 5493 -1050 -5603 -2975 795 -114 2531 -371 -1094 1612 1601 250 6386 3306 1987 -3035 5031 -5187 1042 -703 -772 -10169 5507 4881 4739 2158 -4094 -4508 -692 -2663 -1933 4614
+5201 -1311 -570 883 651 -205 3639 1577 -980 2101 -232 -4194 1982 -2409 -3404 -1883 4103 -695 224 -492 -91 851 -3278 -5659 1598 3758 -6111 -2923 2748 319 -1947 1003 -2358 -3300 9743 -121 -5248 -2163 -1178 -1187 -3811 -2571 -245 1302 -629 -1574 1639 2339 -1463 -1272 5703 4026 -1569 -1358 -2793 146 -204 -987 -1600 -208 1945 -6194 -2093 -2089 950 1942 736 -394 5428 -1091 -5499 -2816 757 -142 2601 -462 -1161 1589 1532 212 6335 3324 2042 -2917 5182 -5244 1009 -724 -745 -10154 5609 4934 4783 2296 -4080 -4570 -740 -2632 -2062 4633
+5116 -1373 -544 882 609 -257 3649 1742 -898 2160 -157 -4118 1803 -2234 -3393 -1919 4123 -645 182 -413 -98 861 -3271 -5622 1556 3708 -6075 -2996 2607 302 -2015 1093 -2262 -3252 9772 -133 -5232 -2138 -1029 -1139 -3813 -2419 -234 1193 -506 -1625 1651 2331 -1423 -1252 5833 4012 -1603 -1387 -2795 164 -63 -860 -1473 -146 1832 -6043 -2133 -2181 1089 1968 741 -327 5456 -1073 -5411 -2750 641 -49 2554 -533 -1070 1632 1507 89 6153 3226 1983 -2958 5250 -5169 1037 -767 -668 -10157 5566 4915 4765 2397 -4129 -4626 -740 -2488 -2096 4622
+4981 -1470 -447 918 612 -206 3710 1873 -827 2263 -6 -3987 1597 -2208 -3287 -1950 4068 -713 297 -373 -152 966 -3142 -5838 1514 3661 -5951 -3010 2512 366 -2019 1109 -2208 -3259 9820 -164 -5242 -2147 -869 -1123 -3753 -2329 -205 1224 -386 -1625 1648 2283 -1347 -1081 5970 4013 -1694 -1446 -2801 219 -10 -787 -1392 -123 1764 -6036 -2148 -2248 1151 2025 761 -292 5412 -1074 -5392 -2767 585 66 2415 -569 -981 1687 1567 123 6091 3064 1800 -3102 5349 -5127 1113 -739 -586 -10135 5644 4974 4834 2468 -4134 -4650 -723 -2357 -2099 4500
+4926 -1481 -468 953 691 -102 3702 1865 -799 2243 90 -3792 1452 -2308 -3218 -1941 3985 -735 371 -430 -235 999 -3041 -6147 1517 3710 -5907 -2933 2566 462 -1996 1006 -2285 -3264 9841 -192 -5289 -2187 -766 -1133 -3673 -2297 -68 1321 -412 -1716 1529 2248 -1208 -1026 6008 4107 -1652 -1473 -2851 216 -13 -760 -1388 -180 1698 -6169 -2208 -2133 1103 2057 780 -326 5375 -1071 -5441 -2775 707 -118 2414 -639 -938 1745 1690 195 6009 2925 1688 -3212 5394 -5130 1142 -731 -658 -10034 5742 5013 4877 2442 -4104 -4583 -639 -2258 -2045 4428
+5063 -1313 -524 1001 684 -121 3626 1764 -807 2109 40 -3570 1381 -2391 -3268 -1976 3894 -814 355 -480 -283 972 -3116 -6279 1585 3858 -5951 -2840 2652 462 -2025 821 -2448 -3306 9877 -325 -5371 -2251 -786 -1090 -3665 -2423 -14 1471 -555 -1762 1456 2196 -1187 -1105 5988 4131 -1588 -1425 -2914 65 -212 -853 -1407 -141 1743 -6278 -2130 -2037 1008 2052 767 -428 5341 -1075 -5512 -2759 958 -340 2501 -557 -1009 1677 1782 313 6019 2890 1698 -3270 5326 -5298 1125 -666 -734 -9904 5818 5059 4934 2367 -4075 -4484 -500 -2207 -2104 4406
+5274 -1188 -605 1016 670 -186 3458 1629 -802 1965 -79 -3413 1472 -2484 -3357 -1966 3893 -827 298 -487 -238 903 -3308 -6161 1564 4018 -6046 -2801 2674 360 -2066 689 -2527 -3305 9952 -414 -5378 -2247 -817 -1092 -3638 -2525 12 1461 -652 -1835 1470 2174 -1201 -1214 5946 4201 -1521 -1397 -2932 -35 -402 -974 -1411 -49 1805 -6217 -1964 -2044 998 2012 675 -574 5473 -1001 -5496 -2731 1040 -545 2569 -386 -1105 1539 1724 276 5998 2944 1793 -3193 5358 -5406 1105 -592 -710 -9856 5954 5124 4957 2379 -4066 -4451 -460 -2265 -2187 4358
+5319 -1075 -638 1043 621 -293 3438 1566 -825 1949 -179 -3502 1640 -2453 -3406 -1970 3920 -792 271 -456 -193 788 -3382 -6061 1645 4001 -6048 -2800 2619 221 -2119 678 -2507 -3256 10018 -564 -5419 -2248 -820 -989 -3683 -2517 15 1452 -621 -1847 1504 2084 -1260 -1262 5945 4218 -1554 -1360 -2919 -32 -494 -1053 -1431 -85 1714 -5994 -1899 -2180 1064 1951 525 -660 5671 -922 -5448 -2714 993 -565 2625 -395 -1139 1519 1673 166 5957 3007 1902 -3070 5280 -5424 1153 -532 -635 -9910 5966 5167 4968 2422 -4056 -4455 -469 -2367 -2279 4455
+5182 -1213 -509 1133 577 -325 3508 1542 -851 2100 -323 -3714 1726 -2320 -3396 -1925 3996 -674 304 -432 -193 845 -3333 -6106 1745 3944 -6002 -2745 2620 189 -2042 730 -2416 -3073 10009 -685 -5403 -2157 -750 -942 -3694 -2391 27 1346 -507 -1852 1558 2111 -1289 -1131 5966 4283 -1699 -1397 -2863 84 -395 -977 -1378 -62 1610 -5892 -1963 -2409 1072 1927 455 -636 5815 -858 -5359 -2731 811 -367 2511 -500 -1063 1592 1593 -76 5956 3030 1858 -3052 5308 -5284 1146 -643 -538 -9986 5963 5232 5015 2484 -4073 -4520 -570 -2442 -2276 4521
+5052 -1448 -312 1216 573 -281 3733 1599 -876 2275 -347 -3992 1856 -2201 -3361 -1878 4081 -611 450 -407 -188 970 -3176 -6297 1890 3894 -6017 -2736 2745 310 -1899 766 -2388 -2896 9941 -725 -5392 -2116 -611 -1064 -3575 -2325 -53 1305 -324 -1736 1614 2093 -1177 -945 5882 4312 -1798 -1396 -2809 196 -217 -894 -1359 -116 1540 -6017 -2066 -2425 1057 2011 520 -566 5757 -880 -5288 -2776 624 -115 2321 -609 -942 1732 1617 -96 6009 3031 1788 -3073 5306 -5192 1121 -715 -533 -10062 5873 5188 4961 2374 -4091 -4509 -637 -2475 -2255 4538
+5046 -1474 -298 1225 642 -172 3829 1589 -905 2290 -312 -4157 1876 -2156 -3331 -1853 4120 -622 526 -445 -178 1055 -3067 -6438 1964 3834 -6057 -2743 2849 457 -1779 770 -2432 -2861 9861 -714 -5360 -2079 -678 -1138 -3423 -2342 -121 1354 -346 -1625 1596 2163 -1166 -949 5799 4275 -1826 -1337 -2753 231 -95 -790 -1322 -136 1515 -6179 -2076 -2292 951 2032 573 -636 5499 -933 -5244 -2799 610 -14 2250 -655 -908 1832 1641 -75 6080 3036 1804 -3064 5368 -5190 982 -844 -641 -10055 5918 5168 4933 2297 -4094 -4487 -669 -2477 -2246 4487
+5173 -1380 -367 1214 714 -138 3806 1522 -951 2249 -152 -4270 1901 -2212 -3339 -1865 4051 -722 566 -441 -155 1057 -3080 -6403 2013 3732 -6093 -2805 2833 449 -1753 828 -2461 -2970 9827 -605 -5330 -2095 -799 -1218 -3356 -2475 -163 1444 -471 -1553 1600 2156 -1128 -1037 5655 4174 -1719 -1216 -2700 148 -114 -790 -1365 -154 1635 -6232 -1941 -2150 937 2025 609 -743 5369 -931 -5251 -2845 714 -105 2282 -622 -1074 1735 1632 15 6031 3048 1910 -3025 5333 -5316 870 -866 -668 -10106 5909 5108 4810 2130 -4109 -4408 -600 -2463 -2298 4437
+5251 -1280 -431 1122 680 -176 3742 1557 -928 2258 -13 -4249 1894 -2342 -3320 -1884 3892 -834 547 -424 -110 977 -3158 -6286 1928 3774 -6084 -2883 2713 287 -1810 898 -2468 -3131 9850 -468 -5293 -2112 -854 -1284 -3413 -2574 -128 1479 -600 -1571 1599 2150 -1183 -1139 5597 4196 -1556 -1124 -2709 17 -219 -827 -1431 -121 1817 -6192 -1882 -2229 946 1969 585 -723 5452 -907 -5290 -2846 896 -243 2382 -445 -1276 1565 1627 168 5957 3054 2060 -2893 5184 -5360 902 -811 -639 -10099 5969 5082 4707 2094 -3984 -4337 -592 -2544 -2218 4431
+5209 -1411 -340 1045 595 -312 3653 1634 -919 2324 19 -4154 1853 -2441 -3337 -1942 3737 -840 517 -435 -139 875 -3189 -6144 1838 3797 -6035 -2992 2599 86 -1909 941 -2434 -3256 9884 -295 -5297 -2169 -875 -1189 -3632 -2616 -69 1479 -588 -1622 1589 2076 -1284 -1019 5668 4206 -1539 -1124 -2774 -64 -239 -878 -1493 -123 1939 -6179 -1942 -2268 901 1900 559 -575 5652 -905 -5377 -2888 942 -262 2377 -278 -1323 1459 1629 307 5984 3037 2008 -2988 5024 -5372 1026 -699 -504 -10138 5921 5031 4632 2066 -4012 -4361 -570 -2527 -2151 4483
+5065 -1495 -301 968 489 -338 3568 1680 -872 2392 -16 -4115 1847 -2414 -3415 -1940 3713 -796 543 -464 -226 875 -3206 -6157 1719 3859 -5978 -2993 2585 148 -1980 933 -2411 -3284 9870 -151 -5324 -2231 -868 -1089 -3912 -2585 -4 1467 -471 -1782 1531 2036 -1448 -998 5763 4318 -1555 -1193 -2834 -5 -242 -907 -1479 -140 1871 -6213 -2190 -2192 835 1888 628 -282 5777 -976 -5434 -2796 886 -131 2312 -218 -1156 1477 1629 291 6118 3014 1859 -3108 5067 -5335 1042 -733 -428 -10230 5875 5038 4688 2073 -4028 -4420 -581 -2552 -2176 4535
+4997 -1606 -276 932 473 -257 3503 1733 -804 2309 -131 -4019 1802 -2244 -3484 -1948 3801 -731 520 -478 -330 885 -3107 -6221 1725 3955 -5997 -2943 2684 279 -1972 827 -2481 -3315 9905 -173 -5299 -2209 -850 -1028 -4091 -2578 -13 1463 -418 -1814 1462 1993 -1479 -938 5829 4367 -1531 -1251 -2913 25 -202 -846 -1397 -179 1647 -6430 -2241 -1984 830 1942 711 -161 5733 -1046 -5444 -2712 762 -11 2179 -234 -998 1531 1619 301 6304 3080 1760 -3151 5293 -5346 1030 -732 -458 -10210 5840 5023 4743 2064 -4073 -4468 -543 -2463 -2392 4554
+5072 -1566 -230 966 551 -148 3508 1761 -762 2156 -191 -3947 1703 -2048 -3571 -1936 3927 -647 536 -469 -390 902 -2998 -6324 1744 3982 -5949 -2852 2757 337 -1869 763 -2537 -3288 9852 -272 -5317 -2206 -838 -1091 -4047 -2642 -58 1527 -480 -1795 1484 2060 -1446 -987 5850 4239 -1580 -1279 -2942 66 -154 -817 -1376 -273 1468 -6474 -2084 -1784 895 1895 726 -194 5638 -1066 -5442 -2657 717 90 2234 -161 -946 1525 1549 247 6370 3140 1755 -3131 5613 -5464 908 -761 -495 -10242 5893 5080 4821 2070 -4055 -4479 -527 -2375 -2563 4446
+5156 -1471 -293 1024 714 -25 3532 1775 -751 1970 -103 -3878 1669 -2062 -3561 -1898 4014 -693 534 -405 -381 946 -2947 -6359 1663 3915 -5931 -2822 2695 365 -1841 783 -2543 -3230 9845 -403 -5313 -2166 -862 -1209 -3927 -2704 -96 1609 -616 -1738 1581 2094 -1361 -950 5821 4073 -1531 -1238 -2910 91 -214 -860 -1445 -338 1472 -6360 -1879 -1861 1015 1838 669 -314 5579 -1038 -5465 -2707 810 75 2369 -97 -1063 1445 1498 246 6316 3156 1789 -3120 5699 -5516 854 -789 -561 -10254 5984 5163 4860 2166 -3995 -4467 -514 -2325 -2550 4385
+5088 -1513 -293 1029 791 49 3581 1844 -696 1963 18 -3802 1649 -2243 -3438 -1878 4038 -711 608 -350 -285 950 -2980 -6359 1672 3783 -5875 -2790 2592 312 -1814 868 -2453 -3111 9836 -549 -5349 -2147 -878 -1334 -3740 -2739 -162 1635 -677 -1623 1757 2046 -1296 -814 5787 4008 -1596 -1262 -2928 4 -275 -950 -1576 -338 1638 -6264 -1815 -2065 1061 1807 638 -327 5573 -1034 -5520 -2831 899 6 2476 -17 -1266 1385 1536 415 6318 3205 1890 -3020 5544 -5454 980 -728 -567 -10120 6049 5172 4863 2318 -3917 -4478 -581 -2385 -2361 4480
+4996 -1495 -324 992 785 20 3623 1898 -706 1954 90 -3723 1611 -2521 -3225 -1904 3934 -723 616 -267 -203 919 -3077 -6330 1736 3757 -5906 -2815 2565 316 -1834 897 -2400 -3020 9869 -636 -5370 -2147 -945 -1327 -3714 -2728 -198 1611 -675 -1630 1838 1987 -1357 -768 5695 3992 -1661 -1289 -2895 -10 -251 -997 -1706 -295 1947 -6185 -1989 -2203 1013 1855 695 -163 5616 -1072 -5566 -2918 908 27 2486 -193 -1348 1443 1614 539 6344 3196 1926 -2993 5314 -5278 1133 -736 -617 -10026 6080 5199 4867 2379 -3783 -4426 -655 -2545 -2084 4590
+5005 -1590 -352 949 730 -91 3542 1777 -754 2011 -32 -3724 1610 -2633 -3166 -1982 3854 -734 615 -256 -130 837 -3110 -6328 1744 3860 -6075 -2846 2662 422 -1834 849 -2388 -2959 9902 -636 -5388 -2144 -975 -1305 -3804 -2716 -262 1534 -599 -1755 1831 1905 -1466 -770 5620 3933 -1693 -1292 -2856 0 -156 -955 -1709 -298 2028 -6206 -2164 -2220 918 1926 751 -79 5610 -1148 -5568 -2914 808 127 2382 -344 -1389 1523 1677 489 6360 3197 1876 -3034 5238 -5161 1130 -808 -639 -9936 6070 5215 4886 2330 -3885 -4419 -628 -2560 -1998 4718
+5106 -1546 -390 917 673 -151 3518 1703 -739 2020 -240 -3700 1629 -2588 -3210 -2020 3847 -734 579 -272 -124 799 -3050 -6364 1737 4004 -6175 -2830 2804 481 -1839 782 -2504 -2972 9930 -586 -5375 -2148 -992 -1269 -3925 -2691 -291 1552 -542 -1900 1730 1863 -1512 -938 5591 3811 -1690 -1281 -2835 -51 -121 -838 -1602 -304 1907 -6267 -2200 -2030 925 1985 792 -148 5497 -1195 -5464 -2744 579 190 2272 -367 -1356 1523 1640 315 6308 3190 1923 -2918 5334 -5195 980 -871 -662 -9860 6055 5198 4913 2231 -4067 -4420 -561 -2493 -2072 4697
+5165 -1426 -486 935 757 -97 3477 1575 -773 2094 -377 -3772 1663 -2437 -3229 -1986 3923 -691 549 -313 -152 825 -2949 -6518 1666 4071 -6155 -2840 2794 454 -1848 730 -2562 -3051 9900 -543 -5383 -2201 -944 -1203 -3955 -2728 -276 1556 -634 -1918 1633 1918 -1412 -986 5713 3759 -1699 -1277 -2833 -19 -112 -808 -1496 -271 1768 -6182 -2012 -2019 923 1926 691 -393 5447 -1139 -5357 -2617 425 175 2299 -353 -1344 1465 1556 100 6181 3245 1984 -2821 5449 -5340 836 -933 -699 -9893 6000 5203 4946 2251 -4267 -4487 -551 -2435 -2195 4592
+5190 -1315 -470 1045 805 -74 3547 1501 -793 2271 -401 -3937 1736 -2273 -3262 -1970 4046 -595 577 -347 -187 912 -2885 -6595 1646 4025 -5936 -2804 2627 284 -1841 783 -2562 -3170 9894 -534 -5370 -2192 -875 -1211 -3874 -2733 -199 1708 -714 -1830 1704 1958 -1252 -849 5903 3740 -1679 -1290 -2870 -40 -155 -817 -1496 -269 1740 -6183 -1922 -2146 908 1867 592 -575 5512 -1041 -5337 -2612 460 31 2401 -241 -1367 1338 1498 66 6119 3293 2071 -2618 5444 -5443 768 -960 -626 -9995 5962 5206 4967 2304 -4402 -4564 -583 -2393 -2259 4547
+5104 -1313 -431 1173 793 -145 3575 1515 -811 2480 -287 -4089 1827 -2291 -3271 -2001 4102 -589 556 -326 -183 977 -2970 -6479 1613 4007 -5763 -2805 2487 160 -1907 793 -2537 -3176 9831 -587 -5393 -2192 -631 -1205 -3798 -2671 -43 1704 -733 -1767 1705 1895 -1105 -733 6084 3885 -1714 -1343 -2927 -89 -337 -961 -1572 -239 1892 -6176 -2021 -2322 882 1902 570 -549 5566 -1026 -5472 -2737 700 -82 2449 -167 -1344 1303 1505 170 6187 3366 2098 -2601 5328 -5405 813 -956 -497 -10007 5832 5122 4949 2368 -4378 -4613 -681 -2366 -2141 4585
+5096 -1316 -416 1183 663 -246 3616 1590 -857 2478 -63 -4222 1857 -2366 -3218 -2038 4057 -682 529 -316 -160 894 -3091 -6237 1514 4039 -5853 -2911 2482 219 -2018 740 -2508 -3161 9808 -552 -5412 -2211 -633 -1181 -3749 -2649 -59 1553 -617 -1719 1677 1868 -1197 -854 6036 4094 -1618 -1352 -2958 -162 -520 -1103 -1678 -234 2055 -6154 -2190 -2335 840 1976 588 -452 5699 -989 -5567 -2859 847 -271 2419 -144 -1190 1399 1615 339 6313 3367 2068 -2671 5354 -5385 921 -866 -402 -10043 5831 5085 4896 2311 -4245 -4561 -677 -2350 -2110 4669
+5190 -1391 -367 1204 555 -343 3622 1598 -931 2388 83 -4311 1885 -2513 -3216 -2062 3993 -756 559 -288 -160 830 -3118 -6063 1551 4009 -6022 -2951 2627 350 -2043 649 -2528 -3071 9840 -500 -5362 -2170 -720 -1204 -3691 -2618 -143 1426 -506 -1787 1621 1895 -1291 -1058 5982 4194 -1491 -1317 -2966 -253 -613 -1169 -1706 -215 2074 -6143 -2211 -2267 885 2038 587 -445 5734 -980 -5564 -2888 803 -315 2314 -206 -1091 1522 1663 357 6307 3328 2013 -2736 5378 -5425 1020 -749 -467 -10069 5795 5049 4838 2214 -4036 -4456 -606 -2368 -2105 4652
+5263 -1385 -398 1175 506 -273 3634 1617 -951 2162 113 -4208 1767 -2592 -3135 -1988 3871 -794 625 -288 -162 842 -3013 -6176 1649 3897 -6139 -2968 2745 440 -2019 639 -2535 -3032 9891 -476 -5272 -2101 -860 -1172 -3638 -2596 -214 1345 -394 -1796 1575 1998 -1308 -1243 6012 4183 -1496 -1281 -2893 -151 -544 -1121 -1662 -276 1868 -6125 -2080 -2130 961 1978 537 -550 5688 -973 -5426 -2821 659 -328 2185 -269 -1076 1579 1674 274 6175 3249 1972 -2772 5566 -5485 1011 -692 -566 -10101 5878 5063 4842 2188 -3987 -4450 -542 -2297 -2045 4551
+5233 -1440 -394 1191 511 -174 3746 1595 -979 2085 11 -4149 1696 -2527 -3151 -1976 3835 -728 728 -311 -172 896 -2857 -6425 1721 3767 -6128 -2981 2754 444 -1962 707 -2471 -3063 9913 -445 -5297 -2112 -908 -1085 -3562 -2552 -243 1353 -432 -1741 1589 2084 -1231 -1090 6016 4124 -1578 -1257 -2778 -21 -458 -1048 -1603 -246 1736 -6063 -1912 -2211 976 1853 482 -663 5633 -946 -5240 -2677 547 -313 2279 -434 -1168 1571 1622 183 6117 3242 1977 -2699 5623 -5623 1052 -595 -630 -10296 5913 5153 4877 2267 -3919 -4465 -525 -2353 -2054 4481
+5085 -1429 -444 1172 549 -79 3787 1614 -980 2059 -108 -4052 1566 -2397 -3148 -1954 3802 -650 719 -318 -205 911 -2849 -6502 1818 3581 -6015 -2933 2634 263 -1886 830 -2464 -3139 9931 -553 -5325 -2084 -829 -1083 -3524 -2526 -177 1366 -483 -1558 1609 2105 -1087 -849 6011 4138 -1696 -1261 -2672 128 -381 -1044 -1578 -180 1815 -6087 -1855 -2346 1014 1842 523 -602 5603 -953 -5194 -2673 612 -251 2382 -519 -1300 1530 1589 200 6224 3295 1946 -2663 5602 -5644 1117 -546 -562 -10430 6002 5236 4910 2387 -3895 -4524 -601 -2387 -1981 4505
+5020 -1385 -471 1119 522 -131 3684 1698 -914 2022 -128 -3930 1519 -2234 -3285 -1973 3862 -553 646 -326 -260 868 -2988 -6316 1925 3554 -5940 -2908 2601 152 -1863 905 -2483 -3214 9970 -628 -5375 -2084 -742 -1032 -3571 -2479 -5 1348 -524 -1572 1536 2018 -1045 -947 5875 4239 -1735 -1267 -2631 145 -383 -1068 -1608 -151 1991 -6151 -2005 -2333 1040 2008 671 -412 5588 -986 -5241 -2710 828 -252 2505 -584 -1290 1572 1637 334 6513 3417 1938 -2684 5534 -5580 1186 -511 -404 -10444 5942 5179 4893 2448 -3904 -4579 -718 -2511 -2008 4644
+5077 -1452 -526 1068 470 -255 3581 1797 -842 1987 -128 -3821 1549 -2182 -3442 -2024 3907 -618 515 -293 -281 733 -3132 -6052 1879 3742 -5991 -2881 2647 153 -1849 854 -2509 -3167 9987 -650 -5409 -2133 -695 -1094 -3621 -2507 52 1323 -529 -1700 1516 1981 -1065 -1123 5785 4338 -1639 -1243 -2634 41 -501 -1103 -1677 -161 2176 -6167 -2210 -2182 1067 2087 737 -298 5631 -967 -5327 -2806 940 -274 2520 -584 -1174 1614 1598 309 6652 3494 1970 -2607 5655 -5566 1130 -587 -389 -10310 5784 5071 4816 2286 -4028 -4579 -720 -2463 -2027 4677
+5204 -1460 -481 1016 460 -284 3435 1830 -713 1923 25 -3715 1687 -2237 -3574 -1996 3996 -686 535 -274 -330 743 -3134 -5989 1833 3842 -6000 -2819 2700 263 -1824 812 -2518 -3091 10017 -658 -5390 -2151 -703 -1028 -3701 -2522 65 1326 -403 -1833 1459 1977 -1153 -1358 5667 4347 -1513 -1208 -2688 -11 -538 -1127 -1700 -197 2151 -6248 -2290 -2042 1087 2049 715 -318 5671 -922 -5375 -2841 874 -370 2475 -469 -1016 1618 1525 232 6563 3492 2066 -2552 5692 -5524 1043 -662 -485 -10055 5722 4950 4773 2163 -4117 -4557 -715 -2398 -1982 4573
+5155 -1469 -381 1046 474 -222 3431 1840 -640 1939 95 -3643 1793 -2434 -3530 -1945 4035 -753 651 -276 -355 836 -3023 -6243 1850 3943 -5948 -2819 2717 296 -1776 843 -2491 -3041 10066 -487 -5380 -2205 -744 -1063 -3646 -2495 -11 1368 -361 -1905 1475 2126 -1272 -1337 5732 4300 -1459 -1220 -2727 -6 -542 -1082 -1632 -169 2045 -6235 -2199 -1949 1022 1875 632 -367 5707 -900 -5358 -2780 722 -430 2351 -335 -868 1613 1461 119 6370 3399 2045 -2501 5781 -5584 922 -763 -600 -9856 5766 4924 4756 2052 -4238 -4518 -626 -2315 -1988 4434
+5084 -1590 -321 1121 633 -75 3508 1733 -663 2121 47 -3667 1844 -2555 -3396 -1880 3970 -794 754 -318 -304 913 -2944 -6518 1771 3973 -5931 -2845 2654 288 -1776 874 -2449 -3081 10016 -421 -5388 -2233 -790 -1051 -3642 -2518 -95 1412 -383 -1838 1566 2215 -1217 -1029 5862 4230 -1474 -1263 -2771 86 -514 -1053 -1557 -178 1865 -6244 -2116 -1938 964 1794 630 -368 5724 -906 -5305 -2645 621 -382 2268 -266 -766 1607 1447 129 6290 3317 1989 -2553 5628 -5537 853 -857 -627 -9792 5838 4970 4797 2122 -4303 -4515 -573 -2250 -1917 4400
+5002 -1591 -348 1152 682 -26 3581 1674 -739 2290 -115 -3791 1771 -2534 -3276 -1875 3869 -786 749 -294 -184 920 -3052 -6528 1722 3951 -5992 -2896 2597 315 -1871 893 -2423 -3228 9983 -378 -5413 -2269 -815 -1038 -3674 -2583 -142 1433 -547 -1782 1588 2152 -1090 -871 5963 4241 -1531 -1313 -2800 158 -487 -1037 -1488 -127 1777 -6289 -2036 -2010 936 1877 680 -272 5680 -981 -5287 -2528 657 -286 2252 -300 -840 1605 1466 192 6388 3295 1899 -2658 5486 -5454 924 -825 -516 -9869 5890 5080 4906 2281 -4263 -4517 -578 -2340 -1961 4487
+5029 -1539 -457 1149 719 -38 3575 1618 -802 2449 -353 -3930 1688 -2392 -3272 -1917 3797 -702 659 -263 -47 836 -3227 -6274 1658 3981 -6092 -2922 2669 418 -1974 903 -2410 -3280 9950 -394 -5403 -2251 -882 -1081 -3700 -2594 -113 1399 -622 -1746 1590 2078 -1037 -1016 5974 4227 -1521 -1341 -2852 130 -513 -1051 -1531 -140 1862 -6222 -2042 -2097 1020 2048 787 -137 5676 -1038 -5326 -2552 801 -130 2366 -405 -948 1603 1553 316 6598 3381 1905 -2666 5450 -5417 972 -835 -458 -9935 5911 5154 5017 2435 -4248 -4559 -606 -2410 -2128 4700
+5149 -1480 -517 1063 684 -109 3547 1605 -842 2364 -480 -4005 1696 -2124 -3272 -1937 3825 -688 590 -230 -17 726 -3264 -6020 1647 3939 -6257 -2901 2762 520 -2086 836 -2386 -3263 9941 -415 -5412 -2255 -929 -1144 -3784 -2622 -79 1345 -553 -1754 1592 2012 -1132 -1296 5913 4131 -1513 -1322 -2849 92 -493 -1033 -1620 -265 1860 -6154 -2063 -2160 1067 2085 754 -192 5586 -1046 -5346 -2620 798 17 2410 -404 -1088 1548 1529 285 6741 3496 1988 -2613 5627 -5562 982 -770 -487 -9982 5864 5186 5068 2495 -4144 -4550 -635 -2434 -2201 4695
+5182 -1511 -438 1065 674 -102 3611 1565 -858 2322 -501 -4063 1652 -2059 -3266 -1976 3886 -557 606 -223 -23 763 -3190 -6060 1768 3933 -6133 -2783 2804 533 -2091 892 -2301 -3140 9956 -404 -5383 -2201 -964 -1124 -3827 -2571 0 1398 -425 -1804 1589 2123 -1241 -1433 5917 4007 -1531 -1309 -2836 28 -427 -1023 -1730 -393 1861 -6083 -2081 -2272 1038 1988 652 -395 5536 -995 -5376 -2777 671 58 2470 -335 -1179 1431 1406 16 6625 3467 1970 -2519 5872 -5747 996 -639 -534 -10020 5891 5206 5078 2534 -4032 -4553 -665 -2415 -2199 4681
+5055 -1629 -358 1032 684 -56 3659 1492 -938 2250 -320 -4148 1772 -2144 -3284 -1969 3943 -552 656 -268 -153 847 -3020 -6228 1901 3854 -6004 -2717 2720 375 -2067 921 -2319 -3116 9985 -439 -5357 -2167 -954 -1109 -3950 -2533 -1 1536 -403 -1794 1639 2237 -1338 -1135 5881 3879 -1628 -1326 -2837 22 -284 -918 -1707 -432 1812 -6123 -2107 -2350 943 1872 578 -577 5490 -973 -5398 -2878 445 -41 2433 -286 -1214 1389 1340 -207 6432 3386 1928 -2530 5959 -5852 1048 -530 -559 -9960 5921 5172 4996 2537 -3928 -4533 -672 -2333 -2066 4619
+4983 -1621 -326 1021 712 -47 3764 1519 -1038 2181 -36 -4237 1827 -2310 -3309 -2022 3967 -660 668 -338 -267 861 -2969 -6304 1887 3792 -5939 -2729 2649 201 -1991 896 -2438 -3125 9921 -543 -5435 -2205 -880 -996 -4097 -2588 -30 1593 -519 -1754 1627 2271 -1341 -859 5819 3798 -1744 -1344 -2830 67 -160 -788 -1579 -404 1729 -6184 -2068 -2313 839 1870 546 -642 5537 -984 -5474 -2955 418 -114 2405 -152 -1109 1413 1350 -242 6351 3283 1838 -2651 5827 -5797 1112 -529 -543 -9991 5852 5091 4867 2478 -3834 -4463 -605 -2266 -2015 4606
+5038 -1479 -407 1030 740 -96 3691 1544 -1059 2128 137 -4252 1829 -2499 -3399 -2013 3899 -785 592 -359 -288 790 -3041 -6144 1772 3813 -5992 -2775 2673 101 -1907 793 -2611 -3199 9891 -641 -5467 -2236 -907 -1024 -4177 -2677 -137 1617 -681 -1656 1548 2181 -1369 -842 5780 3776 -1839 -1380 -2833 104 -104 -697 -1446 -272 1773 -6253 -1960 -2241 882 2029 617 -550 5629 -1052 -5576 -2993 519 -122 2446 -89 -1047 1456 1411 -69 6508 3290 1760 -2813 5778 -5668 1204 -539 -474 -10065 5815 5068 4845 2398 -3929 -4447 -513 -2179 -1959 4726
+5222 -1413 -435 1057 697 -206 3537 1637 -987 2086 181 -4212 1786 -2500 -3479 -2013 3828 -914 505 -290 -239 672 -3175 -5911 1565 3975 -6153 -2881 2742 176 -1904 727 -2702 -3263 9901 -563 -5497 -2319 -1013 -1126 -4122 -2767 -210 1554 -736 -1619 1468 2080 -1356 -1097 5838 3788 -1872 -1379 -2861 84 -144 -707 -1426 -215 1818 -6278 -1908 -2159 972 2104 634 -475 5702 -1084 -5641 -2941 718 -133 2495 -68 -1054 1422 1427 55 6484 3290 1832 -2738 5739 -5595 1132 -650 -437 -10138 5714 5018 4833 2257 -4138 -4487 -424 -2141 -2064 4791
+5256 -1374 -372 1069 624 -238 3439 1722 -790 2015 70 -4030 1697 -2420 -3508 -2014 3809 -892 424 -214 -151 641 -3259 -5800 1469 4009 -6236 -2978 2763 238 -1890 700 -2647 -3260 9920 -442 -5490 -2388 -1114 -1286 -3975 -2803 -275 1521 -685 -1563 1488 2056 -1378 -1239 5976 3899 -1809 -1393 -2956 -38 -250 -764 -1507 -260 1875 -6266 -2005 -2194 899 1993 600 -462 5696 -1093 -5662 -2850 868 -86 2506 -94 -1123 1358 1395 78 6406 3293 1901 -2588 5846 -5632 1017 -696 -496 -10201 5644 4986 4839 2150 -4292 -4542 -424 -2191 -2184 4856
+5157 -1532 -263 1112 537 -216 3365 1744 -708 2008 -67 -3843 1606 -2317 -3453 -2007 3840 -772 436 -209 -124 678 -3241 -5892 1421 3984 -6217 -3048 2681 224 -1912 820 -2478 -3205 9873 -285 -5440 -2375 -1128 -1401 -3865 -2767 -281 1433 -594 -1564 1597 2071 -1402 -1047 6141 3988 -1759 -1372 -3005 -165 -390 -870 -1564 -367 1751 -6304 -2154 -2290 782 1864 572 -567 5527 -1080 -5607 -2773 805 4 2409 -128 -1178 1323 1344 -1 6323 3264 1945 -2413 5858 -5704 932 -697 -545 -10244 5608 4970 4825 2088 -4337 -4582 -503 -2224 -2208 4816
+5042 -1658 -241 1113 499 -166 3483 1760 -726 1990 -186 -3728 1577 -2293 -3371 -2056 3854 -674 407 -270 -182 719 -3157 -6041 1419 3916 -6119 -3124 2502 150 -1983 914 -2349 -3271 9845 -232 -5453 -2353 -1011 -1326 -3900 -2677 -245 1412 -603 -1513 1667 2153 -1447 -773 6164 4038 -1695 -1337 -3030 -247 -440 -867 -1584 -406 1661 -6418 -2245 -2218 779 1833 591 -615 5374 -1070 -5546 -2785 708 30 2216 -281 -1151 1397 1339 -146 6355 3269 1895 -2432 5816 -5755 904 -729 -534 -10187 5728 5005 4774 2131 -4261 -4576 -564 -2211 -2153 4831
+4985 -1603 -405 1135 549 -141 3538 1712 -853 2057 -251 -3695 1569 -2382 -3354 -2111 3939 -648 396 -344 -232 760 -3116 -6097 1465 3916 -6134 -3107 2514 117 -2034 908 -2371 -3342 9746 -294 -5406 -2255 -923 -1169 -4024 -2618 -157 1419 -677 -1526 1678 2202 -1485 -606 6136 4061 -1698 -1279 -2970 -150 -405 -859 -1487 -358 1538 -6410 -2117 -2062 967 1965 642 -605 5322 -1072 -5540 -2915 634 17 2116 -389 -1076 1507 1377 -178 6524 3322 1909 -2525 5686 -5638 972 -737 -492 -10140 5793 5005 4748 2209 -4114 -4529 -622 -2193 -2069 4803
+5067 -1508 -536 1122 608 -158 3598 1706 -927 2068 -293 -3710 1556 -2435 -3342 -2127 4024 -674 371 -389 -224 760 -3113 -6023 1578 3998 -6198 -2974 2682 215 -1990 832 -2464 -3351 9665 -342 -5349 -2187 -869 -1097 -4040 -2577 -107 1451 -738 -1573 1587 2190 -1540 -730 6077 4043 -1691 -1206 -2838 -18 -358 -810 -1392 -254 1535 -6301 -1906 -2029 1178 2054 619 -548 5463 -1024 -5524 -3038 676 -80 2086 -437 -1054 1591 1447 -123 6581 3361 1948 -2628 5587 -5537 999 -797 -479 -10116 5951 5068 4795 2257 -4042 -4470 -584 -2097 -2018 4824
+5200 -1462 -567 1140 627 -228 3583 1708 -932 2092 -304 -3832 1659 -2494 -3328 -2038 4097 -713 399 -354 -131 793 -3196 -5958 1746 4074 -6200 -2814 2890 343 -1887 796 -2543 -3266 9613 -344 -5334 -2154 -935 -1075 -3876 -2591 -63 1534 -712 -1585 1544 2165 -1524 -942 6030 4069 -1712 -1155 -2738 37 -298 -799 -1382 -159 1630 -6215 -1838 -2106 1269 2026 609 -455 5622 -1003 -5517 -3128 766 -144 2243 -303 -1047 1584 1478 25 6578 3375 2019 -2666 5616 -5508 992 -849 -577 -10138 6032 5147 4896 2268 -4059 -4451 -541 -2028 -1897 4823
+5127 -1510 -551 1149 580 -239 3578 1672 -846 2122 -319 -3962 1675 -2450 -3217 -2004 4081 -727 432 -279 10 745 -3270 -5940 1854 4096 -6111 -2736 2887 375 -1922 858 -2467 -3215 9701 -249 -5277 -2108 -1029 -1137 -3716 -2593 32 1596 -609 -1622 1583 2123 -1414 -964 6033 4121 -1759 -1168 -2677 83 -251 -832 -1480 -195 1770 -6257 -1942 -2216 1127 1891 585 -425 5659 -978 -5437 -3019 821 -176 2337 -212 -1042 1543 1477 149 6435 3265 1955 -2652 5697 -5526 942 -831 -667 -10174 6129 5265 5037 2312 -4209 -4534 -528 -2077 -1865 4892
+5009 -1561 -482 1118 529 -265 3510 1576 -811 2149 -379 -4043 1729 -2367 -3213 -1948 4049 -750 442 -238 65 812 -3390 -6007 1791 4033 -5983 -2767 2690 302 -2007 990 -2362 -3177 9805 -207 -5303 -2143 -1028 -1163 -3594 -2543 14 1500 -596 -1692 1685 2159 -1395 -857 5976 4229 -1774 -1205 -2683 22 -337 -890 -1514 -200 1813 -6334 -2161 -2185 983 1838 635 -470 5498 -1010 -5415 -2853 858 -143 2311 -209 -957 1567 1500 238 6329 3147 1821 -2741 5673 -5554 964 -818 -793 -10119 6101 5268 5088 2400 -4327 -4622 -532 -2177 -1928 4962
+4990 -1539 -496 1129 550 -262 3514 1492 -874 2262 -411 -4171 1739 -2353 -3245 -1983 3942 -755 419 -273 13 793 -3371 -6142 1699 3939 -5975 -2867 2511 225 -2075 1040 -2325 -3223 9874 -256 -5354 -2186 -937 -1144 -3631 -2528 -38 1360 -599 -1657 1731 2168 -1391 -742 5828 4229 -1718 -1196 -2718 -37 -385 -928 -1512 -194 1843 -6386 -2251 -2083 970 1913 678 -558 5389 -965 -5363 -2677 838 -81 2136 -393 -814 1658 1514 209 6407 3114 1665 -2870 5789 -5519 1008 -809 -706 -10028 6077 5246 5090 2476 -4366 -4661 -518 -2159 -2101 4963
+5077 -1486 -517 1078 582 -142 3541 1502 -899 2363 -387 -4147 1756 -2328 -3259 -1993 3914 -731 375 -355 -77 836 -3293 -6209 1581 3865 -6069 -2943 2490 307 -2051 1011 -2354 -3281 9952 -375 -5385 -2207 -772 -1100 -3670 -2497 -98 1225 -658 -1671 1696 2186 -1372 -771 5799 4160 -1676 -1190 -2726 26 -426 -952 -1467 -162 1773 -6322 -2192 -1990 1127 2081 714 -557 5426 -914 -5390 -2682 860 -28 2057 -471 -831 1655 1500 159 6475 3171 1678 -2903 5804 -5484 1022 -804 -521 -9944 5966 5171 5071 2495 -4399 -4654 -455 -2048 -2247 4824
+5185 -1356 -551 1108 634 -66 3525 1491 -892 2448 -324 -4053 1805 -2367 -3338 -1987 4001 -676 413 -418 -187 876 -3264 -6210 1500 3904 -6173 -2952 2666 468 -1954 892 -2463 -3270 9982 -448 -5431 -2251 -689 -1112 -3677 -2490 -149 1171 -651 -1658 1581 2220 -1411 -917 5862 4161 -1645 -1236 -2743 172 -438 -967 -1349 4 1728 -6202 -2037 -2166 1239 2189 701 -548 5533 -873 -5433 -2734 988 -122 2153 -503 -962 1590 1501 144 6476 3236 1806 -2817 5866 -5530 974 -822 -426 -9900 5903 5125 5027 2427 -4370 -4582 -384 -1854 -2205 4702
+5223 -1383 -565 1055 628 -17 3509 1534 -851 2315 -54 -3942 1845 -2373 -3406 -1983 4035 -671 386 -439 -255 871 -3271 -5999 1487 3929 -6232 -2947 2756 467 -1939 777 -2526 -3276 10004 -458 -5459 -2273 -705 -1105 -3654 -2495 -130 1132 -610 -1654 1465 2201 -1412 -1027 5987 4197 -1675 -1326 -2781 230 -398 -996 -1352 -2 1710 -6101 -2003 -2337 1089 2088 636 -510 5637 -887 -5480 -2851 1118 -174 2351 -440 -1261 1456 1538 177 6315 3222 1962 -2673 5829 -5623 940 -788 -500 -9924 5872 5133 4956 2292 -4287 -4484 -309 -1721 -2099 4653
+5128 -1492 -542 1056 555 -51 3560 1624 -838 2104 143 -3949 1818 -2390 -3462 -2006 4050 -701 387 -413 -254 818 -3333 -5791 1574 3861 -6149 -2885 2763 339 -1920 765 -2503 -3172 10028 -437 -5444 -2273 -769 -1180 -3607 -2532 -16 1140 -512 -1643 1453 2239 -1375 -1053 6005 4202 -1589 -1338 -2804 247 -419 -1033 -1447 -60 1804 -6094 -2051 -2472 872 1973 619 -504 5558 -977 -5485 -2888 1121 -249 2577 -431 -1426 1422 1590 199 6168 3184 2028 -2612 5680 -5633 879 -850 -625 -10027 5964 5188 4914 2252 -4236 -4465 -305 -1735 -2019 4780
+5057 -1452 -596 1028 533 -87 3610 1664 -910 1994 206 -4069 1743 -2339 -3521 -1996 3984 -745 408 -436 -232 765 -3346 -5765 1700 3748 -6004 -2820 2647 117 -1990 783 -2413 -3179 10069 -339 -5405 -2248 -901 -1114 -3665 -2547 70 1245 -422 -1676 1531 2302 -1408 -1016 5953 4169 -1453 -1320 -2855 123 -379 -1069 -1585 -217 1830 -6137 -2128 -2405 785 1965 651 -561 5437 -1051 -5502 -2958 1012 -140 2640 -400 -1355 1519 1661 224 6173 3165 2008 -2687 5433 -5512 907 -848 -638 -10147 6046 5242 4891 2305 -4098 -4457 -358 -1953 -2057 4970
+5043 -1506 -617 1063 569 -159 3665 1687 -1019 1992 64 -4187 1647 -2332 -3574 -1945 3889 -809 399 -422 -221 765 -3222 -5870 1783 3642 -5982 -2770 2657 13 -2063 789 -2411 -3176 10060 -267 -5326 -2163 -997 -1099 -3756 -2565 77 1266 -409 -1709 1544 2341 -1345 -950 5931 3983 -1346 -1251 -2845 -16 -382 -1077 -1671 -279 1883 -6084 -2157 -2329 932 2068 659 -678 5308 -1071 -5510 -2986 816 61 2540 -478 -1146 1667 1694 120 6215 3181 1941 -2808 5304 -5406 937 -916 -603 -10253 6026 5261 4883 2350 -4074 -4510 -428 -2150 -2160 5064
+5018 -1446 -566 1111 665 -108 3707 1702 -1032 2081 -234 -4077 1614 -2272 -3509 -1858 3838 -822 418 -450 -211 816 -3049 -6097 1870 3662 -5980 -2690 2758 117 -2060 835 -2400 -3208 10011 -141 -5261 -2128 -1089 -1077 -3833 -2556 30 1310 -450 -1678 1518 2431 -1320 -914 5940 3731 -1409 -1222 -2808 -27 -296 -1003 -1646 -307 1770 -6032 -2120 -2392 1231 2212 627 -764 5357 -987 -5540 -3052 711 148 2425 -490 -999 1719 1678 73 6187 3248 2006 -2753 5301 -5360 950 -924 -494 -10239 5981 5230 4899 2326 -4111 -4556 -492 -2255 -2253 4999
+5126 -1418 -475 1161 747 -110 3733 1751 -967 2150 -437 -3848 1667 -2270 -3535 -1823 3901 -814 382 -466 -222 921 -3065 -6159 1899 3717 -6059 -2689 2882 237 -2016 894 -2444 -3290 9928 13 -5236 -2129 -1164 -1183 -3869 -2557 -83 1353 -474 -1664 1393 2360 -1263 -1051 5980 3675 -1471 -1242 -2767 85 -197 -978 -1613 -248 1860 -5978 -2063 -2514 1365 2189 554 -775 5488 -918 -5625 -3099 754 142 2372 -409 -1014 1627 1616 65 6108 3261 2095 -2669 5381 -5491 978 -833 -497 -10249 5957 5198 4935 2231 -4146 -4568 -566 -2290 -2231 5002
+5201 -1394 -408 1193 701 -172 3651 1711 -875 2116 -394 -3651 1710 -2318 -3536 -1852 3940 -775 349 -473 -184 920 -3227 -6023 1828 3823 -6188 -2832 2866 235 -2016 982 -2452 -3381 9901 91 -5271 -2181 -1136 -1292 -3880 -2588 -197 1277 -485 -1622 1373 2250 -1212 -1183 5931 3762 -1556 -1330 -2797 165 -93 -907 -1539 -167 1915 -6061 -2089 -2535 1259 2078 532 -795 5521 -932 -5716 -3107 857 -5 2410 -336 -1180 1453 1589 212 6088 3275 2163 -2589 5414 -5623 984 -737 -598 -10276 6016 5175 4918 2122 -4200 -4557 -601 -2271 -2106 5032
+5281 -1412 -336 1170 643 -262 3533 1671 -809 2109 -234 -3547 1709 -2356 -3511 -1947 3966 -745 280 -454 -195 885 -3361 -5807 1614 3904 -6206 -2994 2679 200 -2095 1050 -2421 -3441 9927 32 -5315 -2218 -1055 -1357 -3893 -2647 -218 1270 -557 -1617 1472 2176 -1257 -1242 5807 3931 -1570 -1408 -2875 107 -104 -916 -1545 -200 1993 -6260 -2163 -2309 1054 2040 605 -767 5411 -1032 -5769 -3040 916 -178 2420 -353 -1278 1404 1667 390 6099 3235 2075 -2726 5387 -5626 1002 -720 -668 -10217 6128 5154 4858 2058 -4258 -4550 -626 -2167 -1921 5078
+5249 -1433 -329 1185 577 -316 3488 1667 -804 2153 -67 -3664 1653 -2297 -3479 -1985 4018 -751 299 -531 -240 869 -3358 -5857 1502 3963 -6202 -3094 2611 199 -2102 1057 -2374 -3387 9936 -87 -5368 -2295 -1024 -1369 -3875 -2699 -259 1229 -574 -1597 1642 2120 -1238 -1257 5745 4045 -1524 -1432 -2976 -29 -195 -947 -1596 -263 1962 -6424 -2226 -2054 989 2023 670 -700 5299 -1149 -5784 -2985 881 -146 2375 -401 -1235 1464 1690 436 6289 3231 1943 -3018 5333 -5568 1040 -734 -626 -10263 6172 5159 4811 2060 -4265 -4521 -604 -2113 -1791 5141
+5156 -1477 -298 1196 634 -222 3477 1634 -788 2302 -80 -3863 1607 -2240 -3430 -1994 4045 -772 367 -534 -221 968 -3205 -6134 1495 4083 -6158 -3069 2643 319 -2004 976 -2377 -3285 9990 -197 -5395 -2314 -950 -1318 -3882 -2688 -178 1395 -566 -1693 1705 2213 -1253 -1210 5831 4005 -1488 -1375 -3013 -181 -360 -1082 -1674 -309 1949 -6439 -2293 -1963 1096 2085 734 -606 5265 -1180 -5739 -2993 683 14 2271 -470 -1120 1585 1724 351 6256 3195 1881 -3201 5324 -5405 1126 -751 -614 -10182 6235 5165 4851 2163 -4350 -4559 -550 -2030 -1777 5052
+5040 -1612 -246 1149 683 -67 3521 1684 -762 2343 -136 -4020 1585 -2221 -3374 -1873 4066 -802 456 -547 -181 1026 -3122 -6402 1542 4101 -6054 -2931 2720 425 -1854 882 -2450 -3183 10017 -369 -5421 -2300 -909 -1275 -3922 -2696 -175 1580 -552 -1734 1657 2258 -1235 -1096 5985 3932 -1441 -1284 -2982 -216 -478 -1144 -1695 -289 1900 -6311 -2305 -2083 1288 2107 673 -593 5341 -1102 -5699 -3103 523 190 2193 -469 -1017 1676 1701 277 6219 3194 1910 -3203 5314 -5374 1183 -722 -606 -10068 6148 5157 4930 2231 -4457 -4588 -442 -1982 -1949 4867
+5023 -1652 -255 1133 692 37 3533 1646 -747 2303 -254 -4116 1714 -2296 -3321 -1779 4096 -773 455 -503 -74 1012 -3181 -6398 1610 4080 -5948 -2759 2759 406 -1743 799 -2503 -3143 10012 -418 -5431 -2268 -923 -1247 -3877 -2675 -158 1732 -512 -1782 1537 2236 -1190 -1088 6212 4008 -1502 -1274 -2918 -136 -502 -1160 -1627 -249 1784 -6210 -2235 -2248 1342 2078 594 -644 5353 -1019 -5684 -3207 559 223 2272 -438 -1028 1687 1653 253 6175 3227 1961 -3058 5383 -5443 1147 -716 -730 -10005 6109 5192 5037 2350 -4520 -4643 -399 -1969 -2138 4823
+5161 -1591 -348 1056 653 -10 3496 1588 -785 2177 -258 -4148 1831 -2413 -3312 -1814 4008 -771 314 -436 -7 922 -3310 -6191 1674 4012 -5927 -2695 2692 257 -1750 773 -2549 -3173 10005 -534 -5432 -2223 -923 -1307 -3893 -2692 -135 1841 -558 -1787 1503 2134 -1200 -1207 6280 4205 -1531 -1278 -2892 -115 -364 -1045 -1531 -187 1717 -6350 -2230 -2175 1246 2031 597 -703 5240 -1017 -5700 -3271 751 87 2434 -438 -1058 1691 1669 402 6247 3281 2009 -2937 5334 -5492 1138 -689 -837 -9954 6100 5233 5101 2481 -4413 -4633 -372 -1959 -2265 4926
+5225 -1526 -391 994 612 -110 3513 1575 -857 2095 -200 -4205 1980 -2548 -3340 -1886 3998 -762 238 -422 -64 847 -3386 -6043 1678 3956 -5962 -2723 2628 167 -1833 794 -2531 -3208 9977 -631 -5448 -2192 -901 -1335 -3924 -2672 -62 1774 -629 -1808 1624 2085 -1348 -1237 6238 4321 -1564 -1266 -2834 -102 -285 -981 -1507 -217 1656 -6480 -2157 -2109 1166 2028 643 -726 5195 -1047 -5737 -3312 930 22 2556 -458 -1058 1713 1701 519 6431 3332 2001 -2990 5274 -5365 1148 -749 -912 -10010 6017 5253 5103 2587 -4253 -4608 -385 -1910 -2235 5047
+5244 -1489 -429 980 577 -198 3576 1612 -940 2085 -111 -4198 1978 -2582 -3367 -1941 4031 -745 237 -490 -243 872 -3262 -6115 1694 3841 -6044 -2783 2661 268 -1945 780 -2482 -3150 9945 -622 -5420 -2147 -918 -1485 -3816 -2661 -102 1631 -674 -1797 1751 2076 -1406 -1282 6160 4333 -1568 -1211 -2782 -114 -276 -1005 -1582 -254 1759 -6450 -2122 -2058 1067 1979 637 -692 5259 -1057 -5721 -3233 995 -5 2585 -453 -1036 1696 1684 469 6479 3360 2026 -3066 5272 -5271 1102 -851 -768 -10076 5907 5253 5075 2622 -4074 -4559 -400 -1784 -2019 5003
+5059 -1491 -396 1010 655 -163 3660 1679 -1009 2153 -73 -4158 1783 -2511 -3397 -1985 4104 -721 289 -563 -362 975 -3093 -6348 1689 3835 -6166 -2822 2763 429 -1947 750 -2457 -3041 9806 -599 -5417 -2165 -893 -1427 -3760 -2638 -157 1501 -656 -1744 1834 2123 -1420 -1046 6101 4174 -1605 -1144 -2732 -146 -356 -1064 -1666 -291 1901 -6340 -2158 -2212 1062 1921 518 -711 5368 -1003 -5649 -3055 975 15 2475 -496 -973 1669 1656 318 6305 3293 2020 -3158 5265 -5269 1018 -929 -624 -10170 5779 5248 5079 2546 -4060 -4537 -374 -1640 -1828 4893
+4938 -1574 -336 1079 698 -86 3702 1770 -942 2227 24 -4086 1591 -2337 -3391 -1956 4179 -699 338 -608 -383 1128 -3083 -6479 1672 3793 -6162 -2892 2766 562 -1954 806 -2444 -3000 9713 -460 -5389 -2181 -872 -1417 -3641 -2609 -216 1449 -620 -1672 1752 2119 -1309 -909 6077 4126 -1701 -1158 -2737 -134 -425 -1117 -1622 -166 2012 -6190 -2133 -2404 1173 1986 502 -724 5453 -927 -5562 -2896 931 127 2387 -456 -998 1602 1671 227 6048 3175 2014 -3163 5167 -5340 1004 -897 -668 -10198 5616 5160 5034 2413 -4155 -4546 -378 -1620 -1699 4780
+5041 -1516 -408 1120 773 2 3660 1762 -847 2219 75 -4013 1401 -2248 -3381 -1950 4156 -694 354 -578 -277 1130 -3224 -6428 1603 3810 -6045 -2907 2632 461 -1986 857 -2450 -3118 9664 -344 -5371 -2204 -887 -1298 -3619 -2576 -287 1460 -643 -1636 1634 2059 -1243 -922 6073 4132 -1796 -1226 -2757 -67 -479 -1117 -1505 -66 1941 -6123 -2100 -2401 1201 2081 509 -850 5366 -860 -5482 -2794 951 142 2342 -375 -1043 1565 1727 309 5917 3068 1984 -3039 5126 -5424 1059 -781 -800 -10282 5651 5186 5038 2325 -4306 -4592 -403 -1768 -1791 4870
+5223 -1443 -479 1097 741 -66 3566 1768 -782 2205 59 -3941 1388 -2252 -3329 -1957 4048 -703 280 -543 -188 1013 -3316 -6185 1522 3798 -5958 -2951 2488 271 -2020 908 -2491 -3235 9642 -272 -5335 -2205 -849 -1179 -3663 -2579 -232 1448 -660 -1590 1559 2021 -1284 -982 6091 4284 -1815 -1317 -2850 6 -394 -1039 -1397 -40 1754 -6316 -2077 -2257 1101 2107 532 -995 5159 -878 -5510 -2830 1085 44 2391 -339 -1081 1589 1804 454 6057 3106 1961 -3017 5089 -5529 1163 -627 -842 -10344 5730 5211 5006 2287 -4419 -4631 -463 -1967 -1942 5052
+5333 -1355 -625 1032 630 -161 3515 1712 -777 2140 -55 -3886 1488 -2373 -3325 -1971 3934 -781 219 -560 -174 909 -3327 -6151 1553 3920 -5952 -2981 2503 126 -2063 857 -2509 -3333 9688 -181 -5327 -2206 -816 -1111 -3745 -2622 -137 1436 -674 -1660 1566 1976 -1447 -978 6200 4312 -1796 -1382 -2971 -52 -333 -963 -1366 -73 1633 -6429 -2139 -2134 988 2098 573 -1042 5098 -899 -5586 -3069 1158 -4 2423 -335 -1071 1620 1764 408 6282 3222 1976 -3055 5084 -5461 1253 -608 -863 -10349 5736 5150 4955 2346 -4515 -4707 -539 -2028 -1964 5195
+5201 -1397 -650 1020 573 -211 3486 1658 -827 2200 -263 -3813 1713 -2506 -3327 -1949 3927 -823 224 -605 -238 889 -3194 -6333 1694 4079 -6055 -2974 2682 192 -2060 774 -2520 -3281 9746 -201 -5291 -2163 -746 -1118 -3754 -2604 18 1397 -615 -1761 1625 2013 -1528 -836 6341 4179 -1743 -1369 -2999 -1 -279 -970 -1453 -197 1601 -6356 -2246 -2245 904 1991 509 -974 5182 -923 -5657 -3325 1067 -64 2472 -336 -1053 1628 1669 234 6300 3278 1989 -3094 5186 -5331 1362 -597 -819 -10378 5737 5101 4937 2356 -4603 -4766 -603 -2026 -1879 5229
+5064 -1427 -672 1043 577 -240 3541 1625 -918 2172 -394 -3738 1858 -2585 -3369 -1919 4000 -882 246 -654 -316 912 -3064 -6544 1854 4126 -6151 -2918 2833 266 -2032 710 -2485 -3235 9828 -259 -5281 -2097 -715 -1163 -3722 -2587 74 1349 -545 -1782 1637 2053 -1452 -708 6412 3978 -1680 -1301 -2974 -94 -333 -1023 -1588 -282 1764 -6177 -2259 -2356 1014 1979 462 -881 5301 -942 -5666 -3446 887 17 2444 -365 -1063 1599 1525 -61 6185 3277 1993 -3110 5360 -5355 1303 -649 -810 -10415 5801 5078 4934 2272 -4546 -4716 -617 -1945 -1691 5140
+5054 -1417 -621 1159 664 -160 3656 1567 -964 2118 -333 -3752 1856 -2514 -3390 -1886 4141 -834 338 -684 -336 1012 -3070 -6660 1952 4081 -6138 -2885 2843 281 -1946 700 -2437 -3156 9887 -383 -5307 -2074 -716 -1061 -3650 -2584 19 1363 -447 -1717 1580 2015 -1284 -679 6318 3774 -1603 -1199 -2899 -123 -373 -1014 -1619 -257 1890 -6027 -2167 -2403 1269 2110 514 -868 5311 -962 -5625 -3346 727 179 2295 -493 -1071 1618 1470 -210 6066 3185 1931 -3123 5432 -5383 1272 -662 -923 -10387 5836 5074 4925 2191 -4437 -4623 -554 -1862 -1667 5102
+5221 -1294 -547 1295 752 -177 3723 1521 -950 2099 -178 -3865 1810 -2405 -3365 -1847 4269 -712 403 -616 -267 1111 -3153 -6598 1966 3938 -6079 -2834 2765 186 -1845 784 -2407 -3109 9884 -567 -5356 -2059 -680 -936 -3650 -2524 -16 1349 -437 -1631 1538 1997 -1191 -765 6233 3731 -1563 -1187 -2867 -89 -287 -961 -1560 -231 1845 -5985 -2040 -2287 1413 2206 535 -1009 5191 -973 -5592 -3149 731 269 2182 -566 -1128 1654 1598 -40 6077 3103 1875 -3122 5313 -5370 1320 -601 -1071 -10325 5936 5084 4934 2236 -4276 -4551 -514 -1850 -1690 5165
+5427 -1179 -450 1376 798 -159 3826 1573 -900 2163 -2 -3994 1619 -2288 -3303 -1835 4240 -624 525 -557 -177 1146 -3259 -6501 1896 3741 -5989 -2798 2650 247 -1776 854 -2367 -3016 9876 -758 -5400 -2065 -585 -869 -3577 -2525 -107 1342 -432 -1554 1643 1942 -1120 -854 6190 3754 -1596 -1229 -2850 13 -119 -831 -1496 -236 1766 -6138 -2049 -2183 1422 2180 571 -1109 5027 -1002 -5648 -2992 921 193 2109 -594 -1124 1682 1740 206 6176 3138 1950 -3061 5245 -5345 1320 -634 -1067 -10190 5934 5070 4975 2417 -4215 -4586 -506 -1944 -1816 5228
+5471 -1165 -361 1361 681 -262 3816 1665 -871 2256 15 -4072 1505 -2261 -3286 -1866 4141 -604 525 -495 -156 1091 -3278 -6473 1836 3783 -6048 -2812 2693 354 -1751 847 -2410 -2933 9899 -885 -5438 -2077 -557 -895 -3549 -2554 -169 1254 -510 -1597 1759 1951 -1253 -859 6257 3804 -1710 -1347 -2902 85 49 -682 -1433 -285 1639 -6265 -2206 -2134 1306 2045 553 -1086 5053 -1010 -5804 -3085 1112 94 2117 -515 -1179 1621 1759 324 6276 3155 1993 -2936 5195 -5242 1368 -633 -958 -10087 5792 5037 5055 2631 -4221 -4673 -500 -1950 -1942 5257
+5368 -1299 -333 1269 531 -348 3677 1666 -900 2291 -44 -4078 1524 -2314 -3416 -1887 3966 -713 448 -514 -252 1001 -3235 -6481 1781 3841 -6130 -2828 2738 475 -1824 754 -2493 -2936 9951 -793 -5450 -2138 -586 -964 -3605 -2680 -223 1232 -534 -1746 1792 1936 -1322 -817 6231 3830 -1743 -1378 -2937 91 63 -689 -1473 -325 1726 -6322 -2338 -2211 1303 2009 559 -934 5209 -1029 -5978 -3343 1142 -67 2127 -345 -1118 1497 1611 234 6186 3149 2056 -2768 5240 -5242 1233 -747 -774 -10041 5610 5001 5093 2710 -4215 -4710 -486 -1954 -2067 5239
+5225 -1386 -385 1165 510 -323 3609 1645 -936 2365 -138 -4003 1641 -2414 -3414 -1873 3851 -778 387 -575 -321 952 -3104 -6546 1778 3942 -6125 -2831 2772 479 -1862 766 -2551 -2961 9975 -631 -5428 -2166 -636 -1084 -3662 -2744 -185 1225 -537 -1851 1713 1945 -1354 -871 6227 3843 -1821 -1416 -2961 30 -126 -792 -1473 -275 1810 -6213 -2281 -2246 1354 2054 572 -814 5272 -1066 -6045 -3540 985 -108 2124 -270 -1055 1459 1505 129 6153 3104 1975 -2788 5412 -5271 1096 -847 -780 -10090 5518 5046 5135 2686 -4291 -4731 -437 -1874 -2134 5218
+5137 -1446 -459 1095 554 -176 3585 1640 -925 2309 -125 -3908 1762 -2471 -3490 -1896 3836 -798 361 -604 -390 966 -2987 -6512 1721 3826 -5967 -2806 2618 393 -1933 828 -2516 -3104 10021 -427 -5377 -2186 -691 -1081 -3805 -2760 -118 1363 -527 -1906 1570 1921 -1185 -961 6017 3845 -1703 -1361 -2948 17 -344 -951 -1517 -207 1880 -6162 -2186 -2151 1441 2209 671 -762 5275 -1109 -6011 -3581 779 -42 2070 -280 -940 1521 1445 46 6199 3126 1891 -2848 5545 -5319 981 -906 -879 -10064 5523 5076 5100 2582 -4258 -4631 -352 -1755 -2143 5136
+5171 -1511 -426 1115 652 -46 3678 1685 -833 2355 -50 -3970 1894 -2387 -3437 -1882 3934 -762 425 -571 -375 1017 -2944 -6419 1661 3798 -5806 -2784 2531 332 -1904 940 -2457 -3171 9944 -364 -5363 -2194 -664 -998 -3894 -2685 -18 1520 -513 -1827 1544 1875 -1067 -963 5953 3974 -1583 -1309 -2918 41 -471 -1018 -1494 -125 1846 -6146 -2146 -2091 1360 2279 754 -801 5120 -1150 -5897 -3456 671 89 2098 -497 -872 1672 1521 163 6422 3184 1873 -2957 5510 -5317 994 -893 -950 -10083 5611 5105 5000 2507 -4220 -4541 -324 -1664 -2092 5107
+5193 -1496 -396 1076 654 -49 3718 1783 -771 2336 -20 -4046 1891 -2224 -3458 -1858 4065 -679 438 -563 -312 1050 -2968 -6257 1666 3732 -5750 -2780 2516 370 -1914 942 -2420 -3241 9852 -368 -5353 -2171 -618 -885 -4020 -2612 72 1642 -515 -1800 1567 2022 -1136 -1022 6014 4061 -1529 -1298 -2871 112 -436 -981 -1438 -163 1630 -6237 -2159 -2226 1198 2183 729 -839 5068 -1123 -5831 -3342 780 221 2106 -552 -906 1722 1562 201 6561 3300 1924 -3019 5527 -5333 1109 -815 -895 -10025 5645 5096 4922 2441 -4217 -4470 -299 -1650 -1995 5044
+5126 -1506 -365 1012 617 -119 3735 1858 -773 2248 -20 -4133 1816 -2098 -3538 -1868 4102 -640 436 -513 -254 998 -3000 -6054 1658 3702 -5885 -2799 2644 466 -1941 848 -2430 -3174 9745 -447 -5377 -2171 -714 -861 -4045 -2646 34 1640 -534 -1766 1686 2094 -1215 -1015 6155 4140 -1510 -1256 -2815 178 -277 -874 -1438 -254 1560 -6269 -2206 -2383 1054 2064 641 -849 5116 -1045 -5812 -3268 949 274 2259 -567 -1098 1635 1561 216 6511 3306 1996 -2981 5419 -5356 1216 -719 -734 -10064 5654 5101 4909 2391 -4340 -4514 -334 -1699 -1956 5041
+5114 -1557 -302 1006 632 -169 3683 1856 -784 2191 -149 -4025 1693 -2143 -3493 -1834 4102 -642 482 -468 -178 1010 -3055 -6081 1712 3700 -6039 -2837 2765 565 -1969 726 -2375 -3073 9716 -489 -5406 -2174 -796 -989 -3925 -2673 -57 1558 -506 -1751 1778 2216 -1359 -1080 6196 4225 -1436 -1193 -2762 144 -170 -826 -1444 -336 1517 -6206 -2276 -2444 1092 2044 566 -818 5240 -950 -5818 -3266 1002 236 2299 -582 -1247 1549 1560 127 6381 3237 1960 -2874 5425 -5439 1268 -625 -674 -10162 5609 5093 4932 2259 -4497 -4566 -346 -1808 -2119 5143
+5165 -1515 -301 1013 741 -131 3697 1755 -839 2109 -232 -3899 1657 -2292 -3428 -1803 4042 -641 538 -506 -143 1061 -3007 -6260 1730 3718 -6105 -2889 2804 468 -1954 694 -2373 -2947 9695 -551 -5416 -2172 -896 -1178 -3798 -2718 -141 1548 -511 -1742 1792 2194 -1391 -1225 6122 4204 -1358 -1115 -2678 83 -282 -873 -1491 -303 1628 -6167 -2181 -2459 1255 2172 562 -840 5324 -891 -5830 -3325 936 109 2284 -529 -1253 1523 1548 40 6293 3143 1852 -2825 5580 -5560 1198 -590 -696 -10249 5796 5183 5021 2184 -4591 -4620 -363 -1865 -2223 5215
+5171 -1474 -315 1046 848 -43 3781 1685 -849 2117 -281 -3719 1645 -2469 -3255 -1808 3918 -660 582 -475 -166 1118 -2963 -6464 1712 3775 -6051 -2875 2750 329 -1897 740 -2384 -2923 9712 -592 -5409 -2162 -941 -1292 -3711 -2680 -219 1542 -507 -1649 1714 2120 -1218 -1280 5997 4123 -1348 -1043 -2596 53 -389 -939 -1503 -224 1742 -6154 -2071 -2373 1332 2268 585 -908 5344 -882 -5796 -3308 804 48 2170 -512 -1107 1585 1579 42 6315 3130 1800 -2751 5711 -5485 1150 -619 -807 -10347 5898 5219 5025 2158 -4542 -4619 -377 -1856 -2234 5229
+5209 -1443 -320 1068 866 -30 3724 1619 -812 2154 -302 -3681 1798 -2567 -3225 -1841 3929 -699 551 -483 -155 1086 -2989 -6467 1683 3839 -5960 -2830 2708 221 -1853 740 -2479 -2975 9730 -652 -5457 -2211 -892 -1333 -3782 -2675 -233 1666 -592 -1607 1642 2048 -1121 -1257 5967 4114 -1350 -1049 -2609 -6 -508 -1025 -1549 -154 1871 -6131 -2064 -2372 1219 2181 560 -1023 5339 -859 -5711 -3247 715 19 2125 -455 -961 1605 1554 34 6442 3237 1884 -2630 5796 -5444 1184 -660 -908 -10365 5957 5193 4997 2290 -4383 -4625 -461 -1870 -2025 5225
+5155 -1486 -349 1041 834 -109 3566 1616 -754 2247 -325 -3697 1795 -2510 -3317 -1950 4003 -726 424 -416 -177 978 -3134 -6287 1623 4039 -6016 -2804 2756 209 -1818 732 -2600 -3021 9732 -657 -5533 -2299 -839 -1270 -3877 -2687 -260 1683 -656 -1600 1633 2001 -1061 -1126 5894 4181 -1413 -1131 -2669 16 -478 -966 -1501 -163 1790 -6306 -2160 -2396 1116 2038 492 -1096 5307 -849 -5645 -3173 840 -12 2201 -409 -938 1555 1501 43 6407 3357 2103 -2492 5690 -5375 1179 -693 -708 -10290 5988 5136 4944 2351 -4224 -4581 -462 -1749 -1842 5186
+5178 -1444 -437 990 738 -243 3388 1636 -743 2284 -291 -3780 1727 -2319 -3433 -2033 4092 -792 343 -412 -203 814 -3279 -6143 1572 4261 -6069 -2827 2773 256 -1889 696 -2681 -3090 9789 -615 -5549 -2335 -830 -1205 -3959 -2724 -222 1707 -685 -1719 1610 2072 -1163 -1192 5896 4288 -1501 -1266 -2782 81 -434 -949 -1512 -287 1734 -6382 -2235 -2414 1181 2056 498 -1051 5307 -854 -5664 -3190 1050 61 2459 -372 -992 1489 1453 31 6308 3396 2218 -2421 5581 -5411 1165 -681 -557 -10294 5966 5122 4920 2329 -4150 -4556 -456 -1744 -1777 5196
+5192 -1408 -513 959 686 -305 3362 1652 -796 2300 -226 -3955 1626 -2300 -3526 -2102 4122 -741 316 -426 -216 753 -3256 -6207 1546 4320 -6090 -2919 2688 263 -1941 737 -2647 -3113 9879 -530 -5513 -2333 -832 -1267 -3874 -2721 -199 1702 -607 -1823 1652 2083 -1299 -1269 5898 4365 -1588 -1392 -2899 66 -336 -884 -1462 -287 1671 -6388 -2334 -2274 1250 2127 550 -948 5238 -895 -5706 -3264 1188 150 2544 -373 -997 1520 1459 -71 6207 3340 2132 -2498 5471 -5458 1101 -678 -562 -10163 5914 5030 4906 2350 -4207 -4587 -412 -1689 -1851 5279
+5221 -1394 -499 1026 706 -279 3465 1594 -893 2269 -111 -4040 1519 -2311 -3446 -2088 4117 -673 421 -486 -280 833 -3141 -6455 1598 4206 -6017 -2967 2594 229 -1955 807 -2550 -3116 9893 -521 -5467 -2293 -842 -1204 -3771 -2686 -68 1685 -526 -1893 1637 2089 -1331 -1328 5988 4236 -1578 -1386 -2928 33 -395 -965 -1522 -326 1669 -6405 -2342 -2165 1352 2289 666 -920 5044 -987 -5758 -3353 1188 272 2521 -458 -986 1618 1520 -103 6236 3311 1983 -2605 5474 -5517 999 -737 -659 -10143 5921 5056 4955 2386 -4375 -4660 -342 -1713 -2103 5291
+5178 -1455 -441 1101 728 -210 3729 1564 -985 2276 -60 -4138 1531 -2477 -3288 -2025 4040 -597 521 -492 -245 931 -3072 -6617 1614 4093 -6014 -3025 2555 289 -1951 852 -2462 -3118 9846 -532 -5445 -2255 -754 -1127 -3664 -2644 -46 1640 -521 -1788 1640 2021 -1227 -1141 6174 4009 -1454 -1275 -2882 -35 -483 -1065 -1641 -348 1754 -6362 -2356 -2170 1365 2302 736 -951 4881 -1059 -5744 -3349 1092 216 2460 -548 -948 1717 1588 -80 6394 3339 1900 -2627 5660 -5549 938 -771 -666 -10169 5926 5127 5012 2421 -4497 -4702 -297 -1648 -2174 5316
+5155 -1446 -452 1137 721 -264 3813 1550 -1105 2292 -113 -4163 1632 -2609 -3221 -2026 3928 -606 461 -456 -205 846 -3131 -6485 1660 4027 -6132 -3035 2604 344 -1960 802 -2532 -3224 9789 -568 -5425 -2197 -710 -1114 -3694 -2633 -148 1508 -637 -1684 1582 1930 -1123 -961 6309 3837 -1364 -1189 -2867 -127 -509 -1098 -1742 -435 1792 -6295 -2364 -2235 1345 2172 647 -1067 4917 -1046 -5725 -3326 1008 85 2327 -483 -984 1692 1598 -35 6520 3432 1953 -2630 5771 -5575 928 -802 -534 -10242 5849 5165 5029 2490 -4550 -4710 -294 -1650 -2167 5245
+5171 -1370 -475 1143 618 -400 3803 1561 -1133 2272 -251 -4130 1712 -2576 -3241 -2064 3835 -662 356 -339 -140 770 -3276 -6169 1710 3975 -6265 -2991 2710 429 -1984 753 -2543 -3349 9719 -521 -5376 -2113 -748 -1069 -3763 -2625 -224 1346 -686 -1637 1579 1910 -1082 -897 6362 3782 -1360 -1189 -2876 -192 -428 -993 -1752 -486 1804 -6230 -2351 -2317 1399 2036 496 -1137 5094 -991 -5734 -3272 1043 -9 2342 -381 -1063 1561 1510 1 6548 3502 2048 -2553 5832 -5570 970 -767 -369 -10314 5800 5209 5054 2475 -4512 -4660 -292 -1616 -2074 5320
+5302 -1281 -458 1139 549 -530 3755 1635 -1093 2249 -362 -4059 1805 -2443 -3331 -2100 3838 -740 322 -274 -143 701 -3295 -5989 1782 3875 -6244 -2900 2725 439 -2025 746 -2521 -3376 9719 -424 -5329 -2077 -823 -1068 -3747 -2601 -253 1275 -669 -1719 1630 1958 -1168 -994 6273 3784 -1317 -1174 -2903 -182 -212 -863 -1723 -525 1807 -6272 -2326 -2214 1502 2077 458 -1074 5234 -979 -5803 -3232 1177 -108 2393 -302 -1089 1500 1489 158 6590 3499 2058 -2551 5774 -5641 1006 -684 -287 -10268 5832 5237 5044 2352 -4377 -4539 -299 -1636 -2012 5362
+5350 -1310 -465 1111 468 -505 3746 1638 -1035 2152 -305 -4076 1854 -2272 -3376 -2095 3908 -790 364 -293 -216 717 -3185 -6087 1839 3770 -6093 -2813 2634 295 -2052 814 -2398 -3282 9726 -303 -5310 -2094 -901 -1090 -3708 -2576 -198 1212 -541 -1771 1618 2102 -1357 -1064 6162 3834 -1438 -1238 -2925 -126 -102 -766 -1645 -477 1817 -6275 -2295 -2151 1487 2151 504 -987 5267 -1000 -5904 -3284 1249 13 2435 -287 -938 1516 1444 240 6629 3479 1961 -2662 5804 -5691 951 -661 -358 -10256 5886 5295 5034 2210 -4282 -4449 -296 -1671 -1985 5451
+5260 -1359 -429 1113 505 -361 3762 1620 -977 2121 -160 -4074 1836 -2237 -3401 -2060 3990 -754 496 -362 -268 849 -3049 -6331 1843 3735 -5884 -2733 2511 226 -2039 901 -2279 -3187 9738 -227 -5304 -2131 -870 -1137 -3675 -2542 -75 1253 -407 -1789 1608 2176 -1493 -1018 6186 3756 -1490 -1226 -2939 -178 -203 -837 -1643 -350 1961 -6269 -2295 -2176 1431 2198 555 -981 5133 -1030 -5930 -3322 1134 99 2374 -433 -801 1670 1524 303 6713 3464 1874 -2813 5826 -5722 928 -681 -509 -10259 6072 5399 5055 2225 -4273 -4480 -349 -1754 -1971 5460
+5159 -1502 -507 1039 523 -258 3733 1611 -929 2100 -9 -4103 1849 -2293 -3470 -2011 4025 -751 547 -409 -275 820 -2992 -6332 1754 3771 -5888 -2736 2530 193 -2009 871 -2344 -3155 9707 -299 -5354 -2168 -749 -999 -3754 -2539 61 1245 -466 -1791 1510 2178 -1547 -810 6203 3685 -1555 -1231 -2954 -207 -400 -975 -1626 -244 2018 -6148 -2256 -2313 1296 2118 553 -1100 4981 -1024 -5863 -3351 863 206 2302 -468 -724 1731 1501 187 6647 3436 1816 -2840 5882 -5633 1006 -635 -523 -10234 6136 5417 5038 2313 -4315 -4561 -415 -1863 -1983 5347
+5023 -1513 -619 992 553 -251 3590 1607 -882 2143 7 -3975 1818 -2409 -3561 -1964 4005 -742 552 -438 -228 756 -3148 -6150 1701 3887 -6071 -2798 2698 307 -1926 759 -2484 -3142 9688 -361 -5371 -2181 -637 -935 -3878 -2597 61 1243 -527 -1733 1418 2135 -1498 -643 6189 3672 -1554 -1188 -2981 -289 -571 -1070 -1623 -237 1935 -6045 -2219 -2380 1282 2092 535 -1178 4938 -985 -5752 -3365 619 243 2259 -354 -824 1634 1447 141 6646 3507 1949 -2694 5839 -5619 1042 -629 -388 -10304 6093 5389 5051 2429 -4403 -4661 -461 -1937 -2089 5326
+5090 -1413 -676 961 553 -304 3494 1729 -792 2167 -91 -3717 1726 -2505 -3505 -1976 3925 -736 537 -353 -81 657 -3278 -5921 1637 4010 -6263 -2840 2800 415 -1896 693 -2590 -3152 9658 -386 -5383 -2183 -611 -886 -3920 -2636 -34 1139 -626 -1707 1423 2226 -1453 -779 6122 3870 -1547 -1226 -3030 -315 -643 -1106 -1657 -335 1823 -6083 -2222 -2408 1321 2153 557 -1096 5041 -971 -5699 -3343 584 145 2303 -358 -1110 1536 1496 202 6564 3530 2032 -2563 5705 -5584 1032 -642 -263 -10350 6094 5345 5037 2398 -4426 -4679 -494 -1965 -2112 5319
+5237 -1351 -704 964 610 -331 3453 1749 -748 2266 -271 -3555 1601 -2524 -3386 -1997 3830 -679 540 -268 -44 594 -3286 -5885 1612 4002 -6261 -2913 2778 375 -1924 754 -2549 -3138 9702 -285 -5324 -2151 -654 -971 -3877 -2643 -124 1126 -510 -1674 1502 2301 -1497 -944 6061 4085 -1577 -1236 -3002 -266 -634 -1091 -1628 -312 1763 -6128 -2250 -2324 1249 2258 625 -894 5149 -1025 -5761 -3330 758 141 2302 -259 -1296 1454 1519 259 6616 3513 2017 -2522 5677 -5639 941 -679 -233 -10305 6037 5231 4934 2188 -4478 -4638 -442 -1911 -2119 5332
+5244 -1358 -573 1033 689 -300 3575 1710 -791 2297 -348 -3590 1489 -2444 -3302 -2017 3816 -637 582 -210 -52 698 -3179 -6073 1655 3914 -6143 -2940 2669 304 -1997 872 -2360 -3111 9764 -170 -5245 -2085 -708 -1110 -3760 -2578 -109 1108 -367 -1667 1574 2413 -1557 -982 6120 4168 -1613 -1223 -2952 -175 -491 -1046 -1592 -258 1741 -6245 -2307 -2354 1024 2226 662 -704 5200 -1086 -5830 -3217 972 121 2365 -362 -1312 1494 1595 295 6681 3508 2008 -2528 5765 -5681 872 -693 -364 -10214 6054 5151 4890 2106 -4442 -4597 -404 -1813 -2033 5256
+5143 -1378 -509 1068 792 -267 3749 1652 -898 2268 -378 -3776 1496 -2269 -3249 -1997 3843 -721 651 -170 -126 798 -3052 -6240 1829 3873 -6029 -2907 2691 216 -2046 924 -2249 -3110 9784 -98 -5204 -2059 -819 -1199 -3705 -2599 -65 1189 -324 -1613 1657 2340 -1567 -826 6223 4145 -1522 -1091 -2800 -110 -473 -1061 -1568 -183 1818 -6125 -2254 -2477 894 2085 617 -722 5218 -1065 -5885 -3204 1010 222 2347 -396 -1286 1491 1581 268 6707 3509 1999 -2612 5857 -5706 836 -721 -400 -10176 6119 5101 4818 2040 -4387 -4551 -360 -1677 -1951 5067
+5108 -1451 -508 1090 837 -279 3840 1581 -1029 2263 -314 -4038 1557 -2162 -3390 -2030 3913 -780 598 -174 -188 778 -3041 -6234 1885 3999 -6082 -2865 2786 220 -2111 884 -2288 -3160 9765 -77 -5219 -2061 -931 -1217 -3707 -2687 -103 1231 -433 -1539 1645 2234 -1528 -630 6263 4073 -1454 -988 -2697 -130 -540 -1096 -1592 -176 1875 -5987 -2103 -2490 1025 2034 553 -922 5160 -996 -5849 -3166 867 218 2295 -362 -1217 1449 1531 173 6561 3460 2021 -2647 5844 -5692 912 -647 -299 -10198 6119 5110 4828 2129 -4308 -4548 -373 -1681 -1910 4950
+5258 -1363 -545 1138 860 -407 3779 1559 -1109 2226 -199 -4158 1677 -2197 -3529 -1987 4005 -828 575 -220 -209 724 -3109 -6038 1886 4092 -6199 -2817 2916 264 -2065 743 -2438 -3240 9705 -143 -5224 -2048 -981 -1191 -3850 -2774 -109 1393 -619 -1571 1623 2170 -1487 -670 6250 4101 -1357 -944 -2703 -290 -566 -1068 -1611 -231 1837 -6032 -2023 -2401 1280 2043 487 -1047 5189 -908 -5797 -3161 808 181 2291 -308 -1214 1358 1472 147 6430 3428 2030 -2656 5684 -5579 1038 -648 -262 -10251 6079 5133 4935 2307 -4362 -4620 -352 -1746 -2063 5039
+5374 -1252 -532 1095 827 -477 3726 1634 -1061 2241 -19 -4172 1782 -2382 -3571 -1972 3989 -790 558 -225 -162 660 -3169 -5884 1865 4183 -6239 -2786 2967 231 -2020 663 -2551 -3271 9670 -197 -5260 -2085 -946 -1166 -3994 -2805 -161 1484 -692 -1596 1586 2175 -1430 -894 6183 4213 -1392 -1012 -2735 -341 -610 -1056 -1611 -262 1796 -6152 -2143 -2277 1426 2160 535 -1000 5198 -934 -5804 -3227 875 100 2349 -243 -1282 1300 1457 219 6457 3387 1940 -2716 5575 -5653 1041 -588 -219 -10426 6072 5252 5052 2400 -4377 -4665 -390 -1902 -2215 5158
+5399 -1251 -492 1020 699 -442 3701 1670 -966 2261 85 -4154 1930 -2561 -3466 -1900 3901 -678 586 -199 -118 685 -3175 -5833 1714 4056 -6117 -2798 2791 217 -1978 735 -2532 -3220 9663 -247 -5301 -2122 -869 -1154 -4043 -2751 -127 1606 -620 -1647 1611 2240 -1423 -1066 6112 4331 -1500 -1140 -2815 -261 -543 -1000 -1577 -242 1774 -6397 -2353 -2305 1383 2230 628 -790 5243 -1032 -5853 -3282 1102 75 2411 -235 -1345 1301 1516 329 6567 3394 1874 -2747 5631 -5764 987 -538 -246 -10485 6116 5319 5102 2395 -4372 -4659 -405 -1974 -2248 5220
+5273 -1400 -379 965 627 -360 3733 1647 -934 2242 81 -4129 1969 -2543 -3403 -1958 3833 -661 594 -225 -104 701 -3122 -5887 1519 3965 -5989 -2886 2570 180 -1975 833 -2438 -3177 9705 -345 -5353 -2178 -796 -1231 -4041 -2708 -127 1602 -559 -1687 1637 2174 -1383 -1029 6151 4371 -1672 -1287 -2862 -105 -479 -990 -1504 -188 1810 -6431 -2419 -2264 1215 2143 679 -677 5269 -1100 -5860 -3287 1249 39 2449 -345 -1313 1401 1543 237 6565 3397 1871 -2774 5760 -5763 950 -562 -374 -10467 6145 5358 5082 2421 -4231 -4606 -446 -1953 -2051 5137
+5065 -1510 -394 908 585 -308 3681 1559 -956 2199 -28 -4182 1928 -2381 -3433 -2041 3739 -737 494 -260 -136 656 -3043 -5951 1382 3820 -6060 -3006 2447 291 -2066 914 -2381 -3220 9757 -300 -5396 -2246 -833 -1375 -4004 -2731 -142 1527 -587 -1690 1650 2070 -1358 -885 6171 4315 -1732 -1372 -2866 61 -407 -970 -1457 -164 1805 -6379 -2275 -2193 1173 2015 648 -758 5160 -1119 -5781 -3225 1182 93 2388 -448 -1320 1471 1555 115 6499 3384 1960 -2680 5884 -5704 1009 -536 -383 -10316 6124 5342 4984 2363 -4204 -4553 -431 -1829 -1898 5035
+5082 -1520 -422 872 594 -323 3661 1580 -985 2178 -157 -4147 1831 -2133 -3475 -2138 3731 -856 393 -294 -200 623 -3012 -5977 1348 3830 -6197 -3061 2491 433 -2070 862 -2421 -3288 9848 -296 -5450 -2309 -933 -1514 -3910 -2778 -231 1475 -718 -1675 1617 2047 -1401 -880 6121 4201 -1655 -1367 -2901 35 -447 -1024 -1523 -230 1832 -6242 -2085 -2060 1324 2021 607 -958 5039 -1061 -5669 -3166 982 109 2209 -584 -1291 1508 1523 -37 6330 3326 2008 -2643 5883 -5576 1124 -519 -362 -10164 6068 5271 4944 2364 -4181 -4511 -421 -1783 -1856 4999
+5270 -1387 -463 947 666 -374 3646 1611 -982 2183 -257 -4062 1667 -2057 -3499 -2205 3823 -857 417 -287 -182 674 -3071 -5944 1376 3809 -6248 -3032 2581 520 -2000 842 -2463 -3348 9862 -229 -5435 -2329 -1051 -1605 -3813 -2810 -301 1532 -812 -1645 1622 2129 -1379 -1040 6080 4164 -1562 -1381 -2940 3 -435 -1053 -1586 -319 1708 -6304 -2127 -2044 1456 2166 630 -1029 5032 -995 -5619 -3157 886 124 2055 -645 -1190 1578 1546 25 6297 3285 1997 -2680 5674 -5458 1114 -605 -325 -10100 5903 5194 4913 2250 -4286 -4494 -391 -1705 -1880 5097
+5397 -1275 -396 994 680 -440 3662 1738 -908 2128 -185 -3905 1564 -2219 -3473 -2188 3911 -805 492 -258 -128 689 -3161 -5860 1448 3826 -6150 -2914 2602 429 -1937 894 -2508 -3405 9866 -172 -5395 -2308 -1162 -1584 -3745 -2793 -354 1573 -831 -1638 1653 2290 -1340 -1264 6244 4143 -1495 -1392 -2957 -70 -371 -1016 -1659 -419 1644 -6454 -2406 -2159 1481 2291 639 -953 5151 -953 -5688 -3302 905 77 1980 -637 -1063 1639 1604 258 6431 3285 1967 -2745 5541 -5395 998 -699 -382 -10114 5863 5203 5006 2228 -4558 -4609 -393 -1758 -2007 5272
+5371 -1337 -314 1050 619 -569 3726 1754 -971 2018 -18 -3898 1544 -2458 -3411 -2126 3971 -702 567 -238 -129 720 -3288 -5835 1564 3863 -6059 -2863 2563 255 -1972 924 -2488 -3470 9867 -154 -5341 -2240 -1196 -1440 -3869 -2677 -259 1582 -690 -1681 1588 2375 -1379 -1293 6419 4068 -1500 -1390 -2923 -54 -222 -896 -1666 -501 1621 -6505 -2584 -2297 1314 2210 572 -875 5358 -927 -5783 -3387 1036 -69 2006 -650 -884 1712 1664 406 6572 3284 1922 -2794 5532 -5427 888 -787 -507 -10145 5825 5217 5048 2188 -4654 -4655 -401 -1850 -2083 5357
+5133 -1451 -336 1040 586 -627 3673 1708 -1031 1883 3 -3886 1634 -2660 -3373 -2027 4033 -678 608 -292 -153 685 -3279 -5906 1657 3807 -6021 -2854 2580 154 -2094 876 -2476 -3458 9814 -173 -5345 -2185 -1058 -1374 -3981 -2562 -144 1509 -608 -1742 1557 2304 -1320 -1182 6591 3979 -1513 -1372 -2894 50 -38 -787 -1618 -477 1698 -6347 -2446 -2402 1157 1989 431 -898 5567 -885 -5821 -3406 1120 -195 2124 -513 -811 1695 1649 409 6600 3301 1933 -2790 5605 -5376 886 -800 -596 -10172 5857 5220 5071 2321 -4668 -4743 -460 -1974 -2065 5329
+5035 -1547 -467 1037 619 -626 3638 1685 -1058 1923 -125 -3881 1599 -2733 -3376 -1989 3984 -731 592 -330 -178 693 -3259 -6171 1726 3996 -6073 -2824 2669 177 -2159 807 -2442 -3364 9726 -179 -5309 -2118 -964 -1314 -4028 -2478 10 1440 -543 -1771 1502 2287 -1368 -1091 6542 3886 -1502 -1352 -2872 109 83 -672 -1480 -359 1705 -6127 -2105 -2302 1168 1900 364 -1041 5555 -861 -5727 -3239 1039 -252 2236 -416 -733 1671 1544 161 6392 3240 1923 -2804 5640 -5349 1019 -726 -578 -10111 5880 5189 5024 2441 -4511 -4727 -476 -1955 -2013 5303
+5098 -1484 -555 1017 712 -516 3612 1693 -990 2081 -323 -3823 1551 -2650 -3373 -2018 3991 -740 527 -317 -198 718 -3206 -6378 1727 4118 -6179 -2894 2748 298 -2195 779 -2401 -3312 9636 -144 -5294 -2118 -922 -1268 -3928 -2505 33 1451 -615 -1778 1519 2284 -1370 -1115 6320 3839 -1457 -1333 -2889 126 23 -731 -1428 -276 1693 -6069 -1921 -2243 1223 1989 422 -1094 5388 -880 -5592 -3057 860 -134 2243 -423 -790 1620 1486 -15 6220 3178 1929 -2792 5567 -5324 1132 -654 -500 -10155 5861 5137 4952 2447 -4246 -4627 -461 -1893 -1926 5222
+5231 -1474 -571 974 729 -409 3540 1725 -851 2317 -418 -3759 1481 -2503 -3278 -2063 3965 -788 511 -278 -146 709 -3200 -6346 1628 4155 -6190 -2943 2750 327 -2140 848 -2406 -3293 9582 -57 -5278 -2153 -926 -1295 -3759 -2516 -14 1489 -636 -1730 1505 2408 -1355 -1246 6159 3908 -1425 -1370 -2947 78 -53 -763 -1437 -230 1707 -6259 -1964 -2312 1271 2200 570 -1010 5226 -918 -5521 -2972 746 90 2228 -533 -839 1661 1522 -26 6218 3172 1920 -2905 5406 -5359 1181 -560 -476 -10157 5856 5099 4938 2434 -4096 -4568 -455 -1811 -1832 5257
+5279 -1369 -552 914 684 -334 3553 1700 -768 2395 -266 -3850 1517 -2395 -3184 -2134 3937 -780 452 -188 -109 671 -3266 -6164 1565 4209 -6188 -3025 2666 266 -2083 892 -2400 -3332 9604 -15 -5271 -2183 -903 -1270 -3668 -2570 -144 1455 -591 -1652 1550 2413 -1384 -1224 6130 4033 -1523 -1429 -3012 31 -171 -855 -1479 -235 1695 -6377 -2260 -2454 1185 2243 646 -838 5210 -930 -5526 -3041 722 155 2269 -695 -977 1698 1606 133 6358 3211 1975 -2952 5335 -5361 1127 -597 -606 -10297 5883 5103 4970 2434 -4064 -4587 -503 -1784 -1696 5215
+5236 -1389 -585 862 590 -368 3616 1651 -806 2298 -1 -4061 1620 -2354 -3220 -2165 3933 -771 426 -198 -127 610 -3301 -6031 1573 4206 -6224 -3055 2650 206 -2058 877 -2429 -3354 9610 -79 -5310 -2222 -863 -1132 -3733 -2556 -232 1285 -549 -1681 1554 2293 -1309 -1186 6146 4106 -1617 -1436 -2999 81 -278 -901 -1477 -264 1637 -6385 -2345 -2574 1097 2184 648 -741 5271 -954 -5630 -3203 802 127 2419 -737 -1091 1709 1639 177 6450 3256 2002 -2993 5367 -5375 1090 -629 -732 -10381 5933 5133 5004 2456 -4145 -4651 -531 -1870 -1841 5217
+5169 -1416 -643 864 564 -464 3683 1564 -973 2160 170 -4222 1764 -2449 -3311 -2148 3933 -776 414 -300 -178 626 -3237 -6132 1634 4232 -6317 -3065 2696 237 -2052 807 -2469 -3296 9657 -211 -5354 -2207 -740 -1017 -3857 -2586 -268 1050 -457 -1695 1521 2081 -1243 -1027 6136 4152 -1659 -1415 -2928 203 -273 -916 -1448 -250 1586 -6185 -2234 -2410 1015 2023 600 -716 5416 -959 -5726 -3324 838 -64 2566 -535 -1200 1609 1583 123 6367 3235 2003 -2952 5431 -5253 1181 -613 -800 -10379 5884 5111 5026 2531 -4323 -4766 -547 -1972 -2027 5195
+5122 -1408 -692 904 623 -476 3763 1498 -1134 2088 190 -4303 1900 -2546 -3450 -2055 3974 -723 466 -410 -260 720 -3079 -6371 1798 4194 -6314 -2962 2774 261 -2014 708 -2489 -3183 9676 -367 -5363 -2147 -617 -1006 -3896 -2619 -190 1004 -445 -1767 1500 1995 -1170 -977 6012 4184 -1649 -1339 -2838 292 -202 -912 -1390 -176 1549 -6172 -1980 -2166 947 1950 595 -713 5420 -1026 -5781 -3238 842 -146 2676 -343 -1221 1481 1457 -26 6247 3145 1941 -2929 5413 -5163 1240 -617 -690 -10321 5839 5047 4987 2448 -4499 -4779 -483 -2052 -2313 5186
+5234 -1294 -681 976 713 -463 3832 1480 -1205 2192 48 -4266 1901 -2533 -3466 -1966 3951 -700 543 -465 -294 789 -2994 -6511 1943 4045 -6189 -2826 2784 242 -1935 653 -2532 -3104 9692 -528 -5348 -2076 -531 -920 -3878 -2660 -69 1124 -453 -1783 1498 2066 -1168 -985 6070 4216 -1615 -1251 -2732 340 -179 -909 -1369 -168 1480 -6242 -1970 -2047 978 2017 678 -617 5457 -1067 -5793 -3107 747 -139 2606 -279 -1062 1509 1470 -98 6155 3089 1884 -2940 5368 -5117 1302 -593 -634 -10249 5708 4959 4925 2346 -4570 -4730 -435 -2019 -2315 5104
+5359 -1205 -600 1022 721 -443 3968 1551 -1202 2233 -145 -4158 1851 -2414 -3482 -1981 3922 -651 525 -406 -209 782 -3042 -6369 2009 3833 -6041 -2741 2691 144 -1903 694 -2520 -3105 9676 -618 -5318 -2002 -527 -913 -3838 -2697 17 1298 -573 -1818 1495 2179 -1176 -966 6111 4221 -1484 -1121 -2678 221 -199 -911 -1431 -168 1588 -6424 -2117 -2179 1050 2091 698 -530 5434 -1084 -5749 -2929 697 109 2458 -178 -887 1589 1577 -9 6199 3122 1877 -2958 5340 -5166 1253 -568 -659 -10166 5658 4949 4921 2258 -4565 -4643 -401 -1915 -2195 5028
+5391 -1213 -481 997 651 -426 3964 1598 -1117 2222 -254 -4125 1801 -2216 -3463 -2029 3856 -672 488 -292 -105 782 -3155 -6097 1968 3729 -5961 -2719 2600 83 -1886 733 -2489 -3150 9639 -614 -5309 -1973 -531 -827 -3867 -2718 63 1407 -512 -1763 1525 2183 -1235 -886 6118 4221 -1391 -1029 -2675 43 -235 -927 -1454 -188 1649 -6450 -2233 -2352 1185 2074 665 -513 5426 -1047 -5702 -2883 685 281 2304 -245 -905 1597 1674 131 6231 3177 1990 -2961 5314 -5240 1157 -634 -780 -10168 5717 5015 4909 2230 -4460 -4531 -377 -1849 -2095 4955
+5434 -1208 -523 985 569 -509 3869 1632 -1048 2160 -254 -4063 1744 -2091 -3513 -2078 3830 -771 425 -263 -129 755 -3189 -5962 1884 3681 -6089 -2813 2614 181 -1941 739 -2509 -3201 9608 -553 -5311 -1988 -561 -799 -3988 -2708 16 1347 -528 -1772 1545 2119 -1394 -814 6076 4226 -1359 -998 -2719 -79 -277 -891 -1472 -207 1703 -6270 -2260 -2357 1251 1982 568 -675 5361 -985 -5649 -2942 700 342 2298 -258 -1014 1517 1661 151 6169 3206 2108 -2899 5360 -5184 1109 -657 -808 -10263 5789 5152 4946 2299 -4324 -4469 -380 -1819 -2020 4998
+5349 -1217 -566 1018 602 -553 3806 1690 -986 2120 -190 -3916 1664 -2084 -3524 -2083 3826 -899 469 -353 -252 790 -3094 -6200 1859 3779 -6225 -2878 2739 370 -2017 731 -2493 -3177 9551 -307 -5293 -2069 -723 -913 -4022 -2697 -114 1225 -492 -1803 1593 2036 -1436 -843 5995 4301 -1413 -1073 -2798 -149 -316 -874 -1429 -139 1740 -6161 -2136 -2192 1241 1939 590 -754 5292 -1036 -5703 -3102 807 244 2392 -210 -1190 1399 1582 124 6079 3157 2110 -2939 5265 -5120 1197 -626 -733 -10324 5806 5225 4960 2446 -4170 -4470 -427 -1948 -2176 5074
+5262 -1267 -613 1060 709 -492 3735 1755 -936 2219 -137 -3707 1563 -2309 -3530 -2041 3886 -877 545 -443 -338 836 -2942 -6461 1716 3842 -6274 -2968 2767 577 -2060 804 -2382 -3135 9542 -123 -5241 -2099 -817 -1015 -3926 -2690 -166 1173 -460 -1824 1611 2059 -1404 -925 5983 4346 -1560 -1192 -2865 -71 -299 -853 -1333 -32 1676 -6277 -2091 -2028 1175 1995 685 -730 5233 -1122 -5738 -3246 803 76 2404 -263 -1260 1405 1539 86 6073 3072 1964 -3035 5163 -5011 1247 -682 -722 -10293 5832 5272 5059 2579 -4230 -4582 -439 -2036 -2328 5137
+5156 -1378 -550 1065 768 -428 3665 1811 -881 2310 -71 -3536 1552 -2465 -3545 -1971 3990 -790 608 -554 -333 920 -2939 -6549 1638 3816 -6157 -2984 2644 555 -2100 893 -2296 -3135 9495 17 -5268 -2193 -869 -1155 -3816 -2780 -196 1257 -538 -1710 1673 2167 -1402 -829 6034 4290 -1708 -1311 -2917 -18 -302 -878 -1311 -24 1633 -6426 -2050 -2076 1100 2040 683 -677 5341 -1136 -5743 -3307 748 6 2277 -360 -1184 1504 1516 115 6249 3105 1839 -3195 5118 -5072 1235 -627 -632 -10342 5681 5264 5123 2582 -4262 -4640 -416 -2110 -2468 5069
+5206 -1366 -484 1051 761 -440 3612 1792 -810 2308 2 -3523 1603 -2532 -3479 -1840 4032 -730 626 -522 -279 898 -3128 -6419 1614 3825 -6017 -2967 2505 378 -2078 960 -2290 -3219 9468 -34 -5274 -2185 -812 -1234 -3766 -2832 -173 1359 -728 -1662 1709 2193 -1397 -775 6041 4144 -1797 -1348 -2985 -44 -313 -917 -1322 -74 1566 -6481 -2124 -2144 1160 2092 631 -647 5496 -1083 -5717 -3270 744 93 2185 -450 -1080 1620 1550 263 6528 3247 1840 -3296 5178 -5252 1138 -586 -621 -10295 5694 5252 5144 2504 -4323 -4659 -357 -2007 -2459 4983
+5328 -1337 -407 1001 675 -520 3577 1751 -752 2155 -2 -3692 1683 -2435 -3522 -1867 4052 -688 496 -455 -186 852 -3267 -6230 1643 3885 -5947 -2892 2464 240 -2030 944 -2354 -3267 9395 -200 -5358 -2197 -699 -1155 -3910 -2812 -124 1412 -795 -1638 1707 2140 -1528 -805 6055 4072 -1752 -1344 -3022 -160 -373 -1003 -1487 -233 1638 -6368 -2126 -2321 1226 2056 484 -779 5616 -952 -5638 -3166 793 160 2150 -463 -1022 1678 1602 327 6624 3357 1925 -3276 5297 -5332 1117 -537 -668 -10247 5726 5179 5083 2479 -4344 -4677 -364 -1942 -2312 5027
+5427 -1356 -388 978 678 -637 3612 1683 -823 2080 -172 -3939 1792 -2303 -3494 -1857 4042 -768 451 -425 -203 801 -3214 -6265 1760 4036 -6020 -2816 2624 238 -1926 811 -2472 -3251 9424 -382 -5418 -2196 -648 -1063 -4069 -2747 -47 1509 -733 -1701 1692 2023 -1627 -875 5982 4019 -1684 -1321 -3015 -268 -416 -1029 -1593 -319 1694 -6165 -2117 -2323 1191 2031 467 -873 5579 -883 -5574 -3017 934 137 2245 -412 -1089 1593 1586 349 6516 3355 2008 -3196 5379 -5345 1120 -531 -599 -10260 5776 5106 4938 2369 -4295 -4626 -378 -1838 -2180 5117
+5399 -1289 -372 1007 710 -612 3693 1667 -862 2083 -320 -3983 1800 -2212 -3428 -1929 4010 -839 396 -414 -259 885 -3035 -6488 1880 4184 -6077 -2784 2827 330 -1860 719 -2498 -3126 9524 -454 -5397 -2163 -743 -1005 -4109 -2671 12 1663 -603 -1786 1722 2060 -1617 -967 6036 3961 -1620 -1289 -2974 -254 -332 -953 -1626 -352 1744 -6132 -2156 -2255 960 2035 529 -906 5434 -921 -5588 -2967 1055 71 2364 -307 -1149 1510 1599 368 6350 3272 1974 -3237 5308 -5206 1248 -515 -562 -10275 5838 5069 4885 2333 -4284 -4627 -439 -1834 -2077 5215
+5218 -1393 -346 982 762 -493 3816 1630 -946 2242 -357 -4041 1799 -2256 -3338 -2013 3996 -838 415 -418 -315 1011 -2899 -6627 1926 4123 -6104 -2821 2891 382 -1842 673 -2438 -2992 9683 -438 -5392 -2154 -869 -1084 -3979 -2643 0 1765 -517 -1836 1756 2163 -1522 -921 6172 3905 -1576 -1275 -2947 -201 -237 -904 -1611 -325 1772 -6207 -2222 -2297 838 2036 591 -810 5393 -992 -5642 -2987 1152 -109 2417 -431 -1142 1542 1689 391 6265 3180 1846 -3297 5260 -5090 1251 -572 -538 -10272 5912 5062 4890 2296 -4361 -4675 -521 -1897 -2028 5229
+5095 -1464 -340 944 800 -375 3901 1599 -1036 2319 -172 -4122 1782 -2395 -3233 -2027 3986 -800 444 -465 -301 1062 -2948 -6583 1826 4006 -6084 -2914 2767 273 -1880 694 -2402 -2983 9781 -476 -5411 -2191 -1026 -1251 -3798 -2703 -137 1873 -634 -1750 1833 2171 -1384 -810 6325 3748 -1538 -1256 -2952 -131 -59 -789 -1511 -280 1723 -6310 -2163 -2444 917 2069 573 -678 5599 -960 -5625 -3037 1075 -198 2335 -540 -1122 1598 1754 436 6277 3130 1820 -3306 5278 -5080 1195 -590 -573 -10319 5954 5074 4927 2256 -4468 -4714 -563 -2013 -2121 5127
+5133 -1489 -382 843 777 -291 3915 1608 -1030 2286 80 -4182 1751 -2410 -3259 -2042 3993 -700 451 -510 -272 1065 -3122 -6401 1691 3949 -6090 -2985 2650 152 -1920 686 -2476 -3000 9786 -577 -5490 -2246 -970 -1312 -3661 -2773 -213 1882 -741 -1642 1879 2059 -1260 -746 6358 3700 -1467 -1237 -3008 -258 -85 -774 -1487 -301 1662 -6354 -2099 -2486 1144 2066 514 -627 5805 -882 -5535 -3046 912 -205 2239 -605 -1197 1570 1746 466 6268 3184 1919 -3164 5213 -5185 1162 -520 -646 -10342 6055 5143 4996 2313 -4484 -4710 -552 -2149 -2245 5116
+5315 -1377 -418 794 763 -285 3843 1656 -919 2324 151 -4245 1765 -2381 -3273 -2012 4034 -559 475 -533 -165 1014 -3211 -6240 1498 3937 -6068 -3021 2604 242 -1925 693 -2565 -3024 9738 -680 -5539 -2271 -833 -1312 -3616 -2714 -262 1822 -839 -1618 1875 1960 -1293 -912 6261 3755 -1432 -1252 -3056 -305 -170 -864 -1539 -346 1669 -6286 -1983 -2441 1270 2054 476 -712 5809 -818 -5374 -2941 717 -245 2195 -627 -1243 1546 1719 469 6219 3221 2033 -2946 5266 -5304 1196 -423 -627 -10392 6115 5192 4995 2348 -4447 -4670 -550 -2252 -2273 5216
+5459 -1356 -469 774 703 -324 3731 1757 -832 2359 21 -4172 1780 -2303 -3413 -1969 4088 -519 483 -557 -192 996 -3204 -6268 1454 3977 -6094 -3005 2669 364 -1890 649 -2647 -3026 9684 -720 -5537 -2268 -687 -1285 -3657 -2661 -367 1681 -730 -1591 1844 1866 -1407 -1026 6089 3950 -1482 -1340 -3118 -306 -329 -962 -1608 -280 1836 -6332 -2075 -2256 1176 2061 616 -665 5595 -880 -5311 -2821 698 -244 2267 -553 -1315 1524 1687 431 6132 3220 2082 -2854 5238 -5226 1254 -408 -528 -10484 6121 5223 4975 2393 -4315 -4612 -583 -2329 -2102 5310
+5390 -1309 -425 782 718 -309 3764 1844 -811 2405 -185 -4029 1767 -2277 -3428 -1940 4131 -575 488 -587 -216 1032 -3129 -6404 1473 4018 -6028 -2944 2700 472 -1887 685 -2635 -2991 9671 -613 -5482 -2238 -626 -1235 -3715 -2596 -375 1616 -583 -1711 1795 1924 -1393 -1130 6052 4107 -1563 -1377 -3049 -172 -504 -1118 -1640 -216 1948 -6337 -2223 -2147 975 2046 770 -521 5396 -1000 -5390 -2799 771 -258 2454 -551 -1339 1545 1696 364 6204 3301 2071 -2909 5219 -5194 1290 -424 -437 -10464 5972 5187 4958 2393 -4240 -4583 -577 -2193 -1865 5226
+5260 -1385 -402 815 744 -352 3768 1821 -864 2437 -375 -3873 1814 -2325 -3431 -1947 4117 -719 423 -625 -311 994 -3071 -6521 1528 4013 -5920 -2924 2636 428 -1933 751 -2566 -3023 9658 -415 -5405 -2217 -656 -1136 -3764 -2612 -338 1606 -498 -1840 1753 1982 -1248 -1046 5983 4174 -1650 -1416 -2988 17 -461 -1113 -1645 -255 1906 -6423 -2306 -2107 964 2051 838 -358 5426 -1051 -5508 -2866 790 -167 2571 -553 -1253 1607 1694 246 6301 3310 1960 -3148 5273 -5172 1166 -509 -349 -10514 5857 5208 5011 2362 -4213 -4574 -561 -2070 -1809 5001
+5282 -1299 -466 912 823 -418 3762 1701 -982 2325 -347 -3763 1834 -2445 -3415 -1981 4091 -899 361 -634 -386 977 -3106 -6502 1569 3884 -5851 -2925 2488 340 -2004 859 -2485 -3147 9673 -228 -5316 -2152 -715 -1098 -3804 -2638 -196 1674 -546 -1908 1682 2063 -1118 -1007 5995 4111 -1634 -1326 -2890 121 -391 -1063 -1614 -331 1726 -6463 -2179 -2141 1156 2066 764 -299 5592 -1039 -5596 -2872 782 -179 2592 -581 -1221 1646 1703 138 6328 3338 1948 -3247 5398 -5334 969 -598 -336 -10459 5750 5202 5042 2333 -4246 -4578 -466 -1932 -2010 4744
+5382 -1222 -524 985 796 -536 3832 1686 -1004 2113 -166 -3744 1803 -2557 -3411 -2040 4067 -990 317 -601 -389 934 -3178 -6480 1632 3832 -5926 -2904 2461 350 -2019 891 -2444 -3272 9572 -216 -5292 -2101 -792 -978 -3821 -2667 -73 1653 -669 -1851 1578 2050 -1075 -997 5993 4163 -1629 -1288 -2800 210 -260 -1026 -1594 -376 1574 -6483 -2067 -2136 1287 2064 697 -296 5762 -996 -5575 -2791 667 -187 2563 -567 -1284 1540 1671 115 6270 3333 1953 -3196 5474 -5412 918 -570 -376 -10477 5700 5241 5041 2369 -4299 -4615 -436 -1867 -2170 4635
+5548 -1109 -582 1034 798 -676 3776 1644 -1011 1970 -33 -3689 1689 -2560 -3410 -2013 4106 -951 340 -584 -377 897 -3248 -6418 1723 3838 -6077 -2890 2545 388 -2022 852 -2472 -3373 9537 -226 -5274 -2061 -776 -953 -3828 -2678 -29 1600 -666 -1810 1512 1989 -1076 -1122 5953 4286 -1504 -1213 -2759 124 -254 -1036 -1645 -347 1633 -6511 -2143 -2039 1251 2043 686 -343 5728 -1037 -5547 -2637 657 -225 2500 -468 -1370 1427 1668 253 6222 3305 1990 -3020 5411 -5387 999 -514 -353 -10428 5743 5270 5043 2471 -4331 -4660 -441 -2023 -2397 4726
+5564 -1074 -581 1067 729 -691 3767 1683 -970 1979 2 -3677 1475 -2538 -3355 -1950 4168 -772 383 -556 -276 961 -3310 -6488 1786 3877 -6198 -2847 2676 513 -1934 800 -2454 -3315 9482 -359 -5256 -1992 -721 -917 -3785 -2576 -39 1437 -529 -1835 1496 2098 -1282 -1202 6014 4404 -1486 -1192 -2696 113 -344 -1100 -1701 -310 1830 -6514 -2252 -2056 972 1977 746 -347 5574 -1126 -5542 -2600 728 -237 2444 -422 -1434 1359 1653 400 6297 3277 1934 -2947 5339 -5240 1147 -456 -315 -10352 5720 5242 5036 2572 -4378 -4724 -483 -2098 -2325 4804
+5399 -1137 -558 1068 687 -643 3836 1720 -942 2116 -82 -3715 1344 -2407 -3319 -1899 4169 -654 404 -567 -188 1009 -3236 -6534 1790 3903 -6211 -2866 2707 479 -1892 788 -2413 -3189 9496 -400 -5253 -1980 -688 -979 -3776 -2541 -167 1313 -401 -1858 1546 2203 -1301 -1195 6123 4424 -1408 -1146 -2662 51 -473 -1102 -1666 -201 1989 -6408 -2298 -2201 838 1956 765 -356 5475 -1183 -5605 -2731 817 -145 2473 -418 -1346 1419 1629 473 6517 3325 1907 -2954 5406 -5158 1159 -497 -304 -10318 5729 5223 5041 2556 -4349 -4714 -492 -2108 -2180 4816
+5276 -1224 -547 1047 687 -542 3851 1650 -948 2257 -165 -3821 1402 -2299 -3343 -1898 4163 -586 380 -574 -202 1037 -3165 -6614 1758 3947 -6141 -2925 2635 347 -1902 742 -2375 -3039 9547 -532 -5278 -1984 -534 -980 -3766 -2542 -189 1176 -333 -1863 1610 2314 -1295 -1098 6115 4368 -1348 -1132 -2694 22 -506 -1054 -1610 -182 1999 -6233 -2186 -2374 950 1953 667 -458 5515 -1151 -5699 -3046 849 -33 2492 -354 -1151 1514 1577 396 6613 3324 1826 -3072 5666 -5136 1002 -610 -307 -10320 5862 5235 5043 2419 -4363 -4677 -488 -2111 -2092 4680
+5319 -1204 -556 1041 682 -448 3880 1570 -926 2362 -170 -4006 1652 -2220 -3364 -1935 4122 -651 312 -594 -220 1045 -3097 -6682 1820 4028 -6062 -2915 2639 257 -1890 698 -2399 -2923 9577 -651 -5364 -2033 -431 -962 -3679 -2577 -233 1128 -455 -1771 1654 2287 -1249 -1053 6032 4291 -1380 -1191 -2765 35 -403 -955 -1494 -199 1782 -6241 -1947 -2326 1203 1995 572 -506 5628 -1082 -5725 -3255 721 47 2518 -220 -1044 1511 1489 266 6590 3367 1897 -3065 5793 -5168 903 -665 -438 -10354 5962 5249 5032 2315 -4318 -4619 -485 -2035 -2034 4572
+5397 -1258 -541 1015 661 -465 3832 1555 -901 2393 -112 -4098 1962 -2218 -3447 -1966 4107 -749 257 -578 -224 988 -3090 -6529 1797 4216 -6096 -2878 2763 274 -1863 655 -2542 -2862 9579 -690 -5430 -2113 -404 -1000 -3665 -2679 -169 1189 -598 -1721 1638 2204 -1163 -1041 5898 4352 -1505 -1306 -2863 86 -378 -918 -1382 -154 1581 -6293 -1870 -2321 1260 2082 564 -589 5695 -1036 -5701 -3276 613 14 2468 -150 -1054 1507 1431 118 6442 3352 1924 -3002 5732 -5150 923 -661 -533 -10363 6084 5226 4973 2315 -4208 -4596 -605 -2178 -2044 4604
+5397 -1244 -522 990 575 -539 3801 1642 -882 2417 -18 -4090 2088 -2344 -3476 -2022 4028 -831 215 -488 -207 920 -3144 -6318 1858 4300 -6111 -2810 2900 351 -1842 611 -2652 -2927 9634 -623 -5424 -2141 -454 -995 -3693 -2750 -57 1288 -614 -1735 1570 2157 -1202 -1039 5892 4335 -1665 -1412 -2915 67 -432 -964 -1396 -124 1605 -6425 -1962 -2190 1005 2052 597 -618 5631 -1067 -5613 -3029 632 -13 2442 -85 -1049 1480 1443 130 6510 3383 1949 -2906 5607 -5060 1077 -557 -471 -10379 6154 5202 4985 2393 -4208 -4651 -713 -2323 -2027 4759
+5413 -1245 -457 958 577 -584 3812 1716 -972 2432 43 -4112 2011 -2410 -3535 -2018 4001 -844 243 -458 -189 924 -3216 -6175 1867 4235 -6098 -2753 2854 280 -1865 670 -2672 -3001 9664 -466 -5367 -2138 -557 -1007 -3744 -2776 -3 1435 -515 -1873 1508 2147 -1268 -1142 5945 4292 -1776 -1477 -2912 51 -518 -1058 -1453 -113 1677 -6355 -2135 -2219 785 1966 592 -639 5652 -1058 -5565 -2825 761 35 2365 -128 -1091 1486 1491 178 6641 3433 1983 -2852 5395 -5015 1221 -486 -426 -10343 6033 5107 4965 2415 -4192 -4692 -818 -2515 -2020 4872
+5315 -1315 -381 915 564 -598 3921 1827 -1036 2336 110 -4118 1875 -2352 -3582 -1931 4035 -808 342 -553 -245 999 -3233 -6256 1864 4028 -6068 -2847 2699 215 -1953 784 -2566 -3079 9729 -282 -5300 -2108 -660 -1036 -3828 -2754 -28 1472 -396 -1957 1540 2217 -1342 -1102 6021 4160 -1755 -1428 -2878 52 -528 -1053 -1514 -143 1764 -6141 -2151 -2312 755 1915 539 -623 5710 -1025 -5540 -2762 884 60 2347 -216 -1056 1495 1509 202 6771 3462 1914 -2888 5465 -5053 1156 -482 -314 -10355 6003 5089 4999 2368 -4282 -4718 -784 -2599 -2110 4915
+5337 -1285 -428 913 680 -548 3969 1768 -1141 2263 55 -4223 1730 -2289 -3603 -1850 4040 -710 463 -639 -272 1081 -3185 -6475 1865 3816 -6052 -2938 2574 191 -2035 856 -2417 -3110 9719 -233 -5217 -1992 -656 -1092 -3920 -2731 3 1504 -358 -1935 1651 2247 -1364 -1038 6016 3915 -1703 -1352 -2824 55 -407 -968 -1596 -264 1799 -5984 -2066 -2337 945 1930 505 -558 5836 -962 -5550 -2836 928 137 2297 -231 -1022 1462 1421 63 6764 3430 1872 -2901 5682 -5166 1011 -521 -343 -10405 5857 5034 4940 2299 -4313 -4684 -680 -2479 -2130 4872
+5358 -1270 -493 866 781 -449 4011 1741 -1113 2127 -48 -4190 1680 -2169 -3638 -1800 4073 -665 457 -689 -279 1100 -3156 -6562 1912 3735 -6142 -2965 2676 290 -2068 814 -2338 -3086 9611 -281 -5224 -1963 -645 -1071 -3921 -2735 -13 1503 -507 -1806 1741 2191 -1330 -909 6029 3806 -1652 -1301 -2801 83 -245 -821 -1573 -365 1686 -6011 -1985 -2299 1096 2019 525 -506 5786 -944 -5525 -2913 888 146 2344 -193 -1090 1350 1320 -45 6669 3403 1881 -2863 5793 -5295 861 -588 -347 -10515 5860 5081 4905 2278 -4293 -4630 -593 -2376 -2155 4841
+5337 -1379 -490 829 757 -403 3897 1761 -972 2070 -125 -3980 1685 -2154 -3615 -1771 4118 -657 443 -611 -253 1062 -3264 -6342 1869 3813 -6253 -2934 2833 465 -2011 725 -2346 -3070 9472 -309 -5238 -1974 -671 -1215 -3830 -2791 -84 1501 -653 -1656 1766 2094 -1300 -857 6134 3829 -1742 -1359 -2852 69 -79 -688 -1497 -354 1618 -6272 -2005 -2231 1051 2064 627 -454 5652 -971 -5451 -2927 735 19 2258 -120 -1074 1330 1304 -35 6580 3331 1834 -2851 5868 -5267 899 -543 -283 -10608 5817 5106 4867 2377 -4198 -4599 -597 -2290 -2097 4844
+5307 -1396 -472 781 761 -391 3755 1829 -789 2091 -188 -3644 1747 -2296 -3534 -1825 4110 -736 407 -506 -173 1002 -3355 -6018 1780 3876 -6224 -2876 2902 541 -1933 690 -2372 -3123 9388 -326 -5280 -2029 -725 -1303 -3747 -2779 -130 1539 -723 -1584 1753 2050 -1344 -941 6207 3879 -1743 -1373 -2898 69 -31 -672 -1445 -324 1628 -6417 -2086 -2213 939 1991 672 -477 5585 -974 -5370 -2907 659 -117 2273 -131 -1070 1382 1334 -13 6560 3350 1884 -2839 5764 -5208 1011 -465 -148 -10546 5736 5094 4854 2450 -4097 -4558 -604 -2252 -2083 4782
+5313 -1369 -491 785 782 -445 3664 1873 -709 2159 -165 -3428 1783 -2471 -3436 -1877 4113 -838 351 -462 -190 964 -3393 -5917 1710 3851 -6067 -2791 2759 387 -1918 717 -2373 -3131 9351 -246 -5329 -2127 -844 -1349 -3701 -2785 -214 1575 -696 -1595 1752 2076 -1403 -1005 6282 3942 -1697 -1369 -2962 -11 -137 -734 -1407 -236 1688 -6327 -2108 -2188 920 1877 641 -457 5668 -952 -5295 -2834 687 -204 2371 -184 -1070 1448 1416 41 6653 3381 1897 -2819 5661 -5170 1090 -433 -141 -10472 5577 5103 4926 2510 -4136 -4581 -563 -2221 -2220 4820
+5279 -1277 -482 823 827 -430 3660 1851 -741 2153 -15 -3511 1747 -2548 -3368 -1929 4054 -920 323 -544 -240 943 -3281 -6156 1652 3902 -5882 -2810 2544 220 -1978 809 -2328 -3192 9458 -150 -5350 -2192 -927 -1385 -3762 -2737 -279 1567 -645 -1641 1809 2166 -1547 -991 6227 3946 -1672 -1348 -2984 -66 -299 -825 -1461 -252 1727 -6226 -2032 -2182 1072 1845 615 -392 5801 -949 -5326 -2805 842 -220 2537 -211 -1115 1472 1434 -64 6627 3395 1892 -2827 5781 -5248 1065 -385 -137 -10394 5579 5145 5037 2525 -4159 -4590 -500 -2218 -2391 4799
+5290 -1315 -542 853 885 -455 3639 1721 -818 2114 85 -3712 1660 -2493 -3411 -1960 4070 -930 316 -610 -309 932 -3138 -6355 1545 3944 -5851 -2849 2429 156 -2044 849 -2341 -3187 9570 -76 -5351 -2239 -921 -1350 -3944 -2693 -254 1596 -606 -1729 1781 2265 -1589 -917 6112 3996 -1715 -1351 -2998 -37 -332 -835 -1499 -284 1700 -6206 -2016 -2101 1190 1934 643 -286 5842 -969 -5358 -2726 913 -215 2706 -318 -1142 1460 1391 -265 6468 3332 1852 -2769 5875 -5292 983 -403 -227 -10369 5611 5199 5088 2503 -4174 -4597 -479 -2248 -2422 4790
+5288 -1266 -549 878 912 -527 3597 1589 -911 2063 132 -3927 1488 -2382 -3510 -2021 4087 -846 263 -653 -302 881 -3179 -6427 1475 4048 -6025 -2964 2507 277 -2090 840 -2394 -3192 9649 -158 -5350 -2233 -862 -1322 -4066 -2641 -183 1621 -715 -1763 1737 2249 -1671 -756 6064 4054 -1867 -1385 -2957 93 -330 -823 -1485 -325 1595 -6417 -2054 -2017 1160 2042 772 -196 5689 -1064 -5438 -2647 932 -159 2713 -368 -1191 1419 1372 -338 6344 3273 1806 -2688 5995 -5239 927 -477 -274 -10374 5786 5299 5118 2525 -4181 -4622 -488 -2290 -2275 4741
+5342 -1347 -492 915 845 -644 3649 1541 -971 2069 50 -3992 1423 -2297 -3519 -2024 4116 -738 214 -597 -219 841 -3382 -6219 1471 4159 -6218 -3002 2670 444 -2034 786 -2503 -3193 9698 -263 -5349 -2185 -763 -1380 -4066 -2649 -86 1714 -774 -1739 1589 2232 -1618 -703 5978 4131 -1943 -1377 -2897 267 -247 -847 -1457 -315 1546 -6587 -2022 -2021 1015 2061 823 -252 5502 -1107 -5446 -2540 863 -207 2574 -460 -1177 1456 1455 -152 6398 3238 1790 -2666 5975 -5018 1054 -465 -316 -10354 5858 5288 5088 2573 -4176 -4653 -557 -2255 -2057 4686
+5312 -1325 -395 970 799 -711 3729 1586 -1009 2248 -77 -4029 1482 -2297 -3449 -2042 4102 -612 217 -475 -123 841 -3519 -5939 1486 4102 -6251 -2996 2693 520 -1987 813 -2578 -3208 9676 -369 -5356 -2155 -739 -1409 -3916 -2620 -78 1690 -800 -1699 1558 2204 -1551 -812 5887 4144 -1924 -1296 -2799 316 -215 -861 -1484 -328 1568 -6563 -2030 -2059 905 1976 779 -367 5534 -1055 -5441 -2544 730 -348 2373 -562 -1108 1546 1547 107 6643 3332 1837 -2704 5921 -5001 1152 -420 -228 -10309 5929 5272 5081 2551 -4106 -4624 -617 -2282 -1954 4614
+5339 -1275 -343 998 785 -742 3843 1662 -1083 2370 -186 -4066 1712 -2436 -3302 -2057 4093 -626 263 -401 -120 877 -3496 -6016 1515 4101 -6207 -3051 2603 449 -1959 872 -2529 -3250 9687 -445 -5347 -2108 -722 -1337 -3812 -2529 -55 1631 -699 -1638 1625 2296 -1593 -897 5871 4210 -1845 -1223 -2701 282 -234 -910 -1507 -303 1606 -6359 -1984 -2250 910 1922 627 -513 5741 -951 -5456 -2672 695 -521 2261 -553 -975 1622 1587 233 6746 3424 1930 -2735 5934 -5028 1092 -449 -211 -10210 5930 5170 5030 2490 -4215 -4679 -682 -2306 -1939 4641
+5340 -1199 -376 1032 753 -637 4020 1707 -1141 2414 -174 -4183 1973 -2539 -3225 -2023 4095 -721 350 -405 -191 990 -3311 -6220 1604 3946 -6048 -3005 2532 355 -1961 920 -2451 -3225 9660 -409 -5329 -2069 -739 -1216 -3802 -2450 -118 1540 -602 -1660 1754 2389 -1592 -920 5829 4217 -1644 -1111 -2694 134 -313 -945 -1598 -329 1692 -6228 -2099 -2345 950 1913 544 -473 5957 -937 -5520 -2874 663 -631 2267 -501 -994 1563 1493 179 6712 3403 1908 -2852 5899 -5222 1034 -369 -210 -10181 5943 5102 4983 2388 -4353 -4728 -715 -2359 -2134 4711
+5307 -1244 -436 973 748 -535 4012 1659 -1166 2314 -120 -4312 2067 -2560 -3310 -2052 4104 -830 364 -450 -246 927 -3146 -6320 1648 3958 -6007 -2951 2600 331 -2035 882 -2389 -3206 9657 -354 -5277 -2030 -781 -1123 -3830 -2397 -197 1407 -571 -1664 1824 2438 -1615 -864 5889 4248 -1574 -1082 -2686 42 -339 -915 -1606 -356 1678 -6344 -2241 -2405 935 2028 602 -370 5977 -1019 -5585 -3012 608 -605 2425 -474 -1018 1514 1387 41 6611 3354 1822 -2919 5819 -5281 1004 -318 -236 -10330 5913 5080 4960 2359 -4438 -4780 -765 -2396 -2191 4735
+5288 -1346 -448 917 681 -431 3957 1666 -1094 2187 37 -4309 2037 -2510 -3382 -1994 4108 -925 369 -434 -232 881 -3177 -6170 1631 4069 -6027 -2894 2760 347 -2026 786 -2388 -3162 9639 -206 -5256 -2055 -790 -1113 -3825 -2446 -233 1345 -567 -1712 1753 2387 -1613 -765 6036 4268 -1528 -1099 -2757 -30 -367 -853 -1538 -321 1693 -6474 -2184 -2397 908 2094 690 -370 5796 -1105 -5583 -3029 489 -438 2532 -474 -1012 1528 1315 -103 6574 3315 1744 -2955 5749 -5262 1032 -312 -225 -10466 5913 5093 4964 2393 -4450 -4789 -750 -2454 -2244 4753
+5327 -1360 -467 863 618 -488 3794 1754 -957 2150 99 -4126 1799 -2391 -3457 -1935 4123 -849 385 -441 -146 797 -3344 -5920 1592 4133 -6078 -2876 2847 302 -2025 698 -2439 -3209 9596 -119 -5255 -2087 -790 -1148 -3756 -2518 -200 1379 -619 -1727 1639 2267 -1544 -829 6062 4250 -1525 -1164 -2829 -34 -391 -848 -1440 -200 1682 -6460 -1994 -2431 957 2058 675 -544 5597 -1097 -5508 -2928 374 -159 2481 -575 -1015 1611 1393 -66 6681 3382 1715 -2995 5675 -5150 1124 -324 -187 -10564 5887 5093 4942 2383 -4404 -4726 -684 -2461 -2225 4634
+5386 -1343 -520 876 563 -599 3721 1849 -845 2157 104 -3964 1605 -2277 -3468 -1945 4111 -757 408 -437 -101 714 -3412 -5757 1496 4124 -6093 -2906 2792 150 -2004 689 -2502 -3260 9547 -89 -5268 -2123 -780 -1166 -3774 -2540 -67 1415 -665 -1774 1477 2207 -1392 -1030 6042 4285 -1584 -1272 -2892 -27 -411 -876 -1357 -94 1678 -6302 -1802 -2423 1008 1990 596 -742 5562 -1001 -5440 -2847 281 -4 2329 -664 -1014 1658 1463 42 6863 3534 1842 -2959 5726 -5103 1169 -307 -112 -10671 5741 5070 4929 2336 -4299 -4615 -570 -2390 -2232 4594
+5392 -1208 -540 875 595 -644 3661 1838 -786 2198 15 -3795 1453 -2265 -3466 -1949 4082 -671 448 -449 -121 821 -3410 -5889 1431 4004 -6044 -2936 2677 71 -2001 735 -2534 -3265 9510 -164 -5302 -2141 -661 -1170 -3754 -2561 5 1424 -580 -1760 1525 2197 -1267 -1147 5874 4360 -1598 -1380 -2977 -52 -474 -950 -1414 -86 1733 -6258 -1826 -2449 1056 1979 564 -699 5756 -903 -5456 -2815 376 -87 2163 -597 -1075 1625 1500 151 6888 3576 1952 -2921 5830 -5177 1100 -284 -103 -10696 5682 5091 4946 2280 -4065 -4462 -510 -2348 -2238 4591
+5321 -1199 -600 848 607 -662 3648 1741 -855 2115 -63 -3659 1503 -2302 -3490 -1992 4055 -722 417 -500 -244 817 -3250 -6059 1458 3911 -6201 -3039 2631 76 -2053 753 -2535 -3271 9520 -274 -5323 -2146 -587 -969 -3912 -2563 75 1315 -529 -1835 1545 2252 -1270 -1097 5749 4371 -1656 -1466 -3004 -71 -505 -1060 -1518 -139 1788 -6278 -2084 -2293 1040 2029 612 -494 5928 -945 -5598 -2861 667 -257 2129 -325 -1194 1454 1486 219 6702 3497 1992 -2861 5865 -5276 1076 -220 -178 -10625 5652 5081 4910 2363 -3931 -4451 -532 -2388 -2301 4684
+5251 -1244 -660 811 674 -608 3678 1612 -939 2015 -170 -3520 1608 -2337 -3457 -2039 4045 -829 393 -495 -291 819 -3172 -6175 1563 3912 -6305 -3020 2682 232 -2102 778 -2492 -3206 9558 -317 -5329 -2132 -581 -865 -4012 -2590 36 1219 -506 -1846 1540 2301 -1277 -957 5733 4229 -1710 -1478 -2968 -108 -551 -1113 -1621 -285 1739 -6431 -2307 -2122 1029 2101 729 -258 5953 -1057 -5704 -2926 847 -427 2232 -172 -1213 1373 1478 233 6565 3374 1880 -2952 5803 -5246 1093 -193 -182 -10615 5656 5145 4951 2490 -3857 -4491 -562 -2420 -2358 4735
+5314 -1288 -680 830 744 -581 3720 1547 -986 1989 -226 -3513 1675 -2373 -3428 -2050 4001 -938 354 -419 -271 799 -3179 -6146 1720 3870 -6313 -2975 2723 397 -2154 858 -2420 -3200 9660 -280 -5291 -2094 -684 -814 -4014 -2651 -41 1145 -502 -1813 1528 2335 -1373 -891 5790 4053 -1738 -1459 -2929 -104 -495 -1054 -1642 -349 1712 -6444 -2238 -2088 1010 2056 754 -207 5895 -1122 -5713 -2981 886 -351 2334 -55 -1251 1317 1477 261 6591 3320 1797 -3018 5745 -5109 1162 -223 -148 -10562 5675 5183 4952 2628 -3840 -4554 -610 -2494 -2448 4652
+5383 -1310 -675 852 792 -562 3765 1621 -981 2154 -213 -3684 1684 -2402 -3390 -2063 3995 -894 352 -294 -164 803 -3230 -6034 1851 3905 -6243 -2885 2739 411 -2112 927 -2371 -3206 9702 -157 -5293 -2110 -834 -960 -3841 -2719 -111 1201 -520 -1699 1488 2330 -1375 -1063 5846 3874 -1673 -1405 -2860 -15 -349 -980 -1628 -380 1658 -6324 -2016 -2097 1064 1973 720 -326 5799 -1070 -5604 -3016 750 -154 2362 -15 -1199 1344 1520 305 6695 3372 1768 -3086 5735 -4959 1218 -257 -120 -10568 5727 5246 5032 2694 -3991 -4620 -549 -2458 -2576 4654
+5407 -1234 -691 896 787 -622 3851 1683 -983 2254 -152 -4013 1764 -2348 -3415 -1968 4037 -858 417 -238 -67 822 -3290 -6053 1970 3882 -6096 -2764 2685 314 -2088 1006 -2320 -3341 9694 -113 -5251 -2085 -960 -1101 -3697 -2774 -81 1227 -565 -1579 1494 2295 -1296 -1196 5840 3902 -1605 -1404 -2862 18 -211 -932 -1592 -251 1786 -6257 -1897 -2176 1022 1898 671 -419 5634 -1017 -5448 -2938 680 128 2442 -66 -1236 1314 1504 257 6710 3485 1903 -2977 5683 -5001 1162 -311 -112 -10440 5743 5230 5038 2564 -4157 -4610 -480 -2434 -2593 4647
+5329 -1220 -710 876 764 -633 3823 1680 -1016 2285 -146 -4210 1770 -2318 -3506 -1949 4052 -757 446 -272 -42 797 -3263 -6138 2026 3943 -6039 -2704 2674 247 -2101 990 -2364 -3458 9705 -112 -5252 -2087 -973 -1162 -3738 -2749 -44 1228 -594 -1567 1533 2361 -1296 -1245 5839 4019 -1525 -1415 -2884 -1 -201 -969 -1591 -187 1931 -6235 -2070 -2304 1046 1976 731 -328 5657 -960 -5393 -2925 718 319 2435 -59 -1374 1236 1419 121 6595 3562 2005 -2949 5624 -5080 1070 -302 -152 -10403 5817 5223 5054 2466 -4220 -4593 -506 -2455 -2465 4706
+5193 -1327 -667 844 695 -602 3834 1552 -1116 2217 -67 -4380 1882 -2304 -3601 -1959 4010 -726 420 -364 -112 758 -3212 -6263 1982 4136 -6140 -2733 2758 282 -2117 877 -2405 -3459 9641 -105 -5257 -2094 -942 -1178 -3900 -2786 -11 1238 -600 -1685 1601 2356 -1275 -1060 5812 4099 -1456 -1425 -2975 -96 -247 -1005 -1601 -153 2011 -6395 -2298 -2261 975 2028 755 -235 5652 -978 -5405 -2870 909 296 2542 -34 -1482 1195 1370 0 6460 3546 2034 -2968 5573 -5098 994 -328 -218 -10274 5973 5236 5041 2394 -4314 -4618 -587 -2443 -2260 4711
+5162 -1353 -632 834 700 -572 3778 1508 -1128 2218 -63 -4177 1915 -2331 -3662 -2035 3972 -689 412 -459 -220 739 -3189 -6404 1913 4274 -6269 -2849 2798 353 -2170 790 -2373 -3370 9598 -95 -5251 -2115 -913 -1103 -4071 -2722 -37 1298 -615 -1791 1609 2374 -1310 -980 5846 4094 -1394 -1395 -3053 -217 -383 -1007 -1623 -221 1951 -6420 -2327 -2188 1035 2020 715 -275 5751 -985 -5472 -2897 1019 135 2577 -81 -1499 1258 1381 -29 6472 3504 1941 -3110 5543 -5064 1008 -321 -169 -10204 6057 5240 4991 2329 -4349 -4643 -689 -2461 -2115 4682
+5312 -1316 -590 860 758 -530 3767 1482 -1111 2339 -47 -3977 1937 -2321 -3572 -2057 3945 -723 480 -504 -316 791 -3228 -6444 1815 4199 -6279 -2957 2698 374 -2177 776 -2318 -3284 9540 -67 -5292 -2184 -882 -1055 -4131 -2773 -68 1375 -586 -1812 1545 2340 -1396 -1046 5852 3976 -1372 -1316 -3025 -186 -456 -1035 -1562 -258 1730 -6368 -2081 -2120 1125 1936 614 -446 5803 -984 -5524 -2901 1029 -19 2531 -92 -1442 1323 1443 106 6602 3496 1906 -3253 5512 -4902 1097 -318 -111 -10278 6058 5218 4992 2364 -4371 -4690 -736 -2454 -2203 4556
+5456 -1252 -429 902 730 -583 3795 1651 -999 2436 -39 -3810 1871 -2284 -3484 -2100 3881 -797 533 -411 -294 817 -3276 -6315 1719 4046 -6208 -3054 2585 276 -2117 843 -2262 -3251 9507 -59 -5339 -2239 -864 -1077 -4051 -2779 -63 1507 -674 -1799 1511 2317 -1438 -1151 5808 3985 -1432 -1299 -2961 -18 -484 -1083 -1542 -235 1655 -6328 -1834 -2228 1102 1869 522 -622 5808 -947 -5493 -2880 874 -83 2382 -153 -1339 1402 1535 290 6674 3480 1892 -3307 5499 -4898 1158 -281 -54 -10354 6062 5239 5038 2352 -4398 -4715 -738 -2507 -2316 4511
+5559 -1153 -342 911 705 -613 3827 1735 -960 2339 50 -3907 1776 -2177 -3429 -2097 3859 -854 560 -329 -252 798 -3330 -6165 1693 3959 -6172 -3074 2555 195 -2061 868 -2312 -3222 9480 -157 -5382 -2278 -869 -1108 -3967 -2836 -74 1590 -746 -1756 1571 2215 -1428 -1257 5781 4130 -1600 -1323 -2855 177 -467 -1115 -1512 -152 1683 -6293 -1859 -2269 1023 1922 562 -618 5718 -950 -5454 -2799 734 -92 2276 -175 -1226 1447 1596 449 6646 3473 1970 -3198 5463 -4976 1088 -283 -82 -10481 6133 5299 5100 2378 -4369 -4723 -738 -2547 -2327 4623
+5404 -1263 -298 852 615 -690 3827 1770 -942 2100 92 -4061 1695 -2180 -3475 -2071 3893 -921 561 -262 -204 770 -3344 -6132 1711 4003 -6235 -3050 2676 182 -1972 850 -2424 -3233 9475 -256 -5409 -2282 -895 -1170 -3896 -2825 -122 1532 -814 -1727 1670 2231 -1340 -1152 5812 4333 -1735 -1360 -2784 299 -354 -1151 -1522 -91 1798 -6353 -2064 -2303 943 2082 645 -547 5608 -974 -5459 -2767 735 -15 2256 -211 -1149 1432 1590 461 6519 3402 1972 -3112 5407 -5083 1051 -230 -111 -10631 6177 5341 5101 2412 -4208 -4685 -745 -2677 -2190 4715
+5222 -1344 -362 838 646 -695 3798 1738 -973 1893 59 -4075 1648 -2192 -3517 -1988 3942 -920 604 -357 -234 799 -3259 -6238 1847 3966 -6275 -2974 2820 281 -1920 762 -2524 -3204 9497 -299 -5406 -2235 -918 -1162 -3971 -2787 -184 1498 -776 -1741 1738 2139 -1251 -979 5764 4333 -1781 -1321 -2739 316 -297 -1170 -1610 -179 1863 -6372 -2230 -2299 940 2137 641 -549 5563 -988 -5523 -2860 793 34 2330 -288 -1033 1506 1624 455 6519 3357 1876 -3161 5408 -5042 1065 -219 -134 -10740 6192 5333 5018 2434 -4048 -4633 -719 -2659 -2046 4664
+5190 -1386 -438 855 751 -627 3736 1705 -983 1856 -63 -3949 1613 -2387 -3518 -1916 3993 -779 658 -416 -257 838 -3143 -6414 1918 3973 -6171 -2888 2847 299 -1954 730 -2519 -3145 9628 -275 -5341 -2160 -967 -1236 -3992 -2749 -287 1494 -729 -1788 1845 2118 -1316 -884 5750 4241 -1669 -1179 -2683 217 -285 -1132 -1645 -246 1797 -6266 -2186 -2285 1013 2067 551 -653 5583 -985 -5559 -2971 781 -63 2449 -423 -935 1597 1621 321 6470 3318 1838 -3216 5483 -4924 1095 -224 -33 -10682 6120 5268 4879 2393 -3903 -4541 -616 -2488 -1978 4553
+5322 -1351 -476 901 818 -554 3698 1717 -926 2002 -177 -3760 1692 -2549 -3387 -1890 4046 -666 681 -428 -250 876 -3053 -6424 1909 3887 -6017 -2863 2720 237 -1964 767 -2465 -3081 9678 -263 -5298 -2106 -982 -1173 -3990 -2681 -259 1634 -662 -1833 1750 2103 -1469 -999 5816 4134 -1595 -1084 -2690 70 -310 -1058 -1655 -296 1751 -6259 -2069 -2334 1029 1961 503 -766 5550 -1050 -5627 -3069 740 -172 2621 -517 -921 1673 1635 226 6431 3330 1901 -3178 5591 -4767 1129 -282 52 -10539 5931 5111 4794 2327 -3944 -4523 -528 -2315 -2103 4422
+5392 -1391 -455 877 769 -534 3688 1777 -853 2219 -289 -3644 1804 -2547 -3320 -1966 4084 -658 662 -372 -226 854 -3101 -6248 1749 3872 -5875 -2892 2551 171 -2050 779 -2417 -3120 9676 -264 -5280 -2080 -936 -1138 -3958 -2649 -229 1749 -636 -1799 1658 2112 -1570 -1005 5984 4203 -1619 -1121 -2753 27 -282 -974 -1566 -251 1691 -6371 -2017 -2321 977 1947 541 -740 5455 -1134 -5605 -3007 591 -346 2680 -629 -1051 1660 1613 229 6364 3313 1999 -3112 5647 -4839 1046 -296 135 -10463 5796 5053 4817 2282 -4081 -4563 -499 -2211 -2243 4388
+5362 -1390 -418 840 663 -574 3638 1772 -810 2322 -334 -3685 1859 -2464 -3306 -2088 4120 -633 526 -269 -191 799 -3158 -6009 1574 3898 -5928 -2957 2508 215 -2105 746 -2445 -3183 9571 -352 -5313 -2114 -833 -1063 -3905 -2666 -158 1808 -740 -1765 1638 2076 -1471 -973 6160 4351 -1698 -1235 -2842 35 -242 -902 -1455 -145 1740 -6477 -2105 -2277 968 2023 645 -585 5441 -1172 -5595 -2916 526 -393 2632 -656 -1146 1669 1632 256 6210 3234 1984 -3127 5581 -4938 949 -284 96 -10556 5800 5137 4933 2297 -4205 -4602 -507 -2204 -2394 4508
+5227 -1422 -400 846 640 -572 3617 1744 -792 2331 -315 -3801 1805 -2349 -3292 -2152 4095 -720 496 -225 -193 792 -3183 -6049 1476 4057 -6092 -3041 2648 411 -2122 688 -2496 -3234 9496 -328 -5333 -2163 -790 -1077 -3843 -2635 -74 1749 -731 -1706 1731 2132 -1480 -782 6274 4481 -1811 -1390 -2954 48 -192 -843 -1364 -96 1731 -6519 -2164 -2287 1039 2064 673 -483 5464 -1130 -5582 -2831 502 -380 2538 -734 -1232 1681 1712 472 6285 3198 1922 -3165 5413 -5036 905 -274 62 -10637 5901 5238 4987 2322 -4350 -4654 -553 -2347 -2437 4597
+5202 -1461 -420 871 730 -576 3625 1699 -784 2298 -228 -3907 1681 -2357 -3234 -2153 4047 -773 506 -260 -211 852 -3089 -6336 1484 4148 -6217 -3061 2769 547 -2061 686 -2489 -3201 9434 -237 -5341 -2187 -799 -1118 -3823 -2572 -79 1669 -690 -1673 1815 2139 -1444 -669 6311 4395 -1797 -1407 -3052 -5 -161 -858 -1387 -166 1687 -6470 -2125 -2179 1170 2031 639 -491 5549 -1056 -5647 -2846 630 -330 2524 -800 -1190 1712 1697 400 6388 3184 1787 -3335 5303 -4986 926 -259 121 -10630 6033 5354 5030 2394 -4304 -4625 -586 -2450 -2378 4587
+5271 -1342 -503 929 872 -516 3693 1650 -878 2376 -102 -4061 1586 -2404 -3225 -2110 3988 -794 609 -300 -234 909 -2973 -6597 1437 4149 -6140 -3048 2727 572 -2081 769 -2390 -3173 9495 -62 -5302 -2199 -774 -1125 -3799 -2472 -108 1532 -520 -1695 1778 2177 -1512 -751 6145 4239 -1830 -1429 -3083 -99 -233 -916 -1448 -281 1559 -6434 -2060 -2090 1230 1945 595 -596 5540 -1018 -5699 -2881 727 -422 2578 -800 -1109 1719 1666 246 6455 3215 1772 -3383 5348 -4846 989 -292 174 -10567 6080 5402 5070 2460 -4267 -4609 -601 -2572 -2323 4568
+5364 -1303 -526 924 890 -476 3798 1673 -970 2422 27 -4160 1645 -2507 -3199 -2014 3973 -681 680 -272 -183 916 -2976 -6603 1499 4009 -6052 -3008 2614 432 -2078 920 -2272 -3167 9555 30 -5268 -2173 -805 -1153 -3782 -2486 -202 1379 -503 -1727 1682 2139 -1476 -866 6032 4029 -1855 -1391 -3056 -185 -248 -874 -1509 -340 1515 -6455 -2007 -2038 1193 1919 635 -588 5506 -1060 -5744 -2923 807 -411 2718 -625 -1062 1626 1613 61 6381 3244 1845 -3435 5409 -4759 1052 -280 135 -10404 6144 5385 5071 2448 -4162 -4556 -633 -2613 -2178 4561
+5370 -1319 -564 796 741 -535 3821 1644 -1057 2335 90 -4277 1832 -2560 -3302 -1941 4028 -675 640 -280 -182 843 -3146 -6438 1551 4022 -5979 -2921 2499 252 -2069 990 -2291 -3262 9640 -61 -5240 -2110 -788 -1104 -3761 -2533 -209 1288 -560 -1772 1531 2133 -1532 -906 5918 3966 -1915 -1434 -2988 -70 -200 -857 -1525 -370 1528 -6513 -2073 -2007 1131 2033 777 -446 5482 -1122 -5699 -2919 754 -433 2730 -474 -1157 1488 1573 -41 6174 3199 1919 -3315 5466 -4766 1045 -270 29 -10370 6179 5405 5104 2431 -4112 -4556 -695 -2613 -2060 4645
+5287 -1339 -612 695 583 -573 3840 1625 -1105 2096 102 -4279 1951 -2514 -3426 -2021 4029 -692 574 -298 -219 717 -3210 -6263 1728 4020 -6045 -2837 2565 188 -1999 909 -2449 -3323 9668 -297 -5293 -2079 -730 -1044 -3844 -2622 -130 1321 -688 -1763 1521 2077 -1490 -798 5982 4038 -2055 -1508 -2932 69 -96 -773 -1471 -311 1589 -6528 -2101 -2088 1076 2132 807 -345 5416 -1163 -5592 -2891 645 -313 2661 -450 -1159 1468 1613 25 6081 3141 1929 -3273 5399 -4866 977 -281 -81 -10347 6184 5387 5086 2395 -4129 -4610 -782 -2600 -2011 4671
+5314 -1242 -668 748 593 -610 3836 1586 -1127 1986 -39 -4123 1933 -2391 -3500 -2062 4016 -759 597 -351 -314 756 -3144 -6387 1871 3996 -6110 -2811 2697 257 -1917 824 -2604 -3328 9721 -491 -5350 -2084 -701 -1047 -3806 -2694 -30 1365 -735 -1787 1617 2078 -1430 -804 6048 4041 -2039 -1542 -2926 135 93 -674 -1451 -343 1583 -6449 -2152 -2169 1089 2144 777 -297 5518 -1073 -5477 -2866 622 -40 2542 -417 -1143 1508 1651 146 6179 3132 1870 -3330 5341 -4805 961 -300 -103 -10455 6200 5392 5107 2469 -4224 -4719 -814 -2615 -2137 4734
+5355 -1182 -714 837 653 -550 3819 1590 -1081 1974 -96 -3992 1804 -2231 -3459 -2059 4006 -827 676 -417 -395 838 -2964 -6648 1901 3987 -6176 -2854 2770 373 -1864 756 -2661 -3227 9770 -618 -5388 -2070 -645 -1017 -3797 -2670 77 1396 -658 -1867 1701 2147 -1459 -865 6027 3997 -1935 -1516 -2993 51 156 -620 -1420 -346 1513 -6395 -2150 -2316 1076 2053 657 -487 5538 -955 -5410 -2883 681 140 2402 -522 -1113 1588 1661 204 6320 3143 1806 -3408 5430 -4664 1054 -297 -60 -10523 6153 5356 5069 2473 -4276 -4757 -760 -2583 -2324 4711
+5395 -1198 -701 968 725 -474 3925 1687 -1038 2086 -48 -3883 1600 -2181 -3430 -2068 3946 -853 701 -419 -372 907 -2815 -6677 1816 3853 -6111 -2937 2691 334 -1883 756 -2592 -3165 9882 -597 -5376 -2084 -679 -1008 -3817 -2666 120 1457 -521 -1980 1642 2131 -1361 -985 6016 3988 -1747 -1464 -3058 -69 97 -674 -1430 -283 1611 -6356 -2145 -2366 969 1965 600 -652 5518 -902 -5474 -2950 859 149 2346 -489 -1203 1571 1609 191 6326 3090 1709 -3511 5496 -4550 1150 -254 19 -10521 6035 5228 4990 2397 -4355 -4765 -663 -2568 -2439 4613
+5443 -1225 -553 1065 708 -469 3907 1784 -950 2242 47 -3818 1530 -2251 -3430 -2043 3895 -834 680 -379 -247 871 -2877 -6532 1724 3822 -6110 -3025 2601 304 -1970 792 -2495 -3102 9953 -535 -5372 -2111 -654 -1039 -3798 -2664 10 1407 -458 -2000 1587 2127 -1315 -1051 6005 3983 -1678 -1429 -3048 -95 -140 -741 -1431 -229 1687 -6370 -2101 -2368 979 2024 620 -745 5513 -913 -5618 -3080 1025 124 2402 -398 -1337 1465 1469 86 6351 3118 1713 -3465 5617 -4633 1169 -190 28 -10538 5890 5114 4931 2276 -4355 -4721 -565 -2498 -2402 4526
+5405 -1264 -489 1098 660 -580 3859 1791 -908 2328 121 -3836 1600 -2388 -3446 -1942 3874 -817 659 -311 -188 876 -3107 -6361 1760 3891 -6167 -3046 2623 256 -2040 761 -2491 -3126 9956 -449 -5367 -2146 -637 -1124 -3822 -2710 -89 1366 -549 -1978 1551 2040 -1216 -966 5997 4093 -1617 -1418 -3028 -10 -318 -840 -1414 -128 1760 -6347 -2037 -2332 1056 2118 621 -757 5573 -932 -5714 -3140 1102 23 2379 -293 -1399 1376 1413 89 6336 3096 1713 -3442 5617 -4774 1181 -97 -69 -10594 5813 5080 4898 2213 -4245 -4630 -540 -2452 -2278 4500
+5390 -1207 -475 1050 612 -663 3720 1789 -856 2221 127 -3719 1726 -2529 -3500 -1889 3939 -747 644 -311 -180 898 -3209 -6282 1773 3943 -6215 -3027 2710 302 -2068 730 -2561 -3165 9859 -374 -5385 -2190 -625 -1259 -3777 -2784 -170 1393 -707 -1890 1616 1954 -1150 -938 5983 4229 -1628 -1442 -2970 147 -365 -877 -1359 -60 1725 -6263 -1953 -2348 1184 2155 568 -728 5769 -907 -5778 -3274 1073 51 2302 -261 -1377 1341 1403 148 6479 3199 1756 -3476 5489 -4809 1147 -67 -122 -10711 5821 5094 4896 2314 -4126 -4574 -553 -2449 -2242 4496
+5491 -1168 -435 1018 622 -728 3684 1763 -812 2186 2 -3633 1859 -2553 -3501 -1890 3968 -692 667 -372 -238 937 -3094 -6413 1916 4024 -6164 -2925 2812 294 -2039 692 -2607 -3195 9758 -228 -5375 -2221 -690 -1377 -3681 -2892 -205 1393 -775 -1819 1759 1978 -1194 -998 5879 4286 -1640 -1417 -2918 259 -258 -867 -1365 -108 1631 -6217 -1942 -2385 1227 2086 466 -778 5877 -859 -5756 -3303 1071 218 2169 -222 -1208 1429 1469 198 6670 3297 1798 -3532 5420 -4711 1160 -92 -107 -10812 5830 5146 4893 2461 -3952 -4518 -598 -2448 -2286 4541
+5513 -1140 -484 1020 748 -649 3723 1702 -883 2290 -226 -3650 1882 -2445 -3485 -1922 4053 -653 675 -424 -321 1008 -2929 -6625 2092 3931 -6055 -2808 2822 203 -1948 762 -2566 -3103 9622 -156 -5330 -2205 -762 -1472 -3638 -2890 -196 1428 -646 -1853 1796 2070 -1261 -1213 5798 4314 -1675 -1390 -2839 381 -151 -882 -1387 -172 1510 -6231 -2051 -2300 1167 2022 501 -831 5702 -915 -5713 -3255 1066 330 2117 -224 -1113 1469 1516 235 6729 3338 1834 -3587 5472 -4612 1226 -107 -20 -10951 5787 5196 4935 2564 -3876 -4508 -667 -2462 -2313 4582
+5378 -1265 -463 1003 783 -540 3807 1642 -969 2383 -362 -3845 1864 -2251 -3459 -1965 4090 -649 645 -402 -360 1039 -2915 -6725 2189 3961 -5976 -2777 2758 141 -1938 814 -2422 -3064 9575 -132 -5250 -2113 -856 -1370 -3727 -2859 -135 1543 -508 -1909 1745 2133 -1210 -1261 5764 4317 -1693 -1325 -2746 393 -168 -973 -1452 -206 1548 -6295 -2183 -2262 1062 2057 603 -865 5415 -1002 -5706 -3210 1119 344 2154 -169 -1094 1437 1472 142 6589 3259 1764 -3573 5466 -4568 1212 -146 -5 -10941 5676 5208 5030 2637 -3968 -4589 -716 -2572 -2355 4602
+5226 -1449 -426 928 732 -435 3852 1644 -989 2406 -328 -4100 1786 -2114 -3416 -2044 4069 -691 618 -354 -299 961 -3032 -6707 2283 4019 -6019 -2779 2772 213 -1982 879 -2335 -3010 9553 -158 -5208 -2029 -762 -1259 -3907 -2748 -50 1651 -405 -1894 1623 2153 -1165 -1224 5758 4300 -1631 -1243 -2667 342 -276 -1031 -1535 -216 1668 -6268 -2258 -2191 1154 2200 733 -812 5215 -1102 -5791 -3283 1151 369 2218 -88 -1199 1349 1409 145 6471 3186 1699 -3552 5469 -4658 1196 -95 -49 -10805 5632 5188 5079 2588 -4124 -4634 -686 -2569 -2317 4650
+5195 -1524 -393 898 662 -459 3781 1623 -979 2263 -115 -4210 1643 -2117 -3351 -2051 3969 -753 611 -313 -227 918 -3211 -6616 2199 4104 -6135 -2799 2836 370 -1979 834 -2306 -2976 9463 -260 -5236 -2004 -670 -1149 -4050 -2699 -16 1727 -491 -1807 1584 2115 -1097 -1092 5768 4290 -1605 -1206 -2617 330 -333 -1060 -1581 -168 1887 -6257 -2144 -2224 1291 2270 743 -754 5248 -1119 -5865 -3375 1102 234 2273 -171 -1290 1357 1431 224 6481 3130 1622 -3519 5395 -4785 1181 -25 -127 -10764 5645 5204 5104 2523 -4232 -4638 -614 -2524 -2204 4633
+5281 -1493 -373 846 643 -451 3701 1658 -916 2121 101 -4176 1642 -2299 -3259 -1975 3896 -797 644 -313 -188 878 -3285 -6607 2102 4113 -6245 -2836 2858 529 -1959 805 -2357 -3053 9464 -343 -5282 -2025 -626 -1163 -4043 -2707 -26 1776 -601 -1702 1624 2156 -1121 -1107 5780 4282 -1509 -1162 -2654 227 -388 -1003 -1568 -124 1953 -6185 -2121 -2306 1380 2186 619 -731 5454 -1052 -5888 -3465 943 137 2124 -258 -1291 1417 1462 313 6622 3232 1679 -3525 5343 -4774 1155 -41 -129 -10505 5701 5190 5094 2525 -4402 -4665 -499 -2377 -2207 4639
+5382 -1392 -357 834 643 -428 3736 1742 -865 2050 288 -4111 1653 -2532 -3218 -1892 3898 -751 691 -383 -183 896 -3279 -6680 1845 4068 -6208 -2907 2754 561 -1972 784 -2403 -3096 9510 -443 -5330 -2063 -643 -1306 -3920 -2719 -58 1771 -676 -1713 1695 2249 -1245 -1237 5873 4388 -1470 -1237 -2771 198 -321 -928 -1474 -115 1829 -6293 -2152 -2393 1316 2044 540 -741 5582 -1005 -5863 -3443 767 -5 2096 -366 -1266 1484 1506 329 6776 3377 1809 -3490 5439 -4637 1259 -10 -90 -10488 5677 5178 5087 2538 -4429 -4682 -501 -2265 -2193 4678
+5415 -1339 -392 819 716 -405 3729 1755 -906 2139 268 -4053 1746 -2635 -3367 -1893 3973 -728 673 -487 -261 899 -3222 -6736 1711 3986 -6141 -2945 2563 403 -1994 748 -2465 -3147 9622 -492 -5376 -2143 -744 -1456 -3800 -2751 -252 1669 -622 -1756 1687 2221 -1346 -1386 5992 4481 -1496 -1359 -2953 57 -246 -850 -1413 -157 1728 -6480 -2259 -2216 1134 1918 537 -779 5521 -1013 -5811 -3271 681 -112 2133 -380 -1232 1503 1490 128 6654 3393 1834 -3440 5626 -4482 1324 -49 -76 -10441 5749 5221 5128 2588 -4438 -4734 -561 -2246 -2176 4738
+5306 -1394 -419 798 750 -398 3748 1719 -945 2284 145 -4118 1906 -2500 -3465 -1884 4098 -788 633 -561 -351 929 -3232 -6737 1603 4016 -6055 -2997 2461 311 -2040 699 -2519 -3112 9760 -545 -5407 -2208 -757 -1450 -3794 -2773 -360 1545 -552 -1850 1627 2164 -1350 -1344 6114 4471 -1473 -1377 -3055 -93 -251 -855 -1415 -188 1657 -6615 -2323 -2028 1123 1988 648 -797 5327 -1074 -5794 -3088 708 -206 2364 -379 -1237 1450 1476 -36 6405 3300 1842 -3307 5743 -4470 1351 -21 -121 -10491 5702 5218 5144 2562 -4435 -4775 -626 -2234 -2231 4742
+5235 -1453 -431 755 752 -383 3720 1760 -879 2279 -31 -4034 2021 -2264 -3600 -1907 4210 -834 560 -556 -412 945 -3218 -6595 1624 4073 -6058 -2996 2553 336 -2009 622 -2590 -3027 9792 -609 -5419 -2194 -678 -1354 -3857 -2752 -427 1446 -560 -1828 1570 2078 -1338 -1182 6142 4338 -1494 -1406 -3091 -209 -408 -940 -1481 -234 1652 -6543 -2168 -2039 1292 2131 702 -806 5229 -1071 -5788 -2984 730 -120 2565 -401 -1193 1452 1505 -80 6272 3251 1780 -3267 5726 -4511 1318 -7 -236 -10484 5805 5258 5202 2600 -4393 -4812 -676 -2233 -2277 4778
+5237 -1418 -490 760 785 -459 3643 1737 -880 2180 -99 -4008 1864 -2162 -3616 -2002 4166 -878 482 -478 -370 892 -3223 -6385 1698 4166 -6102 -2926 2727 378 -1932 582 -2671 -2974 9802 -599 -5433 -2185 -538 -1243 -3976 -2705 -361 1478 -675 -1807 1573 2027 -1222 -1049 6056 4124 -1493 -1328 -3007 -219 -505 -1031 -1620 -268 1813 -6275 -2041 -2160 1460 2175 674 -776 5260 -1022 -5786 -3025 742 -40 2693 -459 -1113 1549 1615 -2 6346 3271 1743 -3238 5643 -4589 1335 82 -275 -10549 5847 5284 5165 2617 -4298 -4782 -667 -2346 -2339 4737
+5324 -1363 -496 821 747 -521 3664 1790 -832 2109 -144 -3816 1677 -2282 -3475 -2048 4105 -827 436 -387 -261 874 -3201 -6173 1680 4099 -6055 -2892 2784 400 -1879 647 -2701 -3003 9775 -602 -5405 -2136 -491 -1152 -3925 -2639 -242 1554 -728 -1765 1616 2097 -1217 -1014 5957 3949 -1522 -1303 -2932 -182 -480 -1017 -1716 -417 1816 -6258 -2015 -2380 1442 2196 655 -745 5271 -1000 -5739 -3052 705 -36 2675 -634 -1068 1638 1686 221 6549 3387 1835 -3191 5463 -4625 1389 169 -209 -10614 5923 5286 5141 2693 -4147 -4717 -634 -2442 -2333 4885
+5352 -1308 -512 847 765 -505 3702 1774 -917 2102 -119 -3795 1507 -2470 -3296 -2038 4035 -768 440 -378 -158 860 -3171 -6114 1617 3925 -6001 -2930 2651 254 -1849 809 -2624 -3134 9735 -529 -5344 -2075 -525 -1162 -3865 -2568 -33 1685 -683 -1853 1661 2211 -1335 -1037 5911 3961 -1658 -1360 -2918 -100 -317 -918 -1726 -498 1805 -6353 -2165 -2346 1220 2137 669 -721 5257 -1010 -5664 -3065 629 -168 2529 -655 -1052 1629 1629 244 6634 3501 1949 -3151 5446 -4623 1387 166 20 -10719 5748 5181 5066 2665 -4134 -4695 -622 -2536 -2193 5008
+5333 -1246 -519 927 783 -494 3802 1725 -1029 2156 -146 -3865 1473 -2656 -3225 -2047 3964 -757 407 -396 -122 919 -3112 -6204 1641 3784 -5953 -2968 2554 142 -1901 930 -2527 -3259 9699 -348 -5297 -2046 -659 -1188 -3808 -2530 100 1698 -562 -1959 1646 2220 -1504 -1075 5947 4010 -1791 -1445 -2965 -124 -130 -820 -1670 -492 1736 -6548 -2253 -2304 933 2127 713 -774 5178 -1054 -5619 -3076 630 -333 2556 -665 -1168 1538 1502 185 6624 3504 1968 -3119 5510 -4619 1377 212 159 -10822 5684 5144 5067 2610 -4053 -4660 -672 -2560 -2043 5086
+5322 -1256 -563 996 810 -440 3821 1636 -1101 2201 -198 -3881 1549 -2661 -3263 -2048 3987 -727 392 -496 -211 892 -3068 -6351 1598 3726 -6060 -3077 2506 179 -2009 955 -2394 -3327 9687 -216 -5247 -2040 -782 -1168 -3846 -2540 114 1570 -485 -1977 1633 2166 -1561 -995 5940 3964 -1805 -1453 -2984 -163 -107 -806 -1617 -461 1680 -6522 -2299 -2238 957 2122 674 -828 5236 -1031 -5646 -3127 744 -446 2604 -624 -1186 1499 1425 100 6535 3460 1928 -3112 5529 -4735 1236 135 139 -10904 5514 5054 5024 2466 -4155 -4661 -637 -2454 -2069 5017
+5316 -1325 -564 1043 708 -498 3750 1560 -1050 2203 -215 -3926 1695 -2494 -3333 -2047 4085 -721 361 -532 -257 870 -3073 -6351 1567 3951 -6246 -3094 2694 316 -2021 851 -2384 -3279 9650 -143 -5266 -2061 -821 -1097 -3848 -2527 17 1428 -542 -1884 1627 2088 -1487 -832 5967 3886 -1698 -1382 -3023 -275 -199 -854 -1620 -395 1713 -6373 -2223 -2334 1114 2160 578 -903 5338 -977 -5728 -3185 883 -424 2690 -666 -1145 1571 1440 69 6566 3430 1842 -3221 5600 -4740 1088 74 120 -10971 5535 5075 5019 2429 -4191 -4673 -651 -2408 -2264 4855
+5389 -1281 -487 1128 713 -538 3657 1518 -901 2259 -295 -3828 1770 -2266 -3403 -2060 4215 -667 337 -460 -236 881 -3166 -6104 1445 4143 -6271 -3039 2867 450 -2000 786 -2391 -3196 9612 -45 -5253 -2098 -833 -1144 -3756 -2524 -112 1352 -627 -1729 1666 2089 -1362 -750 5928 3829 -1506 -1269 -3039 -424 -435 -938 -1673 -311 1926 -6180 -2195 -2541 1286 2127 463 -951 5426 -903 -5781 -3214 976 -220 2682 -686 -999 1687 1541 147 6708 3507 1837 -3231 5584 -4669 1089 41 65 -10914 5551 5053 4948 2418 -4201 -4632 -604 -2329 -2410 4755
+5417 -1255 -424 1149 607 -547 3665 1579 -796 2329 -243 -3822 1904 -2147 -3366 -1963 4294 -693 372 -378 -202 906 -3282 -5926 1408 4209 -6135 -2899 2875 407 -1947 742 -2416 -3145 9603 -142 -5311 -2148 -791 -1191 -3619 -2557 -195 1346 -678 -1595 1724 2206 -1309 -748 5947 3946 -1465 -1260 -3081 -390 -500 -950 -1667 -280 1997 -6258 -2226 -2662 1352 2176 487 -1016 5441 -840 -5782 -3156 1044 -29 2581 -594 -932 1700 1586 227 6821 3595 1906 -3120 5606 -4576 1170 52 122 -10856 5550 5077 4948 2465 -4253 -4638 -607 -2365 -2432 4790
+5402 -1244 -374 1113 597 -496 3735 1662 -768 2426 -121 -3896 1877 -2190 -3320 -1921 4267 -738 400 -362 -180 984 -3366 -5979 1434 4180 -5899 -2798 2759 258 -1927 768 -2452 -3145 9633 -246 -5373 -2219 -740 -1208 -3499 -2569 -144 1330 -585 -1615 1733 2305 -1432 -833 6010 4151 -1518 -1308 -3083 -295 -495 -929 -1623 -293 1945 -6406 -2275 -2465 1309 2210 572 -1080 5274 -860 -5689 -3038 1009 22 2430 -509 -876 1653 1585 273 6764 3581 1937 -3047 5661 -4491 1286 110 200 -10794 5616 5117 4973 2527 -4313 -4649 -597 -2446 -2346 4860
+5392 -1260 -460 1045 600 -452 3806 1736 -862 2407 74 -4062 1904 -2393 -3374 -1864 4155 -852 434 -433 -225 991 -3307 -6304 1565 4164 -5919 -2766 2670 159 -1990 796 -2496 -3201 9732 -360 -5441 -2264 -701 -1266 -3561 -2605 -26 1377 -497 -1640 1708 2330 -1588 -933 6038 4253 -1572 -1309 -3052 -209 -381 -876 -1593 -356 1796 -6522 -2278 -2153 1274 2171 590 -1139 5158 -908 -5629 -3043 939 -10 2234 -439 -920 1589 1539 251 6699 3551 1896 -2970 5805 -4483 1297 136 161 -10724 5688 5216 5051 2508 -4319 -4609 -539 -2396 -2256 4929
+5326 -1230 -625 987 646 -486 3821 1684 -1014 2341 165 -4161 1828 -2564 -3422 -1853 4015 -923 460 -530 -264 1031 -3262 -6551 1675 4134 -6039 -2782 2696 212 -2045 776 -2552 -3184 9801 -473 -5495 -2285 -622 -1185 -3737 -2605 111 1362 -438 -1690 1636 2255 -1706 -943 5968 4152 -1562 -1203 -2940 -48 -339 -952 -1611 -375 1714 -6413 -2241 -2088 1409 2165 597 -1058 5253 -957 -5704 -3266 988 -16 2182 -402 -954 1541 1502 276 6733 3441 1733 -3091 5872 -4515 1257 116 -3 -10725 5790 5333 5154 2506 -4299 -4590 -490 -2384 -2295 4828
+5255 -1294 -679 928 666 -430 3810 1694 -1016 2161 207 -4066 1714 -2634 -3428 -1847 3927 -897 443 -538 -216 966 -3268 -6464 1759 4040 -6239 -2855 2833 403 -2080 801 -2532 -3114 9905 -455 -5467 -2251 -668 -1109 -3929 -2659 197 1447 -475 -1679 1625 2319 -1709 -847 5879 4029 -1524 -1093 -2804 4 -334 -1026 -1653 -349 1719 -6221 -2240 -2116 1602 2172 556 -958 5372 -987 -5831 -3546 1028 4 2116 -436 -1059 1502 1458 265 6881 3492 1671 -3244 6005 -4545 1155 80 -8 -10669 5889 5398 5196 2573 -4288 -4630 -502 -2290 -2313 4713
+5244 -1393 -682 904 603 -460 3812 1703 -997 2064 98 -3975 1667 -2487 -3481 -1884 3963 -831 405 -506 -156 921 -3410 -6213 1807 4052 -6345 -2887 2870 456 -2068 855 -2526 -3117 9998 -389 -5401 -2201 -810 -1043 -3974 -2672 137 1546 -537 -1649 1576 2401 -1551 -824 5853 4061 -1513 -1033 -2712 31 -431 -1079 -1701 -323 1835 -6172 -2295 -2319 1684 2277 610 -885 5343 -1028 -5907 -3668 1057 88 2140 -409 -1120 1466 1409 201 6964 3577 1753 -3233 6035 -4544 1117 35 70 -10650 5927 5425 5254 2725 -4211 -4702 -607 -2311 -2306 4752
+5194 -1497 -536 909 544 -422 3832 1807 -924 2150 -117 -3920 1711 -2223 -3577 -1881 4098 -705 389 -485 -91 965 -3517 -6013 1874 3939 -6268 -2900 2807 416 -2000 944 -2489 -3148 10002 -332 -5360 -2167 -926 -1055 -3912 -2731 37 1626 -540 -1626 1562 2469 -1408 -872 5855 4157 -1589 -1070 -2684 37 -452 -1033 -1737 -352 1926 -6327 -2410 -2262 1590 2346 691 -882 5227 -1034 -5916 -3586 1038 158 2135 -350 -1270 1388 1361 118 6946 3647 1904 -3134 5901 -4491 1126 21 241 -10564 5874 5366 5280 2810 -4232 -4793 -693 -2326 -2276 4810
+5163 -1463 -473 946 621 -356 3874 1853 -911 2282 -336 -3958 1810 -2013 -3641 -1885 4192 -693 392 -538 -148 985 -3450 -6105 1878 3866 -6135 -2888 2706 380 -1944 972 -2469 -3226 9944 -349 -5347 -2138 -962 -1164 -3823 -2755 -61 1593 -536 -1566 1623 2450 -1376 -927 5886 4331 -1673 -1178 -2764 75 -370 -948 -1696 -329 1962 -6438 -2442 -2180 1440 2298 686 -995 5113 -993 -5844 -3401 976 239 2097 -188 -1429 1273 1320 58 6816 3606 1918 -3039 5787 -4503 1167 98 367 -10572 5839 5329 5277 2762 -4260 -4829 -727 -2310 -2120 4880
+5253 -1339 -495 980 728 -334 3835 1817 -915 2326 -366 -3942 1860 -2011 -3659 -1899 4204 -733 361 -579 -263 1069 -3279 -6352 1944 3880 -6076 -2861 2681 357 -1914 941 -2442 -3275 9817 -343 -5383 -2173 -937 -1277 -3790 -2772 -127 1456 -544 -1590 1701 2358 -1511 -1088 5982 4383 -1629 -1230 -2856 69 -276 -840 -1618 -318 1908 -6428 -2357 -2152 1265 2144 602 -1042 5191 -944 -5830 -3322 946 277 2185 -60 -1406 1265 1351 -28 6670 3521 1838 -3023 5667 -4548 1113 100 316 -10590 5841 5277 5215 2597 -4339 -4803 -607 -2205 -2117 4925
+5309 -1291 -563 992 800 -281 3715 1698 -900 2306 -272 -3804 1858 -2218 -3628 -1917 4144 -803 350 -603 -299 1048 -3196 -6414 1916 3952 -6053 -2790 2760 361 -1906 866 -2428 -3248 9691 -312 -5413 -2226 -887 -1298 -3864 -2771 -159 1417 -573 -1625 1770 2251 -1606 -1035 6082 4349 -1594 -1272 -2941 0 -255 -828 -1495 -218 1810 -6335 -2268 -2316 1238 2042 502 -985 5402 -927 -5914 -3352 1061 286 2289 -27 -1306 1321 1361 -91 6718 3460 1706 -3114 5762 -4633 994 69 256 -10588 5889 5237 5116 2456 -4447 -4787 -507 -2139 -2117 4849
+5318 -1245 -552 960 871 -259 3592 1634 -791 2233 -95 -3573 1747 -2446 -3465 -1885 4027 -868 343 -529 -251 987 -3306 -6218 1813 4048 -6063 -2795 2814 393 -1939 822 -2346 -3188 9661 -187 -5394 -2247 -870 -1279 -3944 -2755 -171 1424 -629 -1674 1819 2309 -1574 -1038 6209 4236 -1467 -1261 -2986 -46 -350 -874 -1431 -150 1773 -6240 -2178 -2414 1296 2077 527 -809 5560 -979 -6012 -3434 1107 207 2378 -45 -1221 1333 1356 -83 6865 3473 1638 -3266 5870 -4686 920 64 364 -10750 5933 5242 5016 2394 -4444 -4755 -480 -2125 -2079 4823
+5285 -1385 -438 920 792 -294 3596 1637 -725 2277 9 -3562 1714 -2557 -3341 -1862 4004 -786 305 -432 -131 965 -3497 -5951 1759 4088 -6047 -2804 2802 290 -1967 868 -2268 -3154 9647 -26 -5365 -2284 -883 -1210 -4015 -2726 -174 1570 -679 -1686 1758 2391 -1388 -952 6298 4226 -1487 -1305 -3005 -128 -486 -954 -1435 -163 1711 -6227 -2109 -2446 1327 2233 626 -758 5463 -1065 -6073 -3489 1089 188 2392 -36 -1282 1258 1324 -19 6919 3506 1688 -3302 5991 -4620 911 25 490 -10930 5929 5261 4980 2420 -4437 -4765 -567 -2217 -2046 4891
+5260 -1400 -385 936 755 -346 3655 1673 -737 2334 -34 -3746 1696 -2472 -3308 -1855 4061 -788 305 -392 -114 988 -3640 -5955 1722 4118 -6067 -2871 2766 224 -1996 927 -2262 -3228 9694 48 -5327 -2283 -1011 -1112 -4070 -2716 -125 1670 -674 -1736 1660 2434 -1225 -1049 6371 4240 -1541 -1390 -3022 -132 -483 -929 -1467 -211 1659 -6294 -2096 -2379 1340 2315 703 -843 5231 -1080 -5984 -3344 1029 141 2363 -121 -1356 1205 1327 95 6837 3468 1772 -3190 6009 -4591 1051 120 581 -11077 5847 5259 4986 2420 -4380 -4753 -697 -2324 -2051 5008
+5268 -1371 -427 928 781 -383 3670 1659 -841 2355 -218 -3938 1717 -2232 -3378 -1880 4148 -789 324 -493 -218 964 -3503 -6189 1786 4092 -6199 -2957 2780 177 -2010 932 -2396 -3258 9710 21 -5356 -2289 -1029 -1112 -4097 -2708 -51 1726 -645 -1829 1592 2384 -1242 -1196 6382 4216 -1629 -1436 -2957 -25 -435 -904 -1544 -349 1622 -6264 -2071 -2360 1222 2266 705 -955 5044 -1045 -5848 -3259 862 146 2313 -236 -1334 1270 1392 192 6740 3406 1752 -3130 5965 -4648 1124 226 579 -11124 5848 5289 5045 2365 -4382 -4717 -722 -2377 -2161 5167
+5246 -1322 -513 943 793 -406 3722 1625 -970 2278 -363 -4090 1786 -2163 -3496 -1930 4260 -791 271 -583 -326 946 -3326 -6410 1808 4077 -6335 -3003 2869 259 -2028 881 -2510 -3298 9736 -87 -5394 -2280 -1010 -1128 -4092 -2674 20 1673 -575 -1870 1558 2343 -1324 -1301 6307 4121 -1740 -1482 -2912 45 -234 -784 -1568 -432 1578 -6339 -2126 -2420 1123 2168 651 -988 5064 -979 -5790 -3259 821 194 2207 -372 -1264 1405 1446 210 6767 3319 1631 -3146 6095 -4732 1129 296 489 -11135 5923 5371 5085 2288 -4312 -4619 -672 -2322 -2173 5156
+5269 -1374 -472 987 820 -358 3725 1554 -1043 2165 -344 -4082 1829 -2239 -3534 -1981 4274 -813 248 -596 -323 922 -3275 -6466 1833 4150 -6432 -3024 2916 338 -2059 852 -2529 -3237 9791 -181 -5386 -2247 -1029 -1185 -3992 -2698 -29 1559 -562 -1858 1616 2380 -1456 -1223 6265 4046 -1810 -1524 -2869 149 -115 -759 -1505 -356 1598 -6207 -2168 -2424 1136 2086 554 -916 5204 -959 -5821 -3355 796 104 2228 -558 -1160 1561 1469 84 6802 3348 1585 -3228 6121 -4760 1065 319 495 -11024 5933 5374 5033 2277 -4288 -4573 -578 -2218 -2102 5113
+5273 -1442 -387 1001 742 -370 3759 1591 -1043 2046 -119 -4041 1873 -2463 -3511 -1966 4248 -876 237 -552 -281 878 -3382 -6258 1724 4151 -6354 -3027 2795 311 -2130 899 -2498 -3197 9894 -258 -5344 -2159 -1003 -1247 -3938 -2671 -75 1504 -601 -1837 1590 2447 -1501 -1173 6232 4022 -1860 -1559 -2856 206 -93 -800 -1431 -239 1604 -6235 -2165 -2386 1273 2226 607 -805 5315 -1014 -5915 -3422 829 -21 2384 -572 -1086 1622 1418 -74 6791 3310 1507 -3342 6153 -4692 1054 277 571 -10989 5973 5401 5082 2389 -4278 -4598 -523 -2183 -1978 5042
+5265 -1495 -301 1060 603 -422 3811 1712 -1020 2127 82 -4101 1837 -2701 -3396 -1924 4121 -875 241 -484 -121 905 -3537 -6116 1662 4223 -6158 -2955 2672 249 -2148 934 -2423 -3198 9936 -281 -5290 -2087 -923 -1290 -3866 -2634 -190 1436 -602 -1703 1572 2511 -1333 -1154 6214 4088 -1826 -1576 -2906 120 -211 -852 -1389 -107 1665 -6298 -2143 -2317 1334 2310 658 -820 5234 -1097 -5931 -3311 904 -161 2557 -508 -1161 1577 1370 -77 6737 3311 1545 -3374 6082 -4598 1053 226 699 -10955 6030 5464 5147 2503 -4282 -4632 -481 -2094 -1876 5050
+5330 -1450 -322 1065 537 -471 3811 1807 -981 2183 225 -4196 1738 -2738 -3400 -1933 4049 -867 279 -470 -97 918 -3539 -6119 1576 4209 -6038 -2907 2591 193 -2130 908 -2424 -3315 9920 -254 -5349 -2159 -853 -1208 -3857 -2598 -230 1377 -702 -1660 1513 2450 -1260 -1217 6159 4192 -1752 -1565 -2947 24 -353 -882 -1421 -151 1670 -6418 -2174 -2294 1303 2249 655 -958 5113 -1099 -5872 -3132 911 -240 2579 -580 -1113 1590 1372 -32 6700 3291 1549 -3412 5995 -4562 1031 167 710 -10869 5997 5465 5223 2577 -4318 -4680 -445 -2051 -1993 5031
+5275 -1406 -465 1014 524 -565 3699 1806 -988 2218 176 -4223 1561 -2596 -3467 -1985 3980 -897 229 -544 -147 859 -3394 -6209 1465 4238 -6148 -2892 2675 267 -2150 785 -2508 -3447 9836 -259 -5388 -2203 -813 -1165 -3922 -2568 -179 1262 -701 -1645 1431 2280 -1225 -1242 6159 4299 -1640 -1551 -3040 -56 -339 -811 -1444 -236 1662 -6504 -2313 -2325 1228 2079 539 -1147 5092 -994 -5779 -3011 939 -202 2377 -742 -1017 1663 1449 111 6766 3333 1609 -3408 5937 -4637 948 168 709 -10717 5997 5430 5235 2584 -4354 -4709 -417 -1987 -2194 4971
+5224 -1331 -616 914 552 -508 3614 1738 -974 2135 55 -4055 1416 -2396 -3568 -2080 3976 -886 184 -605 -244 890 -3282 -6282 1364 4203 -6277 -2925 2753 373 -2138 665 -2554 -3508 9750 -204 -5429 -2283 -856 -1170 -3912 -2592 -127 1252 -654 -1704 1446 2163 -1371 -1175 6246 4332 -1582 -1538 -3082 -44 -309 -784 -1454 -274 1692 -6493 -2372 -2288 1259 1970 469 -1165 5110 -922 -5743 -3045 992 -124 2147 -853 -854 1751 1539 237 6901 3353 1601 -3524 5906 -4669 866 137 591 -10575 6062 5425 5304 2676 -4450 -4809 -445 -1920 -2340 4949
+5183 -1338 -661 833 551 -390 3533 1684 -896 2107 -81 -3790 1438 -2214 -3567 -2132 4047 -843 169 -623 -310 945 -3248 -6216 1293 4055 -6278 -2967 2730 437 -2128 675 -2508 -3436 9721 -129 -5415 -2325 -941 -1206 -3826 -2641 -21 1376 -604 -1775 1518 2209 -1490 -1048 6298 4369 -1572 -1477 -3003 129 -200 -801 -1417 -301 1622 -6450 -2287 -2189 1423 2056 482 -1110 5132 -925 -5773 -3213 1034 -218 2044 -905 -799 1764 1545 114 6884 3339 1587 -3573 5918 -4678 826 138 647 -10473 6109 5392 5244 2711 -4444 -4845 -509 -1926 -2285 4882
+5175 -1426 -648 824 585 -285 3522 1622 -834 2153 -197 -3690 1608 -2212 -3553 -2126 4150 -749 204 -589 -303 973 -3289 -6140 1346 3902 -6183 -2998 2570 372 -2139 794 -2353 -3322 9752 -68 -5350 -2262 -940 -1161 -3734 -2601 75 1517 -520 -1783 1560 2315 -1515 -941 6283 4334 -1604 -1402 -2919 181 -193 -862 -1400 -225 1603 -6446 -2148 -2087 1599 2255 616 -992 5112 -1021 -5820 -3384 1056 -401 2236 -736 -863 1666 1531 36 6685 3261 1572 -3549 5838 -4587 919 186 710 -10514 6121 5402 5224 2657 -4470 -4851 -542 -1931 -2100 4923
+5248 -1484 -573 838 589 -267 3593 1680 -807 2216 -210 -3764 1853 -2320 -3458 -2036 4197 -711 242 -481 -253 1035 -3311 -6037 1429 3765 -6076 -3008 2473 316 -2084 932 -2276 -3209 9772 -66 -5322 -2206 -798 -1182 -3679 -2600 90 1592 -556 -1728 1605 2385 -1401 -925 6156 4267 -1528 -1267 -2825 168 -218 -945 -1450 -188 1610 -6418 -2039 -2066 1617 2331 687 -1013 5083 -1086 -5854 -3516 1059 -474 2455 -545 -1097 1494 1484 -34 6496 3191 1581 -3457 5707 -4432 1029 159 673 -10660 6161 5436 5214 2502 -4528 -4807 -525 -2016 -2054 4985
+5312 -1440 -581 963 630 -328 3681 1738 -862 2213 -146 -3866 1979 -2536 -3422 -1916 4210 -722 317 -449 -212 981 -3284 -6016 1537 3723 -6056 -2962 2568 322 -1997 987 -2342 -3206 9783 -187 -5310 -2115 -719 -1164 -3743 -2552 63 1567 -603 -1686 1622 2301 -1301 -911 6011 4227 -1482 -1148 -2741 101 -273 -961 -1548 -310 1585 -6473 -2104 -2197 1461 2234 661 -1052 5053 -1109 -5813 -3422 1032 -479 2613 -460 -1207 1476 1523 19 6454 3188 1614 -3344 5731 -4418 1113 241 605 -10813 6154 5456 5170 2289 -4538 -4713 -500 -2122 -2066 5045
+5282 -1409 -600 1061 658 -373 3693 1695 -924 2155 -141 -3943 1940 -2641 -3355 -1802 4144 -843 369 -456 -198 957 -3216 -6079 1656 3805 -6121 -2889 2786 370 -1870 951 -2518 -3206 9804 -348 -5352 -2095 -660 -1148 -3769 -2545 16 1452 -617 -1645 1627 2162 -1317 -848 5981 4205 -1473 -1109 -2772 49 -179 -892 -1636 -437 1651 -6489 -2280 -2322 1258 2071 556 -1097 5177 -988 -5728 -3273 1020 -243 2598 -565 -1148 1590 1574 47 6543 3263 1654 -3389 5758 -4405 1164 320 445 -10973 6143 5479 5165 2201 -4537 -4679 -519 -2192 -2123 5164
+5201 -1347 -589 1140 765 -313 3774 1649 -968 2141 -124 -3972 1802 -2643 -3348 -1770 4114 -817 435 -501 -192 1019 -3184 -6301 1834 3974 -6103 -2808 2976 404 -1772 926 -2586 -3210 9850 -364 -5362 -2106 -708 -1264 -3705 -2538 -15 1361 -554 -1688 1714 2088 -1387 -789 5961 4234 -1531 -1131 -2800 44 -159 -844 -1637 -492 1674 -6459 -2424 -2313 1241 2110 516 -1083 5291 -868 -5678 -3123 1034 66 2455 -605 -1100 1638 1540 -9 6620 3358 1746 -3421 5872 -4478 1176 398 418 -11103 6155 5516 5148 2214 -4432 -4652 -590 -2268 -2165 5218
+5236 -1362 -575 1181 791 -225 3773 1591 -928 2182 -125 -3932 1633 -2482 -3350 -1826 4091 -795 493 -519 -240 1099 -3224 -6469 1872 4016 -6026 -2856 2868 345 -1823 1004 -2554 -3252 9987 -278 -5343 -2140 -874 -1355 -3652 -2601 -32 1367 -548 -1699 1800 2220 -1492 -765 6051 4278 -1630 -1213 -2878 36 -93 -801 -1523 -359 1727 -6416 -2375 -2287 1283 2258 551 -1029 5372 -802 -5693 -3078 1070 256 2386 -587 -1076 1625 1460 -118 6554 3354 1757 -3488 5859 -4481 1229 472 525 -11128 6073 5457 5070 2306 -4367 -4683 -644 -2297 -2214 5267
+5358 -1295 -612 1165 791 -226 3794 1623 -923 2340 -100 -3935 1646 -2291 -3431 -1878 4120 -782 510 -520 -287 1112 -3280 -6509 1823 4074 -5928 -2883 2708 206 -1920 1017 -2460 -3253 10066 -195 -5345 -2173 -980 -1394 -3650 -2710 -61 1494 -588 -1742 1842 2258 -1472 -806 6158 4253 -1675 -1237 -2937 31 -165 -858 -1435 -163 1774 -6335 -2154 -2395 1266 2277 569 -997 5365 -837 -5767 -3155 1075 250 2401 -447 -1178 1498 1406 -90 6477 3320 1741 -3521 5711 -4392 1294 506 658 -11141 5925 5379 5028 2366 -4311 -4680 -610 -2189 -2214 5259
+5421 -1296 -593 1119 694 -316 3786 1723 -885 2453 -54 -3947 1657 -2166 -3530 -1964 4113 -774 467 -516 -309 1037 -3331 -6443 1774 4152 -6014 -2974 2624 164 -2034 982 -2408 -3280 10115 -118 -5336 -2210 -976 -1344 -3805 -2779 -113 1599 -693 -1768 1763 2222 -1441 -826 6157 4155 -1564 -1228 -3043 -153 -251 -901 -1391 -56 1761 -6375 -2052 -2523 1170 2214 591 -990 5377 -893 -5833 -3306 1003 87 2455 -326 -1290 1387 1432 78 6494 3256 1661 -3551 5571 -4308 1294 428 634 -11113 5827 5331 5031 2365 -4350 -4648 -449 -2014 -2297 5209
+5405 -1302 -607 1071 635 -400 3733 1728 -899 2498 18 -3917 1706 -2213 -3561 -1986 4090 -789 451 -485 -323 1017 -3386 -6349 1677 4194 -6229 -3018 2723 316 -2076 841 -2441 -3278 10012 -174 -5374 -2237 -897 -1292 -3992 -2768 -110 1664 -709 -1782 1633 2197 -1461 -847 6248 4075 -1488 -1196 -3091 -283 -303 -948 -1454 -129 1760 -6305 -2060 -2628 1019 2030 563 -950 5448 -928 -5859 -3457 883 -15 2490 -268 -1302 1402 1596 397 6656 3284 1642 -3592 5476 -4264 1196 355 537 -11141 5773 5321 5069 2350 -4369 -4602 -295 -1852 -2313 5194
+5266 -1277 -550 1061 628 -374 3796 1763 -915 2393 111 -3898 1742 -2332 -3558 -1946 4061 -784 491 -540 -298 1048 -3338 -6442 1741 4210 -6346 -2985 2862 441 -2014 729 -2498 -3254 9912 -252 -5394 -2250 -804 -1324 -4060 -2724 -78 1697 -636 -1803 1596 2128 -1475 -830 6328 4126 -1440 -1222 -3112 -358 -354 -950 -1543 -273 1754 -6247 -2152 -2503 1058 2051 564 -925 5477 -928 -5825 -3488 749 68 2327 -204 -1218 1460 1684 560 6860 3395 1720 -3595 5486 -4286 1065 279 447 -11045 5754 5286 5098 2435 -4406 -4618 -224 -1793 -2244 5267
+5182 -1340 -499 1086 697 -252 3848 1742 -943 2243 224 -4006 1739 -2472 -3537 -1894 4005 -778 583 -556 -279 1121 -3239 -6693 1861 4129 -6339 -2928 2955 481 -1954 698 -2501 -3168 9804 -287 -5399 -2260 -770 -1419 -4043 -2675 -40 1652 -500 -1737 1662 2229 -1549 -783 6344 4092 -1508 -1267 -3066 -294 -299 -895 -1585 -351 1726 -6239 -2235 -2333 1269 2230 660 -805 5480 -930 -5801 -3446 731 246 2239 -141 -1115 1480 1646 440 6818 3446 1805 -3624 5402 -4250 1006 236 470 -10860 5709 5238 5143 2598 -4425 -4680 -270 -1815 -2187 5298
+5224 -1360 -465 1084 731 -190 3894 1767 -937 2108 222 -4095 1748 -2459 -3533 -1827 3985 -755 621 -558 -251 1122 -3136 -6863 2028 3984 -6181 -2825 2855 336 -1979 761 -2428 -3158 9835 -286 -5406 -2247 -817 -1471 -3955 -2708 -66 1616 -527 -1713 1759 2225 -1491 -811 6345 4032 -1628 -1288 -2951 -179 -253 -899 -1586 -327 1750 -6109 -2184 -2186 1454 2342 724 -749 5410 -946 -5801 -3336 785 424 2241 -117 -1042 1475 1523 211 6626 3420 1846 -3681 5321 -4097 1031 175 488 -10736 5722 5260 5256 2816 -4413 -4774 -378 -1851 -2175 5380
+5372 -1361 -464 1126 697 -277 3792 1722 -969 2070 119 -4252 1761 -2417 -3547 -1869 3966 -814 540 -468 -202 995 -3097 -6751 2028 3903 -6132 -2806 2730 209 -2054 886 -2359 -3151 9901 -280 -5403 -2216 -900 -1483 -3924 -2768 -148 1511 -569 -1661 1814 2188 -1439 -958 6328 3880 -1670 -1280 -2885 -95 -174 -951 -1548 -251 1718 -6182 -2116 -2292 1442 2337 774 -733 5270 -1021 -5866 -3289 856 511 2442 -161 -1076 1486 1430 100 6585 3401 1764 -3802 5296 -4069 1143 238 550 -10709 5761 5297 5329 2852 -4479 -4838 -436 -1834 -2271 5369
+5380 -1436 -401 1078 579 -374 3748 1766 -989 2070 -70 -4238 1791 -2324 -3616 -1975 3958 -810 393 -396 -223 825 -3140 -6464 2031 3861 -6154 -2752 2733 183 -2120 903 -2385 -3163 9980 -343 -5419 -2208 -974 -1383 -4011 -2828 -256 1427 -676 -1639 1737 2092 -1376 -974 6234 3742 -1714 -1273 -2861 -112 -185 -979 -1512 -154 1755 -6190 -2112 -2387 1335 2227 775 -770 5228 -1083 -5969 -3331 933 460 2544 -240 -1185 1490 1456 219 6608 3372 1719 -3828 5313 -3984 1221 248 395 -10638 5865 5374 5425 2812 -4536 -4863 -468 -1784 -2274 5346
+5296 -1541 -339 1047 495 -423 3650 1726 -942 2053 -271 -3966 1815 -2242 -3559 -2021 4015 -803 389 -424 -299 814 -3207 -6326 2006 3956 -6171 -2756 2766 293 -2095 877 -2481 -3208 10066 -391 -5417 -2188 -968 -1293 -4057 -2821 -313 1418 -722 -1664 1610 2009 -1342 -940 6190 3826 -1700 -1345 -2933 -141 -203 -986 -1531 -165 1819 -6288 -2174 -2281 1280 2122 716 -772 5268 -1147 -6051 -3358 995 301 2551 -330 -1285 1517 1543 499 6842 3443 1718 -3821 5423 -3953 1234 288 225 -10705 6002 5475 5455 2764 -4566 -4880 -548 -1862 -2137 5361
+5225 -1436 -324 1041 549 -349 3567 1676 -873 2102 -289 -3668 1809 -2379 -3443 -2011 4092 -716 448 -470 -413 935 -3171 -6433 1900 3880 -6095 -2806 2744 393 -2017 865 -2574 -3254 10121 -467 -5408 -2178 -928 -1286 -4048 -2769 -287 1532 -640 -1754 1559 1979 -1381 -955 6136 3961 -1669 -1388 -3010 -224 -278 -993 -1590 -181 1929 -6299 -2310 -2190 1292 2139 673 -753 5464 -1103 -6059 -3424 982 82 2419 -356 -1368 1512 1618 629 6851 3429 1747 -3724 5474 -3951 1274 335 131 -10810 6122 5555 5419 2686 -4523 -4840 -582 -1942 -1878 5481
+5184 -1435 -376 1022 658 -229 3565 1670 -803 2167 -274 -3559 1770 -2477 -3335 -2031 4135 -711 544 -497 -458 1054 -3027 -6675 1774 3877 -6054 -2914 2668 409 -1963 870 -2628 -3239 10145 -467 -5427 -2202 -880 -1333 -3944 -2761 -208 1613 -563 -1829 1648 2066 -1346 -1005 6151 4188 -1618 -1464 -3081 -245 -385 -1024 -1615 -180 2013 -6403 -2343 -2060 1322 2188 632 -736 5569 -1046 -5977 -3371 922 -125 2273 -381 -1363 1490 1661 549 6629 3350 1779 -3660 5442 -3913 1364 393 176 -10887 6151 5563 5322 2610 -4569 -4842 -589 -2042 -1784 5496
+5261 -1433 -536 976 733 -211 3517 1670 -771 2261 -176 -3707 1714 -2514 -3349 -1985 4107 -700 541 -486 -419 1032 -2979 -6757 1607 3878 -6110 -3057 2559 362 -2014 834 -2601 -3258 10172 -490 -5415 -2181 -767 -1389 -3840 -2736 -137 1615 -507 -1897 1712 2025 -1201 -1127 6115 4177 -1518 -1411 -3051 -185 -428 -1021 -1571 -147 1981 -6394 -2330 -2156 1333 2159 579 -826 5497 -999 -5873 -3320 881 -200 2162 -439 -1328 1507 1697 434 6430 3243 1731 -3615 5413 -3834 1473 454 272 -10921 6000 5478 5200 2461 -4664 -4831 -505 -1953 -1858 5419
+5262 -1483 -617 921 724 -246 3458 1669 -796 2327 -96 -3988 1615 -2509 -3371 -2010 4076 -787 516 -395 -322 891 -3081 -6576 1484 3933 -6219 -3163 2557 374 -2071 789 -2540 -3252 10153 -444 -5448 -2234 -662 -1409 -3798 -2747 -96 1586 -560 -1906 1701 2038 -1145 -1233 6198 4132 -1417 -1326 -2987 -89 -399 -1040 -1511 -140 1865 -6373 -2217 -2393 1249 2073 546 -969 5380 -966 -5812 -3335 887 -153 2240 -493 -1266 1536 1736 300 6345 3189 1679 -3715 5408 -3875 1454 507 442 -10997 5836 5367 5110 2304 -4712 -4818 -454 -1875 -2039 5259
+5190 -1591 -579 871 694 -272 3513 1759 -793 2369 -113 -4084 1520 -2519 -3325 -2007 4051 -794 487 -301 -153 815 -3257 -6379 1575 4112 -6258 -3084 2700 409 -2057 776 -2484 -3203 10083 -388 -5425 -2225 -654 -1325 -3760 -2723 -116 1494 -644 -1934 1643 2019 -1064 -1199 6331 4121 -1391 -1275 -2937 -31 -340 -1040 -1447 -152 1671 -6388 -2068 -2436 1271 2053 550 -1079 5201 -974 -5724 -3304 831 -105 2355 -585 -1180 1619 1781 274 6437 3252 1724 -3686 5479 -4026 1301 503 500 -10980 5715 5283 5065 2192 -4740 -4808 -425 -1740 -2121 5158
+5192 -1588 -448 918 648 -270 3571 1746 -826 2332 -170 -4092 1543 -2501 -3297 -2026 4040 -757 523 -303 -82 842 -3279 -6352 1698 4161 -6234 -2981 2810 444 -1979 799 -2443 -3199 9981 -338 -5390 -2205 -650 -1260 -3727 -2658 -98 1470 -629 -1899 1578 1986 -1151 -1126 6352 4219 -1393 -1257 -2896 -27 -263 -1061 -1520 -260 1603 -6417 -2061 -2294 1308 2158 604 -1066 5121 -1001 -5653 -3226 830 -117 2497 -676 -1197 1644 1773 304 6524 3370 1859 -3655 5427 -4163 1171 475 500 -11064 5623 5213 5060 2249 -4660 -4799 -474 -1778 -2123 5193
+5230 -1440 -448 965 662 -169 3696 1713 -857 2220 -190 -3971 1637 -2524 -3238 -2027 4025 -712 582 -339 -129 964 -3129 -6559 1832 4140 -6078 -2854 2797 302 -1883 862 -2457 -3226 9861 -279 -5369 -2185 -750 -1129 -3684 -2607 -123 1408 -604 -1904 1578 2030 -1235 -1132 6322 4403 -1416 -1260 -2819 41 -233 -1062 -1635 -386 1662 -6406 -2093 -2154 1345 2224 601 -949 5280 -964 -5599 -3161 801 -192 2433 -665 -1266 1577 1687 285 6521 3403 1905 -3636 5339 -4218 1121 480 585 -11192 5589 5257 5099 2401 -4504 -4765 -501 -1854 -2069 5323
+5282 -1326 -503 1024 696 -160 3785 1570 -1036 2145 -161 -4091 1778 -2491 -3287 -2111 4041 -704 579 -433 -274 969 -2927 -6743 1858 4091 -6014 -2875 2687 92 -1884 897 -2490 -3310 9828 -266 -5329 -2145 -877 -1223 -3643 -2630 -209 1325 -590 -1927 1645 2041 -1200 -1252 6138 4352 -1403 -1193 -2757 95 -263 -1056 -1740 -415 1830 -6435 -2216 -2209 1240 2252 592 -845 5495 -895 -5545 -3070 776 -343 2308 -646 -1314 1528 1597 227 6419 3348 1860 -3612 5179 -4206 1183 510 683 -11196 5684 5275 5138 2593 -4363 -4721 -470 -1907 -2034 5361
+5380 -1310 -623 1064 703 -219 3811 1517 -1176 2119 -96 -4191 1851 -2496 -3428 -2171 4035 -724 504 -484 -341 878 -2894 -6709 1836 4095 -6080 -2939 2653 -27 -1936 870 -2587 -3324 9808 -338 -5352 -2107 -852 -1167 -3715 -2679 -97 1303 -619 -1988 1632 2081 -1173 -1270 6089 4293 -1391 -1173 -2754 107 -307 -1026 -1707 -308 1965 -6390 -2219 -2262 1057 2057 469 -836 5615 -838 -5518 -2933 840 -476 2205 -669 -1281 1565 1583 216 6440 3269 1686 -3739 5123 -4058 1218 502 753 -11267 5713 5339 5234 2705 -4299 -4686 -389 -1929 -2058 5292
+5257 -1318 -642 1062 634 -244 3797 1562 -1192 2170 -5 -4205 1804 -2450 -3526 -2193 4002 -725 489 -420 -325 830 -3076 -6499 1725 4194 -6268 -3051 2714 144 -1959 778 -2607 -3209 9807 -480 -5349 -2074 -770 -1240 -3731 -2693 -48 1351 -653 -1987 1611 2084 -1121 -1211 6079 4183 -1459 -1198 -2773 178 -251 -951 -1567 -227 1889 -6377 -2061 -2314 1000 1993 451 -946 5563 -809 -5519 -2884 897 -516 2221 -750 -1245 1653 1668 228 6457 3192 1610 -3788 5251 -4015 1217 455 661 -11185 5783 5368 5278 2733 -4287 -4676 -338 -1903 -2105 5180
+5220 -1484 -527 1113 538 -318 3714 1630 -1039 2205 41 -4137 1713 -2383 -3471 -2082 3968 -709 529 -354 -236 852 -3277 -6350 1641 4267 -6349 -3106 2810 387 -1966 716 -2601 -3078 9825 -622 -5379 -2070 -618 -1141 -3799 -2629 107 1477 -673 -1925 1543 2110 -1124 -1013 6170 4241 -1580 -1311 -2915 126 -202 -912 -1419 -100 1753 -6403 -1993 -2245 1026 2008 505 -944 5427 -863 -5569 -2913 962 -464 2383 -731 -1215 1665 1706 294 6590 3235 1645 -3762 5516 -4008 1192 471 543 -11007 5844 5373 5349 2797 -4342 -4744 -396 -1855 -2071 5173
+5248 -1348 -418 1120 551 -229 3748 1755 -872 2224 80 -4047 1699 -2342 -3394 -1964 3957 -691 644 -272 -150 956 -3298 -6308 1500 4106 -6209 -3069 2746 548 -1908 744 -2574 -2972 9866 -698 -5419 -2103 -564 -1116 -3752 -2559 77 1602 -617 -1817 1534 2161 -1269 -948 6271 4377 -1646 -1438 -3045 49 -184 -870 -1388 -139 1638 -6542 -2021 -2157 1065 2104 605 -809 5317 -945 -5586 -2953 974 -311 2537 -692 -1239 1601 1677 318 6674 3296 1690 -3740 5764 -3986 1163 452 452 -10922 5975 5443 5417 2888 -4428 -4843 -484 -1866 -2076 5259
+5315 -1229 -501 1156 619 -193 3747 1770 -780 2309 -29 -3975 1824 -2384 -3319 -1843 3971 -730 701 -245 -154 989 -3147 -6460 1482 3923 -6054 -3022 2606 491 -1926 765 -2586 -3033 9962 -683 -5494 -2222 -577 -1104 -3750 -2586 -3 1657 -542 -1744 1573 2217 -1354 -970 6246 4398 -1595 -1427 -3071 9 -256 -903 -1426 -174 1663 -6508 -2208 -2279 1100 2180 725 -602 5384 -991 -5605 -3043 889 -183 2621 -604 -1259 1510 1641 355 6651 3276 1668 -3744 5738 -3957 1269 529 491 -10849 6069 5442 5365 2866 -4509 -4872 -515 -1805 -2090 5305
+5422 -1120 -600 1172 654 -201 3738 1754 -795 2334 -148 -3899 1919 -2333 -3386 -1845 3973 -808 681 -308 -281 982 -3042 -6555 1536 3750 -5957 -2970 2499 362 -1981 760 -2635 -3160 10052 -717 -5563 -2305 -686 -1107 -3757 -2627 -170 1564 -555 -1738 1687 2200 -1423 -1048 6249 4264 -1498 -1362 -3037 -82 -353 -938 -1532 -211 1839 -6406 -2289 -2386 1012 2030 662 -534 5441 -1019 -5577 -3051 843 -43 2533 -515 -1162 1499 1543 314 6756 3263 1528 -3869 5725 -3874 1295 489 581 -10850 6076 5485 5387 2785 -4663 -4908 -502 -1783 -2128 5302
+5402 -1225 -677 1206 661 -280 3718 1694 -883 2421 -343 -3798 1936 -2386 -3455 -1975 3981 -808 605 -352 -358 857 -3043 -6492 1677 3782 -5979 -2853 2563 294 -2022 681 -2677 -3192 10069 -689 -5608 -2375 -780 -1212 -3818 -2766 -261 1475 -592 -1742 1744 2174 -1338 -1051 6374 4098 -1479 -1287 -2952 -51 -402 -920 -1556 -275 1870 -6256 -2117 -2332 1052 1914 627 -551 5487 -1037 -5582 -3040 777 26 2474 -485 -1028 1568 1482 214 6888 3247 1400 -4002 5718 -3780 1238 432 665 -10823 5975 5437 5350 2579 -4804 -4903 -464 -1715 -2017 5227
+5249 -1392 -605 1223 615 -338 3671 1678 -885 2448 -428 -3617 1869 -2354 -3434 -2036 4019 -758 627 -366 -397 825 -3116 -6315 1866 3805 -6055 -2783 2763 421 -2005 611 -2652 -3129 10091 -658 -5597 -2374 -814 -1255 -3839 -2811 -228 1492 -679 -1722 1745 2143 -1290 -911 6415 3926 -1530 -1260 -2875 45 -312 -872 -1518 -263 1766 -6185 -1868 -2096 1192 1956 647 -646 5466 -1068 -5656 -3015 811 -1 2474 -453 -962 1616 1436 186 6993 3261 1318 -4030 5835 -3880 1160 437 656 -10775 6006 5475 5366 2409 -4885 -4897 -447 -1801 -1954 5212
+5155 -1482 -448 1276 596 -357 3685 1726 -864 2378 -400 -3590 1678 -2304 -3399 -2042 4032 -636 680 -338 -312 816 -3162 -6207 1976 3871 -6089 -2724 2933 503 -1947 672 -2583 -3019 10085 -560 -5524 -2310 -859 -1306 -3814 -2816 -206 1574 -705 -1638 1742 2054 -1216 -756 6434 3896 -1647 -1300 -2851 65 -179 -806 -1449 -263 1558 -6307 -1811 -1867 1332 2095 699 -670 5358 -1139 -5776 -3030 863 -19 2444 -347 -1085 1558 1408 175 6975 3301 1418 -3929 5862 -4007 1053 470 654 -10870 5979 5494 5298 2316 -4869 -4869 -440 -1844 -1851 5353
+5264 -1420 -345 1307 591 -306 3744 1734 -821 2235 -298 -3720 1499 -2242 -3355 -1991 4037 -552 748 -284 -188 882 -3163 -6210 1974 3814 -6075 -2735 2863 440 -1869 813 -2472 -3018 10053 -466 -5475 -2247 -881 -1289 -3844 -2770 -167 1713 -662 -1613 1711 2055 -1382 -789 6363 3941 -1784 -1383 -2896 27 -83 -786 -1432 -248 1491 -6511 -2005 -1968 1362 2223 795 -545 5350 -1176 -5861 -3051 861 -21 2517 -216 -1228 1435 1361 139 6868 3312 1582 -3726 5686 -4114 1086 589 744 -10999 5934 5514 5283 2428 -4720 -4866 -550 -2020 -1854 5487
+5324 -1383 -322 1269 642 -238 3786 1743 -835 2220 -208 -4049 1516 -2244 -3364 -1910 4040 -596 760 -249 -92 909 -3135 -6312 1890 3762 -6012 -2802 2729 274 -1835 986 -2394 -3150 10025 -341 -5402 -2177 -958 -1225 -3969 -2742 -101 1842 -629 -1711 1728 2143 -1428 -852 6305 3956 -1761 -1394 -2941 -33 -123 -808 -1459 -239 1616 -6556 -2271 -2150 1225 2167 729 -480 5458 -1143 -5908 -3150 796 33 2428 -74 -1294 1343 1356 110 6787 3331 1676 -3653 5464 -4108 1177 634 866 -11134 5927 5537 5283 2565 -4610 -4862 -588 -2043 -1956 5599
+5366 -1319 -420 1188 673 -156 3782 1729 -874 2261 -96 -4263 1593 -2315 -3388 -1893 3970 -682 723 -265 -75 899 -3137 -6477 1796 3852 -6139 -2957 2655 240 -1854 1083 -2374 -3306 9994 -304 -5391 -2210 -1061 -1212 -4074 -2729 -103 1839 -581 -1783 1797 2200 -1587 -950 6271 3967 -1826 -1411 -2943 -49 -287 -847 -1518 -217 1842 -6399 -2356 -2381 1021 2047 613 -542 5652 -1029 -5822 -3169 661 63 2259 -61 -1187 1350 1369 13 6839 3389 1684 -3648 5466 -3989 1138 573 976 -11338 5786 5498 5285 2671 -4468 -4856 -649 -2005 -1937 5535
+5279 -1421 -509 1074 715 -63 3724 1707 -867 2378 -61 -4247 1830 -2492 -3404 -1911 3931 -764 683 -258 -115 937 -3191 -6536 1789 4025 -6246 -3027 2743 323 -1897 1063 -2381 -3337 9967 -264 -5435 -2282 -1092 -1131 -4144 -2739 -60 1750 -589 -1806 1820 2223 -1566 -918 6301 3999 -1867 -1443 -2944 -6 -448 -904 -1480 -136 1966 -6229 -2104 -2294 1005 1980 541 -706 5677 -996 -5740 -3171 552 75 2169 -61 -1052 1399 1363 -84 6912 3403 1601 -3719 5634 -3981 1068 481 926 -11403 5707 5445 5287 2652 -4404 -4826 -611 -1853 -1863 5380
+5132 -1569 -511 991 698 -65 3643 1698 -813 2412 -16 -4085 1999 -2574 -3425 -1973 3952 -772 635 -239 -144 908 -3224 -6396 1744 4222 -6322 -3062 2884 435 -1937 1019 -2359 -3328 10032 -179 -5469 -2359 -1090 -1220 -4055 -2764 -81 1621 -648 -1707 1830 2140 -1426 -793 6350 4052 -1917 -1457 -2977 -7 -479 -877 -1440 -107 1909 -6206 -1859 -2131 1014 2016 540 -823 5621 -1016 -5690 -3149 557 41 2329 -89 -973 1412 1341 -170 6948 3385 1505 -3761 5905 -4026 958 462 872 -11436 5742 5420 5290 2597 -4419 -4807 -504 -1736 -1849 5282
+5042 -1642 -497 921 626 -86 3592 1710 -735 2362 -17 -3926 2093 -2565 -3412 -1992 3997 -713 570 -210 -148 835 -3205 -6145 1720 4273 -6226 -2974 2907 357 -1957 983 -2391 -3257 10112 -195 -5515 -2413 -1063 -1251 -3973 -2755 -97 1570 -743 -1656 1759 2059 -1408 -719 6382 4155 -1853 -1446 -3012 -2 -387 -845 -1364 -94 1703 -6356 -1835 -2205 1014 2105 625 -729 5600 -1056 -5681 -3174 615 61 2523 -73 -1037 1362 1288 -206 6857 3352 1470 -3699 5970 -4057 991 527 808 -11353 5727 5359 5231 2573 -4490 -4790 -362 -1654 -1933 5291
+5096 -1530 -437 881 597 -112 3628 1722 -792 2271 4 -4023 2109 -2365 -3436 -1988 4093 -660 565 -253 -150 779 -3212 -6051 1717 4282 -6144 -2920 2826 241 -1979 921 -2428 -3224 10224 -257 -5531 -2417 -980 -1314 -3853 -2773 -211 1481 -775 -1588 1699 2084 -1440 -794 6386 4163 -1713 -1334 -2927 27 -319 -861 -1411 -222 1582 -6408 -2036 -2445 986 2125 641 -633 5582 -1060 -5687 -3147 781 73 2722 -58 -1160 1329 1347 4 6811 3310 1493 -3597 5892 -4040 1156 624 778 -11274 5781 5336 5246 2649 -4543 -4800 -280 -1604 -1981 5297
+5250 -1486 -419 911 641 -93 3677 1721 -873 2264 -32 -4194 1857 -2205 -3439 -2036 4080 -613 515 -258 -138 740 -3147 -6166 1788 4240 -6097 -2853 2754 125 -1978 851 -2539 -3267 10268 -416 -5549 -2396 -915 -1301 -3838 -2791 -261 1412 -735 -1629 1679 2233 -1630 -896 6488 4178 -1603 -1231 -2881 -2 -271 -860 -1434 -265 1566 -6358 -2213 -2651 1011 2084 647 -539 5643 -998 -5641 -3118 806 94 2692 -195 -1253 1375 1381 96 6858 3343 1531 -3544 5829 -3910 1279 700 888 -11147 5751 5291 5288 2722 -4681 -4855 -247 -1578 -2059 5362
+5246 -1464 -424 1035 729 -142 3728 1715 -1027 2249 -101 -4274 1600 -2188 -3436 -2041 4043 -644 522 -338 -197 766 -3135 -6363 1863 4088 -6176 -2874 2760 166 -2020 729 -2662 -3287 10305 -632 -5561 -2342 -851 -1186 -3932 -2713 -190 1355 -701 -1726 1653 2410 -1642 -943 6506 4121 -1522 -1115 -2791 -3 -298 -940 -1565 -289 1693 -6192 -2148 -2493 1083 2092 631 -627 5668 -909 -5549 -2993 835 25 2531 -328 -1246 1474 1455 160 6963 3379 1529 -3603 5901 -3854 1283 656 874 -11097 5744 5318 5375 2763 -4786 -4914 -316 -1623 -2116 5370
+5247 -1455 -456 1115 782 -211 3699 1720 -1051 2217 -132 -4103 1404 -2342 -3367 -2065 3941 -721 509 -351 -197 760 -3141 -6446 1843 3999 -6261 -2858 2801 292 -2046 686 -2711 -3243 10244 -748 -5553 -2274 -834 -1126 -3959 -2700 -63 1341 -592 -1776 1636 2335 -1616 -917 6430 4082 -1558 -1071 -2708 27 -408 -987 -1637 -316 1805 -6031 -1956 -2190 1151 2089 645 -697 5698 -867 -5459 -2832 832 -157 2373 -418 -1258 1512 1441 53 6969 3422 1579 -3572 6147 -3825 1129 539 790 -11061 5855 5394 5423 2746 -4794 -4925 -409 -1640 -2060 5408
+5164 -1449 -514 1202 771 -265 3661 1693 -1020 2146 -184 -3901 1354 -2576 -3308 -2107 3879 -751 443 -252 -162 717 -3179 -6237 1698 3919 -6319 -2941 2770 381 -2096 724 -2624 -3220 10251 -685 -5519 -2237 -863 -1103 -3938 -2680 99 1368 -575 -1757 1607 2373 -1520 -921 6275 4049 -1574 -1065 -2699 80 -372 -931 -1640 -291 1859 -6227 -1854 -1979 1151 2130 690 -634 5689 -929 -5477 -2756 923 -404 2440 -400 -1251 1476 1382 -71 6836 3377 1560 -3520 6239 -3819 1023 483 712 -10965 6004 5492 5420 2713 -4730 -4898 -503 -1712 -1976 5344
+5164 -1490 -456 1197 659 -354 3593 1664 -951 2045 -235 -3786 1549 -2673 -3301 -2121 3930 -818 372 -184 -144 652 -3286 -5939 1542 3830 -6325 -3013 2692 354 -2160 800 -2502 -3224 10216 -560 -5469 -2213 -929 -1118 -3864 -2691 148 1414 -640 -1736 1493 2224 -1406 -948 6099 4021 -1529 -1075 -2793 6 -337 -890 -1565 -244 1806 -6440 -2015 -2091 1069 2081 704 -426 5814 -967 -5522 -2778 977 -561 2538 -356 -1296 1390 1356 -9 6835 3401 1593 -3453 6027 -3867 1028 515 707 -10956 6099 5567 5389 2699 -4611 -4821 -519 -1759 -1919 5368
+5162 -1482 -444 1171 596 -381 3566 1622 -925 2093 -311 -3783 1757 -2642 -3377 -2133 4058 -838 325 -212 -145 682 -3366 -5835 1430 3919 -6308 -3098 2600 280 -2160 874 -2444 -3303 10132 -418 -5463 -2223 -946 -1084 -3807 -2684 75 1361 -666 -1717 1412 2230 -1327 -1031 6012 4052 -1521 -1128 -2878 -1 -281 -869 -1495 -205 1794 -6555 -2180 -2316 1043 2043 702 -273 5858 -1000 -5560 -2820 1073 -476 2678 -354 -1242 1385 1402 168 6927 3425 1578 -3443 5715 -3851 1158 623 834 -10905 6098 5596 5375 2693 -4546 -4777 -519 -1863 -1847 5380
+5226 -1451 -497 1098 560 -310 3530 1643 -857 2181 -282 -3810 1851 -2538 -3456 -2105 4188 -822 371 -318 -231 780 -3345 -6019 1471 3976 -6220 -3035 2597 288 -2125 883 -2468 -3374 10022 -353 -5396 -2190 -900 -1128 -3821 -2656 -43 1300 -674 -1725 1459 2298 -1356 -1059 6002 4144 -1492 -1212 -2986 -62 -312 -910 -1472 -148 1812 -6526 -2267 -2395 1074 2026 709 -305 5766 -972 -5472 -2800 984 -288 2609 -494 -1079 1506 1489 376 7110 3512 1599 -3542 5487 -3814 1160 622 962 -10917 5936 5541 5384 2673 -4571 -4801 -529 -1949 -1855 5404
+5291 -1392 -600 1073 606 -287 3516 1655 -869 2243 -225 -3902 1734 -2383 -3518 -2082 4229 -773 401 -433 -278 862 -3249 -6269 1488 4089 -6216 -2986 2677 348 -2095 823 -2542 -3425 9866 -287 -5412 -2232 -791 -1164 -3833 -2604 -151 1194 -636 -1748 1538 2310 -1305 -1161 6049 4184 -1509 -1278 -3038 -69 -356 -983 -1559 -165 1902 -6377 -2222 -2221 1116 2033 727 -452 5606 -930 -5330 -2728 823 -112 2424 -643 -914 1637 1523 384 7227 3595 1651 -3560 5629 -3860 1050 573 1031 -10942 5758 5489 5387 2576 -4670 -4852 -514 -1996 -1924 5434
+5305 -1358 -661 1096 630 -270 3512 1630 -870 2271 -62 -3994 1590 -2384 -3500 -2086 4151 -730 432 -446 -218 838 -3171 -6268 1488 4105 -6169 -2910 2722 352 -2060 776 -2536 -3432 9798 -217 -5416 -2276 -802 -1232 -3795 -2590 -218 1142 -595 -1737 1632 2270 -1332 -1172 6043 4108 -1428 -1238 -2986 -98 -470 -1042 -1677 -301 1953 -6317 -2158 -2043 1087 2046 775 -560 5432 -964 -5278 -2684 702 -99 2282 -632 -866 1673 1514 316 7143 3566 1633 -3556 5866 -3915 945 546 973 -11044 5667 5431 5345 2477 -4712 -4875 -460 -1895 -2142 5485
+5262 -1383 -628 1121 590 -266 3556 1617 -851 2217 84 -4067 1545 -2410 -3421 -2052 4072 -726 430 -359 -90 794 -3310 -6118 1544 4155 -6147 -2880 2718 288 -2085 817 -2472 -3372 9828 -162 -5405 -2279 -841 -1278 -3729 -2626 -204 1231 -579 -1679 1644 2190 -1254 -1106 6094 3999 -1362 -1191 -2938 -107 -461 -1020 -1693 -306 1964 -6409 -2104 -2082 1026 2011 758 -521 5484 -1011 -5322 -2704 606 -320 2253 -569 -1031 1591 1464 207 6980 3507 1624 -3503 6025 -3866 917 525 868 -11165 5653 5392 5285 2478 -4748 -4926 -440 -1862 -2318 5383
+5189 -1545 -469 1095 426 -285 3656 1649 -911 2154 166 -4240 1635 -2494 -3375 -2046 3971 -724 419 -305 34 730 -3468 -5919 1572 4097 -6115 -2907 2634 218 -2118 910 -2380 -3337 9935 -108 -5375 -2277 -917 -1315 -3677 -2636 -117 1395 -611 -1708 1552 2214 -1225 -1085 6113 3867 -1291 -1150 -2879 -94 -387 -984 -1708 -444 1813 -6538 -2103 -2252 1075 1972 678 -415 5761 -1016 -5501 -2832 687 -519 2384 -545 -1324 1462 1425 158 6917 3473 1602 -3416 5953 -3838 1076 603 873 -11201 5613 5308 5163 2488 -4656 -4891 -387 -1633 -2306 5263
+5166 -1544 -297 1123 366 -213 3829 1704 -954 2191 136 -4315 1826 -2524 -3342 -2012 3958 -720 431 -313 17 854 -3530 -6008 1750 4095 -6187 -2940 2711 272 -2071 976 -2349 -3282 10020 -122 -5375 -2255 -937 -1288 -3734 -2632 13 1591 -610 -1732 1509 2365 -1208 -1150 6120 3890 -1312 -1179 -2841 -31 -233 -958 -1643 -440 1685 -6512 -2021 -2264 1145 1979 628 -378 5954 -1027 -5659 -2958 757 -637 2504 -545 -1539 1395 1480 302 7005 3545 1663 -3402 5823 -3722 1250 708 928 -11219 5644 5247 5083 2508 -4483 -4797 -372 -1571 -2149 5220
+5166 -1526 -353 1167 434 -202 3876 1670 -1102 2291 -86 -4356 1940 -2455 -3390 -2012 3935 -800 454 -386 -161 921 -3378 -6260 1833 4127 -6338 -2996 2878 360 -2010 958 -2475 -3306 10089 -237 -5381 -2228 -956 -1253 -3924 -2593 41 1699 -628 -1750 1557 2431 -1217 -1080 6117 4008 -1398 -1273 -2839 -5 -194 -1001 -1617 -360 1669 -6428 -1988 -2088 1164 2022 614 -478 5861 -1055 -5708 -2904 800 -549 2528 -516 -1594 1370 1512 366 7155 3608 1671 -3378 5868 -3753 1374 864 972 -11241 5638 5242 5109 2526 -4400 -4729 -366 -1623 -1954 5285
+5206 -1480 -546 1172 570 -113 3862 1714 -1104 2348 -245 -4216 1955 -2300 -3524 -2001 4018 -787 460 -454 -321 963 -3207 -6413 1853 4103 -6401 -2980 2951 441 -1919 926 -2583 -3375 10147 -380 -5445 -2221 -914 -1184 -4118 -2535 94 1645 -602 -1811 1585 2494 -1297 -1132 6023 4064 -1404 -1328 -2886 -62 -286 -1065 -1684 -340 1784 -6393 -2066 -1951 1061 2048 653 -596 5647 -1066 -5637 -2774 740 -324 2498 -438 -1514 1364 1502 292 7065 3591 1661 -3416 5918 -3800 1388 934 858 -11241 5698 5262 5191 2590 -4433 -4724 -346 -1739 -1932 5451
+5194 -1399 -707 1146 649 -105 3757 1723 -1027 2253 -257 -4053 1840 -2171 -3596 -1962 4119 -770 462 -472 -380 929 -3202 -6324 1751 4062 -6348 -2976 2866 336 -1875 925 -2637 -3370 10190 -451 -5470 -2234 -973 -1271 -4156 -2552 -10 1555 -570 -1784 1654 2439 -1467 -1099 5960 4051 -1473 -1379 -2927 -137 -445 -1094 -1672 -227 1912 -6452 -2142 -2059 897 2024 651 -671 5456 -1076 -5533 -2681 601 -128 2430 -313 -1316 1374 1435 136 6893 3503 1599 -3438 6001 -3868 1312 913 793 -11321 5792 5368 5281 2648 -4480 -4735 -361 -1849 -2010 5495
+5166 -1437 -663 1078 645 -58 3741 1764 -898 2288 -139 -4025 1736 -2095 -3651 -1939 4170 -683 453 -407 -318 914 -3380 -6090 1694 4013 -6128 -2948 2716 189 -1872 966 -2541 -3321 10284 -412 -5465 -2278 -1089 -1461 -3987 -2609 -162 1584 -583 -1749 1682 2447 -1557 -1091 5915 4000 -1466 -1366 -2957 -169 -547 -1047 -1631 -202 1942 -6471 -2218 -2365 834 1999 629 -592 5549 -1064 -5531 -2779 549 12 2422 -139 -1252 1303 1407 108 6750 3419 1578 -3482 5905 -3841 1264 865 783 -11270 5877 5415 5357 2758 -4544 -4789 -419 -1890 -2121 5419
+5087 -1571 -569 1014 556 -77 3696 1786 -789 2279 32 -3994 1662 -2223 -3561 -1859 4125 -681 455 -400 -200 932 -3556 -6019 1700 4048 -5956 -2879 2614 125 -1893 1021 -2430 -3261 10307 -302 -5464 -2321 -1131 -1638 -3836 -2696 -288 1539 -646 -1671 1624 2466 -1530 -1084 5994 4000 -1495 -1377 -2983 -157 -554 -1049 -1593 -206 1902 -6508 -2114 -2491 906 1996 581 -516 5716 -1078 -5609 -2981 565 -19 2482 -78 -1174 1333 1460 187 6852 3465 1589 -3598 5698 -3707 1259 780 896 -11209 5742 5392 5402 2837 -4644 -4866 -476 -1895 -2174 5315
+5067 -1518 -563 1019 607 -104 3672 1794 -744 2299 74 -3834 1524 -2437 -3515 -1881 4045 -693 438 -414 -180 927 -3444 -6059 1722 4035 -6003 -2821 2708 192 -1872 933 -2427 -3234 10268 -256 -5478 -2365 -1114 -1657 -3794 -2746 -308 1567 -789 -1647 1629 2463 -1458 -1035 6079 4107 -1579 -1435 -2984 -40 -486 -1040 -1468 -122 1753 -6421 -1966 -2408 972 2015 587 -449 5870 -1091 -5688 -3112 663 -228 2512 -45 -1290 1311 1516 342 7084 3594 1674 -3669 5623 -3668 1195 719 1025 -11051 5671 5350 5445 2840 -4742 -4918 -533 -1805 -2078 5265
+5138 -1467 -633 1076 709 -168 3609 1778 -776 2303 -22 -3640 1463 -2650 -3445 -1934 3936 -829 406 -496 -236 931 -3256 -6240 1709 3940 -6110 -2800 2855 324 -1914 807 -2496 -3297 10129 -190 -5526 -2444 -1063 -1610 -3940 -2778 -308 1563 -825 -1603 1653 2388 -1367 -1014 6126 4299 -1591 -1433 -2942 5 -451 -1101 -1447 -47 1750 -6304 -1931 -2206 902 1993 658 -404 5874 -1104 -5681 -3089 690 -312 2517 -48 -1422 1264 1491 388 7233 3684 1746 -3645 5580 -3730 1093 659 1032 -10967 5630 5303 5399 2740 -4733 -4883 -522 -1677 -1916 5326
+5190 -1386 -702 1161 821 -215 3536 1657 -822 2231 -178 -3524 1532 -2600 -3467 -2001 3944 -932 363 -563 -325 871 -3074 -6281 1679 3816 -6302 -2837 2888 372 -1994 731 -2532 -3406 10070 -155 -5526 -2450 -1105 -1482 -4111 -2745 -260 1515 -811 -1607 1698 2312 -1415 -881 6129 4286 -1532 -1330 -2883 32 -444 -1159 -1497 -43 1825 -6313 -2018 -2132 797 1949 720 -398 5746 -1093 -5595 -2929 645 -284 2424 -82 -1476 1252 1447 284 7151 3637 1699 -3668 5643 -3761 1097 728 906 -10901 5641 5291 5344 2654 -4760 -4870 -465 -1604 -1842 5327
+5294 -1306 -616 1202 858 -176 3523 1567 -861 2152 -256 -3612 1638 -2466 -3534 -2059 4057 -867 267 -540 -302 889 -3117 -6228 1692 3706 -6328 -2885 2783 322 -2117 812 -2456 -3481 10040 -99 -5497 -2411 -1100 -1412 -4219 -2695 -115 1522 -660 -1735 1673 2307 -1575 -955 6192 4134 -1421 -1160 -2798 -29 -455 -1134 -1532 -114 1774 -6286 -2161 -2210 848 1912 711 -437 5726 -993 -5472 -2759 582 -138 2368 -153 -1477 1296 1377 132 6958 3544 1624 -3617 5624 -3768 1136 773 818 -10891 5787 5373 5319 2627 -4755 -4860 -367 -1503 -1834 5337
+5248 -1411 -387 1241 773 -123 3599 1542 -891 2163 -301 -3887 1824 -2291 -3533 -1999 4210 -794 312 -497 -189 926 -3261 -6178 1758 3632 -6254 -2868 2672 296 -2221 953 -2304 -3434 10074 -78 -5445 -2339 -1141 -1398 -4128 -2677 20 1626 -533 -1773 1641 2290 -1640 -897 6294 3982 -1432 -1063 -2746 -46 -436 -1039 -1574 -249 1727 -6256 -2093 -2175 1144 1949 670 -390 5798 -924 -5421 -2667 613 43 2357 -237 -1309 1411 1353 52 6902 3443 1490 -3822 5622 -3653 1242 802 828 -10997 5783 5427 5325 2688 -4750 -4924 -372 -1572 -1874 5228
+5263 -1526 -223 1184 621 -146 3704 1635 -903 2145 -241 -4125 1870 -2203 -3513 -1942 4318 -733 349 -496 -147 998 -3375 -6168 1773 3678 -6209 -2843 2672 299 -2228 1004 -2263 -3318 10094 -132 -5401 -2271 -1125 -1372 -3963 -2738 50 1650 -591 -1749 1616 2243 -1555 -883 6386 3971 -1472 -1063 -2740 -10 -398 -981 -1569 -297 1637 -6327 -1990 -2070 1420 2105 714 -324 5817 -933 -5433 -2681 687 152 2445 -264 -1061 1539 1346 97 7070 3476 1414 -4017 5726 -3528 1309 810 924 -11149 5741 5487 5385 2781 -4747 -5005 -434 -1687 -1927 5213
+5255 -1527 -262 1184 598 -197 3744 1638 -998 2213 -160 -4312 1802 -2352 -3507 -1955 4249 -686 341 -521 -152 985 -3313 -6272 1762 3866 -6245 -2821 2792 390 -2189 941 -2348 -3263 10169 -220 -5398 -2229 -1078 -1390 -3863 -2815 -5 1592 -735 -1669 1620 2185 -1421 -877 6375 4082 -1648 -1161 -2797 49 -315 -956 -1491 -183 1642 -6369 -1929 -1891 1343 2147 791 -212 5807 -1017 -5458 -2678 795 7 2558 -237 -1020 1569 1443 317 7167 3509 1492 -4020 5848 -3532 1337 842 977 -11239 5708 5492 5402 2739 -4699 -5005 -492 -1813 -2011 5279
+5281 -1499 -436 1147 621 -284 3691 1659 -1094 2246 -85 -4293 1673 -2518 -3424 -1943 4110 -789 310 -592 -231 976 -3224 -6419 1694 4047 -6320 -2833 2875 406 -2130 865 -2549 -3288 10207 -313 -5437 -2238 -1023 -1359 -3811 -2880 -153 1420 -842 -1606 1700 2037 -1390 -746 6204 4260 -1773 -1301 -2925 68 -232 -927 -1373 -9 1688 -6497 -2077 -1914 1024 2048 827 -181 5724 -1090 -5435 -2675 837 -226 2619 -176 -1012 1524 1460 421 7140 3489 1549 -3933 5940 -3608 1273 883 973 -11313 5708 5478 5379 2641 -4586 -4919 -529 -1855 -2010 5353
+5264 -1473 -541 1124 712 -242 3672 1650 -1075 2336 -28 -4220 1667 -2619 -3351 -1991 4018 -856 302 -606 -264 986 -3185 -6516 1613 4211 -6260 -2887 2836 327 -2015 820 -2676 -3343 10260 -390 -5471 -2272 -1003 -1319 -3786 -2861 -260 1291 -758 -1605 1751 1992 -1365 -728 6177 4209 -1860 -1348 -2990 111 -225 -955 -1337 70 1803 -6472 -2175 -2112 764 1908 774 -256 5723 -1064 -5383 -2723 847 -296 2567 -165 -1038 1469 1493 383 6936 3343 1496 -3839 5977 -3741 1309 957 856 -11315 5817 5485 5326 2580 -4507 -4845 -533 -1858 -1949 5325
+5213 -1504 -480 1037 653 -107 3679 1676 -950 2396 -42 -4154 1722 -2519 -3320 -2036 3995 -805 266 -556 -263 991 -3229 -6561 1631 4351 -6138 -2931 2741 194 -1919 892 -2628 -3344 10308 -343 -5477 -2306 -970 -1309 -3723 -2785 -287 1241 -595 -1711 1711 2020 -1435 -803 6140 4082 -1822 -1322 -3046 48 -229 -921 -1371 -23 1813 -6375 -2195 -2226 805 1846 642 -369 5816 -946 -5331 -2837 739 -267 2424 -265 -1042 1487 1495 259 6824 3245 1441 -3846 5807 -3676 1294 977 903 -11327 5813 5425 5213 2519 -4438 -4759 -496 -1793 -1839 5245
+5203 -1540 -377 976 530 -73 3677 1724 -837 2441 -91 -4193 1816 -2314 -3317 -2060 4064 -810 258 -519 -255 989 -3302 -6532 1658 4288 -6094 -3004 2687 169 -1928 969 -2484 -3348 10346 -301 -5463 -2323 -887 -1334 -3636 -2669 -211 1270 -496 -1717 1702 2115 -1508 -867 6204 3963 -1832 -1314 -3039 -19 -276 -904 -1447 -173 1758 -6366 -2066 -2315 1080 1966 573 -479 5884 -853 -5332 -2915 680 -199 2347 -415 -985 1561 1533 131 6846 3265 1405 -3901 5609 -3622 1323 966 1046 -11301 5778 5379 5192 2561 -4383 -4738 -515 -1841 -1716 5171
+5232 -1604 -312 993 474 -127 3617 1791 -764 2425 -219 -4076 1798 -2210 -3394 -2069 4174 -778 219 -501 -267 952 -3322 -6414 1624 4223 -6201 -3061 2722 271 -1958 926 -2380 -3302 10327 -312 -5458 -2315 -794 -1266 -3697 -2654 -4 1354 -601 -1795 1600 2187 -1578 -874 6233 3938 -1808 -1307 -3006 12 -210 -828 -1511 -382 1568 -6429 -1989 -2261 1165 2104 601 -523 5845 -873 -5389 -2905 689 -196 2440 -527 -1058 1618 1572 121 6909 3327 1494 -4000 5450 -3565 1204 852 1200 -11278 5698 5283 5194 2543 -4563 -4799 -501 -1863 -1786 5205
+5273 -1558 -401 1024 527 -232 3565 1802 -775 2301 -273 -3942 1769 -2266 -3522 -2069 4254 -782 209 -565 -309 909 -3258 -6372 1600 4144 -6344 -3083 2784 357 -2013 832 -2391 -3305 10223 -352 -5483 -2303 -707 -1166 -3752 -2669 99 1401 -709 -1755 1605 2167 -1462 -860 6216 3990 -1778 -1298 -2937 77 -122 -780 -1461 -340 1500 -6545 -2009 -2213 1045 2125 679 -528 5732 -970 -5482 -2844 750 -305 2563 -481 -1150 1572 1509 31 6867 3353 1569 -3995 5458 -3669 1065 787 1270 -11269 5728 5300 5238 2539 -4647 -4817 -489 -1985 -2044 5252
+5223 -1515 -514 1118 614 -270 3543 1773 -828 2109 -298 -3815 1669 -2500 -3571 -1962 4283 -748 242 -655 -325 1002 -3245 -6492 1654 4041 -6350 -3060 2819 346 -1979 755 -2488 -3329 10140 -387 -5477 -2285 -752 -1056 -3835 -2676 151 1465 -717 -1763 1595 2202 -1418 -838 6287 4046 -1744 -1321 -2906 154 12 -783 -1442 -284 1516 -6518 -2083 -2238 841 1987 649 -545 5754 -1024 -5529 -2716 824 -441 2717 -398 -1253 1493 1470 49 6732 3320 1643 -3936 5462 -3754 946 774 1240 -11253 5856 5370 5303 2585 -4702 -4852 -502 -2016 -2188 5304
+5150 -1525 -546 1124 693 -149 3600 1728 -851 1987 -142 -3816 1676 -2666 -3481 -1879 4250 -765 342 -690 -334 1112 -3221 -6654 1804 3864 -6223 -2976 2743 246 -1926 787 -2546 -3310 10064 -401 -5432 -2218 -871 -1028 -3826 -2616 68 1436 -576 -1826 1587 2244 -1384 -903 6369 3990 -1754 -1310 -2857 165 35 -820 -1401 -253 1514 -6371 -2044 -2277 786 1862 542 -600 5872 -978 -5504 -2693 731 -498 2692 -319 -1239 1458 1411 -10 6618 3255 1610 -3912 5495 -3715 998 827 1158 -11174 5922 5388 5320 2692 -4732 -4893 -496 -1962 -2228 5207
+5058 -1511 -575 1098 691 -55 3615 1682 -835 1939 -31 -3810 1593 -2761 -3405 -1874 4185 -746 396 -674 -279 1150 -3236 -6723 1851 3881 -6090 -2869 2706 199 -1908 816 -2585 -3255 10039 -401 -5424 -2208 -964 -1058 -3796 -2552 -46 1390 -433 -1879 1578 2305 -1444 -1016 6353 3955 -1721 -1319 -2885 129 3 -824 -1440 -254 1558 -6312 -1949 -2210 1025 1935 491 -626 5966 -925 -5486 -2767 598 -423 2491 -382 -1116 1547 1440 -56 6592 3223 1544 -3954 5583 -3612 1083 814 1132 -11030 5998 5392 5280 2744 -4576 -4845 -519 -1900 -2068 5073
+5157 -1511 -606 1060 678 -75 3576 1600 -840 2087 -136 -3818 1591 -2642 -3348 -1890 4123 -822 370 -611 -233 1109 -3250 -6553 1805 3940 -6038 -2801 2726 230 -1958 866 -2558 -3223 10074 -402 -5398 -2176 -1003 -1094 -3817 -2557 -145 1341 -428 -1903 1561 2278 -1480 -1148 6236 4009 -1740 -1397 -2953 65 -67 -820 -1442 -242 1557 -6421 -1930 -2105 1240 2135 584 -548 5902 -950 -5496 -2861 477 -302 2334 -527 -1005 1641 1520 -19 6690 3185 1413 -4090 5656 -3381 1149 791 1195 -10945 6032 5424 5299 2747 -4523 -4820 -489 -1742 -1843 5072
+5259 -1475 -676 992 593 -157 3545 1632 -825 2204 -257 -3752 1513 -2378 -3350 -2015 4090 -899 283 -567 -260 1057 -3306 -6325 1723 3994 -6081 -2816 2797 366 -2038 865 -2556 -3209 10109 -397 -5463 -2240 -961 -1188 -3830 -2630 -194 1349 -641 -1849 1599 2224 -1473 -1182 6003 4082 -1769 -1465 -2994 53 -212 -848 -1457 -254 1583 -6590 -2032 -2085 1186 2212 704 -471 5669 -1040 -5512 -2944 369 -194 2328 -534 -1105 1566 1548 60 6720 3156 1403 -4068 5796 -3369 1195 809 1195 -10963 6052 5468 5318 2620 -4507 -4782 -462 -1783 -1828 4976
+5291 -1424 -706 1030 592 -230 3539 1635 -881 2408 -407 -3762 1509 -2159 -3374 -2059 4095 -919 268 -613 -267 1040 -3329 -6315 1606 4042 -6085 -2866 2766 446 -2100 894 -2522 -3213 10132 -406 -5511 -2287 -911 -1291 -3814 -2696 -216 1465 -798 -1757 1690 2138 -1361 -1023 5944 4194 -1762 -1533 -3021 112 -247 -816 -1375 -144 1625 -6733 -2168 -2252 927 2100 779 -413 5508 -1130 -5572 -2977 421 -216 2431 -538 -1324 1443 1597 212 6675 3103 1413 -4062 5764 -3412 1126 798 1123 -11119 6086 5588 5416 2552 -4554 -4783 -413 -1808 -1909 5057
+5270 -1355 -633 1093 648 -165 3685 1640 -863 2514 -376 -3829 1625 -2089 -3424 -2022 4161 -785 349 -707 -300 1144 -3311 -6589 1630 4041 -6085 -2909 2679 463 -2080 933 -2515 -3247 10147 -402 -5527 -2338 -916 -1290 -3818 -2687 -178 1655 -771 -1758 1751 2133 -1222 -918 6043 4291 -1850 -1597 -3028 151 -239 -867 -1297 -63 1592 -6631 -2205 -2340 769 1928 760 -374 5553 -1139 -5596 -2963 455 -272 2511 -455 -1498 1371 1641 372 6603 3053 1380 -4035 5779 -3434 1142 860 1031 -11199 6194 5704 5467 2558 -4615 -4848 -452 -1908 -2016 5093
+5296 -1246 -607 1179 700 -83 3826 1619 -944 2566 -170 -4065 1862 -2153 -3464 -1889 4182 -751 478 -753 -369 1181 -3217 -6876 1697 3965 -6073 -2965 2607 376 -2029 914 -2504 -3314 10156 -383 -5564 -2425 -962 -1239 -3853 -2689 -193 1778 -581 -1801 1733 2143 -1124 -1073 6167 4298 -1830 -1559 -2986 195 -177 -921 -1283 -11 1590 -6427 -2087 -2275 828 1804 647 -390 5708 -1083 -5580 -2840 604 -309 2523 -409 -1424 1449 1672 404 6651 3035 1353 -4096 5746 -3443 1144 867 1080 -11260 6233 5763 5444 2601 -4576 -4874 -513 -2009 -2090 5087
+5350 -1242 -529 1245 699 -62 3888 1591 -993 2561 46 -4249 2048 -2361 -3469 -1802 4171 -709 511 -725 -347 1172 -3183 -6886 1747 3980 -6168 -2956 2696 381 -1959 841 -2536 -3278 10128 -392 -5569 -2442 -986 -1238 -3933 -2670 -188 1877 -472 -1918 1696 2125 -1138 -1216 6225 4262 -1754 -1487 -2895 201 -185 -976 -1380 -86 1565 -6339 -2064 -2072 1011 1882 644 -306 5909 -1028 -5591 -2789 669 -243 2334 -405 -1240 1527 1576 287 6761 3122 1396 -4181 5693 -3372 1126 847 1251 -11182 6079 5643 5350 2622 -4553 -4895 -560 -1994 -2045 5099
+5393 -1313 -431 1283 623 -146 3891 1689 -1005 2465 140 -4227 2026 -2559 -3489 -1790 4100 -705 480 -631 -276 1059 -3226 -6631 1779 4035 -6272 -2899 2865 406 -1923 726 -2554 -3209 10081 -424 -5571 -2436 -1014 -1188 -4014 -2748 -198 1918 -490 -1952 1685 2042 -1116 -1274 6189 4214 -1607 -1343 -2823 127 -246 -1003 -1469 -201 1548 -6445 -2078 -1975 1155 2033 727 -234 5871 -1055 -5606 -2735 730 -111 2304 -365 -1092 1611 1508 199 6882 3254 1462 -4258 5653 -3417 1103 873 1417 -11155 5900 5497 5205 2489 -4521 -4846 -547 -1930 -2046 5148
+5392 -1373 -381 1243 508 -266 3885 1748 -1035 2383 71 -4209 1944 -2537 -3517 -1861 4014 -765 401 -548 -250 990 -3328 -6403 1884 4092 -6308 -2828 2990 451 -1936 648 -2498 -3150 10062 -426 -5545 -2373 -1009 -1242 -3945 -2825 -220 1897 -632 -1853 1761 2019 -1196 -1120 6187 4150 -1485 -1225 -2744 139 -258 -966 -1554 -300 1630 -6553 -2059 -2032 1103 2084 833 -221 5699 -1097 -5605 -2695 749 -56 2425 -341 -1087 1577 1437 158 6807 3277 1537 -4134 5573 -3486 942 786 1494 -11162 5794 5407 5110 2314 -4537 -4786 -496 -1844 -1983 5155
+5336 -1463 -274 1254 507 -203 3879 1784 -976 2319 -121 -4107 1823 -2379 -3498 -1864 4038 -777 410 -521 -270 1082 -3332 -6443 1962 4015 -6130 -2753 2941 399 -1887 731 -2409 -3083 10006 -432 -5535 -2333 -1001 -1305 -3819 -2883 -215 1916 -749 -1746 1851 2065 -1183 -961 6190 4150 -1425 -1169 -2717 123 -290 -963 -1565 -270 1682 -6572 -2016 -2203 1051 2015 847 -300 5549 -1119 -5622 -2774 828 -22 2586 -255 -1329 1412 1430 309 6760 3219 1514 -4037 5461 -3552 886 807 1402 -11244 5875 5421 5084 2219 -4613 -4763 -454 -1774 -1906 5122
+5290 -1487 -249 1224 584 -74 3877 1785 -893 2256 -262 -4025 1734 -2176 -3466 -1885 4082 -804 462 -517 -318 1178 -3177 -6721 2025 3980 -5960 -2692 2804 265 -1843 898 -2366 -3108 9985 -416 -5494 -2292 -1014 -1383 -3696 -2846 -211 1875 -700 -1650 1898 2105 -1171 -934 6207 4184 -1468 -1210 -2769 150 -280 -964 -1510 -181 1735 -6348 -1920 -2231 1001 1939 749 -430 5495 -1088 -5594 -2853 882 -25 2609 -251 -1508 1344 1539 495 6779 3199 1486 -4044 5406 -3458 909 797 1283 -11405 5973 5471 5123 2273 -4618 -4755 -470 -1848 -1867 5109
+5264 -1413 -404 1144 662 23 3797 1735 -794 2157 -223 -3924 1680 -2108 -3499 -1907 4144 -826 474 -514 -380 1198 -3012 -6784 1913 3894 -5915 -2745 2710 161 -1809 966 -2414 -3199 9992 -462 -5506 -2291 -953 -1355 -3784 -2779 -165 1707 -627 -1722 1820 2139 -1178 -1159 6223 4261 -1558 -1308 -2893 136 -210 -988 -1440 -84 1688 -6281 -2013 -2130 1048 1997 698 -462 5628 -1032 -5570 -2969 929 -85 2494 -337 -1464 1392 1626 566 6897 3253 1501 -4099 5385 -3405 1013 800 1229 -11452 5955 5480 5196 2442 -4642 -4803 -508 -2010 -1967 5159
+5252 -1395 -473 1066 681 -87 3658 1765 -716 2129 -130 -3775 1633 -2246 -3507 -1932 4159 -759 412 -530 -346 1085 -2977 -6575 1756 3947 -6092 -2860 2769 235 -1811 930 -2544 -3218 10002 -561 -5545 -2288 -914 -1240 -3949 -2719 -147 1546 -576 -1811 1743 2082 -1231 -1321 6166 4292 -1626 -1396 -3020 37 -249 -1004 -1429 -44 1720 -6390 -2176 -2124 1039 2104 675 -443 5804 -975 -5532 -3033 891 -147 2289 -360 -1362 1444 1634 434 6917 3287 1486 -4211 5521 -3283 1116 876 1363 -11452 5937 5499 5305 2576 -4623 -4827 -543 -2087 -2031 5219
+5229 -1499 -551 1022 646 -223 3520 1811 -709 2119 38 -3597 1518 -2497 -3499 -1974 4114 -780 373 -555 -260 973 -3137 -6246 1585 4031 -6281 -3029 2863 388 -1835 837 -2657 -3193 10075 -613 -5589 -2329 -844 -1101 -4038 -2749 -151 1455 -621 -1841 1677 2052 -1290 -1191 6005 4213 -1643 -1378 -3027 13 -320 -1040 -1474 -67 1820 -6498 -2226 -2240 936 2110 630 -516 5820 -961 -5509 -3064 844 -149 2196 -294 -1321 1430 1565 235 6805 3345 1559 -4215 5628 -3259 1186 918 1319 -11351 5863 5438 5395 2664 -4586 -4825 -533 -2068 -2158 5222
+5184 -1608 -457 1001 605 -298 3417 1849 -646 2159 87 -3519 1482 -2680 -3441 -1929 4145 -713 377 -567 -171 979 -3302 -6124 1550 3993 -6284 -3107 2806 459 -1874 792 -2661 -3109 10200 -625 -5598 -2370 -765 -1065 -3953 -2799 -79 1531 -694 -1833 1673 2173 -1407 -963 5954 4148 -1644 -1366 -3009 8 -442 -1077 -1549 -140 1910 -6503 -2135 -2498 888 2043 578 -686 5794 -921 -5489 -3045 749 -163 2284 -215 -1346 1410 1561 203 6671 3271 1488 -4160 5735 -3342 1218 998 1207 -11343 5944 5474 5423 2683 -4521 -4799 -518 -1947 -2029 5101
+5158 -1632 -337 1048 630 -249 3483 1847 -660 2278 29 -3679 1571 -2671 -3332 -1842 4203 -706 470 -556 -111 1077 -3299 -6309 1599 3899 -6174 -3107 2694 427 -1884 842 -2588 -3050 10272 -596 -5583 -2380 -747 -1137 -3756 -2841 -31 1644 -738 -1800 1697 2263 -1425 -822 5961 4066 -1633 -1317 -2947 23 -487 -1082 -1634 -192 1981 -6285 -1965 -2576 908 1973 520 -874 5686 -896 -5488 -2982 728 -53 2512 -175 -1360 1423 1565 259 6644 3259 1444 -4105 5774 -3387 1239 1033 1044 -11387 6107 5575 5423 2720 -4392 -4745 -512 -1910 -1926 5021
+5212 -1548 -335 1067 714 -129 3583 1798 -709 2321 -126 -3933 1645 -2496 -3290 -1887 4235 -761 476 -503 -131 1110 -3113 -6532 1673 3850 -6032 -2986 2613 293 -1862 854 -2551 -3089 10316 -610 -5550 -2346 -753 -1150 -3623 -2813 33 1597 -699 -1796 1673 2280 -1455 -881 6030 4041 -1654 -1303 -2890 60 -403 -1085 -1660 -254 1948 -6113 -1952 -2493 1014 1977 516 -919 5600 -901 -5530 -2930 823 16 2635 -258 -1338 1496 1617 388 6792 3320 1464 -4053 5704 -3280 1291 1024 960 -11311 6147 5575 5410 2833 -4363 -4772 -519 -1875 -1855 5052
+5305 -1383 -415 1066 812 -55 3646 1684 -827 2323 -267 -4139 1725 -2290 -3269 -1955 4191 -833 429 -480 -204 991 -2969 -6587 1743 3814 -6011 -2867 2623 210 -1910 774 -2592 -3206 10328 -660 -5555 -2327 -663 -1183 -3659 -2738 -5 1431 -624 -1811 1655 2162 -1454 -1047 6028 4112 -1626 -1304 -2898 37 -234 -1032 -1646 -265 1834 -6198 -2177 -2357 1072 2115 609 -781 5669 -913 -5586 -2887 930 17 2598 -327 -1253 1574 1642 385 6882 3406 1505 -4093 5680 -3127 1317 955 1044 -11243 6067 5534 5365 2883 -4427 -4824 -506 -1945 -1947 5106
+5307 -1439 -524 1062 820 -51 3699 1637 -941 2351 -356 -4206 1737 -2264 -3256 -2075 4096 -889 369 -424 -224 903 -3041 -6458 1812 3923 -6093 -2729 2797 288 -1925 686 -2610 -3264 10239 -661 -5583 -2318 -653 -1114 -3788 -2638 -15 1213 -547 -1863 1644 2068 -1469 -1071 6018 4067 -1539 -1251 -2878 -33 -226 -1007 -1581 -300 1689 -6307 -2348 -2272 1168 2185 661 -644 5724 -925 -5572 -2864 879 -91 2460 -415 -1186 1556 1575 248 6830 3437 1565 -4088 5589 -3119 1276 935 1189 -11157 5859 5444 5339 2814 -4525 -4861 -453 -1918 -2192 5119
+5171 -1579 -534 1020 761 -125 3710 1649 -1002 2414 -355 -4179 1805 -2361 -3264 -2066 4116 -822 376 -406 -234 911 -3278 -6310 1847 4068 -6167 -2707 2926 395 -1989 693 -2523 -3246 10164 -581 -5528 -2228 -661 -1059 -3881 -2573 -20 1105 -533 -1838 1688 2049 -1435 -824 6058 3968 -1470 -1204 -2906 -146 -289 -1018 -1634 -330 1761 -6405 -2322 -2276 1285 2163 674 -624 5796 -942 -5591 -2979 772 -215 2414 -325 -1232 1457 1509 122 6703 3391 1583 -4060 5476 -3283 1188 976 1284 -11145 5653 5379 5323 2679 -4657 -4924 -455 -1996 -2371 5014
+5117 -1628 -415 1009 674 -158 3785 1709 -999 2421 -175 -4211 1853 -2570 -3246 -2001 4125 -721 435 -395 -188 974 -3440 -6350 1796 4186 -6137 -2714 2920 468 -2017 770 -2394 -3163 10132 -498 -5461 -2188 -752 -1027 -3799 -2605 -37 1213 -624 -1758 1760 2127 -1396 -582 6099 3917 -1475 -1213 -2935 -193 -412 -1047 -1632 -267 1827 -6327 -2066 -2215 1337 2078 639 -685 5694 -993 -5587 -3077 620 -377 2473 -263 -1256 1400 1511 150 6653 3339 1547 -4039 5490 -3456 1062 1021 1321 -11193 5620 5402 5314 2532 -4686 -4931 -495 -2005 -2365 4918
+5208 -1561 -402 999 615 -170 3873 1729 -980 2300 68 -4310 1962 -2652 -3327 -1908 4218 -646 538 -433 -215 995 -3395 -6472 1699 4114 -6104 -2808 2773 412 -2067 882 -2304 -3145 10120 -367 -5400 -2178 -906 -1018 -3699 -2699 -8 1431 -665 -1721 1732 2117 -1365 -574 6063 3970 -1558 -1265 -2955 -225 -598 -1077 -1642 -177 1981 -6294 -1934 -2177 1332 2116 759 -599 5538 -1098 -5656 -3153 643 -358 2631 -274 -1272 1404 1562 343 6845 3431 1597 -4030 5492 -3470 1062 1044 1268 -11254 5631 5433 5267 2468 -4604 -4886 -585 -2069 -2180 4889
+5325 -1303 -503 984 673 -127 3845 1696 -971 2195 227 -4335 1902 -2636 -3406 -1891 4181 -657 526 -460 -226 944 -3310 -6623 1516 4150 -6193 -2957 2646 365 -2094 953 -2351 -3158 10057 -334 -5421 -2220 -911 -1094 -3672 -2726 -28 1487 -711 -1756 1674 2118 -1438 -771 5909 4151 -1659 -1388 -3062 -223 -553 -1032 -1596 -124 2025 -6387 -2093 -2114 1168 2129 846 -416 5415 -1175 -5740 -3188 736 -220 2766 -322 -1193 1496 1582 460 7108 3572 1625 -4058 5502 -3407 1144 1076 1309 -11377 5584 5424 5179 2438 -4430 -4783 -664 -2161 -1997 4973
+5465 -1157 -600 1065 721 -188 3765 1661 -932 2156 249 -4223 1757 -2479 -3484 -1984 4096 -726 441 -473 -288 841 -3243 -6631 1513 4157 -6304 -3053 2647 362 -2114 897 -2487 -3310 10021 -359 -5462 -2257 -866 -1106 -3803 -2690 -34 1469 -648 -1805 1612 2010 -1481 -924 5733 4242 -1697 -1436 -3112 -237 -512 -1015 -1552 -106 2012 -6487 -2406 -2150 1054 2197 928 -248 5421 -1178 -5773 -3044 891 -4 2816 -427 -1081 1599 1564 448 7237 3641 1590 -4091 5572 -3276 1251 1094 1343 -11450 5599 5434 5171 2413 -4374 -4704 -656 -2243 -1948 5058
+5451 -1151 -610 1152 718 -274 3682 1713 -838 2222 68 -3915 1660 -2328 -3468 -2052 4037 -807 408 -446 -260 768 -3280 -6484 1561 4252 -6350 -3051 2726 433 -2063 886 -2631 -3307 10027 -394 -5501 -2313 -768 -1072 -3934 -2616 -57 1460 -552 -1838 1584 2001 -1406 -956 5719 4210 -1725 -1414 -3106 -248 -395 -979 -1527 -207 1854 -6570 -2460 -2300 1044 2126 807 -333 5547 -1056 -5701 -2881 832 81 2730 -508 -1024 1623 1463 268 7140 3559 1505 -4090 5575 -3264 1310 1120 1307 -11518 5630 5439 5186 2424 -4345 -4669 -660 -2319 -1947 5018
+5367 -1298 -547 1230 612 -365 3663 1788 -791 2257 -78 -3657 1508 -2328 -3455 -2114 3958 -827 390 -388 -212 743 -3350 -6317 1721 4246 -6264 -3007 2796 347 -2010 869 -2645 -3319 10135 -373 -5510 -2333 -694 -1214 -4008 -2563 -112 1468 -521 -1793 1640 2137 -1428 -809 5919 4104 -1701 -1358 -3034 -243 -382 -972 -1540 -326 1647 -6515 -2290 -2359 1122 2040 668 -544 5709 -921 -5622 -2785 720 71 2653 -481 -1066 1593 1377 153 7002 3446 1383 -4105 5699 -3257 1315 1182 1202 -11611 5694 5470 5269 2462 -4509 -4751 -625 -2323 -2066 4952
+5271 -1457 -439 1246 504 -443 3657 1810 -784 2211 -166 -3543 1499 -2322 -3369 -2054 4002 -838 442 -346 -193 773 -3336 -6172 1820 4177 -6150 -2937 2775 185 -1997 889 -2611 -3276 10247 -409 -5494 -2324 -735 -1256 -3967 -2603 -191 1493 -674 -1745 1665 2184 -1353 -688 6061 4016 -1663 -1246 -2917 -193 -409 -986 -1616 -368 1670 -6399 -2014 -2312 1133 1985 624 -654 5733 -919 -5629 -2815 599 -119 2626 -450 -1133 1553 1418 199 6979 3392 1327 -4080 5740 -3268 1360 1218 1038 -11593 5741 5470 5334 2638 -4528 -4826 -627 -2352 -2205 4914
+5254 -1390 -513 1209 485 -422 3646 1759 -882 2060 -147 -3573 1537 -2421 -3395 -2029 4040 -825 469 -369 -196 791 -3252 -6123 1898 4047 -6148 -2905 2760 54 -2007 857 -2619 -3269 10340 -452 -5518 -2331 -798 -1350 -3898 -2657 -236 1512 -795 -1671 1629 2235 -1436 -785 6132 4039 -1579 -1148 -2820 -115 -441 -1014 -1715 -437 1791 -6382 -1912 -2259 1071 2053 707 -603 5618 -1031 -5719 -2974 597 -257 2588 -407 -1267 1502 1496 354 7084 3443 1419 -4042 5895 -3241 1433 1271 1027 -11501 5784 5403 5312 2775 -4486 -4847 -618 -2280 -2249 5024
+5286 -1325 -606 1170 542 -367 3659 1692 -1002 2012 -77 -3763 1555 -2535 -3419 -1932 4046 -770 556 -465 -194 807 -3216 -6221 1888 3979 -6202 -2893 2783 85 -1961 737 -2664 -3301 10307 -578 -5578 -2337 -868 -1388 -3880 -2741 -243 1542 -837 -1680 1582 2217 -1495 -1038 6118 4137 -1604 -1171 -2824 -108 -371 -944 -1709 -405 1901 -6406 -2057 -2282 986 2151 782 -487 5588 -1080 -5801 -3197 679 -270 2551 -332 -1316 1433 1510 404 7205 3537 1508 -3943 5967 -3174 1454 1258 1145 -11366 5665 5261 5297 2910 -4453 -4862 -571 -2133 -2254 5071
+5309 -1248 -736 1150 657 -314 3623 1664 -1024 2112 -73 -3843 1537 -2546 -3469 -1922 4082 -694 637 -445 -218 873 -3210 -6283 1867 3884 -6249 -2888 2828 236 -1863 686 -2706 -3269 10192 -725 -5598 -2314 -880 -1402 -3918 -2718 -181 1607 -746 -1741 1624 2234 -1575 -1228 6160 4285 -1599 -1232 -2903 -71 -259 -893 -1666 -399 1869 -6425 -2228 -2308 988 2163 765 -462 5505 -1070 -5767 -3207 751 -194 2432 -240 -1278 1365 1465 322 7088 3498 1532 -3886 6008 -3180 1326 1177 1350 -11285 5532 5171 5306 2913 -4361 -4820 -540 -1981 -2146 4989
+5227 -1398 -686 1155 707 -251 3708 1726 -954 2289 -142 -3928 1622 -2449 -3435 -1845 4124 -642 677 -397 -187 921 -3290 -6257 1801 3849 -6271 -2897 2824 365 -1806 691 -2675 -3207 10082 -659 -5571 -2300 -930 -1380 -3989 -2660 -111 1695 -580 -1791 1650 2389 -1572 -1159 6155 4309 -1634 -1300 -2993 -77 -149 -826 -1530 -319 1701 -6445 -2205 -2331 1153 2136 625 -691 5481 -947 -5647 -3175 735 1 2264 -180 -1207 1323 1372 131 6970 3415 1406 -3901 5940 -3243 1199 1081 1358 -11187 5485 5116 5333 2903 -4428 -4837 -478 -1824 -2039 4768
+5181 -1526 -542 1129 643 -271 3682 1740 -849 2448 -209 -4064 1868 -2282 -3409 -1811 4138 -741 647 -255 -107 891 -3320 -6054 1633 3842 -6210 -2922 2748 367 -1843 812 -2551 -3146 9989 -547 -5491 -2252 -953 -1416 -3993 -2614 -88 1819 -557 -1778 1746 2468 -1468 -1031 6193 4234 -1677 -1360 -3063 -70 -188 -847 -1478 -267 1583 -6423 -2051 -2234 1265 2133 533 -985 5409 -856 -5493 -3007 656 99 2200 -212 -1075 1382 1347 7 6953 3343 1273 -3972 5848 -3310 1115 1104 1311 -11201 5431 5166 5359 2867 -4461 -4866 -487 -1845 -1962 4588
+5177 -1534 -451 1017 564 -256 3747 1767 -768 2447 -181 -4154 2080 -2217 -3426 -1876 4091 -813 558 -158 -91 782 -3322 -5903 1544 3830 -6176 -2939 2662 355 -1913 947 -2405 -3157 9974 -291 -5419 -2251 -1006 -1501 -3970 -2629 -90 1851 -643 -1799 1719 2503 -1387 -1036 6124 4243 -1672 -1365 -3027 4 -306 -893 -1485 -202 1709 -6454 -2023 -2262 1188 2116 536 -1056 5383 -895 -5521 -2993 699 113 2188 -300 -1052 1464 1375 1 7105 3440 1335 -3970 5774 -3314 1059 1070 1250 -11181 5508 5264 5378 2914 -4471 -4915 -556 -2006 -1992 4704
+5200 -1420 -465 940 534 -237 3779 1685 -820 2362 -108 -4170 2107 -2274 -3454 -2002 3985 -837 476 -179 -136 692 -3298 -5932 1526 4002 -6173 -2929 2685 339 -2016 1006 -2357 -3207 9906 -96 -5397 -2276 -1028 -1572 -3955 -2732 -95 1832 -750 -1753 1649 2370 -1312 -1116 6060 4265 -1683 -1367 -2990 97 -446 -979 -1544 -158 1928 -6453 -2136 -2317 1028 2172 612 -940 5371 -1015 -5668 -3093 815 21 2278 -287 -1150 1460 1356 -27 7214 3561 1491 -3860 5730 -3200 1150 1081 1271 -11197 5541 5335 5396 2986 -4442 -4954 -675 -2280 -2017 4963
+5259 -1357 -486 928 581 -206 3720 1573 -932 2326 -22 -4134 2017 -2371 -3474 -2117 3878 -827 469 -312 -238 677 -3224 -6175 1679 4172 -6242 -2872 2809 379 -2067 942 -2433 -3279 9850 -13 -5409 -2322 -960 -1639 -3938 -2760 -102 1669 -855 -1805 1579 2271 -1313 -1233 6013 4260 -1767 -1385 -2917 203 -427 -964 -1606 -229 2053 -6430 -2296 -2389 958 2135 628 -751 5506 -1072 -5855 -3286 1051 -86 2422 -242 -1381 1356 1299 -30 7289 3649 1617 -3842 5717 -3120 1261 1165 1405 -11316 5516 5419 5402 2974 -4422 -4963 -805 -2507 -1991 5093
+5284 -1345 -501 945 641 -183 3737 1659 -945 2300 69 -4007 1740 -2438 -3415 -2109 3875 -705 529 -363 -258 757 -3161 -6367 1833 4158 -6237 -2784 2896 411 -2070 901 -2497 -3354 9787 -41 -5466 -2391 -974 -1598 -3906 -2822 -141 1519 -772 -1768 1635 2200 -1338 -1325 6025 4211 -1777 -1392 -2844 342 -274 -927 -1649 -352 1992 -6250 -2292 -2445 1044 2017 519 -781 5650 -974 -5889 -3386 1138 -221 2463 -156 -1508 1257 1254 -117 7103 3580 1632 -3726 5790 -3182 1246 1157 1406 -11391 5483 5466 5398 2813 -4440 -4918 -857 -2490 -1831 5035
+5298 -1434 -551 948 701 -173 3713 1710 -954 2231 107 -4006 1573 -2349 -3486 -2067 3944 -608 575 -338 -246 732 -3153 -6313 1834 4054 -6223 -2752 2822 292 -2099 847 -2565 -3411 9794 -119 -5472 -2392 -990 -1453 -3878 -2804 -178 1388 -685 -1798 1683 2214 -1387 -1228 6105 4153 -1689 -1351 -2798 368 -152 -937 -1619 -370 1784 -6195 -2181 -2335 1236 1985 465 -953 5543 -898 -5792 -3303 1092 -196 2276 -129 -1475 1277 1267 -161 6975 3432 1453 -3759 5933 -3228 1218 1199 1350 -11509 5516 5512 5355 2591 -4453 -4845 -838 -2377 -1727 4920
+5334 -1441 -525 962 731 -205 3719 1784 -922 2146 26 -4043 1495 -2277 -3533 -2011 4040 -573 524 -237 -116 716 -3255 -6065 1795 3928 -6154 -2758 2691 126 -2150 855 -2513 -3371 9920 -173 -5443 -2345 -1036 -1394 -3873 -2845 -251 1409 -656 -1784 1761 2273 -1347 -1184 6105 4109 -1612 -1322 -2745 390 -98 -947 -1548 -325 1607 -6243 -2095 -2156 1381 2046 517 -1088 5314 -891 -5677 -3161 990 -134 2084 -245 -1295 1395 1336 -91 7057 3346 1282 -3849 6165 -3220 1145 1143 1247 -11642 5568 5522 5257 2410 -4484 -4797 -797 -2243 -1652 4741
+5275 -1463 -458 941 664 -268 3696 1785 -906 2008 -46 -4094 1547 -2227 -3498 -2007 4074 -665 480 -155 -30 709 -3373 -5929 1724 3947 -6219 -2887 2646 148 -2192 823 -2447 -3304 10061 -239 -5425 -2271 -979 -1287 -3836 -2841 -211 1410 -631 -1745 1682 2319 -1280 -1107 6077 4159 -1524 -1312 -2747 348 -176 -961 -1525 -231 1679 -6329 -2109 -2114 1373 2156 662 -992 5093 -1020 -5648 -3078 920 -26 2008 -273 -1078 1490 1379 52 7175 3366 1220 -3910 6365 -3117 1168 1143 1259 -11567 5553 5429 5150 2368 -4526 -4820 -779 -2249 -1742 4739
+5204 -1549 -448 952 657 -303 3714 1742 -949 1967 -184 -4006 1648 -2329 -3447 -2055 4055 -779 425 -197 -107 731 -3357 -5998 1687 3994 -6349 -2994 2728 278 -2178 765 -2488 -3225 10156 -350 -5434 -2209 -892 -1263 -3886 -2821 -99 1426 -622 -1747 1517 2269 -1137 -1066 6119 4216 -1483 -1339 -2800 259 -305 -956 -1533 -153 1868 -6369 -2171 -2187 1346 2235 786 -745 5141 -1116 -5717 -3142 947 -19 2131 -243 -1023 1480 1400 216 7216 3378 1287 -3839 6316 -3020 1307 1173 1285 -11475 5526 5317 5151 2502 -4575 -4891 -751 -2317 -1939 4834
+5189 -1443 -505 956 729 -347 3664 1736 -942 2058 -341 -3779 1751 -2525 -3387 -2066 4014 -916 463 -288 -201 779 -3211 -6216 1623 4036 -6405 -3064 2828 440 -2117 696 -2561 -3238 10254 -446 -5436 -2173 -814 -1288 -3881 -2794 40 1375 -603 -1776 1412 2227 -1210 -1072 6233 4311 -1502 -1418 -2905 190 -361 -941 -1563 -173 1956 -6289 -2190 -2255 1328 2202 750 -601 5311 -1128 -5779 -3267 932 -18 2339 -153 -1053 1425 1389 280 7188 3391 1356 -3797 6177 -3003 1319 1215 1418 -11374 5438 5245 5236 2636 -4617 -4959 -719 -2360 -2075 4858
+5290 -1360 -616 991 796 -408 3539 1702 -958 2171 -387 -3611 1775 -2635 -3347 -2105 3996 -976 456 -340 -274 739 -3063 -6278 1564 4005 -6441 -3071 2784 345 -2065 665 -2676 -3363 10299 -508 -5484 -2225 -801 -1260 -3948 -2756 79 1255 -566 -1819 1389 2239 -1350 -1134 6276 4394 -1598 -1521 -2982 162 -372 -912 -1549 -181 1948 -6263 -2133 -2246 1283 2114 653 -621 5431 -1065 -5791 -3306 906 34 2478 -146 -1078 1376 1319 267 7142 3383 1380 -3828 5956 -3029 1243 1187 1444 -11371 5438 5204 5313 2773 -4560 -4976 -713 -2361 -2162 4769
+5413 -1274 -651 1023 794 -524 3454 1685 -926 2224 -298 -3641 1742 -2667 -3395 -2121 4012 -943 438 -378 -264 595 -3122 -6196 1467 4013 -6312 -3079 2632 71 -2045 776 -2689 -3506 10327 -411 -5496 -2284 -883 -1295 -3984 -2772 -35 1094 -597 -1817 1473 2234 -1496 -1103 6189 4404 -1710 -1591 -3055 117 -306 -911 -1473 -159 1812 -6325 -2103 -2228 1184 2075 593 -810 5397 -973 -5751 -3206 833 8 2431 -294 -1014 1500 1333 75 7202 3439 1362 -3910 5955 -3152 1031 1096 1423 -11367 5583 5248 5363 2926 -4419 -4938 -683 -2349 -2175 4726
+5449 -1302 -597 1013 639 -586 3470 1647 -911 2141 -89 -3871 1665 -2532 -3456 -2122 4031 -826 427 -330 -188 551 -3317 -5976 1462 3982 -6191 -3016 2484 -96 -2026 849 -2618 -3532 10297 -312 -5504 -2329 -917 -1172 -4013 -2758 -168 1013 -622 -1807 1516 2279 -1533 -990 6001 4405 -1725 -1589 -3052 117 -252 -928 -1407 -114 1753 -6483 -2117 -2222 1028 2103 637 -918 5308 -921 -5726 -3062 775 81 2242 -389 -948 1615 1348 -48 7314 3510 1346 -4024 6070 -3159 885 966 1417 -11441 5702 5289 5320 2963 -4183 -4808 -658 -2284 -2068 4588
+5312 -1391 -439 1004 518 -540 3556 1643 -862 2195 -3 -4043 1572 -2410 -3370 -2103 4090 -677 496 -341 -154 631 -3482 -5978 1538 3996 -6066 -2992 2513 19 -2017 958 -2479 -3448 10287 -149 -5466 -2339 -900 -1156 -3901 -2693 -248 1020 -611 -1733 1538 2323 -1480 -876 5886 4395 -1756 -1535 -2984 116 -248 -973 -1425 -114 1778 -6489 -2125 -2387 948 2161 663 -946 5291 -907 -5740 -3003 718 94 2176 -433 -1032 1604 1326 -115 7318 3570 1427 -3972 6012 -3097 932 987 1487 -11332 5816 5312 5303 3060 -4047 -4759 -692 -2294 -1968 4632
+5182 -1473 -380 1019 524 -420 3654 1624 -860 2202 -57 -4096 1507 -2341 -3327 -2093 4106 -577 556 -348 -167 781 -3391 -6173 1746 4042 -6129 -2929 2742 240 -1951 958 -2418 -3296 10192 -40 -5448 -2343 -848 -1160 -3833 -2649 -225 1099 -593 -1657 1507 2300 -1346 -858 5902 4339 -1752 -1450 -2917 77 -274 -986 -1548 -234 1840 -6350 -2102 -2583 1021 2154 602 -878 5441 -907 -5819 -3103 718 43 2340 -400 -1235 1488 1296 -52 7243 3564 1481 -3883 5856 -3150 1049 1092 1659 -11369 5778 5328 5288 2972 -4010 -4699 -687 -2334 -1917 4654
+5193 -1552 -418 1066 584 -318 3796 1661 -916 2257 -154 -4112 1649 -2397 -3327 -2096 4065 -651 608 -338 -282 845 -3202 -6433 1795 4054 -6200 -2934 2895 409 -1962 929 -2398 -3305 10101 0 -5396 -2304 -889 -1242 -3789 -2591 -119 1192 -617 -1643 1510 2274 -1392 -834 6040 4291 -1737 -1383 -2891 -13 -259 -961 -1704 -418 1865 -6263 -2099 -2621 1088 2083 550 -779 5598 -932 -5896 -3293 759 -61 2486 -242 -1398 1337 1311 97 7125 3478 1443 -3843 5767 -3175 1145 1207 1686 -11375 5708 5376 5346 2868 -4179 -4734 -682 -2356 -1956 4727
+5249 -1454 -601 1056 674 -291 3789 1614 -1015 2291 -266 -4138 1842 -2452 -3351 -2106 4004 -764 534 -299 -355 779 -3061 -6505 1748 4053 -6312 -2987 2844 376 -2066 924 -2420 -3435 10025 9 -5376 -2281 -968 -1249 -3849 -2603 -19 1311 -638 -1717 1607 2226 -1489 -836 6127 4279 -1670 -1305 -2871 -68 -244 -912 -1747 -513 1808 -6236 -2118 -2440 1087 2027 554 -774 5530 -1004 -5906 -3372 799 -126 2638 -276 -1373 1326 1356 205 7059 3401 1358 -3986 5724 -3239 1091 1236 1650 -11367 5661 5393 5362 2697 -4483 -4814 -634 -2387 -2222 4790
+5353 -1391 -714 942 617 -261 3813 1690 -1015 2181 -187 -4186 2039 -2501 -3404 -2105 3942 -874 467 -236 -313 709 -3159 -6430 1735 4053 -6358 -2995 2707 230 -2153 982 -2457 -3515 9963 -54 -5377 -2241 -1011 -1264 -3929 -2604 17 1413 -626 -1757 1716 2244 -1623 -858 6193 4295 -1660 -1289 -2950 -133 -171 -868 -1661 -447 1731 -6454 -2191 -2259 1073 2116 675 -827 5332 -1070 -5886 -3411 814 -15 2563 -228 -1221 1380 1415 247 7109 3385 1292 -4066 5968 -3239 1029 1181 1531 -11369 5737 5475 5345 2541 -4702 -4881 -639 -2446 -2372 4785
+5361 -1397 -608 886 553 -292 3869 1717 -989 2131 -84 -4216 2019 -2478 -3412 -2086 3947 -807 466 -223 -209 751 -3365 -6317 1746 4125 -6309 -2979 2668 268 -2211 988 -2400 -3526 10016 -53 -5387 -2233 -1048 -1219 -3991 -2581 1 1563 -626 -1871 1778 2269 -1657 -840 6187 4312 -1646 -1294 -3006 -141 -178 -905 -1547 -310 1724 -6575 -2175 -2192 1168 2226 748 -937 5130 -1073 -5837 -3361 799 124 2422 -302 -1026 1459 1412 118 7093 3382 1284 -4148 6203 -3158 1024 1146 1548 -11469 5802 5557 5294 2443 -4729 -4897 -657 -2460 -2439 4774
+5210 -1443 -544 906 520 -311 3867 1764 -943 2166 36 -4124 1819 -2406 -3425 -1985 3990 -677 548 -271 -171 846 -3417 -6335 1734 4140 -6212 -2885 2727 444 -2208 982 -2347 -3376 10026 -119 -5400 -2232 -1057 -1193 -4052 -2566 -2 1731 -647 -1872 1718 2314 -1522 -779 6140 4277 -1658 -1300 -3091 -213 -258 -962 -1492 -175 1808 -6484 -2116 -2205 1379 2280 706 -973 5147 -1016 -5824 -3317 785 222 2393 -252 -1013 1457 1443 57 6976 3398 1334 -4117 6270 -2999 1123 1157 1627 -11552 5844 5584 5206 2337 -4680 -4862 -672 -2375 -2277 4663
+5175 -1436 -523 935 555 -347 3851 1834 -895 2204 92 -4012 1558 -2284 -3481 -1944 4048 -581 611 -389 -173 898 -3275 -6464 1733 4228 -6121 -2770 2835 513 -2127 888 -2376 -3213 10022 -255 -5460 -2299 -1010 -1188 -4007 -2636 -120 1772 -709 -1789 1672 2148 -1373 -784 6087 4291 -1669 -1322 -3152 -227 -322 -1018 -1556 -135 1977 -6364 -2156 -2327 1516 2269 641 -948 5317 -943 -5868 -3360 831 210 2425 -153 -1189 1382 1469 126 6949 3419 1413 -3983 6117 -3065 1205 1272 1783 -11526 5884 5591 5171 2276 -4530 -4804 -710 -2289 -1998 4594
+5230 -1327 -662 1002 707 -401 3788 1864 -910 2234 50 -3863 1464 -2253 -3579 -1930 4065 -632 584 -457 -241 863 -3055 -6547 1674 4201 -6135 -2749 2817 413 -2079 792 -2519 -3161 10000 -391 -5552 -2377 -1005 -1295 -3925 -2753 -246 1725 -835 -1713 1694 1952 -1270 -812 6099 4307 -1742 -1359 -3173 -269 -349 -968 -1605 -234 1969 -6404 -2260 -2335 1502 2223 585 -927 5429 -949 -5952 -3404 972 79 2481 -117 -1363 1336 1497 214 6989 3451 1459 -3918 5907 -3226 1196 1350 1774 -11509 5897 5583 5177 2303 -4401 -4764 -729 -2274 -1867 4692
+5429 -1206 -814 1069 817 -426 3693 1823 -885 2269 -29 -3735 1506 -2268 -3627 -1953 4026 -757 537 -474 -307 819 -3022 -6587 1757 4083 -6158 -2792 2708 213 -2028 723 -2638 -3240 9985 -509 -5625 -2451 -988 -1460 -3853 -2866 -361 1649 -932 -1675 1787 1884 -1375 -923 5932 4315 -1732 -1333 -3089 -202 -397 -978 -1700 -376 1992 -6455 -2449 -2191 1261 2105 589 -925 5419 -1005 -5981 -3375 1105 -122 2448 -221 -1371 1415 1544 308 7113 3475 1423 -4023 5762 -3343 1093 1355 1723 -11472 5946 5584 5230 2416 -4321 -4757 -732 -2402 -1976 4786
+5497 -1108 -846 1025 803 -377 3653 1736 -879 2273 -77 -3661 1696 -2331 -3604 -2020 3975 -867 478 -451 -312 789 -3109 -6485 1801 3989 -6218 -2890 2643 148 -1981 721 -2693 -3317 9956 -505 -5633 -2467 -957 -1550 -3805 -2908 -411 1649 -896 -1713 1871 1988 -1477 -990 5900 4312 -1729 -1327 -3037 -113 -278 -976 -1676 -349 1956 -6552 -2473 -2140 1130 2104 631 -988 5345 -1024 -5930 -3360 1119 -190 2324 -370 -1334 1498 1545 325 7230 3510 1414 -4197 5717 -3315 1029 1338 1742 -11468 6010 5585 5279 2571 -4301 -4791 -728 -2461 -2086 4940
+5424 -1296 -652 1000 686 -348 3686 1696 -829 2197 -134 -3611 1867 -2435 -3462 -1992 3966 -938 500 -375 -267 879 -3209 -6356 1916 3911 -6243 -2883 2744 223 -1917 724 -2651 -3239 9920 -466 -5609 -2436 -906 -1511 -3854 -2860 -324 1726 -766 -1757 1859 2130 -1463 -984 5960 4218 -1768 -1320 -2957 0 -156 -1002 -1661 -342 1864 -6491 -2346 -2231 1211 2076 596 -1074 5309 -954 -5807 -3306 1049 -38 2212 -456 -1211 1550 1461 231 7129 3530 1471 -4275 5647 -3217 1015 1257 1770 -11488 6000 5560 5340 2768 -4312 -4850 -717 -2520 -2198 4940
+5298 -1389 -500 999 655 -324 3738 1661 -847 2204 -211 -3654 1920 -2477 -3373 -1906 4041 -918 560 -328 -249 930 -3185 -6288 1917 3802 -6314 -2881 2840 375 -1926 747 -2509 -3179 9889 -361 -5530 -2362 -880 -1407 -3946 -2775 -192 1848 -660 -1760 1752 2261 -1448 -949 6071 4131 -1733 -1290 -2896 54 -107 -1059 -1647 -250 1875 -6269 -2172 -2413 1429 2140 559 -1089 5343 -849 -5695 -3310 924 188 2216 -430 -1103 1550 1400 200 7030 3471 1436 -4310 5657 -3104 1060 1237 1880 -11526 5926 5516 5381 2782 -4403 -4881 -658 -2469 -2266 4793
+5247 -1387 -512 1047 714 -349 3793 1674 -897 2182 -262 -3816 1706 -2430 -3435 -1933 4060 -826 584 -357 -208 953 -3093 -6338 1910 3667 -6288 -2876 2878 391 -1970 824 -2416 -3173 9864 -280 -5457 -2293 -908 -1237 -4051 -2692 -22 1893 -664 -1703 1611 2194 -1352 -931 6148 4112 -1654 -1274 -2886 46 -188 -1124 -1720 -286 1982 -6085 -2092 -2492 1536 2223 584 -1042 5284 -862 -5701 -3337 934 366 2340 -407 -1080 1513 1424 312 6981 3463 1435 -4331 5585 -3057 1042 1199 1862 -11456 5894 5409 5366 2762 -4450 -4862 -602 -2313 -2180 4711
+5297 -1341 -570 1092 813 -435 3814 1666 -1003 2154 -175 -4109 1570 -2279 -3505 -1917 4132 -760 553 -464 -300 944 -3050 -6518 1894 3710 -6249 -2939 2766 222 -2056 843 -2425 -3254 9859 -243 -5450 -2267 -960 -1189 -4067 -2790 44 1839 -730 -1702 1585 2037 -1195 -1005 6121 4260 -1526 -1302 -2959 -59 -241 -1073 -1726 -324 1997 -6249 -2229 -2415 1399 2259 693 -906 5191 -944 -5745 -3304 1037 404 2486 -398 -1101 1512 1503 484 7151 3527 1433 -4336 5660 -3193 1000 1231 1792 -11452 5813 5339 5289 2620 -4426 -4770 -541 -2204 -2205 4715
+5372 -1251 -570 1081 834 -468 3851 1662 -1020 2137 -36 -4272 1538 -2229 -3560 -1981 4114 -673 536 -541 -344 912 -3075 -6632 1921 3761 -6171 -2947 2669 88 -2050 847 -2497 -3326 9799 -285 -5440 -2234 -976 -1190 -4011 -2796 59 1708 -740 -1744 1658 2058 -1191 -1099 6046 4416 -1436 -1362 -3057 -145 -322 -1033 -1733 -343 2049 -6429 -2326 -2225 1160 2227 734 -892 5132 -1010 -5774 -3266 1154 182 2528 -367 -1096 1565 1615 563 7243 3523 1397 -4380 5804 -3178 986 1254 1711 -11349 5851 5303 5213 2588 -4349 -4714 -570 -2162 -2096 4729
+5447 -1301 -475 1013 745 -483 3858 1625 -1010 2121 154 -4262 1547 -2246 -3470 -2037 4076 -670 473 -561 -379 920 -3136 -6590 1908 3949 -6142 -2917 2706 102 -1985 824 -2610 -3342 9767 -346 -5459 -2244 -933 -1207 -3929 -2806 -10 1523 -690 -1817 1731 2185 -1263 -1172 6077 4461 -1393 -1413 -3118 -207 -341 -973 -1622 -244 1939 -6508 -2252 -2198 1065 2138 666 -953 5163 -1018 -5770 -3216 1140 -42 2564 -355 -1173 1561 1644 548 7189 3427 1322 -4427 5864 -3038 1138 1346 1646 -11423 5918 5369 5224 2644 -4355 -4729 -587 -2178 -2119 4755
+5415 -1389 -306 961 604 -488 3816 1701 -925 2205 175 -4054 1677 -2400 -3397 -2074 4050 -693 421 -511 -365 905 -3123 -6408 1836 4079 -6124 -2847 2812 263 -1922 805 -2627 -3280 9807 -356 -5447 -2226 -845 -1192 -3898 -2771 -98 1398 -620 -1907 1717 2217 -1311 -1189 6133 4398 -1465 -1471 -3137 -112 -314 -1006 -1541 -167 1848 -6367 -2087 -2309 1151 2091 526 -1039 5386 -900 -5772 -3236 1030 -139 2452 -339 -1279 1535 1648 437 6959 3305 1250 -4490 5803 -2909 1283 1368 1646 -11509 5898 5467 5252 2677 -4450 -4811 -631 -2267 -2185 4703
+5373 -1460 -189 911 531 -474 3770 1822 -861 2273 128 -3892 1811 -2494 -3333 -2086 4015 -793 357 -459 -320 885 -3110 -6267 1699 4126 -6175 -2852 2834 452 -1925 844 -2572 -3180 9884 -356 -5453 -2234 -699 -1221 -3855 -2737 -227 1254 -591 -1868 1638 2122 -1271 -1189 6145 4328 -1565 -1479 -3086 -32 -283 -1056 -1477 -31 1888 -6216 -1983 -2488 1240 2144 487 -1009 5540 -832 -5819 -3284 931 -131 2399 -353 -1382 1486 1618 409 6817 3235 1262 -4449 5704 -2833 1371 1367 1608 -11607 5836 5546 5327 2675 -4539 -4889 -701 -2482 -2327 4631
+5377 -1442 -281 909 593 -458 3744 1912 -867 2361 -21 -3929 1859 -2519 -3384 -2047 4033 -851 311 -480 -305 893 -3130 -6429 1678 4078 -6179 -2900 2680 437 -1980 906 -2444 -3199 9999 -299 -5457 -2266 -691 -1153 -3878 -2740 -241 1253 -637 -1876 1554 2055 -1189 -1216 6038 4313 -1628 -1488 -3031 3 -263 -1065 -1507 -22 1985 -6193 -2061 -2494 1172 2171 521 -948 5425 -887 -5878 -3265 915 -7 2422 -405 -1425 1503 1656 530 6855 3255 1309 -4486 5563 -2877 1298 1364 1620 -11697 5877 5626 5355 2561 -4530 -4864 -721 -2577 -2338 4728
+5397 -1474 -401 967 676 -405 3793 1958 -850 2305 -81 -4033 1895 -2482 -3422 -1980 4115 -875 368 -548 -294 987 -3154 -6746 1729 3911 -6102 -2985 2491 369 -2033 977 -2373 -3240 10112 -297 -5438 -2261 -696 -1148 -3801 -2746 -189 1268 -616 -1862 1561 1989 -1048 -1140 5828 4342 -1633 -1465 -2960 31 -255 -1051 -1599 -178 2014 -6328 -2217 -2493 977 2177 664 -896 5168 -1009 -5908 -3184 978 134 2564 -465 -1353 1542 1648 510 6898 3346 1447 -4452 5598 -2926 1180 1312 1522 -11622 5884 5623 5322 2476 -4426 -4786 -741 -2634 -2265 4867
+5310 -1453 -456 918 749 -313 3786 1901 -875 2209 -28 -4212 1804 -2442 -3473 -1909 4181 -799 437 -572 -304 1041 -3188 -6921 1813 3819 -6134 -3006 2505 363 -2018 922 -2390 -3311 10113 -334 -5459 -2264 -694 -1108 -3783 -2738 -46 1368 -558 -1936 1614 2068 -1056 -1132 5791 4420 -1642 -1455 -2896 47 -229 -984 -1668 -333 1921 -6402 -2295 -2427 882 2084 696 -931 5023 -1069 -5882 -3150 961 207 2603 -444 -1286 1516 1559 377 6978 3443 1524 -4417 5657 -2974 1088 1270 1519 -11496 5836 5560 5279 2481 -4344 -4750 -783 -2643 -2063 4863
+5331 -1468 -496 822 716 -285 3733 1833 -856 2081 60 -4152 1661 -2442 -3437 -1843 4257 -691 486 -589 -281 1066 -3224 -6800 1788 3870 -6240 -2914 2678 433 -1923 779 -2484 -3285 10032 -481 -5484 -2245 -647 -1059 -3799 -2720 74 1429 -483 -2042 1705 2165 -1170 -1194 5861 4282 -1616 -1375 -2813 144 -210 -971 -1693 -436 1802 -6315 -2215 -2359 1019 2040 657 -981 5040 -1091 -5852 -3201 913 215 2580 -308 -1245 1467 1527 228 6789 3366 1509 -4313 5534 -2925 1150 1297 1614 -11385 5793 5487 5193 2494 -4289 -4727 -754 -2512 -1956 4750
+5310 -1436 -457 803 663 -349 3720 1805 -844 1983 49 -3956 1527 -2517 -3370 -1905 4259 -657 464 -548 -233 1050 -3285 -6498 1728 3974 -6271 -2844 2872 506 -1887 657 -2529 -3210 9961 -586 -5452 -2162 -564 -981 -3858 -2642 138 1441 -395 -2059 1695 2152 -1225 -1223 6014 4242 -1569 -1330 -2840 73 -206 -964 -1625 -350 1725 -6202 -2167 -2314 1310 2058 596 -961 5204 -1049 -5828 -3326 711 89 2394 -253 -1261 1403 1470 30 6589 3240 1440 -4298 5440 -2863 1185 1281 1719 -11332 5726 5424 5191 2511 -4267 -4741 -746 -2381 -1925 4589
+5333 -1419 -473 830 676 -465 3705 1808 -872 2054 -79 -3713 1481 -2541 -3349 -1966 4231 -701 431 -564 -248 1021 -3335 -6323 1741 4008 -6163 -2764 2882 416 -1926 620 -2528 -3097 9936 -602 -5429 -2102 -530 -897 -3930 -2621 53 1393 -419 -2009 1614 2058 -1255 -1104 6074 4228 -1568 -1316 -2880 83 -203 -976 -1475 -129 1725 -6237 -2115 -2295 1498 2177 629 -879 5275 -1085 -5905 -3478 613 85 2238 -261 -1310 1372 1492 56 6637 3213 1378 -4354 5319 -2833 1199 1296 1713 -11337 5663 5395 5240 2529 -4376 -4805 -675 -2329 -2166 4537
+5320 -1430 -456 915 756 -486 3767 1810 -868 2187 -252 -3625 1535 -2546 -3275 -2023 4190 -735 392 -593 -286 1036 -3296 -6451 1699 3982 -5957 -2782 2691 311 -2010 682 -2426 -3013 9931 -533 -5390 -2078 -587 -980 -3867 -2621 -83 1404 -480 -1852 1618 2010 -1192 -938 6085 4404 -1556 -1377 -2981 47 -196 -937 -1397 4 1813 -6394 -2178 -2104 1529 2254 700 -813 5211 -1136 -5992 -3507 629 -33 2133 -309 -1341 1444 1614 281 6831 3221 1374 -4475 5305 -2897 1110 1261 1636 -11393 5660 5375 5292 2591 -4527 -4914 -658 -2435 -2424 4588
+5377 -1305 -485 982 860 -418 3845 1722 -863 2313 -240 -3673 1619 -2481 -3244 -2050 4153 -781 407 -641 -354 1088 -3196 -6702 1764 3940 -5885 -2829 2578 200 -2031 758 -2443 -3007 9937 -451 -5382 -2097 -644 -1160 -3726 -2654 -221 1421 -573 -1736 1679 1999 -1133 -833 6076 4566 -1554 -1440 -3076 9 -201 -915 -1422 -30 1877 -6605 -2246 -2047 1351 2215 724 -864 5113 -1160 -6078 -3455 852 -23 2145 -428 -1374 1480 1626 351 6991 3297 1405 -4585 5449 -2865 1026 1215 1548 -11504 5696 5384 5269 2682 -4450 -4906 -667 -2478 -2418 4663
+5436 -1179 -534 971 877 -358 3813 1660 -839 2323 -149 -3768 1654 -2406 -3308 -2032 4118 -841 426 -620 -379 1042 -3081 -6742 1639 3907 -5932 -2914 2574 278 -2023 812 -2485 -3105 9940 -411 -5412 -2166 -725 -1296 -3657 -2686 -263 1446 -554 -1696 1769 2101 -1215 -967 6109 4627 -1577 -1464 -3072 30 -268 -882 -1512 -175 1909 -6535 -2277 -2088 1237 2072 626 -1001 5121 -1095 -6066 -3368 1017 85 2166 -408 -1360 1481 1564 345 7019 3311 1415 -4543 5590 -2815 1103 1248 1524 -11429 5720 5358 5262 2812 -4416 -4919 -683 -2448 -2295 4703
+5427 -1108 -615 956 803 -427 3772 1644 -825 2280 -12 -3918 1645 -2362 -3399 -1967 4124 -860 354 -580 -333 933 -3105 -6489 1512 3949 -6213 -2995 2752 363 -1966 754 -2683 -3161 9907 -481 -5475 -2249 -721 -1381 -3688 -2693 -236 1447 -581 -1721 1800 2087 -1295 -1009 6108 4475 -1658 -1426 -3013 96 -231 -851 -1549 -282 1859 -6399 -2236 -2163 1208 2023 549 -1050 5273 -996 -5995 -3284 1150 183 2262 -391 -1316 1456 1448 222 6861 3239 1364 -4467 5688 -2667 1275 1303 1532 -11410 5783 5345 5263 2871 -4289 -4848 -687 -2356 -2090 4606
+5393 -1187 -640 985 703 -524 3752 1704 -850 2262 76 -4001 1666 -2394 -3540 -1881 4156 -771 299 -550 -277 904 -3272 -6246 1548 3938 -6362 -3022 2820 445 -1933 738 -2708 -3188 9911 -493 -5533 -2335 -708 -1376 -3803 -2684 -186 1538 -557 -1752 1770 2059 -1431 -978 6024 4299 -1680 -1345 -2901 194 -165 -882 -1564 -324 1822 -6297 -2218 -2342 1247 2093 515 -1030 5414 -934 -5886 -3281 1107 293 2217 -340 -1148 1510 1400 130 6811 3151 1239 -4430 5766 -2560 1401 1357 1509 -11397 5714 5312 5258 2794 -4224 -4766 -680 -2309 -1971 4553
+5307 -1256 -640 991 614 -573 3819 1832 -928 2258 162 -4096 1735 -2429 -3615 -1827 4223 -718 289 -599 -264 961 -3381 -6281 1684 3982 -6345 -3044 2769 352 -1910 783 -2639 -3149 9959 -486 -5537 -2340 -662 -1336 -3898 -2683 -145 1690 -641 -1766 1725 2017 -1488 -799 5968 4270 -1741 -1340 -2844 272 -98 -893 -1532 -319 1740 -6331 -2215 -2398 1234 2205 581 -911 5400 -982 -5812 -3291 1019 285 2230 -342 -1005 1585 1452 182 6901 3162 1186 -4469 5789 -2588 1318 1350 1526 -11433 5720 5337 5287 2689 -4247 -4732 -697 -2316 -1963 4659
+5267 -1344 -548 1038 644 -530 3993 1862 -1052 2288 117 -4165 1864 -2433 -3601 -1788 4240 -738 365 -650 -314 1090 -3306 -6518 1873 3935 -6207 -2964 2693 242 -1886 813 -2536 -3085 10006 -504 -5498 -2266 -669 -1345 -3932 -2671 -114 1876 -649 -1747 1662 2046 -1481 -683 5967 4289 -1792 -1351 -2811 327 -8 -923 -1570 -372 1681 -6415 -2171 -2456 1095 2199 618 -916 5341 -1005 -5790 -3397 944 248 2280 -230 -959 1588 1507 301 7009 3224 1254 -4494 5746 -2688 1218 1343 1473 -11458 5732 5381 5350 2688 -4298 -4763 -767 -2460 -2024 4775
+5378 -1269 -548 1082 669 -465 4110 1814 -1122 2292 78 -4112 1926 -2438 -3542 -1841 4177 -756 371 -649 -343 1131 -3097 -6737 2052 3948 -6120 -2827 2714 185 -1850 792 -2490 -3079 10000 -538 -5485 -2245 -731 -1347 -3903 -2725 -148 1948 -699 -1718 1717 2123 -1462 -705 6121 4387 -1787 -1411 -2857 291 21 -893 -1600 -418 1647 -6471 -2169 -2473 971 2115 635 -928 5315 -979 -5788 -3453 989 146 2426 -221 -1094 1495 1532 385 7048 3264 1327 -4492 5655 -2812 1149 1336 1519 -11549 5783 5478 5378 2699 -4392 -4808 -799 -2594 -2055 4867
+5483 -1171 -575 1055 707 -442 4045 1730 -1124 2194 -21 -3971 1848 -2296 -3516 -1926 4069 -807 331 -631 -356 1034 -2971 -6679 2040 3999 -6103 -2733 2794 186 -1798 725 -2548 -3153 9933 -590 -5470 -2210 -786 -1462 -3854 -2748 -146 1910 -714 -1766 1817 2170 -1445 -928 6266 4413 -1719 -1418 -2920 224 -26 -850 -1678 -522 1695 -6481 -2137 -2448 966 1972 556 -976 5327 -914 -5792 -3430 1127 49 2486 -157 -1232 1404 1504 362 6937 3198 1345 -4447 5530 -2782 1174 1352 1656 -11563 5786 5482 5368 2772 -4481 -4870 -815 -2607 -2027 4866
+5521 -1081 -553 1033 704 -525 3930 1728 -1050 2119 -96 -3887 1744 -2161 -3532 -1967 4020 -870 261 -564 -240 957 -3095 -6401 1949 4062 -6176 -2679 2896 282 -1836 658 -2638 -3224 9846 -591 -5495 -2233 -892 -1556 -3788 -2827 -191 1807 -753 -1781 1946 2072 -1475 -1001 6382 4326 -1617 -1373 -2999 43 -169 -809 -1700 -501 1846 -6358 -2143 -2340 1066 2015 533 -936 5440 -836 -5761 -3327 1223 88 2466 -272 -1254 1392 1437 221 6874 3191 1338 -4440 5458 -2732 1214 1359 1782 -11483 5709 5449 5324 2732 -4499 -4865 -752 -2508 -2046 4834
+5388 -1128 -453 1038 660 -576 3890 1816 -909 2167 -123 -3860 1673 -2082 -3527 -1912 4043 -818 297 -527 -115 977 -3341 -6269 1915 4136 -6196 -2705 2883 331 -1849 716 -2563 -3230 9797 -454 -5486 -2238 -947 -1584 -3747 -2767 -188 1756 -719 -1770 1958 2043 -1511 -906 6393 4277 -1575 -1390 -3076 -33 -261 -844 -1592 -316 1928 -6362 -2131 -2241 1241 2168 594 -813 5472 -844 -5725 -3172 1167 161 2305 -397 -1134 1509 1385 33 6936 3244 1323 -4441 5524 -2775 1136 1339 1853 -11341 5557 5344 5252 2625 -4521 -4857 -664 -2351 -2038 4778
+5268 -1343 -303 1067 629 -589 3859 1932 -787 2268 -103 -3837 1672 -2148 -3541 -1798 4143 -762 379 -558 -66 1033 -3480 -6329 1835 4087 -6149 -2777 2801 376 -1940 838 -2425 -3158 9799 -292 -5428 -2237 -985 -1601 -3741 -2754 -231 1744 -687 -1712 1919 2033 -1470 -702 6319 4310 -1623 -1439 -3128 -62 -270 -839 -1452 -182 1802 -6403 -2104 -2227 1346 2320 664 -805 5489 -859 -5658 -3050 976 174 2200 -535 -1026 1636 1425 18 7072 3365 1351 -4452 5644 -2886 1032 1316 1819 -11242 5572 5322 5192 2542 -4452 -4838 -650 -2240 -1963 4737
+5279 -1421 -265 1018 683 -472 3881 1890 -740 2370 10 -3890 1736 -2315 -3459 -1727 4253 -647 456 -616 -62 1120 -3388 -6474 1755 3935 -6134 -2833 2737 448 -1984 932 -2325 -3061 9772 -194 -5401 -2247 -924 -1488 -3765 -2714 -235 1813 -660 -1708 1818 2106 -1400 -711 6337 4376 -1715 -1501 -3163 -104 -276 -888 -1416 -134 1738 -6495 -2105 -2244 1285 2223 661 -811 5411 -943 -5645 -2987 802 87 2274 -464 -1013 1634 1414 -7 7145 3450 1391 -4398 5742 -2971 961 1319 1762 -11268 5588 5345 5206 2606 -4426 -4902 -708 -2205 -1883 4744
+5381 -1355 -368 947 724 -400 3758 1810 -738 2276 40 -3757 1734 -2510 -3506 -1790 4258 -641 459 -629 -187 1094 -3217 -6501 1672 3761 -6183 -2862 2716 533 -2045 930 -2384 -3050 9786 -226 -5393 -2239 -779 -1339 -3899 -2714 -170 1798 -694 -1751 1775 2210 -1431 -833 6362 4376 -1858 -1546 -3142 -113 -214 -869 -1458 -208 1699 -6511 -2162 -2393 1082 2034 610 -872 5387 -968 -5690 -3051 721 -60 2491 -366 -1165 1536 1449 92 7030 3378 1363 -4336 5719 -2952 1042 1371 1804 -11502 5715 5474 5286 2666 -4375 -4932 -767 -2250 -1909 4726
+5450 -1232 -580 846 799 -391 3636 1727 -731 2189 -2 -3628 1740 -2535 -3508 -1897 4249 -737 361 -652 -309 997 -3216 -6390 1696 3707 -6251 -2867 2745 513 -2071 815 -2558 -3113 9764 -362 -5420 -2240 -637 -1277 -3975 -2739 -79 1695 -716 -1816 1739 2179 -1418 -1046 6240 4265 -1876 -1471 -3034 -78 -255 -892 -1593 -358 1818 -6359 -2271 -2389 974 1923 566 -886 5360 -984 -5774 -3195 829 -135 2660 -182 -1229 1441 1477 126 6854 3265 1295 -4348 5674 -2784 1195 1410 1815 -11667 5731 5550 5380 2742 -4418 -4970 -765 -2381 -2101 4767
+5328 -1259 -667 813 800 -430 3557 1686 -755 2205 -216 -3628 1732 -2458 -3499 -2002 4222 -817 264 -575 -319 947 -3367 -6234 1822 3728 -6217 -2824 2732 443 -2038 749 -2648 -3187 9768 -489 -5500 -2259 -589 -1217 -3985 -2746 2 1595 -634 -1802 1747 2178 -1507 -1063 6123 4161 -1845 -1364 -2922 -38 -327 -967 -1721 -388 2023 -6253 -2290 -2287 1099 2067 651 -808 5305 -1013 -5817 -3270 878 -204 2629 -253 -1191 1461 1524 104 6725 3166 1208 -4378 5798 -2737 1334 1465 1791 -11677 5729 5563 5418 2713 -4452 -4953 -739 -2429 -2203 4858
+5142 -1451 -569 818 764 -452 3701 1832 -789 2244 -361 -3724 1714 -2287 -3446 -2001 4249 -842 230 -503 -264 983 -3493 -6133 1986 3724 -6080 -2740 2702 275 -1966 710 -2647 -3181 9842 -505 -5490 -2238 -626 -1191 -3859 -2702 16 1515 -499 -1789 1693 2193 -1392 -953 6082 4074 -1862 -1306 -2844 -67 -452 -1023 -1724 -351 2027 -6134 -2256 -2224 1283 2204 701 -785 5253 -1045 -5827 -3310 802 -143 2443 -380 -1053 1536 1533 38 6838 3231 1210 -4426 5936 -2760 1242 1431 1768 -11567 5769 5529 5447 2684 -4503 -4919 -695 -2407 -2192 4869
+5083 -1571 -386 861 763 -501 3870 1849 -907 2341 -386 -4009 1669 -2292 -3344 -1963 4204 -814 249 -470 -156 994 -3428 -6084 1993 3713 -5980 -2707 2691 157 -1909 728 -2580 -3130 9951 -407 -5409 -2171 -747 -1212 -3714 -2691 -96 1417 -434 -1732 1596 2240 -1346 -857 6098 4068 -1843 -1287 -2841 -17 -436 -1039 -1648 -249 1904 -6193 -2143 -2280 1431 2257 750 -783 5230 -1089 -5811 -3253 689 -115 2285 -425 -1023 1545 1530 153 6980 3315 1281 -4361 6063 -2922 1172 1482 1725 -11570 5816 5496 5371 2605 -4451 -4825 -672 -2367 -2021 4835
+5196 -1488 -342 868 807 -486 3958 1824 -1004 2234 -218 -4137 1689 -2429 -3330 -1907 4186 -777 299 -498 -131 997 -3312 -6152 1845 3805 -6027 -2772 2770 232 -1938 656 -2468 -3078 10011 -347 -5350 -2107 -794 -1139 -3754 -2702 -182 1310 -471 -1679 1513 2353 -1320 -897 6147 4182 -1784 -1319 -2929 -127 -427 -1057 -1567 -171 1767 -6297 -2108 -2305 1333 2132 706 -860 5201 -1138 -5814 -3212 561 -187 2299 -447 -1137 1481 1477 224 7055 3390 1330 -4275 6032 -2951 1140 1474 1693 -11552 5936 5509 5342 2645 -4389 -4772 -696 -2306 -1894 4727
+5453 -1274 -428 868 850 -548 3920 1751 -1109 2094 35 -4167 1647 -2605 -3353 -1946 4118 -749 244 -573 -192 906 -3242 -6224 1705 3964 -6189 -2888 2844 302 -1991 577 -2507 -3133 9969 -364 -5341 -2086 -761 -1066 -3887 -2735 -216 1213 -559 -1689 1456 2381 -1308 -1118 6100 4315 -1597 -1315 -3043 -198 -392 -1025 -1529 -181 1717 -6441 -2217 -2250 1193 1994 652 -849 5264 -1175 -5872 -3157 627 -239 2416 -385 -1282 1390 1398 294 7161 3476 1367 -4186 5896 -2910 1167 1477 1812 -11615 5951 5487 5275 2691 -4359 -4735 -692 -2326 -1962 4699
+5535 -1122 -574 840 889 -517 3833 1717 -1077 2047 213 -4086 1736 -2669 -3414 -1952 4130 -730 257 -649 -260 881 -3324 -6266 1618 4055 -6349 -3055 2804 334 -2001 536 -2529 -3196 9882 -429 -5372 -2086 -675 -1060 -3926 -2716 -196 1071 -537 -1707 1499 2330 -1358 -1221 6071 4397 -1500 -1299 -3089 -297 -481 -1006 -1545 -185 1858 -6407 -2242 -2157 1127 1995 617 -776 5415 -1159 -5977 -3197 851 -246 2581 -359 -1318 1403 1384 327 7242 3500 1287 -4300 5730 -2769 1184 1422 1900 -11658 5846 5442 5286 2719 -4435 -4757 -658 -2304 -2193 4710
+5444 -1173 -468 870 812 -558 3848 1806 -990 2158 223 -4010 1843 -2553 -3482 -1991 4190 -714 251 -627 -243 902 -3471 -6233 1526 4127 -6288 -3116 2658 295 -2051 668 -2490 -3307 9772 -430 -5402 -2120 -614 -1047 -3908 -2631 -41 1136 -462 -1737 1551 2316 -1330 -1163 6046 4409 -1472 -1302 -3066 -265 -595 -1031 -1627 -219 2046 -6361 -2218 -2063 1196 2157 649 -743 5471 -1104 -6003 -3192 1040 -127 2571 -402 -1233 1519 1416 300 7354 3537 1249 -4410 5742 -2771 1092 1332 1934 -11630 5736 5392 5321 2729 -4518 -4817 -643 -2349 -2356 4717
+5282 -1343 -368 894 737 -518 3875 1867 -890 2335 97 -3973 1856 -2349 -3463 -2009 4261 -689 265 -527 -155 962 -3525 -6110 1468 4097 -6173 -3060 2550 259 -2026 818 -2426 -3250 9664 -335 -5398 -2159 -629 -1084 -3828 -2619 76 1221 -391 -1778 1551 2355 -1327 -1054 6075 4353 -1437 -1249 -2992 -161 -657 -1106 -1676 -186 2166 -6199 -2074 -2219 1249 2232 595 -837 5475 -997 -5890 -3134 1028 72 2461 -402 -1099 1595 1399 186 7407 3592 1244 -4539 5792 -2928 948 1319 1939 -11582 5639 5352 5357 2715 -4580 -4875 -614 -2315 -2380 4775
+5211 -1393 -332 900 611 -503 3911 1829 -862 2390 -22 -3998 1815 -2148 -3453 -2028 4230 -728 279 -481 -118 930 -3467 -6018 1459 4113 -6134 -3027 2589 297 -2014 926 -2369 -3201 9720 -113 -5325 -2194 -702 -1045 -3762 -2587 52 1375 -404 -1806 1511 2390 -1223 -1012 6049 4281 -1493 -1241 -2907 -46 -571 -1127 -1697 -221 2087 -6228 -1995 -2480 1149 2168 559 -950 5442 -914 -5711 -3069 820 193 2346 -341 -1047 1584 1385 114 7299 3607 1318 -4480 5851 -3055 864 1345 1944 -11539 5625 5373 5354 2697 -4565 -4897 -593 -2282 -2320 4740
+5368 -1415 -431 869 575 -482 3841 1722 -878 2256 -207 -3911 1674 -2146 -3469 -2081 4120 -798 277 -471 -158 872 -3411 -6135 1567 4206 -6186 -2906 2728 389 -2014 913 -2403 -3181 9728 0 -5323 -2227 -748 -1020 -3852 -2641 -62 1434 -517 -1832 1490 2370 -1197 -1121 5926 4254 -1455 -1227 -2855 32 -395 -1127 -1709 -304 1942 -6308 -2084 -2597 948 2023 549 -980 5382 -922 -5587 -3067 613 165 2305 -287 -1215 1391 1330 194 7283 3593 1362 -4324 5864 -3122 999 1478 1981 -11605 5652 5412 5297 2678 -4420 -4843 -574 -2190 -2145 4751
+5458 -1167 -611 861 618 -534 3787 1662 -934 2089 -211 -3849 1532 -2282 -3463 -2072 4011 -857 284 -522 -210 852 -3354 -6279 1695 4134 -6215 -2850 2814 470 -2066 883 -2448 -3180 9768 -7 -5312 -2208 -814 -1001 -3950 -2704 -150 1415 -684 -1840 1548 2332 -1227 -1199 5799 4293 -1441 -1239 -2819 94 -239 -1102 -1733 -390 1871 -6372 -2186 -2534 760 1970 601 -858 5433 -973 -5587 -3171 610 107 2295 -117 -1367 1222 1346 428 7317 3574 1350 -4208 5901 -2949 1147 1523 1997 -11705 5725 5515 5307 2690 -4273 -4788 -591 -2165 -2067 4831
+5445 -1126 -685 926 680 -594 3766 1632 -1002 2024 -163 -3837 1561 -2488 -3519 -2019 3998 -866 362 -537 -210 850 -3358 -6396 1805 4015 -6210 -2836 2764 418 -2105 897 -2478 -3330 9804 -56 -5376 -2234 -845 -1141 -3969 -2719 -195 1365 -756 -1829 1651 2256 -1327 -1099 5699 4360 -1409 -1282 -2857 97 -224 -1086 -1805 -499 1875 -6392 -2275 -2286 831 2034 653 -673 5565 -999 -5659 -3236 806 -54 2313 -129 -1400 1201 1368 546 7422 3588 1273 -4196 6076 -2810 1202 1490 1984 -11746 5700 5560 5320 2653 -4247 -4779 -629 -2196 -2065 4934
+5376 -1238 -627 997 669 -656 3850 1740 -979 2084 -95 -3836 1693 -2651 -3485 -1905 4101 -778 389 -473 -159 842 -3372 -6299 1760 3909 -6122 -2813 2645 330 -2099 919 -2445 -3393 9831 -222 -5430 -2241 -862 -1253 -3839 -2654 -132 1382 -610 -1779 1727 2236 -1448 -1037 5775 4318 -1408 -1297 -2859 125 -285 -1100 -1852 -501 1956 -6361 -2211 -2219 1027 2086 654 -559 5730 -961 -5732 -3260 1086 -59 2278 -106 -1358 1245 1392 535 7512 3573 1222 -4173 6305 -2740 1152 1402 1854 -11768 5746 5630 5392 2629 -4301 -4803 -664 -2193 -2035 4989
+5279 -1284 -477 1095 657 -750 3953 1840 -958 2231 -88 -3917 1866 -2611 -3475 -1790 4262 -713 449 -417 -80 848 -3402 -6062 1603 3814 -6105 -2816 2575 286 -2049 908 -2396 -3353 9846 -423 -5465 -2236 -883 -1412 -3763 -2631 -104 1488 -589 -1697 1814 2261 -1426 -972 5986 4218 -1379 -1298 -2906 60 -432 -1114 -1847 -406 2076 -6346 -2097 -2197 1167 2071 633 -601 5715 -902 -5694 -3098 1201 8 2247 -107 -1278 1304 1382 392 7396 3540 1196 -4229 6316 -2809 1055 1374 1766 -11732 5773 5653 5419 2624 -4520 -4909 -674 -2221 -2127 4983
+5278 -1379 -403 1055 660 -737 3964 1872 -924 2231 -83 -3899 1848 -2416 -3474 -1836 4284 -714 407 -363 -115 845 -3348 -5880 1473 3854 -6174 -2889 2647 385 -2038 791 -2381 -3235 9886 -533 -5512 -2263 -860 -1353 -3800 -2652 -54 1615 -598 -1670 1789 2308 -1347 -984 6104 4102 -1264 -1250 -2952 -39 -521 -1091 -1759 -319 2061 -6362 -2080 -2226 1240 2016 616 -725 5633 -846 -5594 -2910 1100 175 2229 -47 -1300 1303 1373 233 7201 3500 1211 -4233 6147 -2810 1123 1482 1745 -11733 5857 5696 5430 2644 -4629 -4953 -656 -2258 -2253 4940
+5308 -1318 -498 979 678 -696 3871 1799 -889 2197 -145 -3828 1788 -2187 -3541 -1918 4248 -762 380 -432 -208 906 -3262 -5976 1507 3909 -6290 -2913 2765 487 -2016 654 -2429 -3114 9869 -623 -5549 -2267 -819 -1290 -3973 -2676 -62 1747 -740 -1649 1728 2307 -1314 -964 6129 4106 -1218 -1287 -3047 -115 -460 -1049 -1625 -257 1908 -6541 -2152 -2166 1233 2046 699 -701 5513 -895 -5529 -2796 959 254 2319 -71 -1431 1274 1357 141 7137 3548 1322 -4135 5872 -2791 1185 1508 1830 -11657 5920 5665 5406 2671 -4685 -4955 -609 -2198 -2204 5023
+5335 -1238 -655 882 695 -613 3733 1752 -900 2179 -170 -3836 1723 -2117 -3572 -2001 4109 -883 347 -494 -302 862 -3131 -6222 1665 3999 -6329 -2919 2836 418 -2014 587 -2529 -3140 9911 -559 -5542 -2303 -878 -1235 -4108 -2757 -137 1779 -797 -1619 1708 2302 -1382 -914 6133 4247 -1250 -1359 -3150 -177 -303 -957 -1545 -206 1866 -6612 -2230 -1902 1248 2108 784 -578 5469 -1018 -5581 -2863 891 231 2454 -99 -1478 1287 1370 94 7231 3642 1403 -4136 5806 -2744 1212 1514 1955 -11542 5816 5519 5341 2582 -4723 -4914 -585 -2128 -2068 5068
+5259 -1243 -751 778 677 -537 3722 1786 -891 2211 -43 -3867 1587 -2164 -3576 -2058 3942 -910 355 -445 -270 821 -3074 -6352 1713 3999 -6350 -2943 2763 230 -2073 639 -2556 -3244 9904 -390 -5555 -2393 -1012 -1254 -4134 -2767 -179 1760 -773 -1682 1679 2281 -1558 -860 6078 4309 -1409 -1431 -3111 -110 -223 -960 -1599 -274 1883 -6530 -2287 -1905 1183 2129 766 -439 5631 -1087 -5715 -3079 1021 61 2526 -110 -1543 1270 1372 144 7435 3738 1463 -4119 5917 -2782 1079 1420 2035 -11464 5763 5416 5337 2559 -4707 -4885 -610 -2081 -1910 5071
+5257 -1314 -725 733 603 -572 3729 1852 -923 2219 191 -3988 1548 -2335 -3528 -2003 3853 -847 355 -372 -175 727 -3118 -6231 1829 3971 -6232 -2820 2710 -6 -2091 799 -2586 -3391 9872 -171 -5533 -2444 -1137 -1393 -4043 -2784 -210 1716 -677 -1688 1698 2245 -1713 -907 6098 4162 -1560 -1372 -3008 -37 -245 -948 -1663 -328 1934 -6419 -2264 -2145 1176 2087 715 -405 5776 -1085 -5798 -3275 1112 -168 2490 -149 -1482 1247 1311 111 7466 3714 1412 -4126 6044 -2881 926 1332 1960 -11481 5680 5348 5371 2630 -4618 -4864 -639 -2017 -1799 5004
+5250 -1382 -673 720 573 -546 3817 1835 -980 2173 350 -4114 1595 -2504 -3484 -1965 3861 -797 369 -329 -92 743 -3283 -6071 1898 4001 -6243 -2760 2749 -16 -2110 894 -2545 -3463 9815 -45 -5491 -2442 -1171 -1529 -3972 -2776 -250 1644 -631 -1632 1715 2204 -1691 -993 6075 3960 -1657 -1286 -2871 3 -332 -995 -1700 -365 1937 -6286 -2188 -2365 1085 2000 624 -579 5798 -1013 -5776 -3301 1071 -297 2355 -156 -1426 1215 1243 42 7287 3568 1320 -4094 6098 -2932 899 1365 1881 -11567 5687 5376 5397 2742 -4538 -4866 -625 -2002 -1942 4969
+5275 -1366 -574 791 578 -575 3901 1783 -1041 2049 391 -4171 1682 -2522 -3507 -1958 3929 -701 451 -375 -75 821 -3368 -6149 2071 4114 -6285 -2725 2878 135 -2084 974 -2484 -3422 9706 36 -5446 -2383 -1034 -1510 -3948 -2721 -190 1556 -645 -1549 1743 2223 -1561 -974 6081 3832 -1734 -1232 -2801 6 -379 -994 -1697 -413 1858 -6276 -2150 -2460 1051 1984 610 -766 5616 -994 -5704 -3216 854 -260 2191 -157 -1295 1255 1275 147 7137 3438 1256 -4094 5962 -2866 1002 1426 1879 -11585 5705 5397 5412 2900 -4515 -4917 -634 -2050 -2101 4942
+5251 -1355 -502 853 739 -498 3980 1728 -1065 2010 168 -4136 1836 -2380 -3567 -1928 4092 -704 541 -446 -198 920 -3305 -6445 2172 4145 -6346 -2774 2969 341 -2090 1004 -2399 -3312 9603 63 -5394 -2286 -857 -1330 -4027 -2679 -146 1512 -720 -1506 1747 2239 -1397 -742 6044 3933 -1765 -1260 -2849 23 -289 -977 -1674 -412 1817 -6338 -2115 -2296 1027 2050 688 -774 5455 -1020 -5624 -2999 650 -138 2190 -290 -1152 1408 1384 292 7149 3398 1230 -4117 5838 -2774 1181 1507 1923 -11684 5735 5451 5471 3027 -4402 -4915 -634 -2186 -2253 5054
+5337 -1236 -430 920 855 -489 4043 1730 -1055 2088 -76 -4150 1923 -2167 -3608 -1957 4197 -711 593 -430 -284 949 -3152 -6680 2107 4099 -6401 -2926 2920 394 -2107 989 -2313 -3264 9552 -39 -5359 -2180 -809 -1108 -4100 -2666 -125 1524 -684 -1441 1763 2262 -1393 -640 6098 4145 -1847 -1367 -2928 9 -226 -961 -1570 -304 1683 -6359 -2154 -2264 1075 2170 742 -691 5471 -1060 -5650 -2920 601 37 2283 -354 -1024 1528 1476 393 7272 3464 1311 -4144 5905 -2674 1322 1583 1881 -11720 5664 5500 5531 3057 -4349 -4885 -587 -2251 -2248 5172
+5432 -1173 -405 975 917 -517 4027 1750 -1000 2250 -252 -4098 1937 -2175 -3632 -2013 4199 -734 565 -374 -238 884 -3114 -6650 1933 4089 -6349 -2986 2792 307 -2127 986 -2351 -3311 9566 -95 -5327 -2135 -823 -974 -4097 -2643 -78 1493 -613 -1568 1712 2230 -1525 -661 6239 4329 -1898 -1429 -3068 -68 -156 -889 -1511 -223 1721 -6389 -2179 -2240 1011 2086 694 -490 5690 -1073 -5708 -2880 655 -30 2420 -470 -990 1639 1523 361 7384 3526 1334 -4200 6053 -2627 1237 1512 1800 -11761 5580 5473 5463 2937 -4356 -4838 -555 -2164 -2095 5111
+5537 -1143 -395 997 896 -634 3946 1775 -983 2330 -310 -3983 1851 -2310 -3504 -2027 4071 -779 518 -311 -178 774 -3243 -6443 1823 4064 -6316 -2981 2723 258 -2085 891 -2438 -3404 9619 -171 -5312 -2118 -890 -957 -4058 -2671 -30 1486 -533 -1758 1649 2147 -1609 -837 6240 4277 -1811 -1355 -3087 -94 -229 -886 -1471 -135 1821 -6330 -2120 -2360 974 1994 606 -465 5870 -1044 -5745 -2904 740 -244 2585 -452 -1010 1619 1453 172 7292 3494 1300 -4241 6115 -2722 1143 1478 1719 -11700 5542 5437 5370 2785 -4378 -4783 -496 -2000 -1991 4954
+5432 -1186 -440 922 823 -692 3800 1757 -936 2293 -183 -3849 1693 -2555 -3359 -2052 3956 -804 493 -321 -111 740 -3464 -6289 1741 4097 -6296 -2973 2700 291 -2059 797 -2499 -3422 9664 -254 -5347 -2157 -891 -948 -3997 -2688 45 1473 -494 -1867 1640 2126 -1579 -933 6202 4189 -1698 -1270 -3057 -143 -397 -879 -1412 -62 1865 -6315 -2016 -2258 957 1970 577 -564 5865 -1018 -5728 -2909 744 -410 2588 -408 -1079 1535 1312 -33 7248 3417 1164 -4271 6201 -2737 1076 1445 1727 -11700 5539 5438 5291 2661 -4482 -4795 -464 -1879 -2014 4742
+5315 -1278 -444 881 738 -735 3709 1785 -868 2146 -2 -3691 1514 -2766 -3256 -2020 3898 -794 498 -410 -146 775 -3488 -6363 1763 4118 -6227 -2892 2707 345 -2011 692 -2550 -3338 9728 -306 -5398 -2180 -750 -1014 -3955 -2717 12 1406 -549 -1829 1580 2101 -1389 -908 6156 4169 -1606 -1192 -3009 -174 -455 -867 -1412 -121 1760 -6349 -1876 -2168 1042 2033 569 -729 5737 -975 -5638 -2892 612 -496 2558 -495 -1148 1530 1282 -60 7255 3435 1196 -4209 6049 -2637 1142 1436 1775 -11679 5483 5424 5265 2640 -4520 -4848 -524 -1883 -2061 4744
+5207 -1425 -417 868 721 -656 3657 1806 -843 2067 52 -3675 1434 -2745 -3281 -2019 3973 -758 513 -415 -257 816 -3265 -6543 1793 4010 -6116 -2851 2646 322 -1999 719 -2515 -3263 9773 -375 -5418 -2174 -565 -986 -3926 -2702 -57 1289 -658 -1831 1578 2083 -1226 -766 6193 4264 -1676 -1250 -2977 -66 -344 -850 -1378 -144 1644 -6364 -1916 -2125 1037 2112 673 -664 5586 -1003 -5562 -2866 512 -410 2471 -602 -1149 1548 1357 110 7298 3403 1203 -4235 5945 -2520 1224 1445 1825 -11575 5437 5398 5309 2636 -4686 -4980 -624 -1963 -2074 4891
+5219 -1331 -506 893 779 -612 3664 1792 -879 2141 1 -3814 1417 -2537 -3363 -2059 4109 -746 513 -434 -347 813 -3053 -6617 1729 3879 -6085 -2883 2540 276 -2039 745 -2475 -3209 9823 -397 -5397 -2165 -482 -987 -3930 -2707 -184 1176 -724 -1788 1544 2037 -1215 -750 6191 4352 -1739 -1293 -2937 59 -142 -872 -1452 -208 1680 -6369 -2075 -2215 930 2071 714 -464 5548 -1048 -5552 -2903 529 -201 2489 -629 -1108 1589 1446 289 7382 3444 1292 -4250 5864 -2518 1230 1438 1793 -11523 5432 5418 5389 2647 -4736 -5039 -703 -2129 -2085 5026
+5394 -1237 -574 907 776 -622 3690 1801 -913 2127 -33 -3970 1523 -2344 -3448 -2118 4196 -760 466 -374 -367 781 -3014 -6513 1736 3779 -6124 -2923 2491 213 -2036 779 -2514 -3223 9781 -444 -5413 -2188 -484 -979 -3940 -2702 -246 1063 -650 -1806 1515 1988 -1332 -984 6216 4335 -1804 -1317 -2872 217 -21 -902 -1471 -219 1692 -6328 -2163 -2410 778 1974 734 -286 5580 -1096 -5551 -2874 660 -96 2625 -511 -1118 1528 1435 296 7214 3395 1338 -4300 5765 -2607 1184 1471 1706 -11470 5481 5428 5457 2684 -4763 -5062 -740 -2283 -2068 5075
+5472 -1244 -497 877 727 -608 3747 1808 -936 2116 -65 -4064 1632 -2221 -3431 -2171 4187 -732 465 -352 -309 806 -3125 -6291 1775 3754 -6191 -2965 2581 363 -1998 739 -2585 -3212 9694 -488 -5388 -2137 -534 -1001 -3840 -2668 -183 1064 -556 -1841 1537 2061 -1484 -1171 6102 4157 -1751 -1247 -2808 256 -89 -956 -1542 -218 1827 -6261 -2132 -2428 718 1854 688 -270 5630 -1099 -5519 -2742 873 -136 2749 -303 -1172 1401 1367 131 7015 3335 1336 -4319 5694 -2735 1136 1505 1653 -11521 5651 5516 5477 2777 -4655 -5001 -724 -2315 -1997 5097
+5447 -1346 -342 860 613 -646 3800 1794 -944 1994 -53 -4064 1736 -2359 -3358 -2162 4102 -693 473 -296 -207 815 -3219 -6218 1765 3838 -6268 -3018 2718 540 -2005 727 -2557 -3194 9592 -406 -5382 -2128 -619 -1002 -3774 -2659 -56 1200 -438 -1858 1570 2142 -1448 -1238 5958 4022 -1684 -1223 -2783 302 -184 -985 -1541 -211 1816 -6221 -1962 -2109 820 1849 709 -346 5667 -1079 -5484 -2614 997 -180 2750 -75 -1156 1309 1275 -103 6904 3262 1228 -4418 5691 -2690 1199 1543 1659 -11548 5788 5526 5443 2864 -4486 -4914 -708 -2250 -1881 5044
+5312 -1385 -266 845 577 -649 3897 1791 -1020 1955 31 -4093 1792 -2575 -3324 -2086 3981 -686 537 -318 -152 839 -3154 -6297 1662 3921 -6293 -3027 2729 578 -2082 753 -2487 -3204 9570 -308 -5313 -2068 -683 -1014 -3765 -2634 47 1319 -517 -1821 1548 2225 -1278 -1128 5977 4098 -1636 -1284 -2892 180 -257 -925 -1540 -230 1692 -6373 -1908 -1862 1054 1925 692 -468 5699 -1031 -5467 -2584 969 -248 2710 -84 -1118 1342 1267 -192 7089 3365 1205 -4507 5697 -2591 1252 1527 1771 -11603 5823 5509 5432 2923 -4392 -4883 -750 -2204 -1744 5028
+5188 -1420 -327 800 601 -587 3913 1759 -1049 1991 91 -4128 1772 -2688 -3304 -2006 3915 -732 599 -359 -162 825 -3016 -6482 1536 3945 -6206 -3051 2623 438 -2207 821 -2349 -3236 9604 -130 -5243 -2029 -794 -1002 -3829 -2666 90 1481 -574 -1782 1537 2288 -1171 -956 6094 4207 -1639 -1401 -3007 152 -274 -924 -1500 -186 1664 -6472 -2032 -1836 1160 1984 699 -427 5788 -981 -5513 -2704 873 -189 2504 -117 -1151 1371 1295 -145 7255 3491 1288 -4466 5808 -2559 1319 1554 1781 -11653 5670 5445 5449 2955 -4409 -4902 -736 -2106 -1762 5081
+5322 -1356 -528 800 693 -565 3925 1763 -1103 2135 169 -4231 1681 -2667 -3418 -2030 3856 -735 624 -387 -192 817 -2974 -6621 1532 4109 -6141 -3050 2565 180 -2282 850 -2321 -3304 9657 44 -5206 -2047 -894 -1139 -3907 -2698 -34 1542 -657 -1754 1465 2135 -1136 -1036 6265 4293 -1599 -1498 -3113 103 -199 -922 -1449 -126 1716 -6538 -2238 -2014 1124 1930 647 -345 5808 -978 -5581 -2899 824 -58 2413 -109 -1249 1366 1364 26 7310 3494 1334 -4441 5819 -2596 1303 1602 1768 -11665 5600 5385 5462 2906 -4525 -4921 -661 -1951 -1873 5082
+5408 -1253 -647 774 724 -523 3916 1779 -1019 2308 160 -4267 1701 -2470 -3497 -2064 3906 -712 657 -408 -260 833 -3059 -6636 1563 4234 -6124 -3041 2593 143 -2239 808 -2349 -3337 9696 20 -5224 -2077 -906 -1229 -3943 -2695 -91 1546 -630 -1785 1506 2030 -1221 -1155 6393 4240 -1609 -1509 -3136 88 -122 -926 -1419 -91 1817 -6449 -2276 -2122 1040 1908 635 -319 5812 -983 -5589 -3028 811 -4 2373 -118 -1369 1341 1389 124 7151 3372 1286 -4419 5761 -2664 1282 1625 1667 -11663 5555 5350 5475 2928 -4657 -4960 -551 -1920 -2099 5089
+5419 -1218 -699 761 717 -483 3817 1746 -920 2342 55 -4153 1734 -2270 -3582 -2086 4012 -689 649 -394 -291 859 -3179 -6526 1708 4381 -6164 -2951 2829 198 -2059 705 -2493 -3303 9676 -84 -5346 -2196 -830 -1387 -3900 -2664 -192 1518 -556 -1783 1600 2008 -1271 -1307 6401 4164 -1577 -1469 -3078 105 -124 -931 -1399 -75 1840 -6334 -2057 -1994 1007 1908 614 -458 5682 -1027 -5564 -3022 898 11 2338 -83 -1451 1278 1405 215 6983 3214 1207 -4498 5709 -2663 1254 1593 1628 -11645 5503 5338 5431 2885 -4725 -4948 -417 -1848 -2246 5068
+5303 -1322 -591 781 632 -491 3772 1749 -842 2374 -173 -3952 1809 -2073 -3615 -2044 4135 -686 662 -354 -300 918 -3190 -6433 1736 4406 -6261 -2912 2957 348 -1921 673 -2577 -3208 9677 -271 -5445 -2274 -781 -1431 -3802 -2697 -226 1539 -557 -1779 1725 2088 -1284 -1205 6355 4055 -1565 -1412 -3009 58 -190 -942 -1490 -140 1876 -6322 -1854 -1901 1047 1992 615 -651 5631 -1031 -5493 -2974 898 4 2220 -77 -1332 1322 1379 217 6986 3162 1130 -4604 5733 -2501 1274 1545 1730 -11691 5488 5365 5397 2907 -4631 -4920 -398 -1862 -2137 5063
+5232 -1330 -526 833 623 -485 3844 1771 -828 2348 -351 -3772 1901 -2169 -3562 -1983 4125 -725 659 -293 -234 917 -3084 -6442 1771 4222 -6270 -2896 2894 372 -1838 728 -2518 -3154 9747 -366 -5508 -2337 -740 -1515 -3664 -2762 -290 1636 -666 -1762 1841 2078 -1160 -1076 6261 4010 -1636 -1396 -2925 101 -214 -954 -1591 -306 1766 -6473 -1893 -2028 974 2000 588 -686 5690 -1032 -5472 -2936 814 73 2083 -60 -1230 1356 1378 288 7151 3258 1153 -4712 5832 -2422 1256 1491 1854 -11791 5472 5396 5395 2845 -4555 -4906 -433 -1825 -1932 5071
+5279 -1278 -577 943 724 -552 3893 1726 -941 2330 -394 -3769 1901 -2383 -3487 -1891 4047 -819 668 -317 -249 903 -3021 -6639 1837 3964 -6270 -2958 2679 269 -1898 802 -2461 -3149 9890 -439 -5491 -2307 -809 -1469 -3705 -2857 -354 1708 -793 -1728 1791 2025 -1108 -942 6179 3994 -1699 -1378 -2863 97 -243 -979 -1685 -459 1689 -6493 -2123 -2379 855 1953 502 -683 5862 -966 -5507 -3014 697 67 2032 -113 -1179 1395 1392 305 7277 3390 1271 -4659 5876 -2488 1210 1481 1915 -11828 5474 5439 5384 2774 -4464 -4886 -503 -1845 -1765 5077
+5450 -1089 -655 1069 843 -610 3959 1710 -1057 2271 -268 -3751 1720 -2614 -3413 -1975 3885 -778 642 -348 -233 887 -3006 -6837 1957 3824 -6247 -3014 2545 244 -1953 891 -2419 -3180 10012 -384 -5461 -2300 -920 -1394 -3804 -2881 -387 1748 -822 -1778 1732 1939 -1111 -986 6117 4071 -1783 -1434 -2895 90 -171 -1003 -1695 -452 1721 -6520 -2289 -2520 746 1867 477 -573 6009 -932 -5624 -3112 658 123 2098 -41 -1261 1318 1381 353 7267 3446 1326 -4604 5737 -2688 1131 1569 1947 -11782 5615 5527 5374 2689 -4457 -4880 -577 -1807 -1707 5110
+5472 -1056 -666 1099 886 -682 3933 1686 -1065 2154 -78 -3783 1539 -2649 -3346 -2008 3808 -755 622 -388 -222 869 -3031 -6851 2011 3804 -6278 -2976 2576 344 -1943 847 -2471 -3237 10025 -353 -5426 -2245 -973 -1393 -3972 -2872 -323 1827 -739 -1857 1682 1850 -1086 -1010 6111 4132 -1773 -1439 -2924 92 -193 -1064 -1634 -323 1752 -6412 -2243 -2411 726 1858 516 -515 5966 -951 -5682 -3072 726 20 2339 -93 -1355 1297 1371 303 7138 3386 1312 -4540 5557 -2857 1181 1687 1856 -11683 5754 5608 5389 2695 -4447 -4887 -651 -1857 -1774 5215
+5489 -1077 -592 1060 811 -733 3888 1687 -1030 2003 35 -3766 1417 -2518 -3392 -2033 3845 -718 597 -369 -217 841 -3055 -6612 1994 3857 -6278 -2876 2773 481 -1923 762 -2587 -3279 9938 -419 -5413 -2177 -914 -1348 -4124 -2780 -183 1808 -615 -1996 1659 1931 -1209 -1145 6145 4158 -1744 -1423 -2977 54 -213 -1069 -1566 -168 1823 -6422 -1996 -2077 810 1932 623 -525 5786 -1043 -5722 -2980 819 -60 2424 -139 -1435 1317 1407 325 7102 3358 1278 -4628 5383 -2829 1219 1740 1948 -11616 5872 5654 5401 2728 -4530 -4931 -701 -1979 -1915 5327
+5393 -1257 -396 967 644 -720 3822 1718 -969 1929 78 -3847 1427 -2284 -3482 -2090 4002 -671 520 -340 -190 836 -3028 -6359 1927 3939 -6243 -2797 2860 484 -1908 699 -2645 -3313 9835 -488 -5400 -2126 -810 -1301 -4102 -2738 -94 1784 -554 -2011 1727 2039 -1357 -985 6216 4239 -1699 -1416 -3002 -1 -344 -1082 -1563 -104 1875 -6462 -1873 -1923 923 1948 659 -519 5754 -1075 -5719 -2898 908 -152 2384 -283 -1438 1418 1500 440 7200 3328 1217 -4772 5380 -2630 1231 1618 1997 -11596 5900 5685 5478 2721 -4728 -5015 -719 -2050 -1886 5268
+5338 -1299 -351 914 609 -679 3825 1737 -959 1978 30 -3974 1635 -2154 -3608 -2087 4123 -683 476 -363 -271 814 -3033 -6324 1873 3967 -6169 -2783 2755 344 -1997 718 -2627 -3292 9753 -465 -5381 -2139 -762 -1279 -4072 -2766 -67 1781 -661 -1956 1758 2080 -1363 -872 6216 4225 -1557 -1339 -3030 -87 -436 -1064 -1579 -154 1893 -6668 -1963 -2058 976 1976 723 -347 5836 -1113 -5692 -2857 840 -186 2334 -343 -1356 1521 1587 496 7194 3347 1270 -4813 5543 -2537 1210 1519 2000 -11523 5934 5645 5478 2609 -4878 -5021 -632 -1969 -1889 5202
+5375 -1303 -388 880 657 -560 3867 1820 -913 2200 -43 -4030 1847 -2247 -3613 -2107 4132 -693 530 -400 -318 915 -3081 -6592 1972 3973 -6053 -2805 2642 230 -2080 773 -2540 -3214 9701 -345 -5369 -2156 -776 -1254 -3931 -2810 -178 1684 -744 -1806 1759 2061 -1325 -853 6209 4206 -1422 -1234 -2997 -178 -511 -1055 -1587 -189 1931 -6625 -2132 -2262 1015 1974 737 -191 5929 -1105 -5610 -2807 653 -265 2301 -446 -1409 1513 1621 565 7125 3334 1309 -4708 5704 -2546 1142 1494 1950 -11533 6005 5632 5476 2488 -4975 -4990 -534 -1887 -1872 5108
+5408 -1293 -441 898 738 -416 3898 1833 -883 2402 -21 -4069 2017 -2450 -3500 -2021 4073 -672 644 -440 -349 1032 -3116 -6820 2001 3897 -6067 -2890 2588 294 -2072 765 -2458 -3100 9658 -310 -5352 -2165 -732 -1189 -3839 -2764 -261 1583 -767 -1718 1704 2012 -1359 -859 6159 4217 -1320 -1152 -2944 -187 -460 -1035 -1607 -252 1887 -6566 -2265 -2231 1067 2055 816 -76 5902 -1122 -5571 -2804 528 -265 2425 -391 -1477 1394 1608 590 6950 3286 1328 -4579 5721 -2664 1094 1539 1901 -11518 6069 5615 5464 2465 -4998 -4960 -419 -1727 -1948 5170
+5398 -1333 -390 897 760 -345 3905 1863 -826 2447 9 -4053 2011 -2675 -3406 -2008 3989 -685 674 -376 -254 1019 -3121 -6837 2018 3936 -6180 -2883 2760 450 -2013 728 -2477 -3020 9601 -253 -5381 -2222 -656 -1101 -3821 -2690 -292 1469 -600 -1736 1691 2058 -1395 -961 6107 4253 -1402 -1161 -2901 -82 -332 -1070 -1602 -226 1879 -6326 -2144 -2017 1047 2069 859 -142 5759 -1118 -5546 -2834 466 -341 2555 -376 -1471 1367 1617 531 6860 3268 1325 -4461 5693 -2690 1120 1580 1870 -11479 6081 5575 5383 2586 -4756 -4884 -441 -1675 -1912 5199
+5341 -1407 -275 922 701 -422 3899 1861 -820 2351 44 -4164 1937 -2704 -3397 -1924 3980 -693 668 -287 -125 946 -3236 -6664 1888 4009 -6337 -2919 2891 524 -1960 739 -2517 -3054 9560 -295 -5370 -2197 -620 -1091 -3809 -2617 -247 1380 -444 -1733 1733 2123 -1423 -933 6180 4277 -1497 -1182 -2836 51 -217 -1123 -1639 -195 1925 -6236 -2041 -1960 971 2049 886 -260 5642 -1094 -5522 -2793 566 -307 2659 -415 -1422 1378 1593 383 6888 3312 1303 -4482 5708 -2633 1195 1629 2001 -11487 5982 5513 5400 2802 -4658 -4937 -485 -1695 -1985 5280
+5278 -1417 -243 891 652 -498 3913 1857 -899 2230 -54 -4247 1765 -2501 -3356 -1921 4025 -686 583 -263 -48 860 -3325 -6433 1919 4017 -6360 -2911 2912 408 -1897 745 -2552 -3120 9621 -352 -5363 -2161 -550 -1095 -3782 -2611 -119 1381 -387 -1752 1730 2189 -1480 -870 6113 4199 -1578 -1195 -2750 193 -220 -1123 -1671 -205 1967 -6274 -2006 -2094 882 1990 846 -302 5646 -1057 -5496 -2717 637 -311 2703 -548 -1334 1480 1616 278 6891 3327 1304 -4553 5671 -2551 1228 1593 2083 -11547 5919 5519 5385 2894 -4513 -4922 -500 -1748 -2102 5234
+5311 -1381 -242 871 688 -598 3960 1870 -954 2177 -164 -4223 1585 -2317 -3398 -2046 4096 -677 545 -364 -133 871 -3384 -6496 1989 4051 -6312 -2937 2811 251 -1918 745 -2549 -3154 9670 -354 -5351 -2123 -561 -1125 -3730 -2663 -42 1466 -502 -1718 1765 2181 -1552 -725 6077 4011 -1577 -1161 -2757 192 -280 -1121 -1733 -316 2000 -6301 -2073 -2330 883 1907 740 -287 5858 -970 -5454 -2658 605 -329 2610 -577 -1279 1532 1608 191 6836 3290 1319 -4536 5737 -2546 1196 1565 2094 -11711 5919 5585 5447 2881 -4430 -4874 -434 -1735 -2202 5180
+5358 -1385 -360 888 807 -567 3970 1806 -1041 2234 -307 -4147 1532 -2206 -3395 -2112 4166 -646 549 -489 -239 961 -3309 -6707 2017 4100 -6203 -2937 2728 240 -1955 741 -2508 -3104 9752 -410 -5289 -2016 -539 -1078 -3716 -2678 83 1543 -545 -1726 1661 2126 -1526 -658 5997 3959 -1529 -1157 -2834 52 -414 -1092 -1768 -346 2017 -6285 -2174 -2336 981 1930 665 -281 6032 -915 -5438 -2635 533 -404 2483 -570 -1382 1492 1609 272 6726 3259 1360 -4491 5591 -2634 1083 1546 2040 -11852 5938 5647 5473 2765 -4441 -4819 -345 -1775 -2306 5239
+5381 -1368 -392 875 886 -496 3910 1774 -989 2245 -389 -3881 1586 -2254 -3381 -2171 4216 -618 530 -522 -335 980 -3134 -6724 1881 4213 -6121 -2925 2746 328 -1958 658 -2507 -3053 9778 -444 -5283 -1974 -544 -1010 -3720 -2694 27 1526 -534 -1714 1593 2035 -1423 -731 5977 3991 -1552 -1239 -2976 -28 -440 -1102 -1723 -276 2002 -6241 -2177 -2169 1074 2026 680 -322 6081 -912 -5441 -2692 505 -500 2411 -544 -1457 1506 1627 375 6797 3304 1414 -4461 5458 -2721 1006 1551 2053 -11950 5963 5691 5444 2713 -4430 -4778 -345 -1854 -2214 5356
+5353 -1419 -460 822 874 -454 3717 1730 -839 2138 -330 -3612 1667 -2465 -3354 -2099 4185 -653 463 -446 -278 916 -3046 -6467 1660 4210 -6115 -2912 2781 379 -1947 580 -2565 -3011 9702 -552 -5314 -1984 -476 -893 -3797 -2637 -30 1402 -485 -1741 1555 1994 -1387 -809 6055 4065 -1559 -1312 -3069 -66 -400 -1066 -1609 -123 1982 -6201 -2113 -1995 1045 2033 698 -441 5892 -975 -5464 -2774 590 -515 2434 -509 -1369 1524 1579 416 7029 3426 1449 -4452 5459 -2795 995 1561 2141 -11865 5909 5648 5367 2661 -4441 -4753 -398 -1907 -2066 5427
+5353 -1287 -524 808 837 -454 3624 1758 -754 2051 -244 -3562 1735 -2621 -3376 -2015 4189 -698 381 -348 -144 854 -3194 -6140 1455 4139 -6173 -2988 2721 396 -1972 596 -2600 -3110 9649 -590 -5357 -2045 -481 -861 -3786 -2633 -138 1308 -462 -1777 1633 1962 -1321 -984 6177 4012 -1607 -1351 -3138 -100 -313 -1026 -1503 -28 1961 -6235 -2065 -2101 928 2021 724 -515 5753 -1002 -5508 -2894 830 -412 2511 -472 -1229 1566 1554 356 7196 3470 1389 -4568 5579 -2741 1031 1580 2288 -11740 5824 5573 5330 2591 -4514 -4760 -454 -1829 -1968 5438
+5294 -1318 -539 809 760 -448 3623 1827 -676 2076 -89 -3679 1760 -2683 -3368 -1948 4148 -754 345 -303 -36 873 -3393 -5998 1414 4069 -6101 -3032 2611 338 -2006 687 -2571 -3193 9611 -495 -5375 -2122 -576 -976 -3687 -2661 -189 1292 -543 -1772 1785 2031 -1333 -916 6242 3880 -1523 -1281 -3103 -126 -260 -944 -1497 -112 1922 -6337 -2056 -2286 935 1990 654 -586 5695 -993 -5515 -3001 967 -174 2552 -374 -1128 1547 1490 265 7209 3455 1326 -4599 5676 -2712 1044 1547 2328 -11649 5778 5529 5311 2468 -4719 -4822 -468 -1739 -2080 5345
+5295 -1277 -484 862 754 -455 3702 1862 -728 2151 35 -3911 1671 -2608 -3394 -1935 4148 -732 377 -354 -54 985 -3440 -6082 1423 3948 -6080 -3101 2546 381 -2038 771 -2524 -3183 9632 -380 -5374 -2178 -639 -1155 -3562 -2714 -233 1368 -616 -1684 1855 2070 -1328 -889 6238 3691 -1472 -1182 -3036 -163 -223 -875 -1570 -243 1969 -6389 -1991 -2383 1048 2048 600 -617 5732 -951 -5473 -2992 941 38 2549 -304 -1054 1487 1447 195 7074 3386 1265 -4584 5746 -2681 1044 1543 2195 -11612 5895 5576 5356 2387 -4884 -4888 -483 -1731 -2184 5257
+5331 -1212 -530 929 776 -420 3829 1819 -879 2226 101 -4046 1561 -2517 -3427 -1993 4110 -736 374 -430 -153 1002 -3231 -6243 1460 3851 -6200 -3122 2592 500 -2052 799 -2464 -3149 9682 -200 -5381 -2230 -682 -1288 -3574 -2776 -203 1487 -687 -1684 1737 2031 -1353 -872 6163 3616 -1515 -1125 -2933 -144 -273 -857 -1673 -389 1971 -6304 -1924 -2235 1097 2126 588 -631 5793 -943 -5434 -2915 827 133 2539 -173 -1093 1404 1465 307 6976 3298 1209 -4593 5690 -2659 1065 1564 1967 -11681 6021 5656 5369 2357 -4976 -4944 -487 -1705 -2154 5311
+5444 -1249 -535 990 746 -482 3883 1736 -1010 2181 116 -4059 1579 -2401 -3540 -2088 4164 -746 355 -492 -283 936 -3017 -6221 1470 3758 -6272 -3062 2645 576 -2062 810 -2451 -3133 9718 -229 -5377 -2225 -679 -1200 -3760 -2744 -125 1494 -729 -1785 1595 2076 -1403 -951 6181 3762 -1651 -1193 -2877 -28 -274 -907 -1707 -437 1885 -6232 -1976 -2152 992 2110 619 -617 5840 -969 -5468 -2868 741 98 2443 -80 -1124 1394 1493 452 7052 3283 1215 -4565 5656 -2611 1086 1531 1857 -11647 6163 5719 5395 2498 -4960 -5017 -548 -1834 -1967 5437
+5499 -1131 -586 995 709 -552 3920 1658 -1113 2087 52 -4012 1689 -2291 -3610 -2133 4159 -780 323 -508 -331 833 -3040 -6099 1524 3815 -6250 -2957 2674 535 -2049 778 -2475 -3192 9734 -313 -5385 -2189 -668 -1155 -3991 -2710 -69 1577 -706 -1897 1519 2097 -1351 -1177 6130 3885 -1814 -1275 -2887 -28 -304 -968 -1685 -366 1865 -6246 -2070 -2139 737 1918 579 -628 5865 -1011 -5592 -2931 824 -55 2400 -56 -1144 1413 1467 476 7230 3389 1253 -4665 5702 -2436 1174 1503 1866 -11624 6134 5719 5454 2684 -4844 -5033 -568 -1890 -1818 5507
+5446 -1094 -553 1024 645 -624 3987 1643 -1163 2089 -40 -4056 1767 -2289 -3656 -2175 4099 -702 292 -537 -260 819 -3281 -5993 1632 3875 -6148 -2866 2580 354 -1987 802 -2507 -3242 9700 -450 -5435 -2207 -691 -1019 -4133 -2650 -45 1565 -604 -1860 1553 2214 -1358 -1251 6116 3912 -1860 -1316 -2903 68 -234 -956 -1613 -301 1833 -6359 -2149 -2224 605 1723 527 -577 5900 -1018 -5710 -3015 959 -159 2371 -55 -1152 1450 1414 393 7363 3432 1262 -4605 5896 -2345 1169 1434 1960 -11560 6070 5686 5502 2773 -4762 -5007 -509 -1753 -1733 5431
+5308 -1187 -476 1066 598 -672 4111 1714 -1184 2225 -87 -4202 1832 -2341 -3567 -2051 4051 -668 348 -526 -180 922 -3508 -6010 1666 3924 -5985 -2815 2519 270 -1934 811 -2506 -3275 9730 -520 -5438 -2192 -782 -1042 -4116 -2663 -23 1688 -593 -1787 1692 2327 -1297 -1083 6122 3940 -1888 -1355 -2914 125 -256 -942 -1515 -279 1686 -6486 -2085 -2164 793 1777 571 -496 5894 -1009 -5765 -3068 1069 -56 2378 3 -1281 1371 1311 207 7336 3413 1222 -4500 6051 -2461 1157 1495 2011 -11532 5943 5646 5541 2775 -4697 -4963 -417 -1557 -1814 5264
+5248 -1292 -367 1073 581 -632 4170 1810 -1123 2350 -86 -4237 1839 -2395 -3491 -1882 4048 -658 448 -524 -121 1029 -3498 -6173 1650 4045 -5978 -2809 2656 342 -1874 755 -2467 -3201 9727 -499 -5452 -2201 -859 -1125 -3954 -2691 -56 1707 -611 -1705 1755 2441 -1413 -940 6135 4051 -1821 -1374 -2970 73 -336 -937 -1506 -241 1706 -6546 -2009 -1993 1127 1937 646 -380 5828 -1017 -5710 -3024 958 96 2373 -56 -1413 1294 1245 84 7208 3346 1189 -4356 6021 -2599 1138 1567 1955 -11597 5843 5629 5590 2810 -4610 -4943 -406 -1480 -1960 5164
+5311 -1322 -368 1036 621 -461 4103 1836 -1012 2380 -4 -4256 1772 -2362 -3463 -1767 4048 -720 524 -467 -118 1077 -3340 -6287 1573 4141 -6112 -2823 2868 494 -1862 688 -2429 -3060 9705 -453 -5446 -2198 -851 -1289 -3862 -2697 -83 1742 -678 -1654 1722 2474 -1513 -1008 6239 4198 -1789 -1394 -3008 4 -460 -920 -1525 -172 1830 -6613 -1955 -1807 1254 2090 787 -274 5598 -1101 -5575 -2815 744 279 2369 -122 -1437 1292 1297 73 7168 3361 1211 -4345 5903 -2764 1049 1580 1972 -11654 5789 5608 5576 2849 -4623 -4971 -450 -1509 -2018 5199
+5394 -1326 -439 1000 677 -352 3926 1795 -863 2333 46 -4136 1692 -2287 -3543 -1778 4110 -727 528 -461 -133 1044 -3287 -6366 1522 4251 -6269 -2914 2962 589 -1955 671 -2366 -3062 9705 -331 -5441 -2244 -857 -1288 -3832 -2695 -166 1638 -664 -1616 1630 2402 -1452 -1166 6352 4384 -1771 -1417 -3003 -5 -530 -923 -1522 -152 1924 -6560 -2049 -1842 1106 2044 867 -208 5514 -1178 -5544 -2749 598 378 2346 -124 -1389 1307 1348 121 7333 3454 1217 -4487 5792 -2785 1049 1608 2091 -11758 5710 5574 5532 2949 -4656 -5008 -510 -1634 -2035 5299
+5433 -1192 -517 953 685 -334 3759 1819 -710 2191 130 -3914 1672 -2211 -3596 -1835 4201 -766 441 -478 -149 1048 -3400 -6277 1597 4274 -6366 -3015 2924 458 -2029 734 -2352 -3107 9700 -258 -5409 -2254 -882 -1294 -3959 -2692 -227 1598 -637 -1595 1643 2364 -1432 -1327 6397 4392 -1643 -1325 -2904 38 -519 -945 -1498 -96 1982 -6447 -2144 -1957 855 1876 848 -174 5503 -1229 -5557 -2761 556 256 2435 -47 -1317 1317 1385 82 7328 3468 1256 -4558 5691 -2732 1087 1592 2213 -11808 5549 5488 5470 2918 -4688 -4953 -437 -1634 -1989 5326
+5349 -1246 -435 1000 679 -386 3770 1879 -603 2207 69 -3721 1747 -2257 -3541 -1887 4251 -735 404 -454 -160 1093 -3568 -6227 1804 4157 -6267 -2973 2806 294 -2032 829 -2378 -3157 9651 -168 -5400 -2285 -817 -1219 -4052 -2639 -163 1651 -548 -1631 1751 2435 -1355 -1169 6399 4300 -1501 -1242 -2832 113 -379 -932 -1456 -141 1848 -6351 -2080 -2032 849 1792 730 -242 5694 -1160 -5652 -2905 681 -53 2553 64 -1288 1263 1349 7 7177 3394 1206 -4556 5789 -2709 1134 1631 2280 -11791 5496 5412 5393 2790 -4780 -4887 -335 -1554 -1953 5255
+5196 -1376 -314 1015 674 -442 3757 1893 -658 2263 -30 -3592 1767 -2398 -3510 -1942 4298 -605 354 -488 -156 1057 -3538 -6147 1919 4071 -6197 -2920 2777 146 -1999 898 -2455 -3190 9608 -114 -5413 -2306 -808 -1167 -4019 -2616 -111 1730 -486 -1670 1828 2468 -1317 -987 6336 4217 -1456 -1196 -2754 190 -243 -932 -1453 -216 1655 -6372 -1947 -2014 1009 1933 683 -314 5786 -1096 -5734 -3026 827 -268 2565 177 -1313 1167 1298 2 6990 3266 1178 -4384 5925 -2767 1138 1653 2148 -11777 5525 5435 5381 2661 -4773 -4819 -292 -1577 -1933 5249
+5165 -1482 -299 994 645 -478 3786 1854 -764 2243 -184 -3549 1695 -2492 -3427 -1963 4244 -626 279 -476 -147 1012 -3354 -6099 1992 4002 -6189 -2780 2879 174 -1935 860 -2534 -3154 9576 -162 -5389 -2258 -780 -1115 -3992 -2659 -45 1722 -480 -1685 1732 2450 -1363 -910 6364 4194 -1487 -1217 -2735 277 -178 -898 -1533 -358 1590 -6379 -1867 -2048 1112 2082 668 -390 5839 -1021 -5776 -3074 870 -301 2512 124 -1352 1158 1268 28 6992 3207 1130 -4251 6058 -2824 1148 1716 2008 -11746 5595 5433 5348 2647 -4755 -4858 -393 -1645 -1826 5248
+5262 -1446 -374 935 758 -442 3772 1760 -858 2137 -252 -3665 1607 -2469 -3448 -1953 4192 -669 251 -513 -160 907 -3205 -6190 2056 4009 -6238 -2743 2995 313 -1924 811 -2564 -3131 9585 -266 -5403 -2217 -692 -977 -4036 -2697 53 1717 -605 -1733 1598 2355 -1399 -976 6258 4210 -1566 -1293 -2759 292 -263 -940 -1616 -371 1702 -6372 -1982 -2214 947 2099 674 -484 5737 -1000 -5715 -2942 773 -266 2345 -182 -1346 1297 1328 161 7212 3326 1190 -4155 6091 -2843 1183 1744 1973 -11698 5660 5453 5343 2693 -4685 -4913 -548 -1750 -1782 5354
+5491 -1301 -506 901 824 -434 3767 1708 -941 1986 -166 -3927 1511 -2457 -3536 -1960 4147 -685 226 -560 -168 917 -3269 -6337 2067 3967 -6249 -2798 2937 345 -1961 809 -2549 -3145 9659 -343 -5372 -2159 -646 -935 -4036 -2707 44 1614 -663 -1743 1544 2243 -1442 -1118 6193 4237 -1584 -1362 -2806 310 -366 -991 -1679 -315 1922 -6316 -2135 -2405 700 1948 661 -551 5611 -1024 -5627 -2771 671 -174 2254 -446 -1297 1445 1359 163 7429 3487 1244 -4238 6094 -2762 1207 1721 2048 -11779 5657 5509 5421 2810 -4678 -5013 -680 -1901 -1844 5480
+5508 -1200 -537 865 895 -388 3789 1744 -952 2023 -62 -4194 1560 -2414 -3523 -1958 4152 -776 243 -531 -161 945 -3425 -6371 2014 3929 -6208 -2858 2789 318 -1966 856 -2480 -3204 9739 -379 -5367 -2118 -710 -924 -3982 -2700 -17 1482 -683 -1721 1594 2235 -1461 -1150 6123 4144 -1635 -1430 -2903 246 -425 -1026 -1686 -251 2084 -6219 -2166 -2481 586 1794 585 -600 5639 -1020 -5563 -2699 689 -184 2327 -622 -1330 1505 1402 189 7505 3531 1258 -4268 6068 -2606 1321 1712 2033 -11818 5637 5535 5481 2825 -4698 -5044 -704 -1952 -1890 5409
+5320 -1311 -490 842 817 -385 3855 1833 -958 2145 50 -4345 1618 -2439 -3473 -1980 4196 -773 272 -505 -133 902 -3516 -6231 1786 3872 -6169 -2893 2617 283 -1966 881 -2422 -3329 9793 -386 -5376 -2113 -751 -973 -3901 -2617 -102 1341 -567 -1676 1762 2323 -1500 -925 6119 4113 -1584 -1455 -2992 219 -362 -1022 -1582 -147 1966 -6155 -2057 -2270 867 1935 587 -634 5735 -1003 -5564 -2798 790 -271 2416 -608 -1378 1452 1354 54 7317 3435 1173 -4352 6031 -2557 1302 1671 1993 -11796 5688 5576 5559 2789 -4733 -5031 -625 -1885 -1896 5345
+5138 -1426 -394 860 754 -383 3909 1862 -936 2330 103 -4311 1756 -2502 -3440 -2022 4255 -767 224 -492 -149 948 -3488 -6036 1550 3812 -6168 -2915 2605 394 -1964 865 -2426 -3399 9861 -330 -5375 -2121 -790 -1106 -3782 -2552 -130 1276 -523 -1715 1787 2381 -1484 -788 6145 4033 -1559 -1479 -3099 128 -222 -923 -1534 -137 1902 -6322 -2019 -2025 1198 2118 601 -622 5772 -1010 -5632 -3015 922 -395 2485 -527 -1295 1458 1310 -67 7129 3308 1076 -4390 6069 -2598 1240 1613 1812 -11700 5676 5578 5566 2741 -4768 -5019 -552 -1844 -1862 5258
+5102 -1463 -344 843 693 -376 3844 1795 -957 2404 55 -4186 1861 -2464 -3487 -2038 4259 -807 253 -563 -276 948 -3454 -6053 1446 3835 -6284 -2942 2682 507 -1990 747 -2455 -3391 9859 -335 -5391 -2159 -787 -1157 -3725 -2577 -115 1252 -560 -1711 1693 2405 -1529 -788 6227 3961 -1599 -1460 -3087 103 -150 -845 -1467 -134 1830 -6477 -2047 -2004 1332 2241 662 -604 5682 -1027 -5651 -3161 955 -433 2430 -491 -1131 1520 1367 62 7261 3365 1088 -4397 6061 -2652 1215 1649 1775 -11602 5698 5560 5546 2786 -4773 -5031 -513 -1758 -1781 5299
+5323 -1448 -388 840 662 -398 3790 1700 -966 2290 -47 -4107 1866 -2430 -3565 -2102 4220 -789 198 -630 -344 957 -3411 -6269 1507 4000 -6405 -3022 2743 487 -2049 677 -2509 -3372 9848 -335 -5411 -2223 -729 -1144 -3781 -2652 -24 1257 -699 -1760 1516 2328 -1630 -924 6289 3881 -1527 -1352 -3036 37 -212 -863 -1570 -246 1952 -6467 -2179 -2227 1223 2168 681 -657 5600 -994 -5630 -3220 826 -379 2247 -516 -951 1576 1371 161 7485 3538 1238 -4286 6108 -2668 1229 1669 1850 -11494 5654 5502 5469 2897 -4676 -5024 -546 -1774 -1766 5334
+5446 -1302 -495 856 718 -364 3721 1654 -958 2169 -32 -4056 1765 -2326 -3610 -2094 4163 -782 221 -716 -357 949 -3409 -6549 1710 4098 -6360 -3015 2703 344 -2125 689 -2520 -3336 9810 -288 -5404 -2239 -709 -1118 -3815 -2709 -16 1300 -791 -1729 1497 2232 -1644 -928 6288 3756 -1506 -1235 -2923 -14 -323 -898 -1659 -313 2083 -6248 -2181 -2339 1076 2030 642 -762 5479 -973 -5568 -3106 688 -289 2079 -440 -898 1553 1383 315 7601 3629 1334 -4193 6105 -2663 1246 1658 1992 -11548 5525 5464 5471 2947 -4650 -5012 -527 -1723 -1906 5388
+5425 -1224 -462 948 697 -457 3727 1634 -954 2087 -29 -4136 1647 -2326 -3534 -2058 4086 -778 204 -670 -248 849 -3359 -6519 1790 4126 -6270 -2970 2649 188 -2188 811 -2477 -3359 9792 -121 -5353 -2233 -760 -1073 -3889 -2660 37 1366 -771 -1737 1574 2251 -1703 -838 6281 3739 -1538 -1182 -2857 -17 -329 -956 -1735 -353 2111 -6025 -2146 -2216 1098 1990 621 -797 5550 -927 -5520 -2926 715 -267 2029 -412 -991 1473 1347 344 7506 3576 1293 -4139 6095 -2653 1253 1665 2088 -11644 5424 5419 5473 2959 -4679 -5024 -547 -1726 -2083 5330
+5250 -1301 -368 1010 657 -527 3774 1739 -948 2095 -25 -4158 1599 -2442 -3500 -2003 4120 -756 176 -529 -82 827 -3361 -6247 1698 4162 -6205 -2913 2703 172 -2129 900 -2468 -3369 9743 35 -5302 -2191 -764 -1146 -3888 -2582 12 1348 -628 -1727 1690 2386 -1696 -667 6261 3740 -1639 -1200 -2850 23 -287 -1021 -1749 -355 2019 -6066 -2061 -2090 1198 2073 628 -736 5666 -925 -5546 -2782 953 -197 2209 -313 -1162 1353 1315 275 7338 3445 1196 -4200 6031 -2693 1202 1642 1994 -11705 5408 5459 5543 2928 -4799 -5042 -504 -1647 -2105 5276
+5242 -1365 -338 1068 627 -615 3777 1775 -975 2064 -30 -4101 1614 -2537 -3499 -1983 4140 -798 168 -488 -27 851 -3378 -6027 1625 4146 -6206 -2889 2800 273 -2117 978 -2474 -3415 9668 155 -5256 -2176 -880 -1174 -3915 -2525 -24 1340 -566 -1734 1714 2443 -1689 -721 6257 3856 -1776 -1272 -2888 22 -231 -1025 -1692 -320 1881 -6255 -2159 -2063 1227 2124 665 -560 5806 -954 -5638 -2818 1158 -197 2336 -306 -1267 1337 1306 146 7280 3407 1137 -4266 6029 -2718 1152 1628 1878 -11848 5381 5458 5532 2886 -4773 -5001 -470 -1681 -2019 5334
+5277 -1326 -407 1071 650 -572 3791 1803 -931 1973 -111 -3894 1711 -2599 -3518 -1994 4227 -805 185 -503 -102 957 -3357 -6100 1626 4202 -6264 -2937 2853 388 -2109 988 -2438 -3386 9602 150 -5217 -2119 -946 -1257 -3858 -2562 -52 1332 -555 -1667 1685 2376 -1639 -866 6207 3957 -1850 -1322 -2955 13 -242 -1032 -1603 -226 1843 -6466 -2229 -2183 1100 2061 687 -480 5779 -988 -5690 -2928 1190 -118 2417 -318 -1320 1383 1334 51 7316 3517 1238 -4276 5950 -2681 1205 1646 1803 -11730 5414 5411 5451 2888 -4685 -4947 -460 -1718 -1885 5278
+5354 -1344 -510 1043 715 -459 3743 1757 -903 1954 -148 -3734 1803 -2611 -3562 -2045 4262 -787 213 -557 -242 1064 -3264 -6311 1606 4181 -6251 -3056 2802 417 -2131 946 -2379 -3335 9598 126 -5236 -2132 -1034 -1313 -3785 -2680 -146 1382 -691 -1616 1649 2210 -1551 -904 6163 3976 -1826 -1289 -2984 -54 -392 -1068 -1597 -153 1976 -6407 -2243 -2267 1003 1940 695 -525 5618 -1005 -5690 -3081 1027 64 2492 -222 -1325 1376 1363 36 7405 3665 1392 -4258 5891 -2614 1314 1700 1869 -11799 5397 5398 5426 2866 -4654 -4907 -426 -1744 -1824 5315
+5356 -1295 -594 969 708 -372 3681 1728 -810 2058 -238 -3602 1817 -2474 -3559 -2023 4296 -710 260 -621 -367 1109 -3195 -6457 1489 4096 -6259 -3186 2637 367 -2203 903 -2289 -3295 9652 68 -5244 -2134 -1048 -1318 -3813 -2802 -175 1476 -758 -1574 1668 2134 -1537 -879 6124 4081 -1737 -1250 -3010 -191 -556 -1082 -1585 -118 2010 -6294 -2188 -2217 1033 1951 741 -567 5460 -1002 -5638 -3136 742 182 2508 -89 -1371 1333 1355 45 7398 3743 1510 -4046 5885 -2577 1387 1712 1951 -11830 5431 5401 5418 2817 -4563 -4844 -439 -1728 -1840 5349
+5279 -1299 -570 950 652 -326 3704 1762 -795 2266 -289 -3698 1684 -2319 -3558 -2058 4227 -642 301 -575 -333 1056 -3170 -6283 1319 4030 -6222 -3199 2593 347 -2130 792 -2332 -3238 9731 -28 -5298 -2152 -979 -1350 -3829 -2832 -220 1602 -757 -1642 1746 2137 -1517 -823 6168 4154 -1645 -1192 -2995 -248 -614 -1078 -1609 -122 2051 -6209 -2170 -2089 1147 2040 751 -574 5410 -1023 -5666 -3192 669 257 2574 102 -1418 1206 1306 107 7264 3665 1491 -3904 5958 -2541 1367 1692 1891 -11940 5463 5476 5493 2785 -4644 -4880 -467 -1707 -1917 5378
+5257 -1374 -544 918 565 -353 3726 1834 -780 2401 -262 -3827 1494 -2266 -3557 -2049 4133 -599 281 -528 -205 970 -3273 -5985 1288 3968 -6246 -3083 2703 376 -2031 612 -2468 -3185 9739 -210 -5336 -2183 -866 -1300 -3966 -2815 -229 1662 -665 -1763 1798 2212 -1534 -832 6305 4257 -1572 -1178 -2976 -246 -490 -1037 -1612 -185 2007 -6331 -2195 -1964 1205 2174 773 -491 5473 -1058 -5735 -3199 769 238 2503 131 -1396 1166 1285 123 7243 3573 1366 -3933 6112 -2535 1271 1620 1768 -12005 5555 5555 5543 2823 -4644 -4923 -563 -1753 -1955 5415
+5316 -1396 -434 972 537 -407 3731 1840 -808 2474 -198 -4008 1453 -2207 -3530 -2028 4067 -635 351 -526 -149 977 -3424 -5963 1459 3983 -6159 -2945 2829 420 -1895 544 -2561 -3188 9754 -367 -5390 -2202 -762 -1281 -4090 -2727 -189 1748 -567 -1833 1797 2285 -1528 -982 6384 4199 -1544 -1133 -2930 -224 -392 -1048 -1594 -197 1961 -6445 -2201 -2133 1152 2168 742 -447 5663 -1041 -5812 -3186 911 181 2447 83 -1330 1202 1295 228 7314 3529 1255 -4125 6146 -2560 1195 1579 1716 -11979 5697 5613 5531 2855 -4738 -4994 -572 -1727 -2025 5392
+5366 -1320 -479 989 570 -336 3836 1847 -840 2328 -33 -4115 1581 -2257 -3514 -1925 4061 -734 445 -569 -212 1071 -3441 -6281 1728 3944 -6084 -2814 2832 410 -1832 593 -2575 -3150 9751 -441 -5442 -2262 -676 -1271 -4100 -2720 -144 1758 -497 -1814 1722 2223 -1427 -1137 6372 4129 -1481 -1080 -2883 -165 -222 -1021 -1582 -261 1864 -6453 -2102 -2293 1013 2034 625 -588 5788 -995 -5866 -3204 964 140 2408 64 -1275 1238 1305 272 7419 3575 1265 -4325 6030 -2532 1227 1579 1749 -11791 5668 5538 5476 2869 -4789 -5033 -554 -1710 -2034 5334
+5372 -1357 -486 1018 644 -269 3972 1737 -955 2220 106 -4243 1851 -2367 -3524 -1899 4061 -811 546 -616 -310 1116 -3248 -6598 1916 3835 -6020 -2815 2699 302 -1879 750 -2480 -3150 9844 -295 -5445 -2302 -752 -1342 -3980 -2786 -186 1773 -622 -1769 1663 2099 -1314 -1021 6332 4061 -1395 -1031 -2844 -151 -233 -1007 -1592 -251 1842 -6378 -1909 -2375 932 1956 525 -825 5719 -950 -5836 -3176 774 109 2339 100 -1311 1231 1327 301 7385 3598 1344 -4348 5859 -2534 1281 1623 1949 -11641 5675 5498 5421 2785 -4862 -5026 -423 -1617 -2057 5340
+5319 -1384 -483 1008 678 -235 4049 1676 -1038 2186 135 -4299 2125 -2430 -3572 -1905 4118 -879 556 -618 -415 1063 -3066 -6659 1924 3728 -6032 -2856 2606 236 -1948 904 -2416 -3162 9886 -145 -5396 -2280 -926 -1444 -3903 -2883 -249 1749 -739 -1727 1672 2100 -1272 -907 6297 4096 -1459 -1112 -2854 -98 -290 -1025 -1694 -353 1847 -6287 -1916 -2339 924 2000 522 -975 5552 -936 -5791 -3167 533 116 2298 38 -1358 1233 1351 325 7311 3591 1398 -4319 5716 -2616 1311 1684 2042 -11566 5594 5452 5417 2688 -4874 -5018 -402 -1627 -1914 5381
+5299 -1285 -520 948 668 -268 4007 1654 -1071 2211 24 -4268 2180 -2393 -3629 -1941 4128 -819 495 -596 -379 972 -3102 -6536 1919 3806 -6171 -2895 2644 341 -1995 970 -2412 -3224 9916 -30 -5324 -2209 -1021 -1473 -3905 -2899 -299 1645 -743 -1749 1706 2229 -1343 -962 6208 4173 -1545 -1239 -2928 -71 -328 -1051 -1781 -429 1925 -6283 -2143 -2290 925 2078 593 -910 5482 -954 -5809 -3231 468 233 2292 -17 -1277 1328 1354 254 7295 3572 1345 -4281 5732 -2692 1178 1603 1990 -11517 5625 5479 5450 2643 -4840 -5008 -415 -1611 -1801 5435
+5350 -1268 -523 919 613 -331 3903 1714 -1037 2172 -136 -4130 2032 -2302 -3688 -1963 4157 -714 442 -584 -306 986 -3321 -6406 1882 3916 -6362 -2974 2800 537 -1980 960 -2462 -3265 9794 -53 -5272 -2146 -1042 -1409 -3956 -2814 -307 1523 -654 -1822 1743 2315 -1445 -1127 6131 4186 -1571 -1367 -3050 -62 -275 -1019 -1757 -415 1950 -6425 -2410 -2262 939 2080 602 -805 5467 -992 -5846 -3299 602 288 2308 -65 -1136 1453 1393 196 7334 3576 1292 -4299 5934 -2707 1063 1529 1928 -11541 5698 5559 5506 2671 -4803 -5005 -433 -1618 -1853 5434
+5402 -1287 -473 927 634 -351 3813 1774 -923 2117 -248 -4008 1802 -2209 -3687 -1977 4175 -631 440 -577 -214 1032 -3497 -6520 1903 4140 -6417 -2985 2868 629 -1995 921 -2507 -3335 9679 -139 -5276 -2108 -927 -1237 -4103 -2679 -174 1435 -538 -1928 1667 2390 -1518 -1328 6031 4110 -1594 -1417 -3132 -50 -262 -1058 -1688 -333 1931 -6532 -2421 -2328 1029 2020 572 -776 5491 -1020 -5863 -3340 812 217 2315 -193 -973 1599 1411 161 7441 3601 1244 -4400 6064 -2620 1016 1478 1909 -11605 5755 5612 5511 2778 -4646 -4976 -509 -1685 -1977 5321
+5357 -1419 -382 988 640 -311 3749 1791 -845 2060 -207 -3913 1581 -2196 -3596 -1959 4151 -602 458 -542 -195 1090 -3395 -6712 1878 4137 -6303 -2930 2774 522 -2009 958 -2446 -3393 9622 -155 -5275 -2088 -867 -1143 -4129 -2618 -48 1477 -482 -1919 1615 2307 -1418 -1217 5986 3960 -1574 -1422 -3168 -40 -139 -971 -1552 -198 1904 -6488 -2167 -2217 1185 1990 546 -872 5537 -995 -5824 -3343 917 64 2349 -228 -930 1599 1386 200 7452 3563 1272 -4383 6023 -2590 1068 1451 1956 -11631 5825 5660 5511 2851 -4574 -4953 -521 -1769 -2194 5256
+5265 -1454 -361 1038 713 -277 3710 1778 -819 2096 -45 -3842 1438 -2320 -3486 -1983 4070 -701 476 -523 -259 1013 -3177 -6929 1836 4091 -6199 -2921 2640 292 -2073 966 -2358 -3400 9617 -131 -5305 -2124 -797 -1168 -4078 -2635 70 1574 -597 -1870 1540 2250 -1315 -1036 6038 3840 -1568 -1400 -3112 51 -168 -1004 -1506 -115 1931 -6409 -1944 -2013 1328 2033 610 -920 5377 -1058 -5778 -3295 841 -107 2367 -313 -1088 1499 1400 337 7305 3501 1327 -4351 5770 -2570 1220 1606 2086 -11656 5838 5645 5492 2824 -4593 -4924 -507 -1818 -2216 5271
+5239 -1454 -394 1069 732 -313 3676 1779 -823 2160 46 -3723 1394 -2546 -3449 -2129 3984 -811 421 -478 -299 930 -3030 -6866 1876 4052 -6169 -2893 2599 133 -2136 880 -2363 -3422 9768 -41 -5309 -2177 -863 -1224 -3951 -2721 45 1584 -668 -1836 1504 2169 -1251 -934 6185 3910 -1560 -1400 -3074 49 -132 -928 -1540 -162 1976 -6440 -2062 -1843 1348 2145 717 -843 5244 -1110 -5781 -3256 812 -228 2307 -371 -1224 1419 1446 466 7130 3358 1310 -4327 5699 -2596 1294 1670 2030 -11767 5852 5659 5499 2748 -4664 -4902 -498 -1876 -2122 5421
+5365 -1360 -406 1092 721 -433 3583 1769 -846 2238 -38 -3696 1473 -2674 -3469 -2246 3962 -865 312 -478 -346 822 -3095 -6711 2013 4145 -6293 -2877 2769 95 -2110 716 -2471 -3381 9846 -50 -5365 -2258 -877 -1265 -3869 -2783 -49 1508 -753 -1881 1551 2105 -1244 -1081 6179 3988 -1476 -1363 -3005 79 -155 -914 -1597 -255 1995 -6421 -2349 -1981 1375 2212 781 -710 5238 -1101 -5802 -3212 847 -238 2265 -565 -1273 1485 1557 524 7031 3295 1314 -4318 5726 -2674 1259 1668 1911 -11732 5877 5628 5417 2636 -4676 -4826 -466 -1941 -2007 5423
+5532 -1261 -394 1064 654 -535 3556 1797 -836 2254 -230 -3695 1629 -2603 -3489 -2253 4066 -843 288 -472 -346 816 -3204 -6553 2121 4172 -6448 -2874 2936 189 -2016 614 -2598 -3346 9901 -168 -5396 -2304 -886 -1244 -3845 -2746 -137 1456 -695 -1982 1642 2163 -1384 -1344 6114 3905 -1405 -1270 -2923 29 -149 -909 -1670 -408 1912 -6385 -2487 -2173 1319 2169 714 -692 5345 -1019 -5820 -3175 1063 -164 2325 -651 -1224 1543 1573 377 7062 3295 1259 -4428 5963 -2723 1144 1610 1879 -11817 5946 5620 5359 2609 -4672 -4773 -420 -1907 -2097 5338
+5457 -1402 -260 1023 583 -501 3619 1784 -836 2147 -382 -3824 1748 -2449 -3475 -2154 4222 -706 316 -478 -306 850 -3192 -6486 2119 4061 -6432 -2907 2979 180 -1911 598 -2680 -3315 9953 -357 -5460 -2295 -786 -1212 -3774 -2682 -226 1329 -554 -1963 1658 2106 -1415 -1281 6133 3849 -1421 -1234 -2884 51 -149 -926 -1613 -400 1732 -6232 -2303 -2286 1347 2072 583 -878 5425 -904 -5763 -3058 1237 -140 2430 -622 -1163 1597 1550 151 7057 3331 1241 -4476 6061 -2693 1066 1521 1983 -11857 5831 5557 5312 2591 -4705 -4776 -386 -1800 -2192 5184
+5339 -1521 -187 980 565 -387 3767 1771 -861 2083 -396 -4050 1809 -2367 -3442 -2036 4310 -569 435 -448 -245 896 -3051 -6565 1957 3843 -6368 -2952 2849 162 -1883 710 -2657 -3238 9999 -501 -5477 -2251 -696 -1231 -3654 -2629 -242 1360 -508 -1874 1687 2036 -1385 -1050 6130 3862 -1522 -1265 -2870 157 -149 -988 -1495 -218 1634 -6147 -1983 -2246 1327 2099 571 -1019 5413 -865 -5667 -2905 1270 -251 2558 -533 -1230 1534 1489 -2 6942 3294 1201 -4484 5975 -2697 1087 1540 2185 -11842 5734 5498 5309 2600 -4725 -4825 -398 -1691 -2234 5059
+5241 -1531 -232 964 630 -269 3877 1758 -934 2123 -283 -4232 1761 -2420 -3370 -2000 4236 -535 555 -405 -223 935 -2960 -6612 1777 3711 -6222 -3009 2657 120 -1892 795 -2597 -3137 10008 -583 -5463 -2185 -630 -1233 -3597 -2677 -201 1460 -630 -1782 1711 1947 -1289 -815 6170 4095 -1686 -1385 -2900 229 -209 -1061 -1446 -66 1710 -6232 -1864 -2227 1143 2107 627 -1004 5326 -910 -5577 -2877 1078 -309 2591 -500 -1300 1479 1456 -2 6876 3254 1195 -4464 5838 -2745 1164 1608 2217 -11830 5679 5479 5380 2621 -4714 -4900 -461 -1717 -2226 5075
+5235 -1493 -395 874 676 -192 3842 1696 -999 2179 -76 -4365 1728 -2539 -3319 -2017 4086 -605 577 -437 -243 951 -3010 -6676 1726 3818 -6240 -3066 2618 225 -1995 801 -2565 -3127 10032 -609 -5402 -2108 -609 -1212 -3590 -2754 -125 1498 -748 -1750 1744 1914 -1178 -820 6122 4360 -1789 -1474 -2924 298 -281 -1047 -1422 8 1855 -6313 -2077 -2311 963 2109 693 -810 5348 -958 -5596 -3058 841 -306 2478 -525 -1279 1514 1540 210 6925 3238 1235 -4498 5649 -2784 1165 1664 2137 -11741 5707 5506 5434 2637 -4676 -4943 -491 -1739 -2125 5155
+5334 -1405 -470 859 645 -236 3770 1747 -977 2170 84 -4360 1692 -2646 -3328 -2083 3973 -754 557 -441 -270 961 -3172 -6598 1707 3940 -6231 -3057 2655 382 -2052 779 -2565 -3149 9963 -588 -5390 -2085 -665 -1105 -3770 -2790 -9 1601 -793 -1820 1770 1943 -1255 -990 6049 4494 -1777 -1492 -2986 196 -367 -1003 -1444 -33 1910 -6349 -2363 -2460 914 2097 679 -685 5446 -956 -5684 -3344 711 -177 2299 -587 -1246 1555 1605 329 7042 3266 1255 -4601 5673 -2846 1132 1672 2008 -11673 5871 5585 5452 2671 -4605 -4932 -461 -1806 -2073 5238
+5330 -1404 -468 801 546 -260 3665 1782 -911 2036 233 -4268 1716 -2650 -3428 -2132 3955 -860 514 -437 -281 898 -3226 -6435 1617 4122 -6243 -3005 2718 420 -2067 734 -2601 -3255 9870 -466 -5388 -2139 -710 -1025 -3903 -2736 -10 1572 -677 -1888 1748 1950 -1410 -1077 6011 4465 -1637 -1397 -2988 85 -404 -967 -1434 -102 1813 -6233 -2368 -2452 1095 2089 608 -747 5481 -901 -5750 -3493 728 -85 2220 -639 -1172 1580 1577 261 7060 3243 1224 -4700 5755 -2755 1142 1608 1893 -11620 5988 5648 5431 2752 -4499 -4887 -420 -1830 -2027 5174
+5316 -1505 -378 840 496 -262 3644 1779 -872 2024 189 -4194 1777 -2532 -3499 -2140 4003 -885 486 -398 -260 851 -3155 -6332 1528 4171 -6174 -2949 2686 368 -2076 730 -2571 -3349 9764 -348 -5370 -2151 -758 -1000 -4025 -2682 -31 1600 -580 -1979 1706 1975 -1607 -971 6100 4389 -1543 -1315 -2977 -33 -369 -915 -1404 -83 1704 -6260 -2163 -2273 1289 2122 561 -924 5391 -891 -5782 -3382 909 -84 2204 -528 -1258 1466 1516 215 6967 3144 1157 -4786 5748 -2696 1158 1571 1985 -11678 6034 5720 5469 2796 -4510 -4903 -434 -1865 -2002 5085
+5258 -1494 -347 910 519 -205 3718 1813 -837 2091 104 -4082 1744 -2374 -3577 -2173 4068 -732 458 -367 -251 846 -3028 -6366 1527 4133 -6104 -2911 2622 306 -2064 792 -2504 -3383 9702 -161 -5363 -2224 -863 -1089 -4003 -2714 -164 1618 -580 -1901 1718 1984 -1684 -737 6262 4352 -1522 -1240 -2897 5 -280 -912 -1366 -60 1657 -6353 -1944 -2155 1343 2226 633 -1047 5266 -916 -5777 -3137 1060 17 2264 -378 -1350 1320 1412 161 6899 3125 1145 -4690 5713 -2608 1238 1563 1991 -11652 5985 5703 5499 2822 -4596 -4968 -516 -1854 -1948 5046
+5274 -1453 -425 998 653 -176 3730 1791 -865 2230 -68 -4008 1694 -2246 -3571 -2093 4128 -573 531 -424 -276 918 -3011 -6570 1632 4070 -6109 -2939 2590 341 -2062 768 -2433 -3312 9661 -132 -5370 -2249 -821 -1176 -3921 -2774 -250 1602 -721 -1808 1736 1994 -1569 -644 6394 4416 -1592 -1245 -2830 108 -166 -916 -1373 -38 1764 -6401 -1973 -2193 1211 2240 695 -949 5244 -1014 -5829 -3015 1038 107 2257 -248 -1328 1342 1461 207 6887 3132 1159 -4579 5720 -2559 1231 1522 1923 -11659 5938 5687 5515 2741 -4657 -4996 -633 -1889 -1917 5184
+5348 -1259 -536 1109 810 -222 3698 1792 -864 2309 -193 -3845 1550 -2273 -3609 -2087 4100 -495 596 -477 -253 944 -3085 -6727 1781 4067 -6181 -2991 2644 470 -2042 739 -2382 -3182 9628 -250 -5377 -2240 -740 -1243 -3858 -2838 -283 1566 -811 -1666 1802 1974 -1433 -752 6453 4471 -1631 -1273 -2784 197 -125 -943 -1464 -168 1841 -6385 -2089 -2372 1028 2111 630 -820 5391 -1042 -5915 -3141 887 256 2185 -171 -1273 1404 1453 288 7089 3203 1181 -4541 5856 -2562 1171 1502 1794 -11602 5908 5645 5515 2641 -4833 -5037 -675 -1887 -1983 5232
+5409 -1175 -603 1171 853 -264 3786 1830 -873 2299 -205 -3775 1478 -2440 -3509 -2030 4047 -494 638 -394 -176 925 -3150 -6647 1815 4020 -6255 -3015 2698 590 -2004 746 -2340 -3093 9616 -402 -5398 -2213 -615 -1233 -3914 -2787 -199 1518 -826 -1696 1862 2129 -1475 -898 6480 4404 -1580 -1258 -2829 137 -98 -844 -1544 -347 1788 -6304 -2212 -2446 1081 2035 550 -784 5558 -1033 -6033 -3438 766 332 2195 -221 -1173 1517 1481 329 7194 3290 1244 -4602 5861 -2559 1167 1489 1675 -11526 5890 5597 5453 2611 -4856 -4992 -641 -1852 -2005 5288
+5301 -1225 -551 1195 803 -277 3865 1845 -859 2186 -146 -3732 1478 -2537 -3425 -1982 4043 -559 652 -268 -97 913 -3219 -6409 1726 4043 -6255 -3006 2722 557 -1897 794 -2354 -3032 9653 -542 -5431 -2174 -573 -1201 -3945 -2731 -156 1496 -632 -1710 1905 2149 -1499 -885 6454 4219 -1580 -1248 -2904 58 -191 -871 -1641 -475 1713 -6219 -2149 -2345 1236 2033 509 -887 5545 -1021 -6118 -3688 757 302 2241 -197 -1150 1530 1439 295 7194 3261 1173 -4771 5801 -2511 1194 1479 1711 -11570 5813 5574 5422 2622 -4880 -4956 -575 -1866 -2015 5246
+5298 -1277 -440 1209 732 -285 3921 1815 -861 2160 -82 -3771 1543 -2589 -3323 -1986 4018 -636 666 -194 -80 896 -3217 -6205 1673 4011 -6112 -2989 2696 413 -1803 843 -2437 -3064 9747 -591 -5437 -2157 -604 -1085 -4051 -2662 -64 1620 -468 -1803 1858 2255 -1710 -846 6402 4128 -1662 -1338 -3012 35 -213 -914 -1637 -449 1629 -6362 -2115 -2124 1276 2070 540 -1046 5287 -1075 -6164 -3798 919 245 2310 -96 -1285 1425 1381 243 7072 3181 1129 -4859 5602 -2438 1282 1484 1802 -11612 5687 5500 5385 2666 -4831 -4922 -525 -1909 -1888 5127
+5309 -1357 -414 1188 674 -210 3963 1774 -906 2175 -85 -3902 1657 -2531 -3327 -2032 4029 -697 668 -217 -201 950 -3229 -6255 1697 3987 -6032 -2967 2682 340 -1770 838 -2512 -3108 9862 -570 -5409 -2136 -793 -961 -4115 -2711 -24 1746 -448 -1877 1758 2260 -1700 -807 6363 4084 -1816 -1442 -3082 31 -215 -915 -1536 -269 1697 -6500 -2229 -2165 1259 2155 693 -996 5108 -1147 -6151 -3716 1070 259 2376 -15 -1291 1354 1358 250 7037 3148 1090 -4923 5526 -2524 1280 1538 1927 -11743 5573 5484 5403 2704 -4755 -4913 -508 -1954 -1807 5114
+5421 -1250 -465 1223 722 -183 3888 1682 -923 2327 -196 -3982 1794 -2343 -3398 -2034 4041 -733 694 -331 -341 1008 -3203 -6488 1833 4081 -6037 -2965 2743 353 -1811 757 -2605 -3077 9942 -529 -5389 -2140 -883 -940 -4128 -2805 -27 1811 -591 -1907 1719 2253 -1683 -791 6283 4121 -1896 -1541 -3175 65 -121 -904 -1490 -153 1839 -6563 -2358 -2218 1198 2125 737 -868 5054 -1194 -6094 -3529 1135 418 2299 -59 -1249 1362 1400 317 7193 3233 1123 -4847 5633 -2666 1218 1551 1895 -11810 5604 5520 5441 2774 -4567 -4890 -542 -2025 -1830 5186
+5426 -1235 -486 1200 674 -177 3808 1714 -869 2385 -267 -3999 1875 -2200 -3563 -2047 4087 -704 708 -390 -410 956 -3137 -6542 1852 4104 -6088 -2950 2814 397 -1924 646 -2648 -3064 9983 -523 -5398 -2161 -894 -1024 -4087 -2913 -69 1805 -712 -1849 1701 2166 -1411 -917 6238 4036 -1913 -1544 -3173 55 -73 -868 -1506 -176 1966 -6466 -2351 -2301 1247 2078 669 -774 5177 -1167 -6015 -3383 1064 488 2242 -116 -1218 1411 1525 426 7252 3337 1263 -4647 5750 -2788 1153 1580 1828 -11854 5683 5556 5428 2855 -4420 -4880 -555 -2009 -1981 5206
+5391 -1271 -421 1167 595 -213 3718 1723 -810 2337 -195 -4054 1942 -2180 -3651 -1974 4155 -650 715 -381 -350 884 -3209 -6369 1794 3990 -6159 -2933 2788 352 -2013 587 -2614 -3079 9949 -537 -5428 -2174 -713 -1135 -4005 -2874 -67 1690 -742 -1826 1715 2067 -1261 -964 6232 3956 -1838 -1454 -3128 25 -62 -838 -1628 -326 2030 -6290 -2284 -2142 1398 2073 618 -761 5325 -1098 -5916 -3274 892 455 2191 -110 -1111 1451 1545 326 7172 3361 1327 -4511 5810 -2809 1169 1625 1847 -11841 5691 5549 5396 2940 -4258 -4859 -615 -2006 -2053 5172
+5346 -1411 -235 1131 506 -210 3761 1783 -775 2252 19 -4105 1940 -2335 -3567 -1940 4114 -592 702 -297 -150 801 -3300 -6110 1688 3880 -6199 -2966 2693 259 -2039 668 -2545 -3107 9840 -596 -5488 -2243 -633 -1178 -3910 -2806 -111 1548 -592 -1787 1777 2049 -1342 -1009 6313 3838 -1733 -1339 -3036 -28 -148 -844 -1706 -470 1967 -6252 -2225 -1960 1430 2110 582 -899 5265 -1062 -5867 -3206 858 302 2309 -45 -1146 1386 1473 167 6943 3311 1361 -4440 5622 -2744 1309 1701 1918 -11723 5659 5481 5366 2983 -4223 -4865 -638 -1991 -2001 5153
+5339 -1394 -138 1149 495 -172 3928 1859 -786 2223 213 -4164 1832 -2558 -3427 -1951 4030 -505 741 -284 -2 898 -3419 -6097 1797 3785 -6180 -2938 2689 245 -1990 714 -2504 -3122 9760 -552 -5486 -2253 -592 -1132 -3805 -2687 -146 1488 -415 -1747 1820 2080 -1407 -1029 6372 3774 -1658 -1230 -2979 -98 -191 -881 -1723 -501 1907 -6320 -2172 -1949 1383 2194 629 -1014 5172 -1028 -5860 -3214 997 56 2492 -15 -1222 1350 1414 29 6700 3195 1333 -4440 5421 -2600 1333 1631 1951 -11588 5591 5395 5340 2872 -4323 -4874 -630 -2020 -1971 5089
+5347 -1403 -213 1098 570 -147 3949 1901 -877 2231 273 -4196 1690 -2631 -3320 -2004 3946 -574 756 -304 -45 982 -3375 -6301 2005 3763 -6258 -2932 2820 347 -1926 726 -2518 -3146 9740 -479 -5482 -2277 -616 -1176 -3785 -2652 -212 1492 -425 -1712 1827 2090 -1476 -1038 6326 3778 -1572 -1154 -2910 -95 -250 -950 -1698 -448 1869 -6355 -2197 -2243 1224 2172 602 -1111 5148 -960 -5859 -3247 1128 -14 2616 -190 -1257 1420 1421 27 6768 3217 1328 -4503 5442 -2528 1318 1554 1835 -11503 5616 5387 5341 2688 -4517 -4888 -560 -1993 -1959 5055
+5391 -1471 -341 1035 651 -146 3886 1878 -947 2213 150 -4171 1497 -2530 -3346 -2154 3900 -684 662 -301 -156 915 -3158 -6490 2084 3858 -6408 -2910 2933 432 -1933 717 -2522 -3216 9781 -421 -5450 -2268 -761 -1009 -3817 -2686 -185 1492 -544 -1713 1728 2128 -1367 -1070 6235 3922 -1555 -1182 -2912 -47 -255 -1036 -1678 -380 1859 -6240 -2152 -2545 1139 2123 516 -1141 5280 -848 -5794 -3285 1119 23 2590 -414 -1239 1540 1500 178 6971 3331 1383 -4481 5588 -2529 1178 1458 1731 -11521 5747 5420 5349 2537 -4693 -4911 -547 -2059 -2009 4986
+5273 -1529 -484 950 662 -183 3764 1834 -992 2119 -68 -4113 1407 -2340 -3476 -2243 3949 -729 529 -279 -225 754 -3004 -6499 2018 4018 -6449 -2887 2951 398 -1989 761 -2494 -3270 9856 -340 -5445 -2255 -867 -999 -3864 -2750 -93 1522 -669 -1721 1623 2126 -1265 -1032 6184 4065 -1555 -1215 -2935 -26 -239 -1034 -1679 -362 1837 -6139 -2119 -2581 1152 2100 443 -1102 5355 -792 -5669 -3221 961 118 2543 -593 -1220 1637 1570 267 7109 3436 1469 -4394 5701 -2544 1150 1429 1620 -11529 5822 5475 5357 2533 -4822 -4975 -585 -2113 -2085 4984
+5142 -1578 -530 874 628 -164 3619 1774 -934 2008 -268 -4002 1479 -2269 -3583 -2241 4042 -737 395 -217 -197 695 -3118 -6307 1925 4062 -6402 -2863 2799 253 -2026 847 -2457 -3335 9963 -329 -5422 -2208 -896 -1065 -3851 -2715 41 1531 -645 -1821 1529 2185 -1257 -1144 6130 4238 -1609 -1286 -2983 -40 -346 -1024 -1691 -360 1877 -6115 -2139 -2442 1078 2084 481 -1058 5311 -831 -5533 -3114 766 98 2503 -702 -1257 1591 1570 269 7057 3448 1476 -4253 5778 -2603 1230 1484 1659 -11523 5907 5531 5349 2601 -4819 -5010 -684 -2160 -2060 4979
+5130 -1616 -463 848 589 -216 3568 1768 -865 1965 -336 -3946 1572 -2371 -3589 -2208 4118 -598 368 -197 -92 680 -3332 -6123 1833 4102 -6216 -2850 2615 106 -2012 904 -2451 -3408 10046 -408 -5433 -2173 -892 -1073 -3832 -2666 104 1545 -540 -1842 1536 2204 -1378 -1179 6050 4357 -1605 -1316 -3022 -112 -489 -1049 -1706 -325 1953 -6337 -2254 -2322 990 2123 595 -1023 5146 -932 -5499 -3012 772 -68 2492 -659 -1241 1555 1559 232 6960 3377 1412 -4207 5700 -2586 1327 1561 1787 -11470 5904 5543 5410 2744 -4698 -5016 -790 -2207 -1979 5029
+5159 -1603 -386 921 633 -222 3573 1780 -812 2051 -301 -3875 1708 -2612 -3519 -2109 4161 -518 412 -247 -86 763 -3511 -6192 1756 4139 -6172 -2878 2560 166 -1966 882 -2515 -3422 10060 -486 -5402 -2136 -842 -1208 -3826 -2557 54 1499 -417 -1864 1529 2274 -1510 -1235 5964 4404 -1540 -1277 -2993 -156 -665 -1126 -1660 -197 2044 -6416 -2289 -2369 998 2141 681 -986 5113 -985 -5583 -3077 896 -245 2510 -537 -1146 1537 1569 231 6940 3335 1328 -4298 5689 -2639 1359 1594 1842 -11454 5823 5552 5430 2756 -4561 -4954 -787 -2200 -2023 4992
+5200 -1566 -368 992 720 -152 3563 1740 -778 2199 -272 -3844 1785 -2765 -3382 -2030 4168 -588 494 -272 -101 820 -3398 -6339 1685 4222 -6251 -2939 2698 346 -1932 817 -2620 -3398 10063 -572 -5396 -2120 -753 -1206 -3823 -2520 -48 1413 -455 -1827 1553 2360 -1550 -1200 5899 4396 -1468 -1209 -2910 -148 -717 -1167 -1647 -134 2136 -6382 -2240 -2433 1178 2148 655 -982 5174 -985 -5758 -3215 1119 -347 2547 -537 -1062 1586 1622 333 7042 3370 1290 -4414 5778 -2674 1268 1605 1851 -11512 5798 5571 5382 2655 -4422 -4836 -709 -2160 -1998 4895
+5275 -1540 -364 1051 766 -119 3590 1745 -776 2276 -150 -3826 1780 -2818 -3343 -2038 4106 -715 507 -258 -169 735 -3136 -6392 1641 4156 -6415 -2982 2849 487 -1945 680 -2653 -3313 10019 -598 -5466 -2191 -630 -1208 -3848 -2555 -180 1362 -631 -1773 1579 2363 -1500 -1081 5889 4410 -1394 -1187 -2854 -106 -585 -1156 -1616 -115 2070 -6218 -2184 -2384 1408 2148 564 -985 5352 -909 -5876 -3319 1150 -329 2508 -547 -971 1627 1646 480 7124 3407 1335 -4429 5855 -2720 1174 1526 1725 -11621 5885 5636 5376 2626 -4349 -4758 -589 -2137 -2048 4882
+5278 -1527 -381 1031 758 -87 3626 1702 -749 2251 -82 -3866 1723 -2660 -3339 -2102 4069 -804 464 -202 -160 660 -3045 -6272 1575 4071 -6496 -3043 2835 488 -2048 690 -2590 -3271 10007 -514 -5489 -2245 -623 -1225 -3776 -2608 -233 1325 -746 -1713 1598 2338 -1346 -1030 5995 4474 -1347 -1187 -2817 -38 -427 -1117 -1624 -175 2004 -6193 -2214 -2144 1562 2185 581 -897 5409 -918 -5925 -3316 1008 -237 2435 -578 -984 1631 1598 526 7173 3462 1384 -4398 5830 -2767 1190 1549 1752 -11742 5842 5633 5363 2664 -4302 -4722 -501 -2094 -2066 4913
+5304 -1431 -361 1060 721 -107 3655 1631 -773 2209 -98 -3970 1656 -2378 -3312 -2186 4066 -774 452 -170 -118 682 -3195 -6100 1674 3963 -6382 -3052 2746 345 -2089 777 -2477 -3237 9985 -364 -5493 -2316 -760 -1261 -3713 -2642 -220 1396 -698 -1650 1657 2327 -1374 -1080 6176 4494 -1357 -1219 -2809 -17 -340 -1037 -1675 -348 1928 -6322 -2331 -2007 1495 2253 693 -879 5309 -986 -5881 -3214 774 -125 2321 -544 -1073 1551 1472 445 7121 3492 1424 -4316 5712 -2828 1203 1578 1957 -11803 5701 5588 5349 2745 -4323 -4750 -497 -2135 -2105 5017
+5302 -1362 -369 1046 672 -133 3813 1656 -847 2182 -214 -4118 1640 -2235 -3283 -2223 4056 -700 417 -198 -64 761 -3393 -5980 1723 3925 -6234 -3040 2653 212 -2101 853 -2440 -3250 9998 -246 -5427 -2295 -919 -1268 -3713 -2653 -159 1503 -590 -1723 1692 2251 -1422 -1151 6262 4524 -1386 -1256 -2812 -22 -426 -1021 -1738 -387 1978 -6364 -2359 -2093 1292 2184 723 -919 5211 -1063 -5844 -3178 687 -64 2236 -556 -1139 1534 1376 286 7206 3521 1370 -4259 5761 -2840 1151 1541 2139 -11689 5528 5447 5348 2804 -4444 -4826 -509 -2088 -2197 5055
+5278 -1365 -418 1040 588 -224 3881 1675 -958 2167 -289 -4172 1692 -2237 -3399 -2169 4095 -583 465 -299 -84 867 -3451 -6006 1770 3947 -6203 -2943 2707 179 -2035 807 -2518 -3239 9933 -209 -5394 -2257 -962 -1268 -3843 -2641 -124 1540 -521 -1808 1742 2300 -1574 -1037 6249 4328 -1361 -1235 -2812 4 -545 -1101 -1769 -361 2008 -6287 -2244 -2293 1249 2131 696 -972 5180 -1129 -5885 -3288 723 -51 2222 -498 -1229 1522 1376 223 7298 3544 1328 -4259 5874 -2888 1084 1526 2156 -11650 5370 5333 5330 2735 -4527 -4842 -484 -1990 -2381 4983
+5226 -1326 -535 1056 571 -255 3850 1633 -1133 2205 -327 -4230 1760 -2375 -3524 -2090 4072 -573 467 -369 -159 841 -3248 -6015 1676 3998 -6270 -2929 2821 177 -2006 713 -2654 -3308 9910 -213 -5361 -2196 -935 -1216 -4095 -2733 -102 1606 -588 -1880 1677 2272 -1567 -926 6171 4155 -1369 -1256 -2868 -34 -653 -1171 -1715 -249 1967 -6125 -2110 -2512 1369 2182 655 -998 5296 -1081 -5938 -3475 827 -12 2230 -441 -1308 1508 1429 233 7384 3589 1385 -4180 6040 -2968 1003 1475 2022 -11586 5448 5318 5299 2689 -4488 -4818 -481 -1879 -2417 4902
+5233 -1360 -570 1060 565 -239 3831 1669 -1179 2105 -177 -4189 1801 -2536 -3656 -2026 4036 -675 519 -443 -222 772 -3140 -6145 1559 4104 -6317 -2978 2844 188 -2005 669 -2682 -3297 9876 -364 -5359 -2116 -809 -1157 -4247 -2774 -135 1603 -704 -1855 1620 2230 -1492 -809 6120 4144 -1455 -1373 -2998 -49 -589 -1178 -1691 -173 2018 -6049 -2134 -2486 1418 2217 628 -950 5375 -1047 -6004 -3590 870 109 2300 -335 -1375 1426 1477 263 7344 3581 1360 -4198 6046 -2869 1047 1481 1851 -11631 5654 5374 5278 2725 -4452 -4842 -560 -1917 -2196 4841
+5328 -1419 -533 1111 525 -205 3844 1745 -1101 2054 57 -4170 1809 -2625 -3693 -2031 3990 -713 525 -430 -240 818 -3212 -6170 1547 4112 -6262 -3063 2736 181 -2052 678 -2606 -3258 9940 -423 -5355 -2074 -756 -1153 -4283 -2848 -125 1601 -752 -1776 1599 2229 -1436 -778 6022 4219 -1562 -1515 -3090 47 -407 -1067 -1610 -186 1982 -6221 -2308 -2352 1314 2244 675 -864 5302 -1060 -5994 -3508 801 247 2268 -162 -1444 1323 1503 342 7198 3526 1396 -4158 5929 -2770 1159 1468 1785 -11753 5869 5500 5303 2746 -4393 -4844 -631 -2065 -1948 4921
+5410 -1336 -454 1184 539 -182 3840 1840 -938 2101 198 -4121 1760 -2538 -3636 -2059 3987 -742 501 -401 -177 900 -3415 -6120 1568 4136 -6193 -3112 2638 236 -2054 777 -2459 -3168 9979 -385 -5348 -2101 -811 -1294 -4073 -2848 -145 1605 -717 -1671 1697 2192 -1478 -858 5916 4177 -1678 -1576 -3150 26 -266 -942 -1609 -270 1994 -6440 -2494 -2282 1121 2195 735 -815 5265 -1069 -5975 -3385 756 372 2215 -23 -1394 1248 1435 262 7083 3464 1333 -4244 5840 -2668 1275 1495 1824 -11790 5946 5550 5335 2766 -4396 -4867 -687 -2192 -1780 5078
+5401 -1347 -328 1272 538 -194 3805 1901 -792 2236 179 -4092 1671 -2401 -3527 -2062 4003 -745 478 -322 -120 944 -3498 -6053 1539 4133 -6147 -3060 2649 389 -2039 827 -2382 -3154 10001 -307 -5379 -2203 -968 -1388 -3879 -2863 -204 1548 -559 -1562 1806 2207 -1595 -901 5911 4066 -1725 -1532 -3112 0 -277 -875 -1610 -372 1910 -6429 -2435 -2318 1054 2095 682 -871 5296 -1038 -5903 -3232 726 413 2214 29 -1259 1237 1335 142 7178 3497 1290 -4265 5950 -2731 1286 1521 1881 -11697 5982 5562 5389 2719 -4464 -4885 -700 -2282 -1796 5154
+5279 -1343 -338 1234 506 -201 3786 1947 -704 2294 -20 -3945 1609 -2257 -3531 -2039 4039 -715 403 -285 -134 947 -3424 -6028 1477 4105 -6281 -3023 2756 537 -2035 823 -2422 -3208 9994 -216 -5432 -2305 -1033 -1411 -3857 -2838 -253 1486 -470 -1530 1858 2262 -1683 -825 5861 3832 -1581 -1340 -2992 -22 -321 -856 -1645 -361 1955 -6297 -2184 -2419 1160 2093 610 -902 5493 -984 -5905 -3215 907 389 2280 135 -1200 1233 1265 91 7356 3553 1240 -4303 6165 -2854 1237 1561 1841 -11671 5939 5569 5444 2668 -4527 -4898 -691 -2316 -2063 5120
+5172 -1431 -405 1205 555 -176 3694 1868 -712 2335 -272 -3789 1665 -2213 -3570 -2004 4080 -726 425 -320 -194 843 -3266 -6181 1569 4100 -6333 -2923 2814 556 -2073 771 -2449 -3305 10005 -153 -5458 -2355 -1000 -1382 -3928 -2834 -260 1459 -495 -1560 1812 2346 -1601 -763 6024 3719 -1547 -1231 -2898 -46 -364 -919 -1666 -350 1947 -6100 -1981 -2396 1360 2183 566 -855 5672 -931 -5921 -3337 1044 248 2332 217 -1234 1221 1197 -1 7438 3584 1252 -4254 6352 -3035 1100 1555 1865 -11593 5902 5571 5415 2594 -4614 -4933 -722 -2323 -2136 4994
+5228 -1490 -487 1090 569 -99 3713 1789 -725 2232 -365 -3647 1731 -2309 -3545 -1975 4127 -642 459 -432 -259 911 -3284 -6442 1829 4062 -6291 -2869 2804 446 -2081 832 -2505 -3354 9999 -113 -5437 -2338 -945 -1307 -4032 -2844 -212 1514 -595 -1614 1714 2444 -1618 -749 6160 3766 -1577 -1213 -2882 19 -225 -901 -1650 -367 1895 -6118 -2028 -2342 1316 2258 632 -762 5692 -926 -5924 -3431 1093 113 2435 259 -1439 1135 1196 79 7405 3552 1248 -4157 6305 -3109 1102 1583 1899 -11651 5954 5638 5430 2605 -4614 -4958 -774 -2258 -2112 4941
+5312 -1448 -624 1018 603 -81 3694 1676 -780 2133 -324 -3695 1788 -2479 -3516 -1921 4126 -595 524 -495 -306 965 -3392 -6545 1949 3956 -6167 -2833 2698 348 -2144 927 -2422 -3342 10044 -29 -5341 -2242 -920 -1335 -4032 -2806 -98 1559 -625 -1656 1638 2424 -1627 -853 6162 4011 -1568 -1260 -2923 67 -130 -881 -1654 -456 1812 -6333 -2152 -2316 1092 2207 672 -733 5520 -950 -5864 -3403 1053 126 2404 225 -1595 1112 1244 138 7308 3495 1269 -4152 6070 -3026 1176 1595 1993 -11616 5882 5585 5347 2616 -4582 -4934 -772 -2230 -2062 4923
+5333 -1440 -595 1031 609 -92 3766 1649 -824 2068 -192 -3829 1835 -2584 -3417 -1887 4106 -594 529 -475 -255 1013 -3464 -6418 1990 3935 -6086 -2802 2720 333 -2086 972 -2375 -3271 10030 -2 -5286 -2186 -918 -1364 -3977 -2776 77 1613 -549 -1654 1624 2402 -1738 -869 6106 4275 -1595 -1314 -3021 19 -66 -821 -1610 -494 1646 -6489 -2292 -2428 882 2052 641 -824 5363 -924 -5737 -3324 897 231 2333 30 -1526 1228 1299 113 7367 3522 1288 -4202 5908 -2974 1107 1479 2107 -11635 5716 5537 5288 2544 -4503 -4826 -680 -2184 -2074 4910
+5193 -1504 -515 1054 588 -166 3778 1712 -887 2119 -184 -4043 1753 -2524 -3426 -1937 4137 -723 484 -413 -159 978 -3452 -6158 1832 3972 -6135 -2796 2801 390 -2034 1000 -2398 -3272 9997 -11 -5268 -2130 -953 -1367 -3920 -2705 182 1567 -515 -1715 1658 2373 -1815 -822 6029 4310 -1640 -1291 -3035 -11 -168 -802 -1582 -470 1609 -6426 -2193 -2433 848 1917 565 -939 5346 -871 -5615 -3228 836 347 2209 -164 -1307 1417 1385 86 7408 3529 1237 -4341 5970 -2954 1013 1402 2094 -11662 5637 5516 5285 2516 -4456 -4723 -500 -2105 -2210 4835
+5083 -1582 -461 1041 592 -184 3757 1756 -936 2160 -228 -4197 1678 -2376 -3539 -2012 4151 -839 391 -388 -169 930 -3390 -6063 1687 3959 -6290 -2872 2872 451 -1972 968 -2458 -3333 9905 -133 -5324 -2141 -925 -1252 -3905 -2639 172 1444 -464 -1760 1701 2327 -1742 -809 6046 4307 -1674 -1276 -3016 15 -324 -833 -1530 -359 1652 -6359 -2097 -2416 1071 1979 544 -936 5433 -856 -5573 -3143 879 324 2204 -327 -1049 1534 1346 -44 7407 3523 1260 -4260 6158 -2969 899 1301 1963 -11549 5570 5444 5254 2574 -4414 -4705 -437 -2081 -2236 4692
+5120 -1507 -397 1073 629 -134 3733 1795 -927 2270 -250 -4178 1647 -2263 -3494 -2073 4137 -873 349 -419 -218 938 -3299 -6211 1646 3987 -6314 -2981 2773 379 -1973 965 -2499 -3428 9926 -194 -5359 -2159 -901 -1200 -3902 -2635 49 1347 -515 -1791 1716 2354 -1589 -908 6072 4320 -1775 -1283 -2985 -39 -468 -903 -1486 -188 1780 -6364 -2146 -2283 1267 2097 594 -792 5533 -923 -5655 -3178 1018 193 2295 -269 -968 1481 1250 -99 7359 3484 1224 -4163 6314 -2980 951 1390 1898 -11602 5591 5477 5283 2734 -4343 -4755 -486 -2175 -2307 4613
+5331 -1437 -392 1096 725 -50 3750 1742 -941 2267 -176 -4103 1649 -2323 -3497 -2172 4063 -794 328 -542 -261 975 -3248 -6435 1685 3803 -6305 -3110 2595 244 -2015 987 -2455 -3487 9977 -267 -5377 -2169 -949 -1126 -3932 -2639 -52 1339 -664 -1776 1662 2307 -1486 -959 6060 4451 -1823 -1311 -2940 12 -449 -929 -1516 -176 1939 -6482 -2277 -2131 1244 2141 666 -638 5563 -996 -5741 -3182 1037 -124 2384 -199 -920 1433 1193 -27 7286 3446 1211 -3998 6349 -3042 1025 1448 1979 -11661 5647 5495 5285 2838 -4259 -4799 -567 -2263 -2307 4678
+5440 -1364 -389 1092 712 -47 3738 1700 -932 2284 -64 -4045 1681 -2460 -3499 -2200 3992 -709 309 -610 -283 966 -3192 -6516 1697 3792 -6301 -3163 2533 152 -2074 907 -2418 -3511 10121 -235 -5389 -2215 -966 -1196 -3858 -2665 -157 1338 -745 -1796 1596 2177 -1465 -1046 5941 4620 -1820 -1342 -2944 16 -300 -869 -1469 -142 1927 -6617 -2358 -2075 1152 2041 646 -673 5478 -1039 -5813 -3198 994 -308 2324 -192 -979 1435 1249 165 7395 3458 1246 -3953 6265 -2933 1159 1482 2010 -11715 5628 5449 5289 2848 -4172 -4795 -654 -2323 -2327 4744
+5342 -1410 -452 979 635 -130 3639 1693 -950 2211 57 -4029 1676 -2619 -3539 -2181 4016 -661 217 -631 -274 843 -3170 -6306 1701 3831 -6415 -3115 2689 112 -2097 715 -2530 -3443 10203 -260 -5434 -2277 -898 -1332 -3816 -2712 -218 1302 -728 -1830 1543 2003 -1485 -1037 5905 4588 -1771 -1305 -2919 44 -86 -772 -1452 -247 1770 -6583 -2322 -2030 1110 1881 560 -822 5410 -988 -5760 -3160 774 -347 2175 -326 -888 1542 1315 196 7457 3496 1244 -4073 6241 -2889 1168 1465 1993 -11718 5657 5439 5341 2801 -4152 -4758 -645 -2297 -2324 4768
+5214 -1483 -403 960 492 -288 3647 1775 -964 2120 108 -4107 1714 -2689 -3623 -2099 4104 -695 202 -598 -207 787 -3227 -6138 1740 3965 -6490 -2973 2882 187 -2088 584 -2682 -3387 10206 -382 -5473 -2289 -825 -1383 -3784 -2682 -148 1405 -675 -1920 1566 1973 -1507 -1012 6011 4490 -1691 -1235 -2850 56 -92 -786 -1468 -315 1655 -6433 -2175 -2047 1267 1928 534 -962 5287 -983 -5713 -3084 722 -293 2138 -500 -886 1647 1392 110 7379 3425 1215 -4183 6284 -2950 1099 1407 1879 -11716 5750 5439 5343 2691 -4197 -4721 -627 -2303 -2219 4690
+5217 -1462 -393 973 523 -297 3646 1830 -979 2053 86 -4183 1656 -2609 -3671 -2055 4172 -752 189 -592 -197 833 -3284 -6216 1877 4078 -6498 -2866 2961 175 -2040 523 -2757 -3362 10158 -534 -5519 -2284 -700 -1380 -3865 -2638 -60 1491 -587 -1975 1631 2058 -1510 -1139 6133 4299 -1654 -1192 -2796 42 -224 -822 -1473 -298 1639 -6276 -2101 -2138 1388 2131 618 -908 5299 -1004 -5679 -3031 743 -155 2260 -559 -984 1641 1391 -38 7198 3336 1182 -4266 6242 -3019 1051 1387 1749 -11678 5923 5463 5308 2605 -4337 -4738 -616 -2255 -2057 4672
+5271 -1446 -364 1078 604 -215 3769 1847 -946 1998 63 -4222 1636 -2497 -3561 -2007 4242 -779 282 -562 -249 991 -3291 -6575 2047 4066 -6287 -2787 2857 185 -2039 661 -2673 -3333 10121 -560 -5492 -2253 -631 -1265 -3824 -2533 -31 1540 -481 -1903 1660 2190 -1315 -1220 6182 4160 -1578 -1171 -2783 97 -383 -943 -1551 -322 1729 -6154 -2118 -2265 1358 2259 679 -791 5280 -1100 -5673 -2984 851 -161 2522 -583 -1152 1553 1376 -158 6996 3282 1203 -4164 6128 -2937 1140 1426 1633 -11586 5959 5452 5315 2642 -4436 -4815 -700 -2368 -1929 4696
+5418 -1447 -364 1163 690 -97 3820 1766 -871 1978 32 -4210 1629 -2437 -3458 -1996 4239 -808 331 -526 -320 1083 -3188 -6800 1953 4000 -6152 -2840 2701 164 -2062 848 -2552 -3319 10096 -469 -5443 -2218 -742 -1145 -3862 -2520 -67 1662 -472 -1788 1668 2231 -1179 -1232 6159 4198 -1562 -1267 -2887 23 -432 -992 -1554 -235 1787 -6196 -2124 -2419 1144 2236 700 -746 5299 -1154 -5690 -3014 829 -156 2699 -499 -1191 1479 1341 -161 7055 3346 1250 -4136 5948 -2911 1261 1514 1723 -11570 5884 5426 5275 2651 -4496 -4874 -775 -2506 -2009 4879
+5372 -1462 -328 1181 683 -69 3824 1720 -803 2025 -94 -4019 1652 -2424 -3383 -2020 4218 -781 315 -472 -348 1062 -3098 -6743 1729 4006 -6171 -2904 2674 273 -2118 983 -2413 -3296 10079 -286 -5393 -2215 -933 -1155 -3872 -2529 -128 1676 -531 -1718 1608 2176 -1201 -1138 6104 4246 -1624 -1412 -3033 -26 -368 -1028 -1555 -198 1770 -6240 -2131 -2570 903 2059 631 -774 5376 -1133 -5714 -3094 693 -235 2768 -481 -1151 1472 1346 -52 7249 3500 1364 -4076 5919 -2901 1243 1466 1847 -11503 5787 5382 5245 2651 -4614 -4958 -831 -2526 -2095 4927
+5269 -1499 -279 1155 547 -135 3671 1698 -795 2094 -234 -3885 1636 -2447 -3365 -2050 4182 -772 234 -475 -314 967 -3185 -6534 1526 4201 -6379 -3033 2769 421 -2165 991 -2355 -3331 10058 -137 -5368 -2199 -1051 -1147 -3905 -2571 -170 1517 -575 -1743 1542 2117 -1399 -1109 6075 4247 -1708 -1533 -3135 33 -217 -977 -1529 -205 1773 -6223 -2105 -2553 742 1905 532 -857 5485 -1043 -5753 -3171 593 -240 2702 -392 -1049 1482 1330 -29 7360 3607 1424 -4092 5998 -2932 1125 1384 1846 -11436 5679 5369 5293 2640 -4611 -4980 -876 -2501 -2123 4865
+5211 -1506 -306 1116 459 -235 3555 1715 -777 2182 -358 -3708 1597 -2513 -3419 -2029 4155 -728 214 -576 -265 929 -3399 -6405 1465 4257 -6518 -3109 2841 582 -2175 968 -2383 -3389 10033 -122 -5383 -2216 -1098 -1282 -3950 -2676 -201 1456 -539 -1771 1592 2085 -1451 -1200 6084 4148 -1809 -1584 -3137 112 -114 -891 -1482 -125 1889 -6228 -2108 -2391 811 1936 532 -815 5526 -997 -5802 -3128 611 -233 2600 -323 -1051 1471 1330 -15 7265 3524 1403 -4047 6040 -2958 1008 1280 1722 -11498 5631 5401 5306 2643 -4559 -4959 -859 -2432 -2037 4747
+5269 -1406 -366 1080 441 -246 3505 1776 -774 2207 -303 -3702 1526 -2524 -3468 -1988 4146 -673 288 -684 -266 1005 -3527 -6552 1541 4255 -6432 -3074 2768 508 -2135 928 -2423 -3474 9953 -201 -5416 -2222 -1009 -1282 -4011 -2701 -156 1396 -537 -1825 1657 2176 -1463 -1380 6031 4004 -1817 -1564 -3071 155 -176 -910 -1508 -139 1979 -6184 -2193 -2290 974 2095 627 -643 5585 -990 -5824 -3053 737 -215 2543 -209 -1151 1388 1345 -4 7016 3362 1344 -4075 6006 -2910 1013 1270 1596 -11519 5633 5437 5292 2704 -4400 -4897 -804 -2344 -1885 4670
+5339 -1467 -412 1096 551 -140 3624 1815 -751 2250 -149 -3834 1491 -2484 -3529 -2010 4173 -593 382 -745 -275 1098 -3384 -6755 1657 4085 -6207 -2970 2632 385 -2063 945 -2422 -3474 9977 -245 -5428 -2259 -937 -1291 -4062 -2702 -127 1519 -537 -1812 1706 2247 -1374 -1333 5928 3906 -1816 -1499 -2955 177 -255 -959 -1586 -192 2048 -6235 -2199 -2320 1140 2206 693 -498 5603 -1027 -5786 -2910 891 -123 2602 -184 -1308 1332 1358 3 6838 3271 1350 -4038 5845 -2821 1153 1295 1549 -11583 5557 5460 5296 2772 -4214 -4822 -740 -2293 -1765 4696
+5225 -1483 -501 1098 637 0 3721 1822 -734 2288 53 -4032 1593 -2410 -3509 -1975 4214 -661 472 -695 -317 1142 -3125 -6807 1681 3894 -5999 -2865 2560 303 -1948 885 -2359 -3383 10014 -272 -5455 -2297 -859 -1167 -4082 -2690 -84 1651 -557 -1745 1678 2233 -1243 -1084 5926 3949 -1697 -1419 -2912 131 -244 -989 -1649 -279 1964 -6243 -2175 -2379 1135 2157 722 -478 5597 -1046 -5688 -2809 924 16 2643 -209 -1417 1343 1436 127 6868 3296 1400 -4094 5700 -2682 1306 1345 1559 -11584 5435 5436 5311 2832 -4138 -4798 -669 -2296 -1861 4772
+5185 -1504 -518 1077 672 38 3780 1843 -763 2322 108 -4159 1692 -2360 -3567 -1932 4222 -738 445 -627 -281 1110 -3020 -6634 1724 3839 -5956 -2744 2669 349 -1916 832 -2367 -3248 10126 -263 -5469 -2335 -868 -1149 -4082 -2756 -104 1702 -569 -1747 1640 2163 -1305 -881 6017 4009 -1597 -1382 -2900 137 -192 -1054 -1723 -409 1898 -6279 -2156 -2319 1045 2017 735 -483 5526 -1103 -5627 -2819 805 152 2538 -287 -1458 1396 1480 246 7145 3482 1492 -4089 5743 -2694 1329 1360 1590 -11557 5323 5406 5376 2871 -4151 -4807 -625 -2326 -1998 4799
+5152 -1475 -544 1016 581 -34 3810 1847 -871 2289 23 -4242 1872 -2413 -3619 -1925 4179 -864 350 -576 -290 1109 -3185 -6428 1803 3926 -6104 -2792 2824 415 -1929 725 -2424 -3190 10209 -388 -5531 -2358 -854 -1119 -4111 -2835 -107 1686 -618 -1812 1651 2076 -1367 -903 6201 4128 -1608 -1384 -2933 128 -118 -1057 -1735 -463 1870 -6354 -2098 -2201 1005 1975 738 -501 5555 -1073 -5595 -2952 653 223 2410 -217 -1358 1411 1444 264 7295 3567 1504 -4150 5859 -2792 1267 1363 1533 -11594 5303 5374 5401 2904 -4229 -4845 -653 -2351 -2079 4718
+5299 -1366 -537 1002 506 -134 3827 1881 -914 2211 -70 -4113 1919 -2527 -3644 -1927 4135 -835 320 -610 -280 1149 -3363 -6360 1888 3949 -6198 -2862 2867 466 -1927 731 -2472 -3192 10258 -486 -5568 -2362 -853 -1111 -4076 -2870 -15 1629 -596 -1906 1731 2142 -1570 -1083 6247 4290 -1581 -1403 -2943 226 -117 -1078 -1668 -334 1875 -6349 -2112 -2083 985 2026 747 -462 5594 -1031 -5603 -3138 575 225 2240 -105 -1271 1400 1387 248 7263 3543 1401 -4244 5957 -2848 1199 1361 1434 -11685 5373 5390 5390 2933 -4284 -4859 -687 -2329 -2171 4636
+5423 -1295 -568 1066 532 -122 3934 1842 -1006 2143 -102 -4076 1897 -2527 -3600 -1890 4090 -753 374 -681 -317 1201 -3389 -6466 1805 3914 -6279 -3035 2765 392 -1960 783 -2532 -3224 10299 -601 -5557 -2323 -876 -1133 -3994 -2910 -11 1510 -579 -1911 1861 2192 -1551 -1146 6214 4411 -1542 -1373 -2942 198 -283 -1117 -1649 -262 1906 -6366 -2101 -2147 1129 2141 730 -467 5729 -959 -5696 -3305 754 181 2198 100 -1200 1336 1332 255 7218 3459 1284 -4348 5925 -2852 1237 1336 1359 -11726 5496 5398 5350 2939 -4333 -4852 -728 -2296 -2056 4626
+5459 -1287 -494 1162 632 -40 3999 1759 -1011 2145 14 -4067 1725 -2503 -3513 -1893 4042 -641 444 -659 -349 1189 -3179 -6568 1653 3836 -6239 -3065 2687 305 -1937 871 -2602 -3239 10267 -584 -5517 -2276 -888 -1228 -3889 -2950 -90 1507 -574 -1842 1894 2074 -1398 -1008 6045 4485 -1480 -1337 -2946 133 -471 -1151 -1615 -181 1876 -6408 -2096 -2304 1202 2122 658 -544 5787 -918 -5723 -3302 966 100 2218 90 -1161 1355 1376 325 7202 3419 1260 -4487 5766 -2734 1352 1358 1406 -11660 5562 5308 5256 2884 -4389 -4839 -748 -2310 -2030 4584
+5329 -1470 -392 1275 675 22 3976 1691 -922 2188 97 -4052 1598 -2367 -3514 -1882 4098 -551 532 -658 -331 1187 -3060 -6630 1504 3928 -6188 -3102 2711 365 -1955 908 -2563 -3187 10236 -533 -5462 -2195 -883 -1184 -3852 -2876 -112 1499 -574 -1749 1826 2014 -1228 -728 5909 4527 -1414 -1298 -2988 -34 -546 -1150 -1629 -179 1899 -6449 -2185 -2368 1112 1964 580 -619 5789 -935 -5716 -3197 1085 70 2277 45 -1205 1379 1474 510 7321 3473 1341 -4574 5567 -2690 1398 1378 1486 -11564 5544 5243 5223 2756 -4461 -4828 -745 -2346 -1938 4583
+5316 -1390 -360 1288 727 4 3861 1703 -828 2255 137 -4011 1470 -2191 -3512 -1923 4159 -574 483 -629 -336 1153 -3098 -6579 1593 4049 -6186 -3018 2798 427 -1939 852 -2559 -3098 10148 -437 -5411 -2155 -823 -1120 -3859 -2869 -111 1517 -565 -1753 1719 1925 -1223 -656 5865 4591 -1455 -1320 -3026 -102 -506 -1137 -1655 -240 1939 -6563 -2320 -2260 963 1878 600 -624 5776 -986 -5691 -3036 1114 93 2223 -22 -1327 1372 1536 616 7331 3530 1468 -4558 5438 -2815 1380 1416 1460 -11454 5484 5173 5246 2665 -4535 -4862 -764 -2422 -1895 4602
+5346 -1332 -354 1236 665 -65 3761 1806 -720 2324 72 -3953 1546 -2177 -3528 -1924 4213 -689 420 -619 -335 1095 -3294 -6538 1780 4197 -6204 -2974 2874 435 -1995 838 -2509 -3047 10038 -353 -5375 -2136 -756 -1093 -3878 -2814 -11 1564 -528 -1800 1691 2003 -1387 -815 5824 4657 -1588 -1377 -3002 -83 -359 -1087 -1600 -175 1981 -6656 -2395 -2063 938 1963 675 -554 5696 -1070 -5669 -2949 979 124 2178 -132 -1470 1314 1509 520 7177 3529 1560 -4389 5374 -2851 1321 1425 1368 -11415 5567 5221 5298 2674 -4473 -4885 -834 -2584 -1926 4635
+5474 -1284 -391 1202 644 -156 3716 1831 -708 2345 -54 -3887 1632 -2340 -3512 -1978 4185 -774 314 -587 -288 1027 -3352 -6452 1875 4206 -6173 -2892 2858 335 -2040 814 -2459 -3126 9927 -307 -5400 -2199 -678 -1069 -3863 -2749 76 1572 -521 -1856 1747 2070 -1515 -1028 5780 4602 -1687 -1353 -2910 34 -253 -1081 -1595 -201 2045 -6501 -2274 -2016 1033 2057 689 -469 5774 -1059 -5665 -2993 893 73 2183 -186 -1574 1262 1462 354 6971 3469 1525 -4273 5456 -2865 1290 1405 1340 -11548 5606 5321 5316 2687 -4430 -4900 -852 -2667 -2113 4694
+5446 -1280 -372 1170 615 -164 3688 1822 -687 2318 -147 -3774 1789 -2592 -3417 -1964 4153 -800 304 -510 -262 969 -3231 -6453 1856 4053 -6148 -2825 2799 232 -2041 812 -2430 -3237 9845 -289 -5420 -2259 -685 -1056 -3811 -2731 150 1565 -447 -1824 1834 2185 -1611 -1028 5768 4494 -1670 -1294 -2826 80 -265 -1058 -1587 -157 2043 -6349 -2098 -2148 1207 2156 654 -535 5802 -997 -5671 -3074 939 22 2266 -242 -1508 1300 1393 167 6915 3431 1493 -4166 5564 -2786 1289 1305 1331 -11526 5671 5377 5306 2762 -4322 -4893 -843 -2608 -2208 4703
+5310 -1449 -382 1155 660 -176 3742 1720 -809 2312 -306 -3817 1867 -2701 -3351 -1967 4111 -776 297 -474 -247 976 -3067 -6524 1810 3945 -6240 -2805 2828 244 -2027 742 -2459 -3313 9793 -249 -5446 -2293 -778 -1098 -3739 -2675 79 1572 -482 -1753 1835 2153 -1550 -790 5804 4356 -1639 -1248 -2797 50 -345 -1066 -1631 -261 1915 -6272 -1965 -2377 1210 2096 567 -682 5811 -931 -5692 -3216 974 -22 2387 -259 -1467 1364 1423 157 7007 3479 1487 -4320 5607 -2716 1311 1267 1444 -11574 5661 5414 5278 2779 -4219 -4862 -800 -2492 -2221 4598
+5205 -1537 -364 1156 697 -83 3755 1739 -812 2258 -380 -3814 1807 -2697 -3371 -1991 4169 -648 351 -504 -186 1013 -3050 -6620 1839 3807 -6314 -2825 2868 408 -2012 713 -2477 -3328 9864 -271 -5448 -2290 -786 -1133 -3730 -2654 -40 1540 -545 -1673 1718 2051 -1404 -673 5861 4208 -1558 -1202 -2868 -130 -448 -1048 -1641 -320 1781 -6316 -1983 -2475 1096 2073 589 -793 5653 -953 -5629 -3158 908 -98 2514 -302 -1370 1469 1549 315 7053 3513 1536 -4401 5530 -2717 1336 1272 1476 -11536 5538 5379 5246 2686 -4160 -4796 -719 -2366 -2202 4564
+5205 -1581 -363 1162 694 -106 3707 1779 -824 2245 -408 -3921 1701 -2534 -3412 -1959 4249 -612 423 -544 -161 1086 -3231 -6657 1869 3818 -6395 -2883 2884 541 -1984 670 -2491 -3187 9928 -422 -5465 -2240 -688 -1160 -3753 -2596 -153 1459 -562 -1645 1605 2040 -1349 -782 5990 4202 -1614 -1293 -3006 -237 -448 -1043 -1634 -361 1714 -6382 -2110 -2413 843 2041 649 -752 5572 -1017 -5570 -3050 732 -93 2592 -256 -1310 1471 1623 442 7046 3466 1511 -4489 5524 -2903 1317 1385 1473 -11540 5584 5430 5230 2617 -4161 -4751 -638 -2303 -2088 4589
+5280 -1515 -297 1107 628 -146 3736 1878 -851 2194 -275 -4066 1534 -2390 -3445 -1978 4272 -586 411 -523 -135 1093 -3371 -6481 1775 3790 -6350 -2945 2760 532 -2013 727 -2491 -3133 10069 -614 -5472 -2188 -696 -1087 -3752 -2566 -165 1464 -563 -1727 1569 2085 -1496 -1014 5975 4229 -1656 -1411 -3147 -262 -361 -1036 -1625 -332 1767 -6345 -2125 -2290 764 2058 694 -602 5650 -1030 -5482 -2856 482 -105 2590 -283 -1321 1452 1687 499 6840 3317 1467 -4481 5378 -3035 1330 1447 1375 -11538 5652 5464 5232 2629 -4212 -4742 -593 -2341 -2178 4710
+5331 -1474 -195 1101 545 -167 3771 1945 -843 2081 -78 -4164 1509 -2419 -3427 -2034 4256 -620 358 -504 -78 1025 -3347 -6257 1612 3787 -6235 -2964 2625 414 -1967 792 -2540 -3099 10176 -782 -5497 -2172 -713 -1164 -3687 -2564 -186 1498 -528 -1737 1729 2181 -1591 -1133 5906 4197 -1710 -1480 -3211 -204 -269 -965 -1577 -245 1850 -6308 -2091 -2384 795 2014 638 -480 5828 -997 -5482 -2752 376 -139 2505 -336 -1319 1412 1660 415 6716 3209 1354 -4509 5529 -3030 1359 1459 1409 -11582 5705 5502 5215 2619 -4366 -4755 -547 -2370 -2324 4780
+5283 -1542 -208 1041 494 -142 3769 1838 -882 2058 103 -4247 1597 -2515 -3388 -2110 4178 -711 308 -475 -165 946 -3227 -6142 1510 3835 -6134 -2964 2569 275 -1904 811 -2679 -3149 10283 -830 -5508 -2166 -738 -1233 -3680 -2602 -157 1604 -512 -1839 1802 2178 -1604 -1056 5915 4052 -1806 -1492 -3157 -68 -233 -937 -1502 -163 1897 -6224 -1957 -2402 859 1931 579 -431 5940 -959 -5536 -2724 457 -238 2413 -420 -1274 1444 1625 299 6819 3247 1320 -4527 5655 -2980 1328 1392 1541 -11564 5620 5449 5233 2675 -4470 -4792 -554 -2369 -2469 4705
+5195 -1634 -267 997 539 -77 3704 1739 -879 2064 141 -4267 1822 -2583 -3380 -2120 4081 -821 305 -486 -245 879 -3110 -6273 1608 3982 -6198 -2952 2690 265 -1834 772 -2848 -3196 10303 -842 -5552 -2212 -724 -1242 -3780 -2615 -82 1624 -532 -1877 1733 2112 -1493 -966 5921 3867 -1844 -1445 -3094 -49 -264 -896 -1522 -169 1992 -6322 -1948 -2393 903 1898 598 -445 5877 -951 -5588 -2698 631 -341 2482 -543 -1279 1486 1603 301 7051 3355 1350 -4549 5752 -2942 1277 1314 1692 -11582 5614 5453 5318 2687 -4531 -4823 -609 -2335 -2434 4645
+5204 -1630 -384 940 610 24 3668 1730 -811 2196 33 -4105 1904 -2648 -3387 -2114 4030 -793 306 -521 -313 918 -3121 -6435 1770 4037 -6251 -2954 2826 346 -1812 731 -2886 -3243 10307 -741 -5573 -2272 -728 -1169 -3922 -2596 -60 1696 -626 -1951 1598 2028 -1434 -976 5865 3741 -1864 -1384 -3026 -39 -296 -878 -1545 -252 1932 -6406 -2076 -2235 905 1960 735 -412 5680 -1047 -5640 -2727 731 -400 2563 -495 -1333 1453 1525 268 7095 3398 1373 -4518 5737 -3054 1144 1287 1764 -11554 5576 5383 5286 2598 -4478 -4794 -677 -2321 -2321 4653
+5255 -1533 -454 868 633 50 3655 1808 -730 2297 -92 -4049 1934 -2536 -3429 -2013 4044 -749 362 -505 -294 905 -3193 -6514 1867 4110 -6261 -2960 2878 330 -1868 741 -2763 -3227 10303 -616 -5557 -2307 -699 -1149 -4029 -2581 -103 1718 -625 -1947 1504 2051 -1328 -1210 5860 3803 -1887 -1368 -2984 -25 -231 -864 -1619 -361 1932 -6405 -2216 -2005 961 2015 848 -297 5524 -1134 -5603 -2729 636 -314 2734 -463 -1374 1459 1483 283 7031 3384 1438 -4406 5573 -3181 1114 1310 1643 -11507 5709 5405 5273 2579 -4378 -4769 -735 -2344 -2064 4800
+5289 -1503 -417 919 648 21 3706 1835 -697 2377 -183 -4010 1805 -2410 -3432 -1951 4119 -629 405 -395 -180 917 -3300 -6341 1782 4028 -6263 -2970 2811 273 -1916 844 -2512 -3195 10243 -463 -5525 -2347 -733 -1243 -4033 -2572 -147 1751 -605 -1935 1579 2118 -1266 -1302 5750 3910 -1823 -1325 -2937 30 -158 -908 -1671 -410 1915 -6290 -2242 -1972 1048 2057 884 -155 5579 -1171 -5559 -2787 481 -191 2739 -434 -1318 1517 1492 349 6914 3316 1471 -4385 5407 -3139 1156 1345 1526 -11467 5866 5433 5240 2610 -4237 -4712 -731 -2402 -2017 4943
+5289 -1537 -347 984 606 -50 3835 1850 -752 2317 -156 -4089 1678 -2313 -3430 -1913 4206 -528 478 -319 -97 928 -3409 -6147 1654 3979 -6221 -2960 2742 217 -1952 905 -2394 -3180 10216 -305 -5503 -2380 -851 -1371 -3838 -2616 -231 1703 -551 -1874 1777 2252 -1310 -1193 5793 3975 -1738 -1290 -2898 160 -94 -934 -1642 -367 1855 -6209 -2101 -2084 1119 1994 808 -122 5713 -1160 -5537 -2894 355 -50 2585 -450 -1126 1627 1511 307 6904 3283 1425 -4455 5352 -3006 1210 1281 1494 -11404 6043 5459 5204 2687 -4154 -4686 -689 -2450 -2021 4958
+5285 -1480 -382 1114 655 -90 3935 1778 -862 2236 -126 -4096 1579 -2283 -3405 -1942 4243 -506 526 -339 -136 978 -3433 -6191 1655 4010 -6267 -2980 2802 302 -1942 839 -2369 -3178 10124 -283 -5485 -2352 -924 -1482 -3732 -2676 -195 1674 -510 -1837 1890 2295 -1346 -1104 5976 3980 -1665 -1237 -2832 274 -179 -968 -1534 -246 1774 -6162 -1927 -2227 1109 1967 732 -225 5837 -1081 -5514 -2946 395 -72 2441 -430 -943 1711 1520 255 7026 3318 1406 -4542 5467 -2870 1209 1200 1587 -11410 6004 5465 5267 2736 -4268 -4751 -622 -2406 -2122 4832
+5363 -1385 -397 1270 737 -108 3959 1724 -948 2240 -116 -4045 1656 -2430 -3381 -1982 4191 -590 572 -371 -205 1022 -3369 -6412 1763 4187 -6342 -2955 2954 442 -1879 740 -2471 -3198 10082 -301 -5474 -2317 -986 -1577 -3726 -2725 -192 1646 -492 -1788 1831 2295 -1388 -1152 6155 4078 -1632 -1222 -2815 296 -346 -982 -1486 -193 1763 -6205 -1840 -2219 991 1932 673 -407 5865 -1017 -5546 -2939 607 -209 2391 -327 -951 1644 1520 250 7141 3332 1396 -4495 5639 -2889 1114 1105 1643 -11470 5929 5462 5305 2682 -4370 -4821 -633 -2378 -2188 4675
+5485 -1346 -506 1333 809 -107 3914 1706 -983 2291 -227 -3856 1745 -2550 -3422 -1998 4126 -699 561 -430 -287 1022 -3301 -6590 1803 4329 -6384 -2926 3020 509 -1885 690 -2545 -3210 10077 -391 -5468 -2271 -946 -1492 -3861 -2783 -157 1549 -525 -1783 1675 2226 -1329 -1228 6182 4265 -1573 -1231 -2872 241 -401 -967 -1477 -191 1721 -6298 -1902 -2172 909 1981 664 -585 5802 -984 -5597 -2935 814 -317 2412 -198 -1125 1449 1504 295 7118 3289 1353 -4353 5795 -3038 1039 1109 1620 -11533 5939 5492 5314 2606 -4467 -4884 -655 -2361 -2255 4686
+5454 -1272 -493 1345 735 -196 3794 1726 -948 2349 -291 -3708 1817 -2655 -3443 -2008 4050 -776 482 -364 -244 892 -3215 -6433 1632 4333 -6287 -2925 2931 403 -1973 755 -2512 -3216 10132 -464 -5469 -2230 -867 -1311 -4086 -2793 -46 1546 -521 -1773 1509 2227 -1312 -1235 6078 4488 -1541 -1275 -2936 112 -476 -976 -1527 -207 1770 -6328 -2060 -2197 910 2027 636 -620 5792 -965 -5628 -2941 883 -294 2408 -129 -1303 1325 1504 348 6996 3238 1353 -4218 5872 -3162 1007 1128 1538 -11495 5983 5459 5239 2513 -4536 -4908 -661 -2219 -2225 4793
+5313 -1346 -424 1280 538 -261 3766 1782 -854 2299 -295 -3710 1802 -2587 -3450 -2011 3992 -793 432 -298 -104 805 -3270 -6120 1530 4241 -6126 -2870 2733 219 -2050 868 -2469 -3221 10266 -470 -5452 -2196 -883 -1183 -4204 -2804 37 1547 -517 -1776 1445 2276 -1275 -1136 5908 4611 -1567 -1312 -2924 121 -457 -1005 -1562 -191 1898 -6235 -2211 -2342 862 1948 546 -555 5886 -929 -5580 -2923 768 -41 2286 -126 -1335 1307 1484 327 7013 3238 1334 -4211 5916 -3195 1069 1199 1564 -11504 5952 5472 5157 2443 -4470 -4855 -676 -2196 -2130 4765
+5261 -1333 -424 1183 454 -284 3726 1787 -829 2218 -240 -3838 1792 -2442 -3530 -1991 3999 -744 447 -306 -28 750 -3432 -5974 1468 4161 -6085 -2910 2595 191 -2138 917 -2450 -3267 10384 -435 -5444 -2211 -910 -1241 -4168 -2855 60 1521 -457 -1761 1552 2355 -1347 -1069 5839 4591 -1619 -1315 -2855 223 -356 -974 -1512 -118 1958 -6261 -2248 -2474 895 1940 525 -493 5961 -930 -5499 -2897 610 176 2126 -172 -1175 1441 1490 231 6999 3239 1330 -4354 5898 -3056 1158 1195 1610 -11379 5849 5388 5106 2426 -4413 -4797 -663 -2133 -1928 4603
+5252 -1400 -500 1109 429 -297 3671 1760 -809 2136 -100 -3976 1734 -2272 -3598 -1978 4058 -692 476 -415 -70 824 -3469 -6036 1603 4050 -6173 -2930 2650 279 -2061 831 -2616 -3256 10384 -469 -5517 -2294 -891 -1324 -4054 -2921 51 1451 -496 -1765 1627 2371 -1454 -1009 5881 4479 -1692 -1315 -2768 318 -288 -965 -1447 -87 1910 -6300 -2144 -2347 886 1943 523 -557 5913 -953 -5436 -2845 592 179 2069 -177 -1069 1530 1430 50 6991 3241 1269 -4528 5920 -3075 1100 1126 1753 -11402 5822 5456 5171 2437 -4439 -4784 -619 -2169 -1942 4507
+5376 -1306 -554 1081 489 -229 3735 1791 -833 2109 73 -4059 1645 -2231 -3624 -2006 4068 -652 594 -438 -167 860 -3320 -6291 1728 3960 -6348 -2971 2780 463 -2021 732 -2717 -3253 10348 -514 -5530 -2329 -845 -1390 -3860 -2930 -13 1391 -465 -1740 1599 2317 -1526 -1151 5860 4364 -1743 -1294 -2688 373 -233 -918 -1470 -158 1867 -6422 -2010 -2167 938 1999 603 -590 5821 -994 -5438 -2894 687 72 2230 -84 -1155 1459 1328 -69 6930 3250 1292 -4490 5837 -3153 1035 1128 1740 -11450 5792 5496 5255 2478 -4437 -4793 -624 -2309 -2050 4522
+5414 -1378 -518 1066 552 -182 3793 1822 -871 2165 186 -4069 1621 -2329 -3593 -2049 4086 -641 627 -404 -242 854 -3093 -6283 1723 3800 -6408 -2965 2823 514 -1965 681 -2722 -3190 10243 -601 -5547 -2346 -733 -1371 -3790 -2917 -136 1333 -511 -1663 1569 2264 -1500 -1172 5855 4372 -1726 -1315 -2681 336 -311 -912 -1557 -309 1769 -6420 -1923 -2046 1034 2065 635 -614 5815 -981 -5486 -3027 781 -79 2414 78 -1359 1297 1261 -84 6904 3265 1342 -4343 5729 -3273 920 1106 1707 -11588 5889 5640 5348 2570 -4397 -4814 -681 -2527 -2215 4676
+5336 -1446 -411 1070 527 -120 3905 1831 -913 2228 241 -4057 1685 -2452 -3450 -2076 4022 -669 591 -253 -226 851 -3029 -6069 1631 3699 -6313 -2948 2760 441 -1978 742 -2581 -3150 10178 -583 -5502 -2278 -642 -1303 -3762 -2820 -147 1288 -549 -1655 1552 2323 -1452 -1077 5878 4346 -1702 -1358 -2776 165 -430 -975 -1629 -399 1717 -6381 -2053 -2201 1089 2060 651 -492 5863 -980 -5573 -3133 858 -90 2513 46 -1502 1210 1274 -30 7002 3359 1445 -4093 5739 -3348 876 1088 1672 -11569 5965 5687 5356 2645 -4356 -4814 -703 -2566 -2309 4822
+5242 -1520 -299 1076 484 -143 3974 1802 -956 2208 111 -4137 1783 -2532 -3364 -2081 3933 -672 517 -153 -159 799 -3179 -5821 1654 3692 -6227 -2937 2717 354 -1982 793 -2433 -3122 10159 -481 -5449 -2221 -617 -1220 -3787 -2745 -146 1310 -538 -1620 1627 2360 -1393 -912 5951 4337 -1596 -1374 -2865 123 -435 -1040 -1698 -415 1814 -6277 -2153 -2308 1028 1977 612 -378 5870 -989 -5597 -3125 780 -31 2460 -110 -1421 1277 1342 8 7122 3447 1535 -3964 5836 -3244 906 1036 1682 -11514 5966 5634 5313 2701 -4270 -4777 -730 -2493 -2227 4850
+5244 -1556 -274 1099 484 -235 3941 1754 -984 2178 -104 -4283 1909 -2519 -3352 -2065 3934 -669 484 -168 -89 799 -3366 -5830 1755 3830 -6134 -2865 2734 339 -1990 832 -2373 -3149 10097 -399 -5400 -2151 -648 -1154 -3863 -2646 0 1350 -516 -1687 1741 2353 -1429 -841 6051 4237 -1621 -1443 -2964 44 -485 -1083 -1679 -346 1874 -6268 -2133 -2333 980 1974 671 -317 5860 -1005 -5555 -2916 707 85 2302 -264 -1290 1364 1407 20 7152 3487 1561 -3938 5955 -3085 958 998 1741 -11339 5837 5490 5222 2678 -4261 -4738 -709 -2396 -2081 4668
+5312 -1517 -370 1111 572 -208 3838 1754 -973 2149 -317 -4293 1928 -2433 -3416 -2017 3975 -692 485 -179 -109 762 -3342 -5983 1776 3975 -6165 -2838 2825 340 -1951 833 -2440 -3237 10030 -378 -5380 -2133 -739 -1146 -3917 -2629 132 1378 -496 -1777 1752 2330 -1516 -955 6058 4147 -1672 -1518 -3050 6 -432 -999 -1580 -260 1831 -6394 -1992 -2170 897 1997 739 -309 5776 -1055 -5474 -2617 678 154 2310 -253 -1225 1391 1437 62 7059 3363 1454 -4026 5951 -3043 982 986 1716 -11210 5837 5381 5183 2564 -4297 -4685 -648 -2253 -1988 4521
+5372 -1452 -461 1064 651 -198 3688 1752 -898 2237 -371 -4266 1858 -2428 -3549 -1995 4069 -689 516 -224 -129 716 -3164 -6169 1679 4114 -6238 -2843 2872 271 -1895 801 -2560 -3334 9981 -437 -5388 -2129 -772 -1127 -3920 -2595 174 1442 -502 -1852 1681 2279 -1660 -1071 5976 4081 -1682 -1545 -3101 -4 -344 -897 -1493 -221 1715 -6563 -1898 -2027 990 2027 786 -343 5757 -1082 -5458 -2449 770 -4 2380 -161 -1247 1333 1430 174 6929 3239 1353 -4085 5880 -3166 948 1010 1656 -11263 5798 5327 5161 2423 -4409 -4672 -564 -2196 -2033 4546
+5301 -1537 -500 1000 708 -128 3607 1807 -838 2328 -283 -4082 1760 -2487 -3590 -2055 4140 -671 533 -244 -146 658 -3025 -6223 1598 4146 -6273 -2924 2810 193 -1944 849 -2579 -3417 10033 -415 -5395 -2131 -798 -1113 -3950 -2603 148 1512 -563 -1838 1549 2254 -1636 -1003 5922 4022 -1742 -1550 -3138 -97 -329 -825 -1553 -317 1759 -6598 -2013 -2058 971 1939 734 -257 5864 -1082 -5558 -2557 877 -197 2526 -110 -1284 1317 1402 292 6949 3239 1296 -4132 5922 -3238 936 1029 1529 -11350 5835 5338 5174 2441 -4482 -4744 -588 -2294 -2089 4654
+5204 -1599 -459 948 699 -106 3563 1800 -778 2345 -42 -3947 1697 -2595 -3440 -2059 4217 -611 565 -258 -123 729 -3151 -6134 1572 4103 -6286 -3056 2731 164 -1967 901 -2561 -3348 10089 -353 -5403 -2179 -831 -1066 -3908 -2585 5 1553 -656 -1829 1552 2317 -1656 -793 5927 3974 -1785 -1502 -3066 -105 -370 -865 -1670 -411 1937 -6482 -2250 -2211 934 1857 714 -99 5952 -1092 -5696 -2880 830 -256 2569 -76 -1282 1343 1393 395 7183 3347 1292 -4182 6047 -3248 997 1058 1491 -11449 5930 5398 5231 2583 -4553 -4880 -675 -2428 -2159 4783
+5195 -1584 -416 934 716 -137 3565 1817 -741 2227 81 -3830 1601 -2683 -3379 -2162 4175 -615 510 -244 -69 775 -3376 -6094 1678 4165 -6338 -3100 2766 219 -2016 895 -2534 -3253 10171 -266 -5420 -2245 -856 -1219 -3843 -2553 -196 1537 -681 -1728 1647 2347 -1626 -713 5952 3907 -1817 -1454 -3004 -98 -355 -939 -1754 -396 2146 -6351 -2426 -2186 983 1918 768 -4 5911 -1115 -5760 -3092 651 -262 2549 -236 -1204 1444 1389 420 7429 3504 1319 -4182 6173 -3298 1090 1142 1644 -11562 5935 5445 5278 2680 -4535 -4954 -741 -2502 -2178 4861
+5304 -1508 -463 956 726 -256 3563 1780 -739 2128 46 -3767 1561 -2680 -3263 -2177 4121 -687 474 -211 -59 769 -3443 -6066 1799 4179 -6450 -3085 2870 341 -2067 829 -2560 -3229 10241 -248 -5435 -2295 -859 -1300 -3809 -2525 -272 1514 -643 -1665 1768 2343 -1619 -781 5990 3871 -1827 -1388 -2898 -18 -410 -1070 -1796 -335 2262 -6181 -2265 -2053 988 1988 804 -102 5676 -1153 -5660 -3075 390 -163 2438 -323 -1211 1459 1322 262 7512 3629 1399 -4103 6215 -3311 1170 1194 1703 -11506 5859 5407 5313 2782 -4428 -4973 -804 -2447 -2065 4871
+5336 -1462 -475 993 732 -313 3618 1773 -807 2034 -132 -3786 1496 -2617 -3267 -2183 4054 -772 430 -185 -69 741 -3329 -6186 1799 4119 -6519 -3005 2920 351 -2127 787 -2621 -3326 10212 -334 -5512 -2359 -848 -1402 -3848 -2495 -276 1362 -642 -1639 1743 2313 -1647 -929 5928 3874 -1788 -1301 -2855 76 -377 -1094 -1741 -351 2025 -6211 -2046 -1924 1104 2101 848 -290 5517 -1142 -5505 -2869 247 -115 2368 -448 -1231 1460 1289 49 7352 3597 1383 -4054 6167 -3404 1159 1235 1669 -11515 5849 5430 5299 2722 -4234 -4852 -763 -2339 -1972 4815
+5305 -1434 -439 1025 756 -277 3655 1750 -865 2034 -276 -3824 1461 -2505 -3299 -2157 3988 -791 464 -173 -100 690 -3124 -6291 1750 3994 -6407 -2895 2860 261 -2171 777 -2618 -3413 10143 -418 -5565 -2386 -850 -1430 -3894 -2486 -188 1385 -593 -1634 1687 2263 -1673 -1003 5938 4020 -1748 -1294 -2891 86 -378 -1089 -1668 -325 1827 -6248 -1847 -2047 1154 2085 751 -494 5507 -1113 -5423 -2687 330 -146 2295 -490 -1309 1396 1321 42 7187 3506 1391 -4005 5949 -3495 1073 1214 1581 -11444 5896 5433 5245 2650 -4115 -4773 -756 -2283 -1880 4835
+5348 -1487 -435 1078 732 -231 3755 1648 -1014 2086 -220 -4046 1512 -2466 -3365 -2150 3983 -733 524 -246 -145 653 -3128 -6411 1724 3946 -6283 -2869 2717 130 -2220 811 -2597 -3526 10122 -413 -5582 -2406 -937 -1343 -3979 -2548 -131 1460 -649 -1662 1574 2307 -1668 -928 6004 4096 -1662 -1236 -2935 -3 -449 -1060 -1659 -340 1739 -6291 -1962 -2306 1017 1981 606 -546 5709 -1060 -5476 -2637 585 -202 2335 -498 -1403 1386 1416 187 7153 3510 1460 -3975 5840 -3496 1080 1207 1487 -11406 5872 5433 5161 2552 -4139 -4733 -685 -2228 -1825 4792
+5337 -1463 -367 1089 605 -278 3818 1653 -1088 2027 -66 -4246 1680 -2479 -3428 -2083 4029 -700 568 -343 -222 739 -3298 -6350 1736 3942 -6212 -2855 2628 109 -2215 792 -2557 -3523 10178 -320 -5535 -2354 -1030 -1280 -4079 -2617 -84 1623 -768 -1699 1476 2287 -1640 -851 6160 4206 -1560 -1184 -2961 -84 -615 -1093 -1667 -286 1852 -6210 -2136 -2503 915 1940 521 -501 5910 -1021 -5591 -2739 751 -297 2388 -432 -1341 1404 1493 366 7277 3599 1546 -3961 5799 -3348 1085 1125 1496 -11460 5795 5483 5207 2578 -4276 -4791 -682 -2226 -1828 4826
+5406 -1352 -382 1104 498 -385 3827 1664 -1100 1988 132 -4387 1857 -2585 -3533 -2096 4072 -708 570 -371 -257 764 -3417 -6198 1642 4047 -6216 -2884 2713 309 -2198 804 -2494 -3421 10236 -200 -5464 -2307 -1207 -1189 -4174 -2714 -89 1713 -720 -1706 1543 2355 -1622 -930 6293 4359 -1522 -1164 -2973 -138 -722 -1163 -1703 -201 2058 -6111 -2197 -2414 838 1959 507 -536 5908 -1004 -5669 -2878 767 -376 2337 -310 -1254 1416 1518 415 7332 3662 1604 -3915 5850 -3272 1119 1069 1600 -11443 5633 5435 5251 2595 -4537 -4897 -682 -2274 -1932 4945
+5405 -1349 -441 1088 442 -435 3790 1712 -1078 1989 230 -4407 1954 -2570 -3590 -2085 4112 -746 540 -383 -333 678 -3352 -6082 1513 4098 -6336 -2956 2798 476 -2146 790 -2489 -3359 10199 -233 -5456 -2290 -1198 -1153 -4222 -2753 -106 1666 -647 -1730 1605 2312 -1581 -1042 6247 4358 -1506 -1129 -2951 -155 -716 -1190 -1697 -93 2202 -6047 -2071 -2223 868 2013 525 -691 5722 -998 -5632 -2943 625 -398 2372 -187 -1205 1393 1450 301 7187 3601 1552 -3854 5890 -3325 1125 1112 1656 -11386 5546 5418 5283 2515 -4724 -4906 -630 -2278 -2094 4976
+5294 -1357 -482 1069 487 -366 3818 1793 -1006 2195 144 -4255 1949 -2528 -3563 -2071 4092 -758 518 -347 -356 698 -3208 -6106 1419 4055 -6372 -3032 2782 509 -2068 853 -2473 -3360 10193 -324 -5458 -2282 -1133 -1246 -4187 -2743 -42 1569 -555 -1810 1609 2343 -1511 -1205 6160 4338 -1600 -1127 -2897 -97 -576 -1175 -1632 -69 2142 -6126 -1920 -2227 926 1994 535 -820 5620 -967 -5550 -2971 599 -291 2402 -58 -1229 1297 1285 31 7056 3568 1490 -3778 5980 -3517 1082 1161 1582 -11342 5439 5373 5318 2512 -4789 -4896 -598 -2257 -2211 4948
+5235 -1491 -464 1036 519 -240 3821 1819 -919 2438 47 -4164 1871 -2459 -3439 -2080 3959 -759 582 -282 -338 747 -3095 -6307 1476 3925 -6270 -3060 2644 408 -1996 955 -2443 -3409 10162 -404 -5536 -2345 -989 -1342 -4035 -2742 -41 1501 -502 -1754 1635 2284 -1456 -1172 6044 4275 -1681 -1136 -2852 -24 -416 -1062 -1592 -157 2009 -6292 -2034 -2289 980 1940 554 -729 5576 -1010 -5480 -2894 710 -196 2516 -114 -1275 1320 1292 -49 7047 3561 1498 -3711 6069 -3582 1084 1183 1438 -11302 5537 5381 5279 2583 -4646 -4837 -626 -2178 -2101 4870
+5281 -1456 -431 1059 595 -142 3857 1802 -832 2575 -131 -4038 1734 -2362 -3336 -2050 3908 -664 639 -283 -254 889 -3152 -6554 1691 3918 -6161 -3035 2574 312 -1941 1068 -2379 -3408 10226 -362 -5567 -2377 -902 -1435 -3887 -2786 -54 1466 -561 -1684 1667 2326 -1382 -1151 6006 4204 -1755 -1151 -2803 -6 -351 -986 -1584 -260 1901 -6421 -2243 -2413 1031 1954 606 -518 5686 -1057 -5510 -2882 994 -10 2600 -155 -1379 1315 1335 31 7212 3673 1560 -3763 6117 -3469 1181 1197 1348 -11299 5622 5408 5280 2717 -4468 -4802 -645 -2091 -1903 4828
+5420 -1392 -398 1052 612 -134 3803 1765 -756 2528 -212 -4005 1711 -2300 -3386 -2024 3978 -604 697 -245 -191 995 -3264 -6565 1800 4017 -6173 -3025 2709 353 -1918 1045 -2393 -3363 10310 -314 -5547 -2389 -913 -1386 -3846 -2850 -109 1501 -611 -1650 1726 2338 -1394 -1127 5939 4149 -1813 -1186 -2770 61 -366 -1005 -1606 -305 1876 -6355 -2335 -2245 1108 2019 701 -306 5690 -1146 -5548 -2827 1145 5 2678 -237 -1485 1328 1433 231 7326 3692 1586 -3879 6060 -3324 1332 1198 1304 -11397 5668 5418 5273 2803 -4324 -4779 -646 -2037 -1766 4841
+5441 -1367 -448 1041 576 -241 3746 1728 -778 2285 -208 -3980 1716 -2368 -3471 -1948 4043 -650 654 -248 -108 930 -3278 -6409 1883 4227 -6276 -2902 2957 379 -1940 936 -2428 -3293 10368 -200 -5518 -2413 -966 -1281 -3894 -2884 -136 1474 -641 -1716 1721 2316 -1408 -1113 5931 4165 -1756 -1230 -2830 -50 -461 -1056 -1704 -322 1971 -6224 -2297 -1976 1209 2097 776 -285 5674 -1135 -5550 -2815 1076 -35 2619 -258 -1490 1326 1470 326 7297 3650 1541 -3987 5990 -3250 1403 1187 1310 -11513 5670 5435 5340 2877 -4268 -4802 -638 -2117 -1871 4920
+5405 -1366 -471 1062 579 -357 3696 1766 -838 2081 -133 -3849 1682 -2472 -3525 -1899 4143 -658 630 -286 -91 875 -3227 -6252 1842 4330 -6365 -2868 3081 373 -1969 854 -2480 -3234 10382 -226 -5491 -2372 -997 -1177 -4033 -2867 -62 1444 -554 -1860 1665 2321 -1395 -1240 5946 4160 -1727 -1291 -2882 -59 -510 -1130 -1737 -307 1952 -6202 -2064 -1877 1199 2018 756 -405 5580 -1082 -5526 -2785 951 -67 2511 -72 -1423 1284 1423 294 7207 3533 1416 -4030 5897 -3343 1378 1203 1278 -11554 5643 5388 5406 2941 -4299 -4851 -594 -2138 -2051 5035
+5301 -1479 -444 1134 605 -373 3738 1803 -896 2015 -23 -3792 1695 -2622 -3517 -1907 4167 -665 640 -334 -152 882 -3146 -6323 1851 4326 -6268 -2855 2992 280 -1985 844 -2521 -3268 10346 -268 -5487 -2345 -1031 -1052 -4039 -2842 68 1458 -394 -1934 1612 2301 -1322 -1238 6036 4087 -1660 -1352 -3006 -129 -499 -1143 -1696 -277 1902 -6233 -1996 -2034 1151 1931 692 -531 5624 -977 -5514 -2817 821 -74 2390 -79 -1226 1329 1355 203 7181 3508 1361 -4034 5909 -3514 1235 1166 1224 -11484 5629 5351 5431 2928 -4379 -4897 -546 -2148 -2268 5042
+5236 -1428 -508 1140 674 -244 3821 1797 -909 2060 33 -3818 1660 -2616 -3424 -1973 4168 -670 676 -370 -251 970 -3082 -6591 1848 4178 -6149 -2922 2800 209 -1999 882 -2510 -3301 10228 -340 -5470 -2269 -990 -1080 -3989 -2819 172 1500 -390 -1972 1564 2313 -1301 -1263 6190 3987 -1658 -1414 -3105 -137 -337 -992 -1572 -265 1753 -6455 -1988 -2237 1088 1911 616 -570 5771 -887 -5539 -2880 796 -30 2303 -143 -1001 1433 1300 144 7411 3632 1367 -4038 6000 -3595 1174 1151 1209 -11405 5579 5274 5388 2917 -4451 -4947 -561 -2103 -2301 4984
+5332 -1419 -529 1111 760 -101 3864 1763 -860 2168 14 -3838 1646 -2469 -3354 -1998 4115 -676 672 -401 -243 992 -3037 -6753 1761 4138 -6161 -2986 2691 263 -2013 919 -2460 -3283 10134 -350 -5433 -2209 -977 -1061 -3840 -2821 181 1511 -385 -1855 1540 2333 -1273 -1184 6201 3938 -1609 -1421 -3095 -88 -290 -889 -1495 -274 1690 -6505 -2073 -2297 1075 1979 648 -403 5929 -889 -5577 -2901 840 18 2373 -207 -926 1482 1260 102 7534 3774 1532 -3963 6012 -3473 1229 1131 1256 -11314 5484 5185 5260 2822 -4394 -4902 -588 -2099 -2186 4906
+5423 -1396 -540 1006 715 -95 3773 1727 -784 2213 -94 -3901 1646 -2334 -3327 -2004 4067 -754 595 -342 -216 923 -3016 -6587 1631 4069 -6296 -2997 2759 389 -2036 919 -2457 -3262 10057 -307 -5385 -2155 -929 -1108 -3870 -2830 88 1430 -534 -1795 1558 2309 -1334 -1129 6042 3938 -1544 -1421 -3119 -135 -253 -822 -1525 -307 1746 -6427 -2181 -2196 1041 2035 670 -337 5970 -940 -5614 -2913 862 61 2540 -130 -1006 1454 1283 217 7561 3796 1643 -3845 5886 -3314 1241 1014 1293 -11307 5500 5170 5178 2647 -4435 -4862 -616 -2099 -2054 4994
+5444 -1390 -501 885 578 -217 3731 1780 -774 2175 -150 -3932 1676 -2292 -3444 -2010 4030 -763 476 -333 -161 784 -3050 -6318 1495 4033 -6478 -3043 2858 520 -2109 864 -2413 -3249 10045 -255 -5384 -2178 -848 -1076 -3945 -2752 -50 1274 -581 -1766 1548 2316 -1451 -1092 5826 3989 -1474 -1399 -3035 -76 -251 -838 -1560 -305 1841 -6245 -2224 -2094 989 2019 685 -373 5852 -1010 -5609 -2934 699 45 2597 -121 -1168 1387 1313 209 7342 3690 1668 -3770 5734 -3208 1205 931 1248 -11400 5476 5192 5111 2423 -4456 -4777 -622 -2121 -1977 5071
+5375 -1420 -513 841 566 -333 3716 1758 -879 2244 -178 -4002 1780 -2365 -3456 -2005 4020 -833 421 -377 -200 709 -3134 -6202 1570 3965 -6494 -3023 2855 429 -2159 851 -2442 -3321 10040 -207 -5409 -2232 -842 -1019 -4034 -2690 -150 1127 -539 -1833 1500 2211 -1430 -1124 5770 3966 -1475 -1363 -2969 -57 -219 -870 -1630 -321 1972 -6199 -2205 -2228 907 1946 672 -489 5742 -1009 -5571 -2983 474 28 2518 -206 -1232 1387 1291 104 7089 3528 1623 -3748 5659 -3226 1130 884 1158 -11433 5488 5258 5112 2350 -4534 -4764 -625 -2153 -1953 5179
+5298 -1388 -466 905 607 -359 3860 1800 -997 2379 -69 -4190 1881 -2542 -3481 -2021 4059 -743 499 -451 -282 881 -3212 -6451 1778 3880 -6324 -2956 2749 297 -2134 859 -2417 -3353 10049 -200 -5474 -2319 -836 -1002 -3980 -2620 -148 1117 -440 -1853 1511 2181 -1400 -1214 5850 3858 -1545 -1309 -2859 86 -155 -916 -1617 -321 1947 -6251 -2180 -2407 896 1924 659 -532 5641 -1003 -5580 -3049 397 -31 2368 -273 -1175 1482 1411 108 6990 3397 1500 -3880 5713 -3311 1147 936 1034 -11427 5609 5369 5171 2441 -4618 -4811 -641 -2223 -2019 5153
+5370 -1363 -459 967 702 -314 3911 1729 -1086 2369 115 -4316 2026 -2675 -3463 -2039 4091 -755 544 -496 -442 921 -3047 -6644 1921 3694 -6187 -2866 2653 172 -2072 811 -2541 -3396 10069 -282 -5523 -2384 -875 -1034 -3876 -2642 -150 1313 -436 -1847 1531 2113 -1337 -1224 5924 3833 -1586 -1303 -2819 209 -88 -913 -1594 -314 1874 -6356 -2162 -2377 1072 2062 691 -504 5663 -1010 -5702 -3205 520 -13 2289 -340 -1041 1557 1426 57 7128 3461 1507 -3940 5919 -3343 1141 929 1078 -11446 5560 5427 5225 2583 -4626 -4854 -640 -2242 -2094 5118
+5475 -1303 -449 1047 764 -324 3935 1646 -1122 2323 100 -4383 1988 -2590 -3522 -2082 4146 -698 505 -467 -473 934 -2899 -6678 1883 3712 -6150 -2784 2692 184 -2035 749 -2649 -3343 10104 -372 -5535 -2379 -892 -1102 -3719 -2655 -158 1474 -552 -1813 1605 2084 -1332 -1080 5959 3937 -1591 -1292 -2798 326 -42 -907 -1522 -285 1670 -6371 -2039 -2265 1250 2207 695 -526 5701 -1021 -5791 -3210 700 -33 2362 -263 -1046 1512 1434 102 7222 3491 1481 -3943 6052 -3344 1250 1007 1198 -11428 5594 5505 5308 2697 -4596 -4880 -614 -2212 -2267 5100
+5532 -1312 -284 1096 704 -327 3884 1626 -1063 2186 -25 -4393 1914 -2443 -3517 -2105 4195 -704 408 -404 -401 874 -2906 -6529 1820 3840 -6231 -2774 2800 326 -1988 672 -2705 -3287 10215 -484 -5500 -2297 -802 -1151 -3735 -2664 -131 1705 -651 -1789 1655 2144 -1391 -917 5943 4227 -1557 -1341 -2836 373 -144 -930 -1515 -288 1615 -6286 -2020 -2146 1211 2195 680 -557 5722 -1065 -5842 -3099 839 -133 2546 -244 -1135 1405 1377 131 7180 3469 1449 -3930 6073 -3331 1330 1058 1279 -11481 5521 5512 5363 2682 -4504 -4837 -566 -2138 -2255 5164
+5473 -1368 -195 1098 633 -389 3794 1695 -983 2174 -254 -4206 1733 -2325 -3428 -2122 4195 -696 344 -386 -246 863 -3094 -6321 1696 3897 -6268 -2844 2829 471 -2017 701 -2633 -3164 10220 -563 -5487 -2221 -754 -1162 -3782 -2590 -154 1738 -657 -1789 1695 2157 -1469 -840 5940 4405 -1456 -1307 -2870 355 -266 -929 -1486 -186 1670 -6152 -2072 -2260 1035 2046 605 -670 5728 -1061 -5829 -2996 846 -134 2612 -177 -1247 1297 1352 146 7094 3413 1448 -3930 6004 -3380 1273 1011 1224 -11487 5560 5510 5402 2672 -4393 -4769 -487 -1947 -2184 5151
+5426 -1403 -210 1031 586 -358 3790 1792 -870 2209 -395 -3988 1569 -2336 -3275 -2096 4096 -676 373 -421 -145 920 -3321 -6412 1692 4038 -6259 -2986 2769 475 -2033 805 -2555 -3171 10273 -614 -5467 -2159 -671 -1151 -3899 -2468 -81 1743 -582 -1909 1698 2167 -1507 -968 6036 4485 -1346 -1266 -2903 248 -362 -991 -1510 -181 1735 -6204 -2155 -2392 901 1884 530 -771 5742 -1035 -5792 -2986 729 -132 2522 -187 -1246 1321 1389 97 7034 3364 1432 -4001 5926 -3488 1121 922 1154 -11430 5665 5509 5425 2704 -4368 -4743 -403 -1784 -2104 5057
+5419 -1359 -311 1034 659 -318 3745 1812 -835 2217 -391 -3787 1490 -2480 -3161 -2086 4042 -668 424 -498 -151 994 -3320 -6593 1629 4010 -6265 -3118 2651 434 -2052 924 -2529 -3212 10258 -573 -5489 -2184 -760 -1199 -3909 -2480 -170 1645 -489 -1866 1783 2032 -1518 -1026 6213 4393 -1333 -1182 -2899 127 -363 -980 -1481 -163 1767 -6338 -2147 -2409 1016 1954 527 -830 5713 -987 -5753 -3087 657 -39 2352 -318 -1183 1424 1390 -95 6966 3321 1425 -4172 5893 -3429 1037 839 1106 -11335 5717 5449 5392 2795 -4424 -4776 -354 -1630 -2014 4901
+5399 -1397 -409 986 733 -248 3630 1782 -732 2215 -237 -3698 1523 -2670 -3169 -2049 4081 -685 512 -524 -175 1003 -3170 -6797 1576 4097 -6274 -3119 2699 400 -1989 956 -2559 -3293 10195 -469 -5481 -2246 -928 -1322 -3814 -2572 -217 1627 -568 -1834 1833 1996 -1507 -1031 6219 4320 -1366 -1140 -2880 97 -283 -946 -1459 -128 1805 -6428 -2088 -2274 1213 2100 566 -763 5620 -989 -5745 -3196 739 -34 2257 -433 -1221 1490 1405 -155 6901 3303 1471 -4132 5797 -3351 1042 765 1149 -11185 5768 5366 5360 2886 -4505 -4840 -341 -1647 -2114 4909
+5369 -1443 -528 937 731 -267 3569 1789 -688 2096 13 -3602 1595 -2722 -3295 -1979 4202 -738 494 -548 -249 958 -3028 -6729 1548 4172 -6334 -3039 2816 384 -1910 933 -2644 -3353 10094 -364 -5495 -2302 -1076 -1362 -3737 -2696 -265 1673 -726 -1776 1863 1963 -1452 -852 6172 4354 -1508 -1181 -2827 186 -134 -878 -1402 -89 1763 -6480 -2101 -2096 1351 2215 668 -668 5508 -1024 -5731 -3258 865 4 2237 -337 -1355 1432 1451 -55 6837 3286 1550 -4011 5669 -3262 1130 796 1243 -11147 5770 5310 5356 2895 -4623 -4930 -400 -1761 -2174 5045
+5284 -1523 -463 915 720 -285 3548 1821 -643 2140 71 -3664 1718 -2667 -3399 -1912 4352 -751 457 -544 -288 985 -3161 -6597 1686 4242 -6316 -2968 2905 376 -1850 924 -2623 -3303 10043 -254 -5467 -2330 -1019 -1374 -3712 -2731 -245 1738 -817 -1755 1865 2009 -1422 -672 6145 4379 -1646 -1218 -2743 318 -102 -858 -1423 -122 1841 -6325 -2236 -2150 1187 2090 707 -551 5411 -1075 -5685 -3155 970 -43 2294 -349 -1479 1391 1475 110 6786 3273 1575 -3822 5599 -3338 1184 877 1301 -11176 5785 5291 5324 2798 -4675 -4962 -496 -1940 -2147 5249
+5296 -1524 -381 943 676 -338 3686 1942 -667 2168 20 -3737 1773 -2527 -3445 -1898 4392 -758 434 -527 -270 1021 -3370 -6471 1853 4221 -6174 -2885 2844 310 -1864 919 -2510 -3193 9976 -199 -5496 -2370 -917 -1318 -3834 -2774 -168 1834 -772 -1764 1830 2079 -1463 -699 6124 4299 -1738 -1241 -2732 297 -147 -869 -1444 -116 1860 -6246 -2371 -2189 1052 1929 692 -562 5338 -1112 -5650 -3033 1011 12 2281 -310 -1419 1397 1478 241 6895 3299 1587 -3840 5630 -3490 1057 831 1254 -11251 5863 5339 5325 2691 -4693 -4961 -576 -2002 -2012 5299
+5425 -1364 -344 980 699 -369 3862 1990 -752 2320 -127 -3924 1770 -2430 -3400 -1890 4351 -736 437 -492 -269 1089 -3458 -6436 1883 4152 -6051 -2887 2763 223 -1878 925 -2404 -3119 9988 -219 -5463 -2317 -785 -1297 -3884 -2667 -107 1778 -598 -1830 1800 2187 -1609 -1006 6131 4147 -1766 -1242 -2789 106 -332 -953 -1540 -216 1852 -6250 -2348 -2132 1088 1899 681 -564 5406 -1083 -5598 -2873 968 7 2149 -400 -1171 1505 1476 214 7002 3325 1516 -4010 5746 -3535 927 771 1187 -11356 5882 5384 5255 2555 -4589 -4870 -625 -1988 -1808 5271
+5464 -1219 -423 1015 741 -312 4000 1908 -890 2400 -255 -4121 1831 -2331 -3366 -1887 4243 -687 451 -502 -277 1053 -3276 -6503 1830 4011 -6079 -2905 2764 276 -1881 845 -2402 -3122 10020 -257 -5433 -2281 -768 -1387 -3962 -2658 -34 1797 -456 -1885 1769 2221 -1665 -1178 6182 3964 -1779 -1249 -2867 2 -380 -998 -1550 -260 1692 -6296 -2185 -1990 1310 2048 651 -620 5532 -998 -5588 -2879 934 25 2024 -399 -974 1593 1504 219 7099 3326 1465 -4131 5846 -3457 957 745 1153 -11458 5950 5433 5206 2483 -4516 -4808 -640 -1958 -1717 5200
+5496 -1268 -475 1058 759 -271 4055 1736 -1019 2347 -275 -4208 1861 -2351 -3373 -1907 4095 -723 460 -476 -296 1009 -3079 -6543 1660 4007 -6251 -2933 2878 393 -1900 719 -2499 -3185 10059 -398 -5443 -2255 -803 -1402 -3953 -2725 26 1716 -479 -1827 1745 2236 -1585 -1086 6192 3912 -1761 -1310 -2986 -83 -361 -1021 -1535 -254 1579 -6366 -2021 -1945 1457 2157 585 -686 5645 -906 -5642 -3002 952 -17 2024 -322 -902 1584 1522 230 7001 3256 1404 -4301 5789 -3355 1167 807 1166 -11535 5891 5492 5196 2396 -4472 -4754 -597 -1942 -1763 5220
+5370 -1393 -473 1039 734 -187 4043 1679 -1044 2312 -197 -4158 1888 -2447 -3394 -1924 4011 -727 481 -494 -266 1021 -3080 -6527 1525 4028 -6371 -2976 2943 595 -1926 646 -2594 -3191 10097 -564 -5505 -2248 -823 -1345 -3873 -2802 42 1673 -544 -1734 1763 2238 -1424 -862 6284 4007 -1785 -1416 -3098 -80 -294 -956 -1463 -180 1616 -6322 -1971 -2121 1306 2136 549 -718 5690 -848 -5696 -3149 1027 -80 2204 -243 -1087 1477 1530 312 6862 3170 1397 -4262 5646 -3324 1279 881 1201 -11555 5896 5547 5228 2345 -4517 -4777 -587 -2005 -1903 5321
+5368 -1349 -481 1029 699 -140 4057 1706 -1003 2364 -30 -4106 1818 -2469 -3394 -1946 4037 -619 484 -551 -240 1082 -3261 -6434 1547 4014 -6293 -2952 2886 641 -1941 673 -2580 -3054 10148 -705 -5554 -2246 -786 -1267 -3808 -2908 25 1646 -590 -1647 1760 2230 -1327 -832 6458 4032 -1844 -1490 -3155 -131 -295 -909 -1416 -75 1783 -6201 -2041 -2407 969 1961 511 -789 5579 -879 -5743 -3234 1097 -72 2433 -156 -1330 1380 1571 469 6882 3165 1418 -4175 5639 -3445 1332 989 1174 -11599 5840 5603 5307 2354 -4631 -4854 -568 -2051 -2032 5354
+5388 -1339 -443 1019 636 -213 4114 1816 -944 2425 78 -4135 1838 -2380 -3457 -1916 4154 -584 455 -572 -207 1158 -3431 -6289 1523 3965 -6125 -2946 2678 560 -1978 790 -2490 -3006 10278 -738 -5562 -2243 -780 -1227 -3780 -2884 -27 1582 -516 -1642 1685 2287 -1338 -972 6561 4017 -1805 -1479 -3155 -220 -314 -870 -1434 -71 1880 -6131 -2170 -2557 776 1855 488 -859 5444 -943 -5740 -3224 1044 15 2485 -238 -1433 1404 1594 509 7000 3276 1473 -4222 5710 -3627 1195 965 1160 -11571 5819 5638 5334 2423 -4658 -4891 -543 -2029 -2093 5329
+5511 -1215 -484 1034 677 -311 4020 1827 -913 2443 115 -4147 1806 -2242 -3521 -1935 4225 -627 400 -529 -167 1077 -3310 -6133 1509 3955 -6023 -2877 2629 437 -1996 832 -2482 -2952 10359 -731 -5546 -2218 -724 -1180 -3840 -2846 -95 1459 -368 -1741 1647 2249 -1370 -1214 6474 3828 -1690 -1352 -3110 -321 -353 -853 -1495 -151 1887 -6085 -2189 -2426 892 1994 538 -890 5349 -997 -5662 -3106 869 131 2380 -361 -1329 1520 1545 356 7108 3357 1449 -4300 5823 -3690 1105 924 1172 -11474 5787 5592 5318 2572 -4627 -4874 -469 -1954 -2129 5268
+5560 -1130 -525 1033 710 -373 3904 1758 -876 2365 85 -4153 1847 -2153 -3642 -1922 4278 -705 300 -503 -226 998 -3105 -6170 1585 3962 -6108 -2776 2717 384 -1936 782 -2600 -2959 10355 -735 -5504 -2211 -710 -1175 -3901 -2853 -136 1351 -309 -1836 1575 2177 -1408 -1285 6331 3729 -1621 -1280 -3069 -387 -338 -879 -1605 -273 1896 -6176 -2188 -2300 1165 2198 559 -892 5400 -1001 -5631 -3066 718 185 2268 -478 -1265 1545 1443 190 7052 3382 1475 -4274 5828 -3615 1081 799 1175 -11378 5770 5538 5295 2712 -4533 -4839 -451 -1855 -2068 5163
+5519 -1200 -564 1053 729 -415 3765 1644 -852 2227 -25 -3975 1842 -2177 -3654 -1907 4254 -828 284 -512 -272 947 -3026 -6364 1723 4075 -6264 -2771 2890 411 -1869 704 -2739 -3069 10315 -681 -5479 -2202 -629 -1204 -3975 -2849 -77 1368 -353 -1914 1565 2142 -1329 -1073 6185 3758 -1599 -1233 -3000 -327 -269 -897 -1643 -357 1868 -6352 -2228 -2323 1327 2288 584 -842 5464 -999 -5679 -3115 687 145 2240 -398 -1144 1543 1435 99 6850 3323 1453 -4358 5648 -3479 1070 687 1260 -11354 5764 5500 5279 2746 -4485 -4785 -381 -1694 -1959 5225
+5438 -1224 -493 1086 756 -471 3724 1664 -823 2168 -162 -3681 1783 -2392 -3575 -1903 4171 -889 296 -543 -261 967 -3175 -6567 1936 4113 -6383 -2823 2983 411 -1836 708 -2743 -3174 10202 -605 -5499 -2235 -571 -1174 -3951 -2821 14 1433 -489 -1888 1542 2155 -1180 -962 6163 3913 -1670 -1277 -2954 -130 -181 -967 -1684 -378 1940 -6393 -2349 -2357 1223 2091 531 -808 5487 -1024 -5850 -3332 769 51 2349 -251 -1227 1424 1471 198 6760 3283 1527 -4262 5414 -3438 1121 697 1195 -11195 5796 5445 5365 2771 -4519 -4814 -410 -1697 -1867 5183
+5449 -1332 -409 1129 749 -511 3748 1741 -841 2166 -188 -3514 1643 -2638 -3397 -1881 4094 -873 305 -540 -162 1000 -3344 -6533 1952 4067 -6415 -2904 2893 334 -1892 799 -2588 -3281 10138 -482 -5486 -2234 -524 -1178 -3920 -2742 88 1469 -559 -1869 1524 2143 -1120 -962 6190 4038 -1719 -1287 -2888 55 -216 -1004 -1659 -331 1937 -6352 -2364 -2317 1079 1962 542 -837 5413 -1057 -5991 -3456 816 -92 2515 -152 -1153 1442 1586 316 6778 3330 1621 -4239 5295 -3492 1082 721 1100 -11088 5820 5413 5413 2775 -4612 -4878 -429 -1752 -1941 5218
+5457 -1297 -324 1191 701 -591 3833 1852 -861 2230 -171 -3570 1588 -2774 -3324 -1865 4172 -766 330 -492 -50 963 -3398 -6280 1805 3960 -6349 -2947 2774 229 -1926 943 -2349 -3297 10099 -301 -5427 -2212 -629 -1125 -3850 -2655 67 1462 -518 -1884 1538 2182 -1054 -1200 6231 4171 -1728 -1322 -2908 125 -322 -1048 -1675 -320 1904 -6246 -2277 -2079 1190 2014 587 -902 5341 -1078 -6053 -3473 781 -109 2543 -213 -1144 1468 1691 414 6869 3405 1715 -4181 5297 -3573 1095 764 969 -11066 5890 5390 5431 2820 -4603 -4926 -529 -1913 -2000 5171
+5431 -1274 -305 1166 695 -573 3917 1911 -903 2188 -110 -3819 1500 -2690 -3272 -1875 4247 -749 307 -425 -35 909 -3356 -6138 1646 3977 -6349 -2999 2781 244 -1964 984 -2239 -3275 10075 -223 -5376 -2188 -773 -1040 -3875 -2523 3 1437 -393 -1896 1550 2258 -1267 -1357 6209 4228 -1539 -1242 -2929 56 -423 -1105 -1734 -359 1850 -6283 -2204 -1931 1423 2160 633 -901 5316 -1092 -6008 -3294 763 4 2516 -253 -1049 1522 1693 308 6850 3423 1704 -4111 5378 -3557 1143 774 930 -11138 5993 5445 5465 2906 -4574 -4944 -561 -2033 -2107 5264
+5353 -1357 -348 1109 728 -477 3898 1866 -906 2161 -52 -4042 1504 -2502 -3345 -1900 4377 -694 333 -451 -110 923 -3284 -6175 1619 4059 -6358 -2977 2888 391 -1934 908 -2276 -3235 10066 -217 -5331 -2131 -835 -1069 -3845 -2446 -74 1315 -325 -1915 1619 2310 -1405 -1270 6143 4258 -1335 -1148 -2986 -99 -511 -1117 -1787 -397 1874 -6436 -2253 -2064 1559 2255 641 -848 5385 -1062 -5875 -3100 687 167 2380 -275 -1062 1537 1673 198 6741 3362 1648 -4047 5509 -3475 1302 861 1021 -11298 5959 5463 5412 2829 -4571 -4914 -548 -2084 -2188 5253
+5332 -1450 -373 1006 730 -320 3860 1866 -809 2121 -35 -4069 1600 -2305 -3414 -1944 4444 -677 366 -506 -252 983 -3251 -6363 1725 4155 -6360 -2974 3001 487 -1854 808 -2451 -3200 10023 -370 -5375 -2124 -816 -1029 -3830 -2419 -76 1373 -372 -1878 1699 2383 -1457 -1147 6165 4300 -1199 -1081 -2973 -153 -556 -1140 -1753 -330 1906 -6530 -2370 -2285 1528 2248 640 -825 5382 -1063 -5788 -2982 735 244 2379 -324 -1126 1508 1577 158 6675 3299 1532 -4069 5613 -3477 1392 892 1032 -11493 5979 5493 5358 2666 -4539 -4851 -549 -1922 -1955 5225
+5339 -1524 -401 908 744 -223 3850 1847 -797 2270 -69 -4077 1664 -2340 -3421 -2022 4372 -656 290 -515 -301 1014 -3246 -6387 1769 4114 -6252 -2909 2955 415 -1831 769 -2621 -3192 10026 -555 -5436 -2114 -704 -1087 -3792 -2426 -32 1448 -502 -1849 1680 2464 -1407 -1110 6208 4271 -1177 -1043 -2899 -95 -459 -1081 -1714 -307 2016 -6501 -2422 -2465 1277 2078 613 -812 5374 -1042 -5752 -3042 860 216 2469 -428 -1250 1497 1557 309 6792 3347 1532 -4105 5657 -3577 1362 895 981 -11615 6000 5519 5289 2481 -4539 -4808 -539 -1792 -1786 5195
+5357 -1570 -306 839 694 -271 3844 1862 -790 2428 -68 -4082 1828 -2457 -3368 -2000 4278 -677 292 -491 -244 972 -3317 -6206 1683 4004 -6128 -2859 2817 298 -1832 802 -2675 -3139 10069 -657 -5465 -2125 -601 -1244 -3727 -2458 0 1568 -599 -1778 1611 2482 -1339 -1167 6256 4303 -1167 -1044 -2836 -6 -363 -1060 -1655 -228 2044 -6394 -2324 -2310 1178 2041 641 -836 5249 -1086 -5842 -3259 987 116 2636 -477 -1279 1550 1575 458 7006 3431 1574 -4246 5674 -3729 1334 989 944 -11627 5914 5486 5172 2309 -4545 -4766 -520 -1740 -1685 5219
+5299 -1523 -292 772 651 -346 3831 1828 -843 2499 -69 -4139 1942 -2632 -3312 -1987 4161 -711 227 -423 -116 874 -3342 -5891 1551 3846 -6090 -2859 2710 240 -1912 844 -2642 -3107 10184 -657 -5475 -2180 -595 -1266 -3758 -2418 41 1657 -542 -1801 1513 2448 -1391 -1270 6180 4270 -1218 -1022 -2746 96 -282 -974 -1644 -242 2043 -6289 -2258 -2126 1220 2137 700 -817 5196 -1101 -5931 -3447 1049 34 2743 -550 -1262 1591 1609 530 7098 3494 1605 -4343 5534 -3811 1297 978 918 -11514 5855 5449 5113 2318 -4643 -4823 -522 -1816 -1867 5357
+5250 -1504 -274 773 662 -391 3829 1769 -896 2398 -9 -4205 1940 -2662 -3303 -1967 4110 -749 245 -410 -70 871 -3421 -5871 1615 3789 -6176 -2852 2737 325 -1955 839 -2638 -3042 10219 -607 -5507 -2254 -675 -1245 -3838 -2417 -2 1727 -548 -1873 1523 2409 -1459 -1214 6105 4161 -1330 -1062 -2736 79 -313 -979 -1722 -375 2014 -6278 -2357 -2104 1305 2195 696 -736 5298 -1052 -5961 -3495 1081 98 2698 -443 -1220 1546 1543 446 7044 3465 1594 -4282 5492 -3768 1322 947 1004 -11500 5745 5443 5136 2392 -4665 -4858 -526 -1910 -1991 5437
+5291 -1372 -344 844 760 -402 3820 1686 -936 2248 47 -4217 1816 -2569 -3316 -2000 4127 -749 283 -466 -147 948 -3451 -6091 1718 3739 -6300 -2930 2775 494 -1983 789 -2642 -3054 10171 -583 -5547 -2315 -703 -1192 -3915 -2437 -61 1707 -491 -1805 1665 2393 -1597 -1036 6093 4122 -1422 -1135 -2750 64 -409 -1036 -1773 -419 2020 -6295 -2462 -2269 1364 2242 674 -697 5422 -984 -5888 -3340 1052 149 2586 -336 -1081 1542 1520 317 6882 3365 1556 -4176 5394 -3678 1317 876 1121 -11420 5606 5400 5207 2489 -4734 -4909 -522 -1941 -2057 5479
+5435 -1353 -428 921 868 -379 3827 1684 -964 2130 92 -4164 1643 -2412 -3380 -2001 4189 -748 327 -548 -223 1028 -3373 -6323 1730 3769 -6378 -2974 2801 560 -1986 721 -2651 -3107 10018 -635 -5597 -2354 -719 -1171 -3956 -2495 -102 1719 -564 -1718 1760 2436 -1645 -975 6174 4024 -1507 -1200 -2791 6 -517 -1109 -1791 -404 2001 -6315 -2439 -2483 1334 2168 596 -758 5564 -893 -5772 -3091 1106 174 2402 -305 -984 1550 1552 258 6845 3347 1522 -4054 5529 -3629 1193 723 1116 -11329 5559 5407 5300 2531 -4784 -4919 -442 -1799 -2023 5410
+5494 -1287 -403 1009 937 -317 3881 1744 -910 2176 38 -4043 1615 -2324 -3410 -1954 4328 -701 398 -538 -227 1047 -3276 -6319 1607 3818 -6296 -2988 2762 484 -1963 744 -2605 -3155 9898 -648 -5584 -2333 -720 -1238 -3822 -2584 -132 1722 -611 -1606 1841 2447 -1592 -987 6208 3972 -1563 -1295 -2861 76 -540 -1154 -1720 -302 1909 -6174 -2237 -2395 1294 2132 573 -905 5531 -870 -5704 -2960 1210 169 2322 -294 -979 1573 1620 373 7021 3458 1643 -3896 5681 -3701 1011 609 1055 -11246 5600 5424 5341 2576 -4649 -4852 -389 -1656 -1929 5293
+5442 -1338 -419 1055 893 -365 3928 1810 -931 2256 -89 -3996 1631 -2349 -3395 -1937 4386 -695 374 -446 -138 964 -3258 -6035 1426 3897 -6203 -2936 2726 402 -1951 736 -2507 -3152 9809 -609 -5533 -2276 -795 -1310 -3714 -2673 -147 1759 -702 -1554 1820 2375 -1470 -971 6188 4030 -1556 -1361 -2945 35 -474 -1067 -1614 -187 1856 -6053 -2040 -2226 1226 2118 542 -1057 5405 -887 -5698 -3022 1230 85 2323 -328 -1095 1562 1674 523 7169 3553 1755 -3825 5685 -3736 962 574 930 -11081 5753 5425 5332 2676 -4476 -4785 -351 -1517 -1856 5372
+5265 -1404 -394 1087 824 -406 3875 1811 -877 2298 -239 -3849 1738 -2501 -3382 -1887 4360 -690 326 -415 -48 910 -3448 -5915 1424 4121 -6152 -2902 2788 438 -1964 725 -2409 -3123 9795 -490 -5437 -2186 -824 -1318 -3723 -2691 -86 1722 -656 -1560 1738 2316 -1427 -830 6236 4057 -1570 -1433 -3040 14 -373 -934 -1502 -174 1744 -6090 -2037 -2184 1186 2193 588 -1037 5320 -937 -5810 -3300 1206 58 2311 -277 -1214 1470 1607 519 7121 3522 1751 -3865 5623 -3770 1058 672 926 -10993 5857 5449 5335 2806 -4321 -4746 -354 -1528 -1897 5485
+5200 -1395 -454 1068 784 -523 3840 1820 -854 2223 -305 -3712 1809 -2616 -3370 -1836 4228 -783 342 -423 -45 897 -3580 -5984 1449 4314 -6195 -2900 2834 529 -2026 719 -2407 -3107 9793 -369 -5379 -2147 -956 -1287 -3900 -2770 -53 1711 -624 -1608 1762 2267 -1433 -731 6323 4044 -1527 -1418 -3044 -67 -381 -842 -1521 -217 1866 -6081 -2219 -2452 1162 2183 550 -1007 5337 -918 -5849 -3529 1032 61 2273 -377 -1291 1477 1539 376 6888 3407 1674 -3904 5563 -3673 1207 713 947 -10976 5956 5505 5378 2895 -4315 -4771 -390 -1610 -1958 5540
+5356 -1297 -610 1082 794 -571 3764 1741 -862 2187 -260 -3622 1725 -2689 -3411 -1894 4094 -866 363 -461 -108 925 -3557 -6252 1553 4372 -6233 -2917 2826 494 -2067 773 -2437 -3219 9858 -246 -5374 -2158 -970 -1203 -4088 -2742 -21 1634 -581 -1723 1766 2278 -1532 -739 6459 3935 -1464 -1346 -3026 -200 -408 -803 -1564 -297 1908 -6153 -2406 -2681 1218 2179 531 -993 5420 -864 -5834 -3620 877 135 2195 -388 -1298 1457 1450 153 6727 3305 1556 -3902 5596 -3589 1350 778 969 -11007 5941 5488 5437 2933 -4442 -4847 -427 -1708 -2079 5510
+5541 -1185 -649 1066 830 -529 3791 1774 -851 2239 -136 -3617 1535 -2600 -3414 -1959 4030 -868 394 -428 -182 913 -3348 -6392 1514 4245 -6243 -2978 2756 386 -2073 891 -2470 -3332 9906 -236 -5412 -2221 -956 -1044 -4241 -2765 99 1689 -556 -1817 1743 2353 -1614 -879 6467 3725 -1412 -1216 -2919 -184 -424 -853 -1607 -311 1989 -6104 -2283 -2606 1311 2119 464 -1120 5352 -877 -5783 -3491 825 198 2155 -358 -1220 1489 1444 94 6790 3331 1529 -3881 5798 -3615 1262 681 867 -11085 6013 5504 5482 2859 -4720 -4936 -398 -1729 -2213 5424
+5660 -1088 -542 1078 780 -556 3863 1804 -864 2373 27 -3779 1431 -2404 -3462 -2020 4083 -802 417 -398 -215 838 -3207 -6279 1432 4091 -6226 -3012 2689 291 -2029 1011 -2511 -3398 9997 -248 -5446 -2267 -928 -1047 -4173 -2832 114 1702 -561 -1778 1709 2442 -1640 -962 6369 3717 -1393 -1162 -2844 -111 -370 -882 -1614 -258 2023 -6115 -2136 -2231 1390 2183 543 -1149 5279 -946 -5769 -3278 910 179 2166 -376 -1175 1531 1477 54 6976 3409 1527 -3842 6028 -3744 1189 704 796 -11177 5982 5482 5416 2676 -4839 -4927 -390 -1786 -2235 5426
+5536 -1205 -371 1102 696 -530 3974 1824 -899 2450 99 -4023 1524 -2193 -3500 -2023 4195 -704 396 -348 -180 895 -3274 -6101 1512 4035 -6301 -3028 2736 354 -1958 1073 -2511 -3353 10081 -279 -5487 -2342 -903 -1070 -4015 -2840 107 1656 -595 -1690 1654 2474 -1563 -867 6253 3881 -1460 -1190 -2815 -22 -302 -862 -1555 -240 1934 -6165 -2183 -2017 1324 2146 626 -1028 5179 -1094 -5887 -3189 1064 83 2322 -209 -1244 1458 1516 132 7110 3497 1610 -3767 6046 -3883 1124 732 882 -11306 5978 5480 5319 2535 -4824 -4881 -406 -1823 -2135 5564
+5303 -1336 -243 1094 616 -526 4042 1817 -933 2382 123 -4163 1731 -2118 -3500 -1998 4262 -603 411 -349 -156 955 -3415 -5964 1714 4038 -6333 -3012 2899 496 -1880 1028 -2505 -3160 10160 -279 -5502 -2359 -872 -1149 -3838 -2817 31 1514 -535 -1612 1637 2414 -1405 -760 6099 4061 -1600 -1267 -2855 70 -217 -797 -1537 -291 1858 -6259 -2409 -2029 1299 2106 681 -805 5227 -1169 -6044 -3279 1147 -9 2424 -165 -1341 1346 1480 121 7030 3465 1592 -3696 5987 -3964 1182 783 1006 -11259 5942 5383 5150 2391 -4779 -4828 -453 -1756 -1892 5576
+5235 -1347 -325 1066 589 -528 4027 1740 -986 2223 6 -4230 1987 -2229 -3531 -1978 4204 -637 407 -363 -155 958 -3396 -5971 1825 4063 -6394 -2989 2977 564 -1865 939 -2502 -3064 10231 -292 -5495 -2325 -851 -1173 -3871 -2767 -3 1349 -486 -1594 1667 2427 -1456 -767 6092 4128 -1673 -1280 -2876 132 -263 -815 -1541 -375 1720 -6315 -2540 -2242 1296 2080 692 -667 5255 -1207 -6177 -3416 1149 14 2440 -200 -1378 1302 1461 116 6943 3366 1565 -3669 5882 -3891 1265 798 1081 -11293 5852 5339 5118 2346 -4609 -4750 -498 -1752 -1758 5494
+5432 -1266 -446 987 599 -476 3987 1718 -1015 2131 -88 -4168 2149 -2413 -3501 -1928 4106 -688 438 -379 -193 909 -3199 -6070 1726 3962 -6322 -2982 2882 442 -1936 852 -2511 -3104 10252 -391 -5492 -2279 -803 -1075 -3970 -2713 -100 1161 -439 -1660 1689 2497 -1586 -1015 6075 4096 -1606 -1198 -2889 135 -344 -856 -1589 -385 1704 -6290 -2390 -2245 1443 2109 643 -787 5233 -1148 -6159 -3457 1047 71 2326 -331 -1217 1405 1446 27 6865 3335 1555 -3707 5848 -3885 1188 714 1078 -11283 5697 5288 5108 2294 -4534 -4706 -470 -1772 -1888 5349
+5466 -1283 -594 942 626 -427 3960 1684 -1064 2192 -158 -4204 2192 -2540 -3478 -1912 4006 -777 466 -376 -184 815 -2968 -6197 1662 3848 -6183 -2896 2739 189 -1976 858 -2508 -3251 10216 -444 -5455 -2203 -849 -1051 -4061 -2643 -97 1103 -427 -1723 1627 2582 -1632 -1115 6105 4063 -1473 -1092 -2874 59 -546 -967 -1596 -314 1724 -6277 -2103 -2085 1555 2177 650 -972 5075 -1129 -6048 -3346 958 86 2213 -494 -1077 1531 1462 66 7000 3363 1551 -3791 5893 -3928 1055 614 993 -11398 5713 5375 5170 2374 -4469 -4711 -416 -1789 -2116 5280
+5402 -1376 -597 847 646 -365 3941 1714 -1046 2334 -183 -4233 2080 -2556 -3414 -1920 3978 -821 494 -353 -127 811 -3006 -6251 1654 3861 -6105 -2844 2676 93 -1996 850 -2473 -3381 10163 -424 -5447 -2201 -898 -957 -4044 -2635 -8 1230 -436 -1776 1526 2616 -1586 -1128 6171 4132 -1438 -1048 -2874 -41 -588 -993 -1561 -227 1810 -6233 -1950 -2049 1466 2228 661 -1097 5021 -1098 -5899 -3173 953 67 2261 -530 -1027 1609 1474 113 7063 3387 1557 -3704 5962 -3998 926 571 975 -11445 5829 5477 5219 2480 -4474 -4773 -393 -1871 -2337 5365
+5176 -1496 -561 832 619 -420 3831 1732 -946 2348 -123 -4223 1878 -2429 -3440 -1959 4041 -861 482 -357 -98 867 -3245 -6244 1769 3990 -6183 -2817 2776 236 -2017 832 -2434 -3394 10107 -317 -5394 -2163 -952 -921 -4002 -2599 144 1339 -502 -1777 1405 2530 -1495 -916 6261 4254 -1395 -1051 -2888 -9 -435 -905 -1538 -228 1894 -6319 -2081 -2263 1144 2113 628 -1013 5086 -1115 -5869 -3189 955 11 2443 -478 -1061 1577 1419 103 7116 3471 1647 -3538 5890 -4028 933 547 1021 -11380 5857 5503 5253 2637 -4427 -4825 -394 -1898 -2359 5501
+5115 -1482 -544 832 659 -550 3734 1755 -884 2273 -80 -4066 1623 -2314 -3490 -2041 4148 -815 442 -413 -138 885 -3438 -6223 1806 4081 -6358 -2871 2890 434 -2074 799 -2408 -3350 10067 -182 -5382 -2185 -964 -967 -3977 -2609 170 1373 -491 -1755 1359 2366 -1397 -889 6323 4339 -1447 -1082 -2895 -24 -236 -758 -1489 -253 1872 -6382 -2354 -2528 967 2045 615 -784 5326 -1094 -5915 -3334 922 52 2602 -300 -1151 1474 1349 75 7043 3453 1647 -3389 5882 -3955 1045 566 1046 -11333 5852 5511 5255 2714 -4361 -4820 -399 -1868 -2221 5474
+5234 -1409 -596 825 734 -652 3646 1749 -870 2148 -93 -3815 1415 -2314 -3435 -2102 4166 -817 394 -385 -231 810 -3345 -6216 1741 4014 -6539 -2943 2895 511 -2170 807 -2402 -3313 10012 -201 -5405 -2199 -868 -972 -4044 -2576 132 1338 -508 -1797 1394 2290 -1277 -1117 6361 4244 -1502 -1070 -2868 8 -109 -672 -1461 -345 1732 -6293 -2375 -2517 1035 2024 535 -780 5412 -1040 -5947 -3440 874 39 2604 -278 -1048 1501 1302 -69 7075 3444 1554 -3446 6138 -3939 1085 586 1140 -11100 5803 5422 5236 2641 -4454 -4789 -315 -1620 -2056 5350
+5438 -1216 -631 881 824 -675 3636 1742 -877 2148 -100 -3628 1406 -2465 -3441 -2149 4172 -775 413 -399 -320 780 -3198 -6437 1690 3924 -6549 -3032 2747 445 -2261 886 -2389 -3339 9989 -245 -5420 -2225 -788 -994 -4070 -2529 6 1305 -522 -1821 1496 2302 -1324 -1324 6269 4025 -1466 -1004 -2827 -31 -183 -686 -1524 -382 1713 -6267 -2239 -2364 1244 2148 515 -954 5351 -955 -5899 -3425 781 22 2425 -398 -899 1589 1365 -50 7158 3462 1518 -3612 6301 -3986 1045 553 1053 -10993 5781 5391 5260 2543 -4500 -4727 -264 -1495 -2045 5177
+5501 -1231 -594 870 900 -585 3652 1691 -923 2266 -230 -3578 1524 -2592 -3355 -2120 4093 -791 464 -397 -348 735 -3091 -6660 1776 3771 -6463 -3033 2573 286 -2281 939 -2429 -3435 10004 -254 -5432 -2268 -770 -1056 -3981 -2544 -195 1283 -481 -1840 1550 2294 -1273 -1365 6148 3940 -1506 -1015 -2783 -52 -323 -808 -1560 -350 1733 -6243 -2073 -2173 1331 2227 544 -1088 5244 -888 -5791 -3228 775 0 2208 -505 -783 1676 1433 13 7258 3441 1414 -3834 6385 -4066 950 500 923 -10886 5854 5387 5266 2510 -4705 -4770 -257 -1436 -2081 5176
+5442 -1287 -463 892 884 -495 3752 1684 -923 2334 -337 -3694 1712 -2684 -3286 -2069 4075 -775 535 -398 -260 772 -3219 -6841 1992 3822 -6353 -2957 2618 286 -2180 953 -2502 -3379 9972 -237 -5426 -2278 -829 -1180 -3786 -2541 -314 1379 -496 -1829 1546 2290 -1271 -1258 6153 3954 -1599 -1130 -2835 -47 -458 -985 -1655 -311 1927 -6285 -2161 -2224 1218 2192 594 -1058 5250 -878 -5751 -3061 930 49 2153 -505 -913 1602 1428 102 7257 3439 1389 -3912 6252 -4137 964 544 906 -10979 6007 5514 5366 2604 -4772 -4844 -363 -1560 -2032 5327
+5351 -1321 -357 908 825 -444 3788 1686 -904 2230 -365 -3857 1768 -2676 -3293 -2066 4089 -727 525 -320 -135 808 -3308 -6686 2079 3976 -6311 -2860 2799 379 -2039 904 -2579 -3340 9999 -191 -5412 -2270 -915 -1373 -3590 -2591 -352 1481 -579 -1732 1548 2177 -1234 -1152 6216 4057 -1776 -1333 -2933 15 -421 -1024 -1643 -309 1935 -6347 -2299 -2406 1078 2074 578 -903 5335 -919 -5755 -3031 1079 55 2242 -403 -1032 1460 1407 247 7245 3408 1392 -3859 6098 -4140 1068 625 1005 -11152 6027 5588 5426 2657 -4891 -4935 -452 -1776 -2059 5490
+5342 -1336 -387 887 751 -484 3830 1704 -944 2045 -311 -4061 1814 -2541 -3306 -2021 4122 -803 503 -272 -102 787 -3306 -6450 1989 4161 -6319 -2837 2960 425 -2000 825 -2556 -3246 9996 -194 -5404 -2227 -892 -1434 -3606 -2586 -230 1586 -608 -1696 1592 2124 -1235 -1164 6362 4134 -1838 -1484 -3061 5 -324 -984 -1610 -326 1830 -6316 -2361 -2405 1155 2038 543 -771 5521 -924 -5790 -3197 1106 69 2389 -325 -1107 1415 1342 146 7168 3446 1442 -3780 6013 -4117 1134 605 1076 -11240 6056 5620 5467 2701 -4878 -4963 -519 -1913 -1980 5563
+5456 -1348 -417 874 716 -531 3829 1708 -991 1926 -137 -4175 1788 -2493 -3392 -2102 4151 -800 461 -260 -173 711 -3162 -6291 1756 4208 -6314 -2931 2916 320 -2028 811 -2534 -3256 10020 -241 -5379 -2184 -909 -1386 -3779 -2581 -71 1649 -605 -1779 1580 2195 -1246 -1339 6420 4007 -1745 -1470 -3090 1 -270 -899 -1559 -393 1618 -6247 -2257 -2190 1366 2108 556 -816 5491 -959 -5798 -3371 1016 83 2467 -270 -1027 1477 1347 28 7214 3536 1494 -3676 6163 -4158 1112 597 1060 -11258 6085 5614 5465 2656 -4810 -4905 -463 -1880 -1992 5563
+5502 -1251 -483 874 694 -534 3908 1739 -1068 2055 40 -4280 1846 -2449 -3403 -2122 4136 -762 482 -305 -310 797 -3013 -6339 1585 4105 -6234 -3071 2734 215 -2051 841 -2462 -3317 9970 -302 -5375 -2150 -850 -1279 -3977 -2520 8 1642 -524 -1893 1646 2299 -1318 -1449 6421 3886 -1619 -1408 -3088 -139 -316 -841 -1515 -310 1639 -6242 -2064 -2015 1532 2219 572 -1013 5333 -952 -5777 -3499 884 30 2416 -196 -948 1548 1335 -153 7201 3589 1580 -3558 6249 -4277 983 590 1088 -11269 6098 5569 5351 2542 -4560 -4753 -421 -1792 -1922 5466
+5434 -1290 -496 864 699 -530 3903 1707 -1112 2242 130 -4281 1899 -2430 -3448 -2154 4117 -704 538 -420 -429 859 -2974 -6519 1578 3977 -6235 -3181 2586 138 -2049 841 -2523 -3360 9882 -357 -5374 -2131 -864 -1179 -4120 -2547 56 1721 -549 -1997 1606 2334 -1358 -1283 6378 3857 -1448 -1331 -3028 -227 -429 -907 -1545 -232 1841 -6298 -2134 -2152 1505 2239 596 -1148 5194 -941 -5782 -3563 837 47 2372 -69 -944 1524 1351 -223 7068 3572 1681 -3442 6143 -4311 899 541 1005 -11205 6125 5518 5236 2514 -4440 -4721 -427 -1715 -1829 5404
+5328 -1320 -425 888 671 -566 3864 1677 -1050 2383 83 -4193 1956 -2472 -3426 -2125 4071 -675 557 -442 -423 962 -3059 -6619 1680 3941 -6313 -3175 2615 257 -1958 792 -2581 -3363 9815 -357 -5404 -2166 -868 -1244 -4112 -2643 -12 1738 -612 -1903 1568 2314 -1351 -1091 6402 3939 -1411 -1323 -2962 -209 -439 -994 -1635 -184 2143 -6293 -2308 -2364 1352 2158 576 -1116 5215 -927 -5803 -3481 936 19 2404 -109 -1039 1466 1353 -215 6919 3552 1739 -3420 5912 -4338 950 572 1055 -11282 6050 5455 5131 2510 -4314 -4733 -504 -1725 -1743 5449
+5332 -1277 -414 874 617 -604 3837 1727 -954 2359 -28 -4028 1903 -2448 -3439 -2088 3998 -696 566 -393 -295 893 -3076 -6399 1559 3857 -6378 -3164 2690 428 -1932 770 -2593 -3343 9758 -307 -5404 -2199 -892 -1296 -4025 -2725 -88 1679 -670 -1851 1518 2184 -1410 -1065 6535 3983 -1415 -1321 -2928 -158 -343 -1014 -1705 -224 2265 -6279 -2411 -2471 1299 2087 525 -987 5363 -939 -5891 -3410 1133 99 2409 -79 -1205 1365 1416 22 6891 3515 1767 -3416 5690 -4279 1055 607 1145 -11315 5935 5397 5117 2492 -4416 -4827 -515 -1717 -1788 5478
+5402 -1291 -411 893 596 -678 3799 1764 -898 2254 -146 -3972 1786 -2399 -3435 -2036 3933 -777 558 -309 -165 763 -3049 -6126 1472 3802 -6380 -3110 2723 421 -1938 818 -2569 -3270 9777 -254 -5419 -2243 -888 -1386 -3926 -2801 -145 1577 -784 -1755 1591 2154 -1454 -1052 6537 4062 -1449 -1313 -2869 -57 -246 -1002 -1752 -321 2200 -6235 -2408 -2275 1370 2096 533 -821 5522 -992 -5975 -3310 1284 194 2431 -105 -1231 1346 1447 201 6999 3522 1745 -3490 5797 -4238 1041 524 1178 -11379 5809 5373 5181 2435 -4611 -4918 -483 -1754 -2004 5440
+5454 -1263 -472 922 590 -687 3801 1771 -890 2197 -191 -4014 1716 -2369 -3457 -1980 3945 -830 611 -264 -124 779 -3073 -6165 1533 3784 -6268 -3038 2659 388 -2023 896 -2480 -3224 9849 -211 -5399 -2265 -832 -1439 -3925 -2816 -188 1473 -733 -1753 1693 2233 -1478 -1138 6440 4050 -1478 -1309 -2865 34 -215 -971 -1668 -331 1947 -6260 -2334 -1966 1479 2172 596 -792 5403 -1113 -5981 -3189 1223 187 2338 -310 -1178 1460 1530 328 7181 3557 1631 -3631 6047 -4292 995 524 1132 -11382 5759 5394 5210 2337 -4724 -4904 -381 -1737 -2151 5401
+5408 -1290 -582 943 676 -624 3824 1794 -939 2211 -173 -4072 1668 -2367 -3485 -1991 3966 -849 685 -327 -222 826 -3052 -6486 1741 3888 -6148 -2992 2624 322 -2082 962 -2469 -3276 9958 -149 -5382 -2248 -868 -1445 -3979 -2800 -217 1422 -648 -1795 1791 2240 -1486 -1093 6311 4134 -1543 -1320 -2804 201 -340 -1061 -1603 -277 1814 -6288 -2251 -1976 1400 2188 660 -844 5266 -1157 -5949 -3179 1028 215 2233 -307 -1086 1513 1489 268 7246 3553 1536 -3669 6237 -4323 952 490 943 -11277 5819 5393 5221 2382 -4804 -4905 -354 -1722 -2080 5332
+5289 -1355 -699 920 768 -529 3829 1740 -990 2229 -135 -4085 1690 -2368 -3532 -2030 4052 -805 730 -406 -347 903 -2986 -6729 1844 3959 -6123 -2926 2668 364 -2061 906 -2511 -3280 9987 -173 -5396 -2232 -804 -1400 -4096 -2780 -172 1418 -550 -1841 1764 2219 -1431 -947 6225 4246 -1536 -1316 -2808 193 -541 -1192 -1626 -178 1885 -6330 -2273 -2194 1306 2173 688 -894 5196 -1125 -5898 -3241 809 101 2168 -296 -1067 1500 1432 167 7103 3517 1490 -3662 6245 -4353 991 544 910 -11205 5939 5450 5207 2456 -4717 -4858 -397 -1817 -1925 5253
+5285 -1390 -689 960 760 -473 3835 1745 -926 2168 -92 -3883 1712 -2459 -3489 -2014 4154 -719 723 -380 -342 901 -2937 -6719 1738 4113 -6158 -2866 2790 510 -2062 849 -2557 -3251 9994 -291 -5388 -2154 -783 -1242 -4126 -2764 -72 1506 -520 -1824 1694 2170 -1435 -759 6120 4271 -1549 -1293 -2836 150 -686 -1294 -1686 -116 2058 -6217 -2257 -2503 1249 2116 598 -940 5274 -1031 -5894 -3399 727 74 2199 -230 -1172 1456 1425 202 7031 3523 1573 -3624 6048 -4280 1179 611 855 -11170 6007 5516 5226 2548 -4505 -4767 -475 -1848 -1720 5192
+5287 -1305 -656 913 748 -376 3845 1721 -853 2117 -102 -3691 1747 -2515 -3458 -1992 4198 -619 719 -302 -250 904 -3007 -6485 1553 4156 -6200 -2849 2853 588 -2050 797 -2530 -3215 9963 -406 -5372 -2096 -710 -1132 -4096 -2735 96 1639 -520 -1858 1594 2159 -1388 -807 6065 4287 -1457 -1230 -2889 66 -563 -1264 -1724 -139 2093 -6141 -2147 -2442 1268 2117 509 -926 5434 -935 -5884 -3468 751 20 2363 -231 -1222 1492 1491 305 7085 3586 1677 -3648 5888 -4167 1243 578 886 -11118 6015 5544 5245 2573 -4469 -4744 -502 -1799 -1759 5137
+5439 -1243 -592 890 691 -452 3869 1753 -838 2128 -151 -3593 1765 -2531 -3369 -1950 4132 -585 682 -261 -177 887 -3152 -6332 1460 4090 -6220 -2953 2751 524 -2092 803 -2465 -3159 9997 -479 -5366 -2068 -709 -1107 -4035 -2793 127 1675 -548 -1854 1626 2190 -1447 -823 6045 4298 -1335 -1151 -2905 -10 -453 -1183 -1715 -183 2095 -6088 -2083 -2302 1271 2196 554 -859 5502 -952 -5901 -3451 825 111 2518 -350 -1239 1561 1556 418 7209 3612 1705 -3803 5868 -4097 1204 473 794 -11131 6097 5629 5348 2589 -4482 -4740 -497 -1814 -1944 5214
+5513 -1192 -554 872 628 -502 3911 1785 -854 2222 -177 -3741 1754 -2417 -3344 -1985 4031 -623 635 -238 -165 929 -3268 -6443 1501 4106 -6276 -3068 2641 424 -2131 825 -2382 -3140 9971 -441 -5379 -2101 -702 -1074 -3966 -2790 89 1620 -517 -1896 1690 2149 -1374 -904 6135 4270 -1320 -1096 -2874 15 -291 -1013 -1586 -200 1953 -6192 -2105 -2188 1079 2139 602 -801 5377 -1054 -5850 -3218 834 145 2535 -463 -1245 1574 1577 440 7172 3574 1698 -3838 5835 -4047 1068 362 655 -11121 6051 5641 5436 2642 -4549 -4777 -471 -1844 -2151 5244
+5538 -1128 -589 902 699 -525 3882 1753 -899 2364 -148 -3981 1799 -2298 -3410 -2004 3984 -747 666 -297 -230 933 -3231 -6733 1744 4064 -6358 -3102 2670 389 -2139 823 -2395 -3156 10007 -288 -5335 -2099 -809 -1135 -3912 -2813 -94 1409 -507 -1923 1728 2123 -1319 -910 6252 4166 -1340 -1047 -2801 32 -236 -918 -1479 -149 1878 -6300 -2132 -2424 923 2084 630 -826 5273 -1094 -5739 -2948 734 107 2443 -517 -1229 1559 1544 371 6999 3446 1598 -3803 5730 -4113 1001 339 593 -11032 6008 5578 5461 2733 -4663 -4857 -450 -1923 -2261 5246
+5495 -1058 -696 919 780 -538 3859 1707 -975 2402 -14 -4176 1876 -2291 -3457 -1994 3971 -893 652 -308 -310 865 -3016 -6872 1873 4080 -6436 -3031 2812 398 -2095 792 -2473 -3232 9984 -145 -5340 -2149 -808 -1194 -3934 -2762 -227 1268 -512 -1904 1693 2023 -1321 -832 6280 4049 -1358 -981 -2735 48 -293 -973 -1514 -134 1946 -6255 -2145 -2616 929 2046 623 -819 5306 -1057 -5706 -2871 716 28 2304 -498 -1212 1490 1497 358 6873 3415 1622 -3676 5593 -4081 1018 337 621 -10947 5877 5463 5407 2773 -4642 -4870 -457 -1934 -2218 5218
+5446 -1147 -598 945 812 -512 3867 1703 -1009 2285 174 -4224 1904 -2380 -3498 -2042 4031 -901 603 -299 -300 782 -2855 -6660 1949 4048 -6423 -2904 2937 377 -1949 799 -2530 -3293 9955 -105 -5349 -2173 -712 -1224 -3901 -2690 -294 1165 -525 -1820 1574 1932 -1212 -849 6234 3936 -1404 -996 -2783 -66 -367 -1013 -1592 -184 1978 -6249 -2151 -2512 1129 2068 578 -788 5407 -988 -5745 -2938 840 -181 2206 -446 -1181 1478 1560 388 6835 3429 1705 -3598 5611 -4047 1102 356 709 -10883 5801 5329 5315 2730 -4669 -4871 -396 -1875 -2173 5196
+5413 -1279 -472 936 741 -561 3941 1751 -1049 2180 237 -4129 1851 -2529 -3451 -2084 4077 -805 541 -304 -263 787 -2926 -6401 2047 3912 -6300 -2791 2888 291 -1913 909 -2501 -3316 9876 -189 -5361 -2174 -589 -1156 -3874 -2572 -192 1180 -581 -1824 1534 1986 -1285 -860 6117 3917 -1467 -1068 -2871 -123 -351 -1042 -1706 -358 1958 -6217 -2212 -2140 1312 2103 579 -677 5523 -972 -5874 -3151 994 -295 2258 -487 -1192 1502 1612 432 6965 3528 1822 -3567 5621 -3967 1156 325 721 -10878 5646 5171 5161 2568 -4592 -4807 -371 -1785 -2104 5166
+5397 -1359 -409 925 609 -550 4046 1783 -1088 2156 188 -4183 1845 -2553 -3390 -2125 4112 -659 542 -369 -227 832 -3143 -6325 2109 3839 -6153 -2879 2694 181 -1937 956 -2433 -3315 9902 -282 -5374 -2159 -503 -1109 -3844 -2566 -58 1352 -658 -1841 1564 2108 -1349 -870 6010 3990 -1624 -1234 -3021 -148 -270 -993 -1698 -401 1884 -6395 -2298 -1907 1293 2129 673 -567 5520 -1021 -5945 -3299 1070 -335 2381 -419 -1191 1549 1695 430 6988 3550 1857 -3585 5738 -3956 1137 284 575 -11028 5638 5155 5092 2437 -4507 -4755 -388 -1765 -2071 5242
+5398 -1384 -454 913 609 -636 3995 1752 -1164 2192 -28 -4223 1742 -2527 -3424 -2142 4074 -636 543 -459 -266 853 -3259 -6468 2128 3836 -6172 -3011 2580 136 -2020 911 -2433 -3300 9973 -374 -5383 -2115 -518 -1047 -3845 -2587 7 1407 -639 -1874 1599 2177 -1357 -994 6068 4047 -1774 -1392 -3088 -77 -234 -969 -1617 -375 1763 -6531 -2324 -1956 1160 2052 733 -587 5387 -1098 -5895 -3308 1027 -297 2505 -447 -1256 1538 1700 330 6767 3423 1784 -3694 5703 -4002 1195 333 359 -11194 5735 5226 5088 2473 -4477 -4780 -444 -1776 -2046 5310
+5388 -1271 -616 912 725 -618 3885 1677 -1177 2229 -246 -4232 1635 -2390 -3441 -2141 4039 -702 577 -440 -303 802 -3152 -6649 1991 3922 -6360 -3129 2682 247 -2003 767 -2542 -3215 9999 -484 -5374 -2079 -599 -1027 -3865 -2576 42 1459 -604 -1956 1594 2207 -1430 -1082 6176 3985 -1891 -1477 -3129 -33 -207 -921 -1521 -292 1685 -6544 -2215 -2110 1118 1963 702 -692 5302 -1121 -5754 -3211 888 -103 2549 -406 -1345 1483 1612 138 6616 3298 1698 -3735 5614 -4105 1292 453 326 -11397 5805 5342 5136 2555 -4443 -4805 -485 -1882 -2090 5355
+5376 -1209 -591 929 777 -568 3807 1674 -1019 2183 -314 -4100 1532 -2357 -3422 -2077 3998 -774 601 -395 -249 787 -3006 -6662 1745 3967 -6487 -3168 2809 423 -1954 640 -2655 -3169 10047 -553 -5379 -2072 -681 -1021 -3878 -2554 -29 1503 -535 -1972 1588 2186 -1443 -1116 6312 3855 -1815 -1410 -3093 -89 -273 -864 -1464 -243 1636 -6450 -2057 -2259 1320 2024 644 -802 5348 -1057 -5589 -3058 759 133 2451 -486 -1318 1491 1493 -69 6546 3268 1644 -3802 5452 -4059 1438 555 367 -11409 5781 5366 5182 2650 -4428 -4817 -494 -1958 -2146 5371
+5335 -1296 -438 949 818 -494 3775 1729 -833 2182 -220 -3904 1539 -2443 -3294 -2032 4089 -801 646 -295 -172 851 -3083 -6597 1684 3982 -6429 -3111 2890 516 -1887 639 -2682 -3122 10007 -683 -5399 -2053 -655 -1031 -3821 -2453 -32 1552 -487 -1936 1513 2210 -1345 -1135 6278 3721 -1679 -1319 -3087 -238 -296 -833 -1469 -206 1741 -6315 -2018 -2189 1482 2128 561 -901 5421 -981 -5556 -3062 803 205 2302 -560 -1261 1540 1463 -3 6734 3364 1697 -3812 5498 -4098 1532 607 444 -11444 5661 5352 5189 2589 -4445 -4779 -423 -1991 -2258 5357
+5265 -1453 -230 967 765 -456 3834 1819 -682 2223 -42 -3769 1638 -2587 -3212 -1984 4184 -746 644 -239 -86 995 -3332 -6460 1747 3969 -6232 -3011 2792 473 -1841 752 -2566 -3100 9990 -692 -5423 -2083 -548 -1180 -3701 -2445 -24 1615 -502 -1823 1553 2279 -1170 -1039 6236 3770 -1538 -1241 -3056 -345 -308 -815 -1563 -326 1874 -6343 -2197 -2130 1428 2189 549 -887 5480 -947 -5636 -3082 958 88 2206 -588 -1176 1606 1529 171 6914 3445 1770 -3780 5554 -4096 1473 592 508 -11338 5584 5353 5207 2482 -4425 -4727 -410 -1996 -2182 5448
+5332 -1456 -151 936 771 -498 3808 1857 -677 2300 53 -3814 1849 -2718 -3211 -1973 4305 -706 614 -271 -115 979 -3461 -6401 1861 3975 -6086 -2924 2675 364 -1882 855 -2499 -3075 9957 -685 -5477 -2145 -562 -1259 -3626 -2539 -92 1643 -564 -1730 1660 2287 -1197 -1096 6276 3920 -1555 -1284 -3050 -345 -162 -750 -1559 -389 1898 -6445 -2330 -2190 1123 2058 520 -847 5546 -951 -5789 -3189 1161 39 2278 -336 -1166 1523 1543 339 6944 3461 1791 -3746 5653 -4106 1310 419 369 -11232 5596 5334 5205 2402 -4532 -4747 -408 -1926 -2094 5499
+5418 -1384 -278 901 691 -585 3751 1863 -749 2274 18 -3887 1971 -2725 -3337 -1999 4325 -748 512 -281 -196 942 -3359 -6415 1913 3975 -6117 -2849 2667 300 -1951 835 -2480 -3069 9931 -615 -5505 -2211 -563 -1375 -3649 -2599 -125 1638 -642 -1764 1715 2266 -1237 -1285 6346 4007 -1568 -1287 -2989 -202 -81 -745 -1507 -351 1810 -6482 -2326 -2353 929 1956 516 -859 5581 -969 -5884 -3222 1244 -81 2359 -168 -1143 1442 1518 311 6786 3302 1705 -3810 5542 -4079 1169 268 244 -11119 5637 5343 5236 2482 -4572 -4780 -448 -1800 -1949 5436
+5488 -1258 -473 833 790 -532 3652 1771 -808 2253 -128 -3904 1945 -2596 -3416 -2024 4295 -734 437 -324 -267 802 -3078 -6444 1838 4014 -6248 -2813 2774 393 -1991 758 -2548 -3046 9841 -484 -5506 -2274 -686 -1377 -3748 -2723 -193 1607 -655 -1854 1767 2164 -1372 -1413 6360 3998 -1647 -1304 -2904 19 -129 -770 -1420 -226 1710 -6365 -2094 -2404 994 1935 508 -848 5631 -973 -5845 -3178 1108 27 2334 -66 -1045 1459 1511 172 6643 3189 1592 -3954 5470 -3961 1127 142 212 -10990 5663 5343 5259 2629 -4691 -4861 -470 -1742 -2027 5209
+5405 -1306 -563 780 842 -368 3720 1737 -859 2196 -279 -3893 1808 -2403 -3406 -2031 4231 -675 434 -352 -218 813 -2982 -6498 1802 4069 -6300 -2794 2884 517 -1949 696 -2560 -3063 9750 -432 -5480 -2279 -704 -1251 -3876 -2714 -157 1655 -510 -1902 1685 2085 -1319 -1386 6281 3918 -1684 -1246 -2827 132 -280 -858 -1371 -123 1643 -6233 -1918 -2357 1153 2094 577 -768 5654 -997 -5740 -3059 981 188 2396 -184 -908 1577 1549 125 6664 3209 1629 -4002 5350 -3841 1193 133 315 -10782 5706 5321 5279 2701 -4754 -4878 -422 -1668 -2173 5125
+5244 -1474 -450 795 765 -263 3835 1754 -854 2216 -305 -3897 1629 -2252 -3274 -2004 4147 -549 491 -355 -100 909 -3106 -6413 1714 4073 -6239 -2873 2850 564 -1902 769 -2514 -3081 9646 -377 -5428 -2238 -745 -1102 -3967 -2685 -97 1799 -473 -1895 1635 2129 -1179 -1204 6134 4013 -1689 -1275 -2865 156 -436 -991 -1426 -114 1681 -6178 -1985 -2208 1253 2223 653 -604 5659 -1039 -5694 -3043 925 373 2378 -240 -879 1638 1563 114 6847 3302 1680 -3995 5366 -3854 1247 150 348 -10686 5642 5278 5318 2758 -4752 -4890 -420 -1795 -2307 5141
+5196 -1547 -333 858 709 -274 4015 1831 -905 2299 -247 -4062 1641 -2243 -3181 -1962 4078 -574 513 -321 27 1019 -3315 -6242 1619 3962 -6127 -2963 2712 526 -1921 878 -2419 -3141 9594 -273 -5399 -2217 -772 -1068 -3958 -2696 -27 1944 -446 -1778 1606 2237 -1040 -1096 6023 4212 -1707 -1326 -2906 129 -526 -1069 -1519 -182 1750 -6223 -2230 -2234 1136 2200 720 -480 5639 -1066 -5704 -3110 908 430 2501 -330 -1005 1637 1544 224 7028 3380 1726 -3930 5427 -3903 1311 276 282 -10657 5712 5306 5368 2783 -4713 -4894 -447 -1877 -2196 5206
+5309 -1490 -359 885 692 -392 4089 1869 -995 2343 -88 -4215 1722 -2329 -3247 -1956 4072 -689 511 -284 37 999 -3354 -5995 1368 3980 -6138 -3080 2651 506 -2011 911 -2333 -3190 9640 -218 -5358 -2152 -848 -1094 -3961 -2767 -24 1875 -484 -1744 1664 2288 -1110 -1091 5965 4373 -1737 -1383 -2939 134 -520 -1073 -1569 -237 1759 -6352 -2284 -2383 933 2073 724 -473 5580 -1084 -5771 -3178 953 318 2659 -258 -1199 1513 1464 232 6957 3326 1680 -3854 5530 -4094 1260 307 238 -10733 5831 5341 5334 2753 -4599 -4849 -460 -1998 -2051 5181
+5478 -1218 -535 930 743 -533 4036 1821 -1113 2299 98 -4277 1808 -2454 -3393 -1975 4053 -865 448 -308 -100 911 -3220 -5889 1226 3988 -6243 -3134 2656 462 -2105 835 -2391 -3207 9699 -218 -5342 -2122 -864 -1198 -3990 -2824 -52 1753 -583 -1764 1687 2240 -1262 -1205 5930 4352 -1683 -1334 -2904 153 -413 -1009 -1480 -129 1755 -6251 -2147 -2408 930 1963 690 -557 5584 -1045 -5815 -3200 936 125 2729 -231 -1321 1450 1401 186 6797 3221 1591 -3857 5571 -4191 1281 330 186 -10883 5918 5369 5285 2752 -4430 -4767 -429 -1977 -1970 5102
+5561 -1082 -656 966 786 -572 3988 1766 -1079 2235 228 -4136 1877 -2503 -3522 -1979 4086 -947 444 -376 -267 878 -3071 -5955 1217 4048 -6275 -3134 2734 473 -2103 742 -2465 -3205 9787 -295 -5343 -2097 -821 -1228 -4039 -2827 -90 1504 -545 -1781 1719 2210 -1423 -1183 5976 4212 -1572 -1218 -2789 252 -365 -933 -1381 -69 1713 -6147 -1873 -2313 1073 2004 643 -606 5620 -1021 -5820 -3136 880 65 2610 -212 -1295 1419 1361 102 6758 3195 1512 -4032 5648 -4189 1288 345 350 -11034 5881 5352 5225 2734 -4316 -4714 -402 -1859 -2027 4951
+5448 -1116 -620 1011 797 -475 3971 1700 -950 2268 215 -3952 1981 -2466 -3598 -1914 4143 -841 519 -469 -320 979 -3119 -6124 1445 4034 -6182 -3046 2769 421 -1987 734 -2568 -3110 9815 -415 -5390 -2131 -754 -1134 -4094 -2782 -88 1389 -483 -1795 1636 2263 -1451 -1035 5993 4065 -1457 -1098 -2705 250 -328 -887 -1300 57 1781 -6122 -1778 -2266 1256 2166 687 -528 5701 -1025 -5776 -3015 725 74 2420 -287 -1095 1562 1444 54 6776 3211 1525 -4127 5691 -4138 1323 312 453 -11103 5775 5297 5178 2618 -4307 -4682 -362 -1796 -2215 4914
+5348 -1178 -544 1024 774 -443 3944 1664 -875 2345 70 -3858 1959 -2318 -3571 -1865 4184 -739 544 -493 -276 1051 -3267 -6148 1609 3973 -6043 -2989 2732 283 -1860 760 -2639 -3101 9847 -576 -5448 -2160 -596 -1006 -4144 -2700 -78 1413 -411 -1738 1588 2319 -1374 -897 6090 4054 -1429 -1074 -2679 196 -344 -886 -1398 -23 1924 -6210 -2003 -2260 1240 2196 723 -378 5785 -1042 -5711 -2889 627 62 2222 -356 -992 1621 1578 161 6796 3201 1560 -4108 5636 -4198 1307 341 490 -11178 5679 5264 5163 2498 -4368 -4737 -430 -1896 -2327 5007
+5356 -1290 -434 1067 695 -509 3938 1693 -824 2421 -146 -3901 1895 -2241 -3571 -1858 4179 -662 511 -468 -109 948 -3362 -6012 1675 3941 -5990 -2936 2735 213 -1752 776 -2706 -3074 9930 -631 -5492 -2202 -522 -868 -4059 -2726 -94 1424 -452 -1710 1546 2392 -1277 -828 6130 4151 -1398 -1124 -2762 117 -330 -942 -1572 -201 2001 -6298 -2277 -2308 1073 2024 676 -338 5811 -1043 -5672 -2833 605 -32 2304 -318 -1069 1542 1628 190 6638 3168 1623 -3940 5574 -4314 1317 403 378 -11218 5702 5248 5110 2403 -4418 -4791 -530 -2062 -2293 5085
+5368 -1284 -497 1073 680 -580 3847 1677 -877 2436 -343 -3918 1800 -2210 -3541 -1884 4141 -714 444 -376 -25 861 -3379 -5898 1637 3952 -6136 -2921 2774 245 -1740 795 -2687 -3010 9925 -604 -5499 -2235 -578 -883 -4013 -2743 -49 1560 -547 -1720 1590 2458 -1313 -859 6118 4185 -1450 -1205 -2852 29 -362 -996 -1707 -349 2001 -6348 -2354 -2193 991 1887 657 -397 5706 -1084 -5708 -2928 613 -106 2517 -221 -1177 1418 1603 199 6605 3181 1678 -3748 5423 -4471 1235 409 376 -11330 5763 5322 5053 2317 -4417 -4787 -577 -2214 -2248 5146
+5426 -1189 -631 1047 685 -594 3794 1667 -939 2270 -373 -3816 1689 -2327 -3526 -1943 4111 -798 400 -384 -77 806 -3376 -5979 1617 3989 -6344 -2984 2821 432 -1825 778 -2619 -2982 9956 -472 -5434 -2213 -645 -1013 -3908 -2772 -23 1538 -543 -1764 1637 2489 -1528 -933 5986 4066 -1483 -1255 -2893 40 -390 -1006 -1665 -369 1829 -6373 -2222 -2115 1088 1894 667 -462 5582 -1108 -5730 -3030 656 -270 2606 -257 -1216 1398 1538 81 6629 3217 1676 -3701 5442 -4491 1184 372 463 -11224 5839 5308 4968 2305 -4457 -4755 -547 -2229 -2197 5068
+5390 -1166 -650 1019 723 -514 3811 1677 -953 2174 -254 -3746 1758 -2471 -3374 -1959 4087 -882 409 -451 -171 870 -3361 -6289 1735 4012 -6374 -3024 2780 533 -1924 825 -2480 -3004 9921 -446 -5389 -2145 -616 -1082 -3896 -2666 65 1442 -471 -1810 1682 2451 -1716 -910 5902 3978 -1538 -1315 -2933 85 -432 -1064 -1606 -295 1776 -6324 -2067 -1931 1264 2054 721 -491 5472 -1134 -5738 -3091 716 -257 2503 -421 -1141 1513 1521 -26 6748 3313 1708 -3745 5567 -4401 1167 274 552 -11113 5808 5274 4957 2302 -4488 -4704 -499 -2157 -2151 5016
+5377 -1230 -567 1048 758 -418 3896 1675 -962 2175 -111 -3769 1782 -2523 -3278 -1981 4126 -809 420 -457 -232 1019 -3342 -6447 1752 4033 -6295 -3039 2711 554 -1950 894 -2315 -3075 9803 -383 -5354 -2086 -565 -1047 -3875 -2530 130 1365 -411 -1801 1683 2465 -1751 -872 5864 3932 -1664 -1397 -2958 147 -430 -1024 -1520 -193 1787 -6351 -2062 -2025 1359 2218 753 -485 5464 -1135 -5671 -3041 685 -196 2299 -598 -1062 1647 1533 -23 6821 3359 1704 -3772 5711 -4284 1094 120 585 -11059 5815 5303 5022 2313 -4465 -4680 -548 -2171 -2064 4931
+5311 -1309 -450 1024 720 -402 3964 1767 -912 2233 -118 -3872 1853 -2446 -3298 -1944 4243 -733 380 -440 -196 1062 -3396 -6422 1728 4081 -6203 -2980 2684 485 -1991 946 -2268 -3184 9695 -336 -5332 -2040 -515 -979 -3844 -2414 109 1331 -398 -1746 1681 2440 -1577 -843 5915 4007 -1770 -1487 -3016 46 -461 -996 -1545 -216 1817 -6411 -2163 -2285 1274 2155 668 -563 5549 -1075 -5544 -2894 706 -154 2175 -711 -1138 1685 1581 103 6722 3307 1746 -3726 5657 -4289 1057 94 495 -10906 5882 5325 5089 2336 -4505 -4705 -599 -2157 -1997 4967
+5344 -1375 -388 1007 682 -512 3916 1861 -903 2372 -242 -4006 1870 -2325 -3384 -1913 4341 -646 267 -372 -93 965 -3431 -6192 1661 4191 -6194 -2891 2794 470 -2047 890 -2317 -3268 9611 -305 -5306 -1999 -571 -937 -3844 -2453 -2 1293 -508 -1683 1651 2378 -1393 -858 6006 4020 -1722 -1478 -3041 -42 -422 -982 -1650 -297 1916 -6327 -2166 -2418 1119 1990 540 -669 5641 -1023 -5457 -2758 733 -172 2294 -713 -1292 1606 1617 284 6603 3243 1741 -3614 5561 -4370 1084 148 325 -10824 6047 5449 5226 2459 -4535 -4773 -624 -2186 -2064 5105
+5371 -1385 -401 941 632 -569 3823 1886 -869 2404 -334 -4060 1853 -2240 -3457 -1888 4363 -679 213 -387 -50 923 -3515 -6036 1725 4204 -6286 -2814 2932 474 -2083 759 -2401 -3230 9587 -242 -5280 -2033 -670 -959 -3769 -2552 -59 1357 -647 -1677 1698 2338 -1394 -827 6064 4000 -1591 -1394 -3028 -254 -414 -936 -1736 -395 1974 -6371 -2129 -2363 983 1906 464 -831 5680 -983 -5479 -2722 871 -315 2426 -598 -1371 1538 1638 382 6551 3216 1708 -3620 5546 -4369 1139 190 277 -10740 6197 5511 5297 2632 -4473 -4805 -631 -2237 -2186 5065
+5325 -1371 -441 851 597 -604 3741 1870 -859 2306 -265 -4014 1809 -2310 -3487 -1956 4285 -693 217 -464 -101 914 -3563 -6209 1933 4216 -6346 -2836 2953 476 -2162 686 -2416 -3203 9658 -187 -5283 -2063 -739 -1021 -3755 -2617 -45 1389 -674 -1719 1705 2245 -1469 -799 6072 3892 -1441 -1249 -2960 -319 -414 -948 -1758 -389 2090 -6306 -2030 -2235 963 1941 446 -864 5744 -958 -5585 -2855 988 -376 2526 -513 -1296 1505 1581 354 6789 3315 1655 -3722 5611 -4386 1145 171 397 -10694 6190 5520 5353 2744 -4432 -4794 -533 -2192 -2309 4990
+5278 -1353 -537 742 664 -451 3709 1798 -847 2175 -46 -3938 1759 -2472 -3415 -1989 4120 -806 274 -577 -210 932 -3511 -6506 2048 4066 -6358 -2917 2808 379 -2229 732 -2441 -3196 9720 -181 -5331 -2150 -719 -1082 -3708 -2588 -12 1373 -607 -1740 1689 2214 -1607 -847 6162 3874 -1501 -1221 -2890 -228 -384 -926 -1656 -310 2016 -6287 -2003 -2195 1045 2079 489 -772 5757 -961 -5691 -2973 1082 -369 2532 -498 -1123 1547 1541 224 6988 3423 1607 -3852 5769 -4342 1099 82 525 -10660 6126 5456 5369 2797 -4393 -4776 -453 -2091 -2221 4899
+5307 -1382 -592 694 713 -336 3752 1756 -876 2079 176 -3995 1711 -2624 -3404 -2073 4083 -855 302 -594 -260 867 -3340 -6576 2051 3838 -6302 -2949 2658 230 -2241 843 -2452 -3230 9841 -213 -5382 -2186 -664 -1186 -3784 -2584 29 1456 -562 -1796 1643 2241 -1634 -997 6227 3924 -1621 -1243 -2850 -115 -380 -917 -1567 -260 1898 -6324 -2089 -2383 1084 2133 530 -637 5812 -938 -5668 -3004 1023 -240 2436 -509 -1034 1556 1500 211 7125 3475 1567 -3828 6003 -4391 1056 64 531 -10764 6031 5416 5359 2738 -4390 -4761 -407 -1937 -2100 4819
+5293 -1441 -560 715 718 -321 3795 1754 -921 2096 257 -4179 1809 -2654 -3396 -2072 4107 -977 256 -525 -216 811 -3223 -6396 1902 3748 -6355 -2975 2658 184 -2113 911 -2494 -3287 9903 -268 -5419 -2213 -617 -1224 -3863 -2546 17 1522 -578 -1809 1591 2292 -1532 -1007 6243 4044 -1717 -1289 -2861 -46 -466 -1005 -1616 -325 1797 -6304 -2163 -2450 1079 2060 524 -574 5782 -922 -5521 -2885 876 -112 2300 -438 -1076 1541 1539 340 7073 3463 1597 -3726 6014 -4535 986 64 461 -10872 6095 5440 5277 2659 -4340 -4756 -453 -1924 -1950 4791
+5271 -1520 -500 775 712 -345 3816 1749 -980 2140 108 -4331 1834 -2512 -3461 -2013 4215 -912 212 -501 -175 828 -3316 -6126 1790 3774 -6465 -2991 2827 324 -1989 876 -2590 -3239 9937 -341 -5431 -2208 -646 -1292 -3849 -2540 -70 1615 -639 -1696 1576 2344 -1434 -948 6173 4108 -1730 -1282 -2917 -114 -546 -1064 -1736 -446 1802 -6378 -2227 -2346 979 1923 586 -512 5669 -988 -5378 -2706 754 -8 2272 -425 -1186 1516 1567 452 6929 3421 1659 -3617 5918 -4635 926 67 446 -10982 6144 5448 5170 2547 -4369 -4773 -501 -1922 -2020 4827
+5183 -1452 -423 858 748 -396 3807 1731 -981 2114 -149 -4235 1816 -2414 -3505 -1949 4307 -811 228 -522 -163 911 -3502 -6014 1743 3924 -6481 -3009 2953 487 -1890 808 -2617 -3164 9978 -404 -5411 -2174 -671 -1322 -3850 -2574 -99 1578 -636 -1611 1593 2393 -1358 -925 6075 4152 -1748 -1279 -2951 -130 -664 -1183 -1739 -355 1895 -6337 -2197 -2025 954 1934 699 -428 5540 -1106 -5371 -2635 772 106 2289 -330 -1284 1494 1590 572 6970 3470 1775 -3521 5758 -4597 975 84 482 -11036 6054 5394 5070 2486 -4399 -4808 -578 -2053 -2115 4868
+5222 -1453 -442 922 746 -453 3836 1668 -996 2070 -327 -4098 1775 -2342 -3515 -1953 4317 -723 281 -568 -198 994 -3532 -6176 1765 4051 -6396 -3014 2933 461 -1868 772 -2556 -3137 9958 -448 -5436 -2196 -771 -1274 -3869 -2627 -103 1495 -664 -1605 1639 2401 -1434 -907 6008 4184 -1770 -1266 -2932 -105 -745 -1239 -1794 -356 1996 -6357 -2180 -1860 991 1965 791 -243 5575 -1181 -5480 -2706 785 60 2322 -308 -1297 1519 1584 466 6997 3526 1835 -3504 5686 -4556 973 34 619 -11035 5903 5289 4980 2387 -4423 -4803 -659 -2218 -2137 4882
+5323 -1326 -477 935 814 -418 3750 1591 -969 2120 -403 -3906 1781 -2332 -3463 -1918 4247 -738 341 -598 -250 992 -3354 -6375 1612 4049 -6242 -3037 2738 278 -1921 796 -2476 -3183 9905 -469 -5442 -2176 -849 -1287 -3945 -2690 -85 1424 -606 -1718 1632 2361 -1526 -1151 5971 4144 -1832 -1241 -2890 -29 -671 -1227 -1694 -211 2008 -6339 -2109 -1971 1166 2067 793 -186 5712 -1156 -5650 -2900 800 -6 2332 -258 -1283 1496 1534 298 6961 3534 1800 -3467 5690 -4512 964 12 687 -10994 5847 5239 4969 2258 -4512 -4787 -674 -2324 -2112 4852
+5475 -1121 -574 968 862 -453 3742 1554 -983 2194 -306 -3846 1826 -2470 -3449 -1947 4194 -786 284 -592 -253 918 -3148 -6394 1491 3992 -6136 -3007 2577 76 -1984 800 -2447 -3293 9818 -416 -5426 -2159 -901 -1276 -4016 -2724 -123 1372 -578 -1743 1556 2327 -1579 -1331 6038 4127 -1872 -1229 -2855 38 -500 -1086 -1595 -148 1926 -6329 -2066 -2207 1244 2039 694 -253 5913 -1018 -5714 -3046 645 -46 2304 -139 -1321 1385 1421 87 6831 3504 1760 -3330 5794 -4591 922 3 625 -11135 5911 5357 5017 2120 -4491 -4708 -661 -2411 -1972 4872
+5512 -1167 -524 1038 816 -521 3753 1594 -982 2391 -233 -3852 1834 -2494 -3388 -1932 4171 -877 308 -552 -219 914 -3142 -6327 1475 4069 -6172 -2985 2644 144 -1982 804 -2466 -3382 9764 -273 -5366 -2132 -942 -1244 -4115 -2739 -110 1487 -572 -1834 1508 2453 -1513 -1370 6041 4024 -1764 -1141 -2848 -4 -370 -950 -1549 -200 1843 -6346 -2018 -2249 1261 1978 578 -424 6001 -896 -5663 -3014 517 -101 2282 -26 -1358 1271 1368 8 6749 3510 1801 -3153 5869 -4704 899 55 519 -11187 6061 5413 4994 2050 -4452 -4634 -607 -2376 -1934 4845
+5397 -1240 -455 1049 736 -519 3780 1745 -906 2437 -90 -3789 1714 -2528 -3338 -1978 4131 -905 232 -485 -167 974 -3307 -6198 1585 4189 -6287 -2963 2836 365 -1953 820 -2461 -3380 9674 -70 -5297 -2123 -901 -1235 -4221 -2738 -42 1631 -598 -1842 1505 2528 -1406 -1157 6012 3974 -1572 -1035 -2819 -98 -358 -928 -1586 -304 1832 -6360 -2115 -2143 1125 1944 626 -457 5936 -938 -5638 -2926 510 -55 2311 54 -1377 1230 1380 81 6849 3597 1877 -3122 5925 -4753 910 83 497 -11130 6236 5482 5006 2122 -4303 -4573 -578 -2277 -1913 4880
+5275 -1367 -409 1058 633 -524 3833 1849 -852 2356 -19 -3776 1590 -2392 -3335 -2009 4133 -843 214 -467 -171 1042 -3394 -6139 1691 4169 -6358 -3029 2944 553 -1985 887 -2427 -3339 9660 114 -5257 -2149 -864 -1262 -4229 -2685 36 1701 -608 -1840 1507 2547 -1332 -1014 6034 3984 -1502 -1023 -2851 -193 -381 -930 -1674 -384 2003 -6312 -2167 -1968 956 1957 700 -379 5821 -1074 -5645 -2814 615 33 2383 10 -1330 1277 1461 231 7054 3646 1906 -3204 5972 -4726 1054 154 543 -11007 6283 5495 5048 2306 -4186 -4598 -619 -2306 -2016 4981
+5262 -1380 -475 998 597 -450 3808 1873 -846 2164 30 -3799 1615 -2286 -3459 -1992 4169 -793 224 -496 -214 1014 -3268 -6227 1624 4098 -6365 -3068 2844 572 -2085 992 -2342 -3300 9635 169 -5253 -2149 -778 -1274 -4258 -2680 102 1658 -543 -1865 1535 2444 -1320 -1055 6106 4068 -1543 -1110 -2873 -137 -451 -1034 -1738 -388 2056 -6250 -2177 -2146 948 2025 746 -253 5832 -1175 -5714 -2886 691 147 2470 -55 -1297 1356 1493 264 7141 3661 1882 -3320 5973 -4672 1092 89 566 -10753 6250 5429 5128 2519 -4180 -4698 -689 -2303 -2126 4908
+5419 -1237 -603 995 616 -384 3853 1769 -933 2046 22 -4049 1789 -2261 -3599 -1934 4181 -773 284 -558 -277 941 -3056 -6396 1534 3914 -6324 -3117 2641 452 -2190 1055 -2305 -3315 9659 123 -5302 -2199 -736 -1278 -4197 -2702 71 1518 -515 -1922 1572 2301 -1402 -1323 6143 4063 -1647 -1225 -2931 -50 -486 -1098 -1718 -310 2026 -6119 -2103 -2274 1062 2097 754 -184 5869 -1198 -5752 -2993 718 148 2501 -108 -1288 1386 1456 205 7049 3533 1716 -3437 5995 -4669 1079 107 577 -10618 6157 5398 5215 2667 -4286 -4790 -646 -2305 -2308 4817
+5492 -1253 -635 931 594 -346 3873 1757 -961 2039 6 -4154 1943 -2298 -3692 -1826 4218 -736 371 -632 -308 955 -3023 -6500 1455 3848 -6244 -3083 2555 419 -2204 1027 -2351 -3267 9666 34 -5311 -2194 -765 -1236 -4093 -2782 -41 1420 -462 -1936 1575 2260 -1429 -1419 6094 4033 -1792 -1350 -2986 44 -434 -1033 -1561 -204 1787 -6209 -2043 -2434 1153 2089 702 -276 5871 -1126 -5720 -3068 694 -13 2430 -182 -1315 1388 1453 146 6871 3389 1578 -3410 6055 -4733 1014 42 407 -10568 6165 5397 5257 2690 -4396 -4812 -531 -2204 -2472 4700
+5399 -1244 -531 970 579 -357 3944 1758 -1001 2127 -17 -4173 2053 -2415 -3663 -1759 4196 -718 464 -648 -283 1047 -3185 -6484 1524 3940 -6173 -2940 2657 499 -2065 902 -2455 -3174 9615 -122 -5324 -2159 -841 -1198 -3962 -2816 -208 1398 -508 -1854 1614 2277 -1362 -1280 5964 3973 -1796 -1394 -3025 73 -401 -974 -1469 -225 1593 -6269 -2049 -2309 1143 2098 691 -470 5787 -1050 -5653 -3069 652 -110 2397 -212 -1324 1412 1418 108 6878 3442 1622 -3338 6131 -4753 948 -37 287 -10588 6163 5405 5248 2684 -4448 -4789 -416 -2107 -2542 4665
+5276 -1414 -421 1018 530 -382 3951 1785 -989 2156 40 -4090 1954 -2574 -3566 -1762 4148 -773 523 -634 -251 1137 -3423 -6343 1564 4011 -6109 -2829 2787 584 -1930 764 -2570 -3074 9587 -262 -5307 -2086 -776 -1131 -3890 -2782 -228 1475 -529 -1771 1629 2310 -1277 -934 5859 4085 -1806 -1497 -3060 60 -409 -901 -1447 -248 1532 -6330 -2175 -2144 1027 2068 659 -615 5721 -1014 -5618 -3003 666 -200 2350 -294 -1242 1442 1396 125 7094 3572 1693 -3311 6148 -4755 880 -88 393 -10631 6158 5430 5228 2677 -4416 -4761 -412 -2138 -2371 4749
+5296 -1404 -429 993 500 -414 3994 1795 -949 2081 200 -4035 1780 -2541 -3510 -1886 4080 -828 532 -557 -255 1119 -3427 -6199 1517 4019 -6059 -2817 2821 559 -1917 727 -2541 -3055 9628 -311 -5283 -2026 -713 -1100 -3897 -2723 -156 1505 -539 -1752 1637 2288 -1235 -859 5741 4141 -1750 -1514 -3044 0 -441 -966 -1531 -238 1746 -6248 -2258 -2145 977 2087 684 -592 5812 -1012 -5622 -2929 766 -239 2433 -428 -1109 1536 1408 86 7246 3724 1821 -3293 6092 -4736 889 -128 515 -10572 6051 5353 5178 2648 -4288 -4706 -484 -2210 -2223 4837
+5371 -1313 -549 955 576 -426 3876 1735 -938 2071 267 -4079 1598 -2408 -3476 -1982 4012 -929 473 -524 -317 994 -3220 -6222 1490 3906 -6088 -2915 2734 359 -2004 707 -2472 -3093 9691 -327 -5280 -1985 -644 -1085 -3941 -2653 -14 1477 -469 -1768 1549 2224 -1305 -1021 5773 4139 -1730 -1507 -2998 5 -402 -969 -1560 -174 1950 -6131 -2110 -2356 998 2057 617 -515 5965 -991 -5590 -2830 814 -201 2522 -423 -985 1556 1397 71 7199 3673 1833 -3245 5958 -4759 919 -89 585 -10584 5850 5273 5142 2535 -4240 -4666 -569 -2266 -2160 4879
+5455 -1226 -691 916 670 -393 3770 1687 -917 2179 186 -4143 1550 -2189 -3521 -2031 4037 -967 429 -556 -431 932 -3063 -6475 1552 3835 -6230 -3049 2659 246 -2099 712 -2383 -3182 9711 -266 -5272 -1998 -629 -1169 -3953 -2629 113 1453 -419 -1868 1549 2175 -1393 -1198 5800 4041 -1611 -1396 -2905 13 -385 -952 -1549 -153 2005 -6086 -1931 -2491 1113 2085 583 -484 6039 -971 -5521 -2678 840 -166 2617 -376 -896 1548 1381 54 7010 3524 1790 -3230 5979 -4863 978 13 476 -10702 5827 5266 5108 2426 -4191 -4616 -620 -2351 -2278 4789
+5381 -1280 -749 893 722 -354 3680 1626 -911 2364 -48 -4136 1630 -2123 -3536 -2037 4100 -885 418 -627 -436 966 -3081 -6701 1837 3805 -6349 -3104 2721 304 -2126 691 -2399 -3208 9719 -198 -5282 -2038 -631 -1131 -3905 -2601 195 1446 -371 -1930 1472 2228 -1410 -1256 5883 3912 -1545 -1307 -2812 74 -323 -897 -1433 -143 1836 -6128 -1864 -2512 1154 2104 603 -513 5981 -933 -5425 -2545 864 -157 2541 -523 -820 1631 1419 27 6819 3352 1687 -3248 5963 -4830 1007 41 313 -10847 5900 5325 5055 2338 -4164 -4572 -615 -2406 -2446 4729
+5247 -1354 -687 909 723 -341 3622 1641 -861 2469 -294 -3922 1716 -2245 -3486 -1953 4184 -726 420 -658 -370 1036 -3236 -6737 2043 3837 -6461 -3117 2841 392 -2054 700 -2468 -3228 9672 -151 -5282 -2089 -621 -1121 -3820 -2599 90 1492 -438 -1830 1596 2286 -1443 -927 5904 3985 -1477 -1307 -2821 108 -251 -803 -1328 -160 1579 -6362 -2018 -2274 1084 2089 665 -539 5791 -929 -5337 -2513 832 -212 2353 -585 -816 1693 1484 130 6946 3387 1695 -3227 6003 -4836 1065 83 222 -11021 5985 5391 5006 2328 -4165 -4578 -625 -2514 -2515 4772
+5172 -1504 -556 892 665 -352 3626 1694 -841 2371 -409 -3758 1867 -2482 -3420 -1901 4256 -683 424 -614 -259 998 -3321 -6549 2013 3861 -6452 -3089 2866 380 -1999 777 -2514 -3261 9628 -171 -5315 -2145 -567 -1018 -3799 -2571 15 1456 -576 -1765 1605 2290 -1499 -705 6001 4175 -1543 -1383 -2850 146 -276 -805 -1304 -187 1432 -6444 -2252 -2031 1022 2060 734 -495 5668 -941 -5322 -2587 817 -310 2276 -726 -852 1752 1521 156 7093 3510 1745 -3215 6016 -4772 1126 44 248 -11144 5962 5376 4982 2348 -4213 -4640 -652 -2528 -2317 4859
+5267 -1407 -518 919 648 -397 3699 1726 -894 2154 -399 -3722 1939 -2696 -3362 -1920 4216 -705 390 -492 -165 928 -3255 -6441 2001 3937 -6344 -3015 2791 251 -2004 870 -2498 -3279 9664 -178 -5343 -2160 -556 -1003 -3775 -2553 -126 1370 -633 -1716 1643 2203 -1552 -764 5953 4335 -1562 -1436 -2910 144 -386 -937 -1478 -281 1542 -6400 -2338 -2008 1019 1998 773 -294 5728 -978 -5397 -2783 838 -346 2419 -736 -1056 1661 1479 111 7204 3598 1786 -3219 6006 -4755 1213 84 341 -11119 5887 5298 4966 2328 -4261 -4678 -645 -2501 -2125 4928
+5440 -1256 -542 974 708 -432 3733 1646 -994 1996 -221 -3939 1964 -2694 -3369 -2000 4210 -851 373 -464 -244 854 -3127 -6557 2059 3990 -6265 -2980 2720 138 -2041 984 -2472 -3284 9752 -135 -5327 -2139 -662 -1100 -3776 -2578 -194 1286 -606 -1771 1620 2107 -1590 -1070 5891 4368 -1636 -1449 -2915 137 -494 -1047 -1546 -263 1643 -6328 -2265 -2109 1114 1950 742 -150 5828 -1040 -5496 -2930 823 -384 2591 -602 -1183 1553 1402 -87 7009 3490 1720 -3219 6034 -4810 1203 105 346 -10999 5809 5185 4962 2253 -4342 -4691 -590 -2345 -2063 4827
+5507 -1257 -580 1045 793 -444 3745 1603 -1061 1973 -99 -4124 1815 -2595 -3413 -2104 4155 -955 339 -476 -323 876 -3078 -6831 2095 4162 -6295 -3003 2761 217 -2102 976 -2462 -3279 9817 -112 -5296 -2121 -802 -1247 -3743 -2603 -167 1285 -595 -1860 1651 2046 -1543 -1166 5810 4232 -1663 -1385 -2900 132 -582 -1126 -1550 -181 1753 -6388 -2067 -2076 1185 2008 804 -44 5845 -1112 -5521 -2835 851 -405 2721 -517 -1301 1445 1336 -248 6831 3407 1673 -3239 6086 -4961 1183 153 236 -10855 5805 5151 5002 2292 -4329 -4681 -543 -2204 -2197 4671
+5404 -1326 -520 1050 829 -449 3751 1642 -1046 2019 -37 -4146 1593 -2437 -3429 -2168 4102 -952 333 -519 -392 925 -3113 -7014 2168 4242 -6361 -2968 2852 386 -2092 897 -2493 -3275 9884 -79 -5279 -2095 -903 -1358 -3740 -2663 -116 1354 -612 -1940 1714 2016 -1467 -981 5797 4182 -1766 -1366 -2865 228 -463 -1034 -1446 -151 1662 -6487 -1987 -1997 1147 2017 822 -150 5789 -1122 -5511 -2677 801 -303 2684 -378 -1205 1438 1338 -285 6735 3367 1671 -3357 6107 -4927 1122 93 114 -10783 5815 5146 5045 2449 -4343 -4730 -560 -2140 -2251 4552
+5321 -1345 -428 1019 732 -470 3744 1723 -980 2000 47 -4065 1522 -2387 -3454 -2143 4111 -897 352 -490 -362 902 -3153 -6812 1908 4185 -6416 -2997 2855 457 -2059 820 -2515 -3343 9893 -181 -5293 -2080 -887 -1279 -3865 -2700 -50 1483 -666 -1945 1719 2005 -1390 -762 5810 4212 -1866 -1396 -2857 286 -254 -893 -1378 -201 1539 -6627 -2008 -1870 1031 1974 750 -356 5731 -1059 -5473 -2523 791 -279 2500 -293 -1059 1498 1391 -180 6809 3390 1681 -3433 6088 -4857 1102 -18 83 -10707 5795 5164 5139 2664 -4343 -4809 -620 -2115 -2286 4555
+5306 -1363 -368 932 582 -465 3755 1769 -913 2012 72 -3944 1531 -2448 -3440 -2108 4123 -747 367 -443 -258 867 -3221 -6545 1701 4025 -6438 -3003 2756 384 -2096 817 -2494 -3426 9860 -300 -5336 -2086 -858 -1126 -4019 -2724 -5 1647 -715 -1925 1704 2017 -1417 -642 5906 4300 -1899 -1410 -2874 311 -92 -791 -1380 -255 1487 -6534 -2101 -1993 966 1925 638 -505 5797 -957 -5500 -2573 769 -186 2359 -177 -1044 1492 1492 89 6948 3401 1716 -3459 5839 -4770 1125 -93 163 -10696 5803 5225 5261 2830 -4335 -4863 -668 -2225 -2206 4712
+5396 -1323 -351 883 512 -403 3760 1778 -842 2060 41 -3962 1723 -2512 -3444 -2051 4133 -666 479 -419 -191 836 -3234 -6437 1645 3822 -6357 -2993 2653 292 -2086 822 -2443 -3370 9807 -372 -5424 -2158 -771 -1012 -4134 -2717 -3 1718 -705 -1955 1641 2027 -1519 -844 5949 4255 -1836 -1337 -2864 184 -50 -771 -1480 -340 1625 -6342 -2179 -2211 982 1883 539 -503 5923 -916 -5617 -2835 755 -138 2300 -88 -1149 1369 1461 179 6908 3377 1751 -3388 5694 -4683 1148 -143 144 -10630 5820 5283 5361 2914 -4318 -4854 -635 -2309 -2193 4850
+5477 -1304 -418 905 558 -363 3739 1719 -862 2167 15 -4073 1908 -2593 -3494 -2036 4113 -647 555 -472 -200 857 -3207 -6555 1767 3785 -6365 -3014 2630 291 -2080 753 -2427 -3297 9786 -385 -5448 -2210 -753 -999 -4154 -2755 -23 1717 -617 -2008 1650 2002 -1490 -1086 5974 4123 -1670 -1227 -2865 58 -124 -839 -1541 -319 1779 -6249 -2139 -2381 1024 1965 538 -455 5921 -985 -5728 -3032 745 -114 2344 -85 -1278 1294 1423 151 6791 3311 1674 -3312 5749 -4706 1094 -175 38 -10644 5892 5370 5357 2832 -4268 -4751 -529 -2277 -2181 4843
+5370 -1448 -450 938 635 -247 3712 1723 -883 2309 -9 -4196 1974 -2603 -3444 -1983 4098 -748 658 -505 -263 952 -3144 -6753 1932 3822 -6361 -2984 2764 429 -2019 706 -2417 -3120 9754 -319 -5433 -2260 -803 -1078 -4034 -2811 -147 1629 -557 -1943 1722 1981 -1410 -1096 6051 3958 -1559 -1098 -2857 -31 -271 -938 -1589 -310 1872 -6240 -2045 -2397 976 2059 622 -390 5812 -1120 -5761 -3123 661 -42 2366 -160 -1377 1289 1389 54 6807 3335 1715 -3247 5860 -4741 1058 -163 -89 -10581 5948 5384 5287 2760 -4188 -4652 -472 -2297 -2183 4739
+5273 -1526 -457 981 692 -224 3746 1795 -896 2328 -59 -4207 1897 -2481 -3452 -2017 4069 -873 647 -453 -304 961 -2976 -6668 1990 3841 -6303 -2884 2927 492 -1952 646 -2515 -3046 9732 -255 -5422 -2272 -816 -1231 -3953 -2893 -206 1559 -562 -1867 1799 1957 -1303 -1020 6129 3881 -1591 -1123 -2902 -30 -316 -970 -1561 -303 1783 -6242 -1971 -2240 948 2121 708 -467 5670 -1198 -5732 -3078 605 119 2248 -234 -1344 1396 1414 -29 6884 3418 1747 -3340 5968 -4687 1040 -214 -125 -10580 5957 5383 5214 2715 -4156 -4629 -517 -2309 -2182 4711
+5187 -1522 -406 1043 693 -239 3748 1772 -894 2307 -130 -4184 1784 -2341 -3382 -1970 4098 -913 639 -345 -247 926 -2915 -6384 1816 3819 -6173 -2803 2932 474 -1908 739 -2540 -3068 9651 -230 -5436 -2278 -751 -1277 -3913 -2855 -252 1598 -614 -1734 1871 2028 -1237 -887 6159 4006 -1711 -1278 -3009 49 -238 -947 -1512 -296 1625 -6356 -1975 -2161 929 2041 653 -557 5649 -1179 -5691 -3011 587 253 2142 -305 -1302 1467 1412 -34 7058 3566 1862 -3429 5944 -4646 1094 -188 8 -10584 5900 5329 5149 2664 -4170 -4672 -625 -2337 -2190 4741
+5267 -1473 -325 1058 650 -283 3800 1742 -906 2246 -214 -4179 1801 -2303 -3318 -1925 4098 -844 588 -221 -153 954 -2998 -6102 1617 3874 -6001 -2798 2791 354 -1883 869 -2525 -3125 9621 -274 -5411 -2212 -746 -1185 -3973 -2846 -229 1633 -602 -1672 1782 2132 -1289 -970 6137 4179 -1842 -1431 -3087 69 -233 -946 -1492 -282 1556 -6291 -2091 -2247 963 1978 583 -587 5753 -1071 -5671 -2993 580 309 2157 -197 -1260 1506 1458 73 6968 3547 1898 -3457 5705 -4623 1188 -151 84 -10664 5782 5231 5061 2507 -4242 -4718 -730 -2393 -2181 4800
+5410 -1424 -282 1060 623 -287 3856 1717 -900 2235 -339 -4069 1822 -2335 -3309 -1942 4103 -678 606 -257 -118 994 -3170 -6087 1564 3913 -5962 -2914 2642 320 -1915 921 -2500 -3138 9639 -322 -5375 -2135 -682 -1074 -4069 -2777 -152 1701 -530 -1759 1632 2209 -1389 -1147 6041 4162 -1887 -1472 -3085 70 -267 -961 -1488 -218 1647 -6169 -2241 -2288 1071 1979 573 -494 5850 -1039 -5770 -3063 723 277 2254 -106 -1317 1432 1463 277 6914 3450 1820 -3499 5559 -4743 1185 -95 131 -10858 5728 5228 5035 2266 -4369 -4736 -741 -2450 -2281 4782
+5449 -1381 -417 1053 630 -297 3794 1685 -858 2276 -369 -3856 1937 -2410 -3362 -1890 4122 -609 658 -341 -203 1047 -3256 -6348 1558 4084 -6079 -3054 2659 401 -1973 853 -2507 -3072 9667 -376 -5340 -2085 -748 -971 -4192 -2778 -35 1724 -413 -1889 1499 2228 -1430 -1281 5982 3958 -1873 -1401 -3048 -39 -378 -1026 -1537 -177 1831 -6134 -2285 -2258 1150 2065 649 -397 5816 -1096 -5900 -3144 928 203 2358 42 -1272 1397 1468 362 6807 3329 1730 -3498 5644 -4858 1117 -101 50 -10889 5819 5220 4994 2156 -4422 -4725 -689 -2452 -2263 4779
+5380 -1348 -606 1030 738 -287 3691 1629 -870 2320 -413 -3683 1934 -2531 -3428 -1949 4097 -601 680 -413 -273 980 -3102 -6513 1518 4139 -6321 -3198 2766 555 -1994 706 -2587 -3032 9697 -472 -5340 -2051 -746 -932 -4205 -2774 51 1717 -379 -1920 1522 2237 -1386 -1269 5936 3869 -1809 -1351 -3005 -165 -457 -1051 -1581 -163 1959 -6161 -2197 -2065 1242 2148 751 -383 5693 -1165 -5910 -3082 1046 152 2392 -60 -1207 1421 1481 416 6873 3318 1677 -3526 5823 -4852 1106 -117 -81 -10947 5891 5217 4938 2187 -4357 -4691 -669 -2526 -2163 4795
+5272 -1341 -716 988 740 -234 3634 1689 -766 2285 -267 -3547 1850 -2513 -3486 -1944 4068 -680 674 -386 -259 893 -2952 -6464 1422 4178 -6439 -3203 2857 569 -1955 595 -2623 -2988 9659 -570 -5389 -2062 -646 -914 -4125 -2775 117 1647 -425 -1943 1617 2240 -1270 -1168 5911 3851 -1788 -1300 -2949 -170 -395 -1021 -1589 -173 1952 -6259 -2007 -2121 1217 2121 744 -491 5634 -1158 -5784 -2920 1035 121 2379 -199 -1101 1481 1535 423 6929 3383 1735 -3498 5825 -4825 1118 -149 -56 -10868 5956 5192 4903 2314 -4205 -4654 -682 -2629 -2088 4741
+5227 -1372 -644 1002 670 -167 3739 1773 -696 2199 -39 -3677 1818 -2410 -3477 -1958 4087 -777 666 -301 -198 875 -3028 -6331 1499 4118 -6355 -3116 2843 448 -1850 626 -2614 -2986 9617 -643 -5454 -2140 -545 -921 -3993 -2758 110 1630 -510 -1853 1653 2235 -1182 -1042 5953 3987 -1814 -1352 -2929 -118 -282 -964 -1531 -177 1853 -6240 -1992 -2191 1187 2041 685 -539 5682 -1077 -5596 -2773 978 105 2307 -348 -1134 1487 1571 386 6894 3436 1866 -3439 5731 -4717 1156 -150 85 -10831 5969 5181 4950 2454 -4110 -4667 -725 -2693 -2070 4718
+5283 -1392 -479 1008 607 -90 3804 1846 -664 2208 121 -3915 1811 -2272 -3410 -1938 4119 -811 686 -202 -140 901 -3229 -6243 1679 4097 -6137 -3020 2792 360 -1770 715 -2530 -3017 9601 -612 -5456 -2147 -452 -993 -3818 -2674 24 1614 -485 -1805 1639 2324 -1241 -1130 5994 4093 -1726 -1352 -2923 -75 -234 -895 -1499 -221 1780 -6250 -2107 -2318 1191 1995 630 -466 5816 -976 -5486 -2765 903 71 2289 -419 -1239 1496 1635 357 6720 3461 1967 -3363 5494 -4726 1171 -192 85 -10861 5980 5233 5029 2493 -3998 -4626 -698 -2584 -2200 4736
+5363 -1373 -404 1037 550 -96 3924 1863 -739 2187 146 -4100 1784 -2261 -3376 -1981 4080 -789 685 -201 -116 893 -3351 -6285 1919 4061 -6007 -2859 2740 326 -1746 793 -2500 -3053 9635 -498 -5424 -2165 -463 -1059 -3734 -2639 -98 1563 -488 -1848 1581 2261 -1293 -1203 6012 4131 -1672 -1350 -2920 -31 -307 -950 -1483 -190 1804 -6204 -2297 -2283 1174 2033 647 -355 5821 -948 -5478 -2891 875 -24 2411 -488 -1287 1513 1675 280 6591 3428 2008 -3375 5353 -4752 1140 -214 -31 -10835 6012 5281 5109 2552 -4012 -4659 -684 -2487 -2347 4663
+5456 -1374 -461 1099 599 -235 3860 1750 -948 2215 60 -4192 1734 -2383 -3443 -2024 3980 -831 652 -257 -221 843 -3275 -6358 1942 3988 -6121 -2885 2791 352 -1839 783 -2519 -3087 9662 -316 -5368 -2167 -566 -1163 -3736 -2631 -230 1404 -490 -1892 1546 2158 -1366 -1261 6103 4186 -1670 -1351 -2946 -99 -488 -1067 -1555 -195 1866 -6237 -2314 -2123 1176 2137 756 -289 5728 -992 -5586 -3076 906 -118 2568 -501 -1294 1580 1703 240 6519 3401 1965 -3448 5406 -4749 1053 -289 -174 -10740 6137 5336 5158 2593 -4041 -4675 -646 -2334 -2316 4604
+5458 -1208 -643 1139 683 -352 3809 1637 -1144 2160 -82 -4069 1698 -2484 -3515 -2077 3912 -817 634 -373 -338 747 -3106 -6434 1785 3923 -6295 -2971 2775 405 -2015 724 -2523 -3174 9692 -218 -5363 -2160 -695 -1133 -3843 -2726 -223 1276 -610 -1945 1588 2002 -1307 -1250 6128 4206 -1673 -1355 -2972 -125 -666 -1212 -1645 -230 1821 -6299 -2202 -2078 1225 2192 798 -405 5621 -996 -5608 -3127 873 -195 2694 -582 -1189 1685 1713 199 6630 3432 1942 -3530 5482 -4733 975 -370 -177 -10605 6196 5385 5213 2678 -4162 -4730 -596 -2274 -2233 4565
+5402 -1208 -630 1121 649 -413 3812 1594 -1208 2108 -127 -4030 1747 -2557 -3532 -2088 3899 -770 634 -427 -386 691 -3075 -6421 1596 3863 -6382 -3092 2670 414 -2136 765 -2539 -3243 9637 -296 -5358 -2111 -591 -1096 -3878 -2667 -90 1256 -652 -1985 1647 1985 -1244 -1217 6043 4285 -1742 -1419 -3012 -139 -666 -1227 -1658 -239 1782 -6367 -2112 -2112 1221 2091 728 -527 5610 -968 -5559 -3008 792 -258 2695 -686 -1089 1749 1688 132 6590 3355 1865 -3545 5529 -4663 1055 -368 -100 -10500 6087 5321 5142 2633 -4249 -4720 -553 -2273 -2145 4457
+5360 -1283 -506 1129 603 -401 3803 1566 -1171 2135 -160 -4130 1868 -2511 -3486 -2035 3997 -774 652 -388 -274 824 -3278 -6309 1633 3826 -6332 -3120 2594 388 -2135 823 -2512 -3239 9577 -394 -5364 -2056 -482 -998 -3878 -2619 81 1423 -660 -1955 1643 2063 -1246 -1108 5921 4344 -1743 -1443 -3014 -112 -556 -1126 -1627 -254 1742 -6491 -2190 -2239 1147 1998 631 -585 5708 -902 -5461 -2765 721 -236 2586 -703 -1102 1717 1675 193 6477 3356 1927 -3404 5366 -4687 1088 -352 27 -10361 6007 5273 5141 2524 -4396 -4714 -484 -2264 -2187 4486
+5287 -1415 -312 1109 547 -353 3841 1631 -1032 2275 -147 -4306 2010 -2396 -3361 -1978 4110 -774 696 -270 -133 897 -3476 -6173 1689 3801 -6267 -3121 2608 511 -2089 842 -2447 -3197 9555 -482 -5333 -2003 -429 -902 -3831 -2525 206 1565 -550 -1907 1584 2252 -1284 -1051 5857 4351 -1754 -1424 -2954 27 -381 -998 -1561 -242 1772 -6508 -2279 -2315 1138 2017 582 -581 5832 -854 -5395 -2579 658 -202 2414 -739 -1082 1656 1656 275 6418 3335 1963 -3317 5403 -4815 1113 -263 63 -10417 5976 5302 5139 2410 -4470 -4710 -496 -2277 -2227 4527
+5346 -1491 -266 1071 532 -333 3776 1718 -886 2327 -133 -4332 2035 -2353 -3324 -1991 4201 -816 619 -176 -46 857 -3397 -6015 1718 3860 -6265 -3011 2737 571 -2069 797 -2504 -3104 9584 -505 -5321 -1981 -469 -924 -3824 -2519 107 1611 -489 -1852 1540 2236 -1394 -1064 5900 4333 -1781 -1400 -2893 102 -343 -992 -1555 -180 1912 -6345 -2304 -2305 1147 2131 597 -587 5843 -865 -5453 -2630 676 -213 2301 -796 -1098 1657 1725 401 6479 3368 1998 -3321 5510 -4909 1062 -279 -86 -10524 6000 5363 5138 2374 -4489 -4740 -594 -2354 -2204 4667
+5382 -1482 -403 1050 610 -297 3610 1751 -777 2350 -132 -4173 1895 -2420 -3346 -2066 4159 -854 533 -105 -92 721 -3116 -6037 1781 3857 -6317 -2934 2869 552 -2077 672 -2540 -3065 9706 -466 -5337 -2013 -554 -980 -3830 -2538 -36 1516 -478 -1811 1551 2237 -1537 -1074 5959 4293 -1789 -1378 -2871 75 -279 -959 -1558 -168 1939 -6275 -2166 -2285 1140 2185 595 -737 5746 -892 -5559 -2851 674 -308 2356 -782 -1038 1703 1783 415 6554 3367 1980 -3466 5596 -4920 1058 -275 -179 -10605 6097 5409 5136 2456 -4370 -4754 -742 -2412 -2133 4750
+5380 -1443 -577 982 690 -263 3461 1735 -702 2255 -145 -3910 1781 -2585 -3319 -2165 4075 -862 431 -158 -131 641 -2915 -6179 1903 3836 -6344 -2895 2853 393 -2147 608 -2573 -3062 9835 -435 -5401 -2106 -596 -1113 -3868 -2635 -150 1338 -524 -1754 1653 2233 -1606 -1093 6039 4220 -1706 -1331 -2857 48 -335 -967 -1616 -258 1934 -6182 -1998 -2354 1171 2120 531 -921 5611 -935 -5715 -3191 652 -360 2421 -701 -1026 1717 1814 393 6568 3333 1863 -3652 5587 -4808 1047 -346 -167 -10883 6126 5496 5122 2494 -4217 -4711 -808 -2461 -2048 4842
+5299 -1422 -585 942 688 -191 3432 1670 -696 2124 -127 -3718 1703 -2714 -3331 -2202 4008 -751 390 -237 -168 666 -3004 -6335 2065 3885 -6314 -2898 2784 164 -2156 600 -2584 -3106 9917 -464 -5433 -2169 -600 -1255 -3828 -2701 -226 1266 -574 -1718 1727 2219 -1561 -1057 6103 4164 -1560 -1255 -2903 -111 -413 -979 -1685 -407 1861 -6275 -2030 -2515 1159 2061 506 -980 5541 -971 -5797 -3398 609 -383 2570 -591 -1011 1725 1765 314 6550 3277 1780 -3751 5422 -4794 1162 -272 -71 -10963 6091 5449 5101 2507 -4194 -4686 -753 -2460 -2071 4845
+5157 -1556 -435 908 602 -143 3485 1669 -714 2039 -205 -3648 1667 -2625 -3278 -2133 4052 -639 427 -271 -118 757 -3277 -6382 2202 4081 -6326 -2903 2808 14 -2037 641 -2648 -3120 9931 -538 -5488 -2228 -547 -1335 -3841 -2748 -212 1317 -630 -1699 1671 2282 -1533 -971 6100 4141 -1411 -1231 -2933 -83 -401 -962 -1651 -430 1759 -6421 -2197 -2501 1150 2085 543 -833 5538 -1040 -5833 -3395 640 -128 2547 -460 -1060 1663 1678 282 6544 3275 1783 -3665 5278 -4847 1207 -239 -16 -10987 6016 5379 5070 2361 -4178 -4603 -596 -2403 -2185 4809
+5096 -1712 -268 911 525 -198 3559 1682 -752 2011 -292 -3787 1643 -2542 -3320 -2098 4128 -588 491 -234 -40 763 -3441 -6178 2067 4131 -6380 -2908 2909 113 -1906 647 -2694 -3092 9881 -601 -5482 -2223 -534 -1312 -3901 -2744 -183 1449 -561 -1634 1579 2294 -1551 -890 6199 4192 -1476 -1331 -2991 35 -384 -954 -1578 -353 1722 -6386 -2313 -2350 1164 2172 649 -646 5572 -1092 -5790 -3192 667 135 2500 -471 -1036 1684 1629 351 6662 3379 1924 -3459 5255 -4944 1169 -222 -87 -10927 5934 5276 5029 2290 -4166 -4595 -538 -2395 -2123 4780
+5233 -1713 -289 1004 604 -265 3656 1779 -850 2059 -295 -3908 1520 -2424 -3350 -2110 4143 -646 506 -143 -11 693 -3329 -6007 1836 4202 -6499 -2953 3023 280 -1831 664 -2726 -3066 9827 -575 -5469 -2190 -529 -1194 -4028 -2739 -123 1519 -522 -1642 1526 2280 -1609 -873 6226 4321 -1594 -1454 -3026 171 -335 -967 -1537 -303 1660 -6300 -2332 -2126 1184 2174 709 -509 5540 -1161 -5795 -2948 799 261 2438 -506 -996 1732 1623 412 6816 3464 1991 -3418 5497 -5016 1039 -270 -142 -10881 5935 5206 4971 2256 -4178 -4636 -542 -2370 -2105 4821
+5373 -1530 -411 1069 747 -285 3703 1854 -889 2073 -222 -3973 1476 -2387 -3371 -2082 4123 -786 553 -141 -94 708 -3251 -6076 1641 4181 -6510 -3073 2978 409 -1850 717 -2624 -3080 9800 -512 -5416 -2119 -563 -1079 -4188 -2698 -57 1548 -527 -1704 1595 2270 -1701 -912 6259 4361 -1714 -1532 -3055 184 -338 -943 -1527 -227 1739 -6246 -2164 -2048 1226 2084 737 -528 5522 -1181 -5879 -2906 971 364 2366 -508 -1077 1688 1586 413 6888 3462 1959 -3493 5599 -4905 1007 -371 -231 -10822 5948 5161 4975 2416 -4197 -4740 -608 -2370 -2142 4902
+5432 -1398 -491 1069 808 -231 3766 1842 -931 2112 -9 -4135 1640 -2430 -3392 -2090 4074 -912 579 -189 -196 742 -3187 -6290 1585 4145 -6415 -3169 2770 410 -1993 829 -2465 -3127 9769 -412 -5352 -2055 -631 -1056 -4304 -2733 29 1579 -500 -1776 1715 2329 -1738 -939 6093 4207 -1710 -1475 -3016 95 -401 -954 -1590 -279 1807 -6281 -2153 -2085 1211 1960 699 -606 5447 -1178 -5979 -3026 1027 289 2426 -436 -1141 1593 1526 260 6770 3354 1824 -3599 5621 -4766 1040 -478 -226 -10784 5934 5155 5009 2514 -4232 -4788 -628 -2264 -2218 4864
+5263 -1403 -511 1026 777 -122 3839 1798 -955 2167 155 -4288 1891 -2573 -3418 -1987 4046 -947 593 -274 -225 849 -3300 -6431 1617 4087 -6239 -3141 2590 357 -2052 958 -2357 -3183 9704 -270 -5319 -2054 -746 -1102 -4274 -2774 70 1590 -513 -1817 1769 2404 -1688 -837 5955 4038 -1685 -1411 -2975 10 -380 -922 -1590 -301 1806 -6440 -2200 -2196 1280 2007 712 -624 5456 -1137 -6028 -3222 1035 227 2376 -231 -1307 1398 1476 213 6581 3239 1770 -3603 5525 -4773 1101 -468 -135 -10737 5896 5166 5043 2538 -4272 -4785 -610 -2190 -2324 4782
+5171 -1497 -422 975 647 -99 3837 1740 -930 2277 171 -4357 2075 -2587 -3446 -1934 4040 -860 575 -297 -158 839 -3373 -6277 1668 4147 -6188 -3038 2652 352 -2055 973 -2379 -3278 9698 -116 -5273 -2070 -869 -1252 -4144 -2841 0 1557 -554 -1812 1753 2378 -1625 -812 5927 3964 -1666 -1352 -2906 16 -310 -854 -1584 -327 1848 -6460 -2283 -2180 1349 2110 722 -603 5503 -1083 -5995 -3371 940 158 2253 -105 -1318 1350 1504 181 6478 3222 1768 -3603 5412 -4872 1098 -400 -100 -10654 5855 5184 5094 2540 -4296 -4763 -611 -2216 -2325 4749
+5173 -1550 -466 1001 577 -182 3772 1694 -945 2365 67 -4281 2077 -2564 -3472 -1873 4024 -737 546 -284 -91 717 -3330 -5955 1599 4100 -6223 -2886 2832 458 -2008 857 -2500 -3327 9659 -98 -5282 -2095 -911 -1329 -4058 -2886 -92 1507 -588 -1749 1645 2265 -1473 -856 5936 4063 -1756 -1396 -2860 93 -235 -783 -1498 -307 1758 -6362 -2258 -2146 1351 2197 702 -594 5584 -1001 -5858 -3391 785 280 2143 -69 -1249 1386 1575 230 6596 3275 1808 -3566 5406 -5009 1124 -312 -242 -10497 6052 5275 5149 2556 -4253 -4709 -623 -2312 -2175 4783
+5286 -1510 -510 1103 595 -230 3721 1695 -910 2438 -149 -4089 1922 -2395 -3525 -1921 4043 -689 567 -310 -71 729 -3268 -5778 1600 4012 -6256 -2779 2948 492 -1948 726 -2616 -3254 9631 -119 -5321 -2144 -796 -1317 -4083 -2830 -133 1481 -678 -1787 1579 2196 -1470 -896 5927 4118 -1807 -1418 -2870 149 -172 -730 -1433 -218 1750 -6190 -2161 -2252 1262 2144 637 -666 5642 -929 -5694 -3272 730 387 2079 -78 -1180 1476 1631 284 6788 3393 1872 -3461 5576 -5121 1161 -241 -323 -10488 6126 5338 5117 2540 -4234 -4655 -608 -2423 -2107 4896
+5427 -1327 -579 1204 635 -213 3725 1673 -893 2413 -199 -3962 1742 -2257 -3512 -1993 4026 -648 611 -366 -139 804 -3247 -5995 1702 3883 -6177 -2834 2854 477 -1963 712 -2603 -3184 9676 -234 -5372 -2162 -632 -1323 -4112 -2786 -144 1481 -622 -1778 1649 2257 -1522 -974 5974 4173 -1767 -1385 -2881 93 -236 -731 -1409 -236 1705 -6092 -2046 -2335 1089 1998 545 -798 5607 -903 -5630 -3181 790 450 2184 -126 -1093 1509 1529 103 6810 3383 1824 -3453 5686 -5070 1173 -302 -330 -10477 6206 5421 5149 2573 -4183 -4603 -591 -2470 -2160 4906
+5412 -1217 -570 1222 695 -173 3704 1612 -910 2292 -122 -3993 1634 -2151 -3530 -2051 4057 -702 618 -430 -251 915 -3262 -6286 1760 3771 -6126 -2969 2643 382 -2045 774 -2497 -3120 9747 -363 -5408 -2172 -501 -1275 -4116 -2685 -75 1483 -522 -1800 1746 2393 -1587 -1026 6029 4090 -1643 -1298 -2907 -31 -392 -832 -1452 -257 1676 -6212 -2008 -2421 1050 1996 569 -819 5538 -928 -5658 -3154 896 469 2317 -82 -1171 1432 1429 -38 6699 3312 1776 -3465 5651 -4939 1212 -343 -217 -10638 6074 5450 5205 2551 -4270 -4597 -510 -2344 -2334 4877
+5351 -1258 -464 1278 699 -157 3784 1545 -927 2192 -54 -4067 1605 -2211 -3524 -2088 4040 -769 573 -425 -267 933 -3244 -6361 1671 3750 -6127 -3064 2528 375 -2045 829 -2440 -3061 9819 -504 -5436 -2177 -493 -1244 -4043 -2632 63 1573 -452 -1831 1720 2503 -1620 -1053 6080 4029 -1585 -1232 -2944 -132 -546 -910 -1528 -295 1681 -6338 -2102 -2434 1094 2119 619 -809 5517 -942 -5774 -3268 1025 335 2345 -113 -1271 1380 1389 -79 6620 3249 1756 -3482 5636 -4969 1147 -390 -129 -10636 5962 5424 5229 2509 -4357 -4634 -492 -2267 -2500 4728
+5319 -1351 -420 1285 602 -269 3740 1572 -939 2067 -10 -4056 1687 -2404 -3494 -2034 4085 -850 449 -305 -238 903 -3206 -6109 1485 3857 -6229 -3054 2629 407 -1958 790 -2517 -3033 9841 -524 -5461 -2202 -600 -1237 -3877 -2642 97 1614 -460 -1829 1621 2524 -1585 -1135 6115 4069 -1652 -1271 -2968 -135 -580 -984 -1564 -283 1686 -6353 -2145 -2299 1251 2259 637 -778 5570 -898 -5822 -3331 1054 159 2228 -311 -1286 1442 1436 -74 6602 3279 1828 -3393 5655 -5025 1045 -449 -209 -10620 5869 5336 5212 2483 -4349 -4658 -510 -2194 -2516 4649
+5315 -1488 -405 1284 548 -379 3734 1700 -897 2044 -94 -3865 1745 -2592 -3474 -1985 4081 -853 394 -253 -202 831 -3243 -5851 1389 3975 -6355 -3031 2813 456 -1843 729 -2648 -3082 9873 -448 -5424 -2166 -772 -1290 -3767 -2656 74 1634 -505 -1803 1494 2413 -1482 -1100 6113 4239 -1779 -1328 -2965 -73 -520 -932 -1554 -257 1730 -6238 -2210 -2318 1305 2241 593 -805 5658 -842 -5831 -3349 1085 66 2104 -440 -1239 1498 1532 102 6717 3339 1895 -3310 5694 -5127 1038 -380 -276 -10567 5869 5251 5117 2458 -4274 -4681 -556 -2199 -2371 4775
+5275 -1507 -497 1207 525 -438 3676 1795 -870 2055 -201 -3707 1686 -2677 -3442 -1952 4018 -802 402 -334 -202 801 -3369 -6003 1460 4137 -6380 -3053 2870 478 -1910 686 -2657 -3190 9875 -382 -5385 -2111 -817 -1325 -3765 -2614 -15 1543 -567 -1707 1425 2342 -1387 -1124 6037 4325 -1840 -1363 -2981 3 -346 -810 -1432 -169 1701 -6115 -2278 -2347 1200 2100 518 -928 5667 -832 -5812 -3295 1073 -48 2060 -506 -1148 1567 1611 188 6738 3346 1883 -3232 5619 -5187 1060 -354 -291 -10581 5849 5182 4959 2408 -4137 -4637 -531 -2221 -2268 4906
+5244 -1456 -602 1092 543 -325 3617 1784 -854 2106 -262 -3699 1685 -2594 -3501 -1994 4039 -733 444 -478 -252 836 -3429 -6349 1652 4237 -6285 -3065 2804 337 -1999 744 -2574 -3272 9869 -324 -5331 -2066 -802 -1271 -3854 -2553 -86 1375 -598 -1708 1478 2382 -1435 -1108 5905 4197 -1850 -1276 -2906 0 -295 -751 -1412 -198 1730 -6092 -2285 -2441 1126 2011 537 -969 5605 -886 -5761 -3197 1017 -158 2114 -510 -1105 1565 1588 160 6645 3288 1835 -3135 5646 -5134 1195 -308 -252 -10570 5843 5114 4876 2429 -4108 -4635 -489 -2234 -2238 4923
+5193 -1453 -708 958 520 -190 3550 1720 -819 2185 -262 -3850 1702 -2364 -3561 -2000 4125 -779 493 -559 -311 854 -3317 -6631 1801 4174 -6222 -3023 2680 104 -2137 804 -2459 -3305 9806 -271 -5274 -2014 -705 -1203 -3946 -2488 -68 1247 -499 -1762 1497 2430 -1415 -1203 5934 4033 -1814 -1193 -2848 -25 -258 -725 -1438 -209 1808 -6178 -2233 -2391 1220 2087 603 -953 5454 -1002 -5788 -3174 1051 -167 2342 -570 -1016 1590 1534 51 6575 3272 1776 -3111 5701 -5076 1162 -381 -155 -10701 5796 5127 4900 2412 -4134 -4646 -468 -2231 -2211 4904
+5178 -1456 -660 889 511 -79 3551 1737 -823 2199 -94 -4067 1690 -2250 -3619 -2033 4188 -801 470 -561 -315 817 -3179 -6585 1824 4071 -6227 -2983 2720 112 -2224 813 -2401 -3314 9731 -112 -5267 -2048 -678 -1257 -3904 -2512 -86 1220 -484 -1808 1533 2419 -1474 -1320 6000 3942 -1718 -1121 -2823 -136 -355 -828 -1550 -264 1899 -6254 -2166 -2352 1453 2302 671 -928 5347 -1066 -5852 -3259 1077 -72 2464 -641 -913 1646 1457 -23 6670 3316 1810 -3100 5816 -5144 1071 -431 -136 -10736 5790 5110 4955 2420 -4206 -4675 -470 -2197 -2238 4923
+5251 -1519 -555 932 496 -84 3581 1754 -838 2271 42 -4179 1707 -2298 -3454 -2045 4193 -882 414 -481 -255 790 -3137 -6346 1834 4041 -6353 -2940 2842 246 -2198 783 -2417 -3288 9657 -20 -5272 -2103 -693 -1256 -3801 -2507 -15 1342 -506 -1814 1517 2411 -1614 -1318 6130 4023 -1694 -1166 -2868 -115 -366 -883 -1670 -338 1988 -6270 -2128 -2399 1620 2432 697 -913 5338 -1046 -5887 -3363 1028 43 2437 -785 -810 1805 1533 71 6872 3428 1888 -3170 5889 -5157 999 -430 -233 -10725 5816 5116 5022 2486 -4205 -4733 -632 -2301 -2198 4957
+5249 -1650 -423 1023 539 -138 3699 1864 -884 2309 97 -4150 1749 -2550 -3369 -2040 4149 -855 403 -449 -202 876 -3293 -6233 1913 4008 -6419 -2963 2973 416 -2099 813 -2447 -3287 9598 60 -5278 -2134 -697 -1217 -3738 -2490 70 1464 -524 -1789 1459 2349 -1452 -1259 6191 4162 -1665 -1237 -2945 -143 -371 -915 -1679 -344 1989 -6176 -2245 -2450 1521 2281 635 -914 5351 -981 -5845 -3338 892 55 2369 -955 -744 1918 1604 154 6972 3519 1949 -3110 5886 -5222 1070 -359 -328 -10682 5928 5171 5046 2534 -4192 -4740 -689 -2339 -2225 5138
+5223 -1681 -282 1061 594 -146 3773 1819 -937 2276 97 -4211 1861 -2732 -3311 -2037 4083 -849 374 -430 -184 979 -3397 -6278 1981 3943 -6405 -2994 2900 444 -2072 887 -2436 -3307 9617 120 -5296 -2190 -728 -1120 -3797 -2496 74 1576 -552 -1812 1475 2350 -1366 -1155 6249 4270 -1580 -1294 -3032 -57 -336 -887 -1588 -350 1810 -6149 -2396 -2404 1309 2123 613 -927 5291 -1006 -5864 -3273 825 -32 2304 -976 -953 1833 1645 289 6915 3488 1999 -3001 5680 -5165 1199 -312 -372 -10586 6050 5210 5088 2552 -4197 -4721 -695 -2371 -2268 5210
+5193 -1612 -359 1036 671 -88 3742 1631 -1030 2193 -19 -4314 1939 -2705 -3380 -2044 4062 -837 323 -480 -257 966 -3280 -6460 1926 3847 -6397 -3092 2752 295 -2103 955 -2418 -3354 9708 75 -5291 -2175 -814 -1059 -3996 -2565 9 1550 -602 -1892 1498 2331 -1300 -1102 6339 4204 -1520 -1298 -3062 -78 -338 -871 -1551 -370 1664 -6280 -2430 -2322 1233 2074 652 -897 5277 -1053 -5882 -3129 845 -129 2339 -811 -1054 1733 1596 190 6726 3394 1908 -2975 5642 -5156 1280 -304 -296 -10583 6023 5250 5115 2501 -4283 -4692 -597 -2304 -2223 5159
+5235 -1478 -461 990 734 -101 3663 1462 -1081 2081 -165 -4393 1936 -2595 -3464 -2029 4074 -846 289 -497 -275 886 -3061 -6554 1775 3723 -6369 -3097 2620 256 -2171 978 -2406 -3352 9835 0 -5271 -2138 -861 -1082 -4084 -2628 -147 1371 -576 -1992 1551 2297 -1278 -1223 6334 4162 -1483 -1318 -3071 -47 -368 -953 -1589 -379 1623 -6475 -2320 -2086 1373 2192 750 -757 5284 -1143 -5956 -3005 945 -252 2434 -695 -1138 1629 1570 82 6596 3344 1848 -2971 5625 -5189 1215 -372 -216 -10495 5962 5231 5132 2473 -4339 -4661 -526 -2195 -2045 4993
+5349 -1372 -546 952 768 -165 3589 1417 -1031 2104 -310 -4315 1801 -2387 -3567 -2056 4156 -814 263 -552 -276 900 -3008 -6520 1659 3772 -6343 -3051 2671 311 -2138 934 -2459 -3283 9928 -141 -5286 -2091 -839 -1148 -4147 -2681 -162 1300 -575 -2049 1549 2238 -1458 -1291 6291 4116 -1507 -1359 -3070 -86 -417 -1017 -1681 -364 1791 -6526 -2230 -2061 1512 2309 760 -664 5385 -1133 -5990 -3063 961 -184 2439 -585 -1039 1635 1534 -27 6685 3432 1820 -3066 5842 -5257 1024 -456 -174 -10469 5942 5268 5195 2526 -4408 -4692 -497 -2147 -1935 4952
+5382 -1456 -484 978 740 -195 3558 1516 -921 2164 -368 -4109 1652 -2264 -3537 -2075 4230 -768 270 -594 -251 925 -3164 -6406 1720 3870 -6270 -2920 2746 399 -2016 849 -2515 -3228 9936 -331 -5341 -2069 -832 -1226 -4058 -2757 -172 1302 -622 -1977 1565 2204 -1543 -1229 6201 4172 -1534 -1392 -3010 -75 -444 -1063 -1790 -410 2012 -6493 -2248 -2256 1465 2253 719 -659 5418 -1088 -5985 -3174 909 -66 2448 -500 -950 1624 1525 31 6817 3537 1898 -3032 5998 -5321 898 -543 -273 -10471 5966 5299 5213 2624 -4444 -4755 -528 -2144 -1925 5038
+5315 -1491 -412 1082 732 -199 3611 1705 -782 2276 -271 -3804 1506 -2343 -3427 -2092 4266 -720 329 -588 -214 1011 -3341 -6346 1858 3907 -6126 -2828 2760 460 -1918 816 -2535 -3173 9887 -498 -5410 -2109 -714 -1181 -3964 -2778 -96 1399 -618 -1878 1604 2159 -1516 -1079 6110 4178 -1590 -1411 -2967 -25 -371 -1039 -1801 -365 2212 -6400 -2333 -2518 1214 2123 659 -755 5400 -997 -5921 -3306 818 118 2504 -458 -935 1587 1516 91 6824 3595 1996 -2881 5957 -5259 963 -541 -363 -10396 5948 5293 5180 2706 -4447 -4825 -579 -2148 -2053 5103
+5238 -1578 -399 1130 713 -190 3599 1750 -738 2289 -141 -3703 1540 -2497 -3333 -2090 4250 -799 293 -526 -253 990 -3304 -6369 1890 3856 -6112 -2823 2705 376 -1942 811 -2510 -3198 9829 -591 -5444 -2125 -640 -1074 -4049 -2795 -20 1458 -684 -1799 1622 2220 -1437 -868 6063 4128 -1609 -1419 -2930 0 -301 -989 -1726 -314 2177 -6296 -2357 -2497 1036 2036 633 -884 5268 -957 -5823 -3334 709 186 2575 -361 -1043 1525 1506 146 6743 3542 2042 -2844 5791 -5172 1062 -519 -293 -10477 5973 5313 5144 2723 -4396 -4838 -589 -2084 -2149 5075
+5230 -1470 -460 1158 738 -140 3576 1737 -739 2235 4 -3736 1642 -2659 -3319 -2054 4170 -896 242 -492 -305 913 -3101 -6529 1852 3880 -6251 -2893 2709 290 -2051 750 -2507 -3229 9825 -534 -5463 -2193 -703 -906 -4225 -2828 -13 1483 -657 -1835 1649 2241 -1382 -883 6112 4187 -1662 -1459 -2919 90 -178 -898 -1624 -283 1942 -6369 -2233 -2398 1079 2115 603 -1014 5217 -951 -5805 -3384 717 334 2605 -222 -1170 1439 1444 68 6754 3589 2069 -2797 5691 -5181 1124 -466 -143 -10422 5843 5265 5139 2668 -4342 -4824 -607 -2105 -2125 4965
+5321 -1335 -567 1195 749 -171 3485 1656 -830 2187 -55 -3777 1705 -2697 -3439 -2011 4116 -956 223 -553 -404 851 -2974 -6729 1837 3832 -6415 -2985 2754 338 -2186 721 -2465 -3292 9865 -307 -5420 -2230 -835 -905 -4368 -2887 -22 1476 -594 -1907 1622 2257 -1448 -1014 6165 4197 -1699 -1485 -2924 78 -285 -952 -1572 -312 1732 -6347 -2062 -2351 1267 2260 582 -1010 5327 -955 -5848 -3388 882 345 2604 -272 -1217 1481 1460 10 6792 3580 2009 -2860 5778 -5243 1044 -507 -123 -10444 5829 5271 5154 2548 -4281 -4749 -548 -2091 -2097 4854
+5364 -1314 -516 1217 698 -159 3537 1737 -827 2211 -233 -3802 1801 -2581 -3471 -1886 4136 -966 316 -603 -373 969 -3145 -6864 1867 3971 -6514 -3059 2883 475 -2248 749 -2426 -3262 9881 -60 -5389 -2264 -945 -978 -4325 -2853 7 1517 -495 -1959 1623 2256 -1616 -1055 6177 4261 -1574 -1467 -2962 34 -403 -1041 -1602 -309 1723 -6374 -2055 -2479 1343 2292 539 -932 5511 -951 -5912 -3407 1016 420 2493 -234 -1200 1517 1478 -29 6915 3614 1935 -2989 5889 -5364 956 -522 -213 -10494 5867 5263 5124 2459 -4258 -4684 -482 -2078 -2055 4874
+5338 -1459 -362 1245 630 -166 3647 1778 -852 2287 -401 -3910 1813 -2405 -3506 -1809 4184 -830 418 -665 -304 1095 -3428 -6801 1890 4104 -6418 -3040 2918 496 -2198 814 -2412 -3274 9839 62 -5360 -2282 -990 -1142 -4167 -2844 45 1612 -472 -1834 1662 2247 -1729 -882 6147 4242 -1497 -1408 -3000 -121 -637 -1207 -1700 -262 1931 -6300 -2140 -2653 1221 2157 458 -935 5577 -917 -5916 -3352 1109 396 2400 -170 -1237 1511 1550 132 6964 3652 1943 -2937 5907 -5445 935 -473 -214 -10694 5987 5351 5092 2358 -4223 -4619 -429 -2151 -2117 4901
+5260 -1574 -262 1211 546 -159 3813 1817 -898 2304 -466 -4038 1888 -2231 -3506 -1792 4271 -742 491 -630 -235 1148 -3553 -6648 1828 4170 -6249 -3003 2829 391 -2120 988 -2395 -3297 9791 -24 -5342 -2234 -842 -1257 -4020 -2782 105 1638 -547 -1723 1679 2213 -1683 -703 6177 4266 -1458 -1383 -3018 -151 -699 -1247 -1727 -231 2070 -6323 -2261 -2511 1045 2035 471 -970 5471 -916 -5902 -3338 1093 307 2165 -52 -1241 1420 1534 234 6915 3643 1958 -2870 5876 -5492 1045 -406 -173 -10834 6074 5386 5043 2336 -4210 -4619 -455 -2193 -2172 5013
+5249 -1586 -292 1137 501 -135 3859 1759 -959 2234 -240 -4261 1971 -2177 -3522 -1837 4295 -787 510 -605 -223 1125 -3380 -6469 1697 4181 -6104 -2921 2763 167 -2030 1034 -2452 -3293 9717 -187 -5387 -2193 -754 -1249 -3972 -2762 55 1612 -647 -1589 1743 2173 -1560 -648 6282 4315 -1525 -1395 -3012 -96 -626 -1188 -1637 -134 2002 -6239 -2320 -2204 1093 2013 499 -1075 5309 -899 -5865 -3353 1013 233 2057 -4 -1158 1393 1485 248 6849 3655 2025 -2864 5786 -5476 1100 -371 -54 -10845 6094 5340 5022 2341 -4248 -4678 -548 -2210 -2142 4973
+5311 -1420 -499 1081 560 -48 3848 1652 -990 2206 5 -4343 1967 -2227 -3539 -1914 4224 -823 515 -647 -337 1122 -3186 -6567 1650 4190 -6067 -2940 2774 209 -1989 1015 -2469 -3251 9774 -302 -5394 -2134 -730 -1176 -3952 -2700 -41 1477 -620 -1642 1806 2150 -1466 -875 6327 4359 -1622 -1422 -3005 -55 -505 -1066 -1513 -83 1823 -6248 -2255 -2019 1318 2154 575 -1117 5245 -926 -5920 -3524 992 244 2007 -25 -1008 1410 1438 279 6961 3668 2025 -2943 5799 -5472 1087 -401 -3 -10717 6061 5249 5011 2332 -4328 -4742 -632 -2239 -2148 4999
+5335 -1380 -594 1024 605 -11 3746 1609 -933 2242 176 -4284 1913 -2349 -3501 -1981 4081 -858 461 -734 -413 1133 -3102 -6706 1672 4195 -6150 -2998 2873 350 -1935 926 -2495 -3156 9815 -323 -5417 -2159 -834 -1098 -3992 -2681 -89 1485 -538 -1744 1829 2123 -1430 -1029 6234 4333 -1688 -1400 -2969 -27 -317 -923 -1459 -139 1704 -6340 -2268 -2059 1520 2212 571 -1042 5268 -996 -6001 -3639 1117 358 2081 -102 -864 1497 1442 281 7067 3680 1979 -3088 5892 -5525 962 -470 -46 -10571 6142 5227 4992 2301 -4336 -4736 -653 -2223 -2159 5029
+5266 -1392 -628 1010 584 25 3684 1632 -846 2347 183 -4133 1789 -2435 -3414 -2000 3990 -850 408 -746 -422 1182 -3173 -6702 1787 4144 -6201 -3003 2923 500 -1902 854 -2482 -3103 9950 -292 -5393 -2153 -919 -1094 -3931 -2649 -57 1538 -460 -1862 1776 2112 -1497 -1051 6061 4207 -1681 -1312 -2904 -43 -282 -901 -1462 -153 1774 -6407 -2318 -2385 1565 2220 600 -916 5315 -1061 -6003 -3595 1162 427 2160 -318 -867 1598 1496 318 7064 3614 1847 -3179 5889 -5540 881 -537 -172 -10434 6305 5238 4999 2314 -4418 -4731 -585 -2183 -2174 5050
+5254 -1500 -531 1046 545 -19 3679 1723 -768 2477 -29 -4000 1702 -2416 -3418 -1953 3972 -787 371 -705 -297 1130 -3276 -6536 1729 3916 -6194 -3004 2810 512 -1891 873 -2418 -3153 9975 -317 -5414 -2192 -906 -1080 -3823 -2575 34 1663 -452 -1945 1738 2133 -1587 -950 6000 4096 -1640 -1229 -2909 -147 -329 -950 -1571 -156 2051 -6413 -2343 -2457 1419 2136 590 -934 5245 -1092 -5917 -3404 1176 455 2209 -419 -998 1602 1525 341 6989 3537 1819 -3118 5834 -5437 931 -554 -270 -10498 6351 5309 5022 2340 -4432 -4689 -493 -2122 -2098 5087
+5205 -1530 -486 1091 528 -40 3651 1717 -749 2466 -246 -3991 1717 -2301 -3484 -1902 4065 -778 328 -593 -216 1050 -3290 -6317 1623 3665 -6194 -2994 2649 376 -1928 891 -2417 -3276 9965 -411 -5468 -2235 -787 -1091 -3756 -2597 121 1738 -571 -1937 1701 2045 -1522 -799 6082 4130 -1659 -1215 -2908 -90 -381 -1001 -1620 -166 2167 -6139 -2275 -2305 1324 2099 584 -1049 5156 -1056 -5794 -3231 1051 390 2177 -464 -1200 1559 1569 359 6864 3500 1816 -3030 5783 -5391 1028 -517 -168 -10519 6387 5365 5077 2394 -4496 -4718 -480 -2136 -2060 5126
+5322 -1482 -533 1098 549 -111 3589 1655 -764 2294 -293 -4045 1861 -2324 -3595 -1873 4185 -817 299 -580 -228 940 -3208 -6305 1610 3561 -6224 -2993 2558 258 -2020 851 -2467 -3398 9958 -458 -5490 -2244 -648 -1138 -3801 -2589 117 1753 -683 -1946 1671 2044 -1399 -932 6226 4150 -1748 -1272 -2924 -38 -322 -969 -1601 -189 2054 -6048 -2125 -2127 1334 2168 595 -1147 5125 -1001 -5770 -3205 966 252 2161 -615 -1298 1574 1594 302 6882 3555 1877 -3035 5851 -5374 1043 -532 -47 -10558 6294 5399 5181 2438 -4508 -4733 -442 -2072 -2064 5177
+5366 -1357 -604 1150 667 -142 3593 1618 -831 2179 -329 -3973 1883 -2383 -3607 -1890 4272 -871 363 -622 -384 1006 -3175 -6533 1699 3653 -6245 -2977 2619 373 -2020 759 -2501 -3360 9946 -463 -5478 -2212 -538 -1148 -3834 -2533 17 1625 -651 -1979 1674 2020 -1323 -1105 6364 4246 -1792 -1356 -3005 22 -248 -903 -1507 -233 1795 -6153 -2088 -2215 1370 2210 572 -1112 5193 -975 -5878 -3325 923 58 2162 -701 -1218 1669 1635 191 6861 3579 1946 -3056 5926 -5454 922 -577 -12 -10465 6196 5416 5261 2501 -4472 -4741 -426 -2036 -2237 5240
+5373 -1300 -654 1222 786 -145 3626 1641 -937 2130 -200 -3783 1816 -2555 -3559 -1938 4294 -904 406 -731 -513 1096 -3168 -6724 1844 3780 -6260 -2985 2755 529 -2043 695 -2532 -3252 9917 -427 -5428 -2161 -536 -1200 -3863 -2492 -118 1476 -546 -1978 1678 2036 -1302 -1213 6357 4296 -1715 -1377 -3074 64 -209 -847 -1434 -270 1583 -6271 -2175 -2424 1351 2200 567 -939 5389 -976 -6076 -3509 1042 -104 2311 -707 -1178 1676 1639 159 6838 3542 1942 -3136 5966 -5571 840 -597 -101 -10356 6193 5414 5249 2523 -4393 -4689 -388 -1958 -2400 5217
+5231 -1458 -570 1235 810 -171 3649 1709 -942 2161 -140 -3644 1624 -2697 -3433 -1983 4273 -899 388 -700 -490 1120 -3190 -6671 1827 3898 -6159 -2911 2808 576 -2012 728 -2529 -3129 9866 -478 -5405 -2133 -507 -1077 -3853 -2403 -173 1319 -480 -1965 1670 2059 -1317 -1099 6405 4159 -1623 -1313 -3074 48 -196 -861 -1443 -251 1576 -6448 -2247 -2602 1263 2186 591 -815 5472 -1020 -6152 -3471 1114 -191 2422 -710 -1150 1633 1616 111 6640 3396 1862 -3131 5904 -5568 882 -537 -177 -10393 6197 5453 5183 2552 -4222 -4615 -362 -1861 -2455 5125
+5193 -1548 -510 1174 722 -204 3724 1779 -957 2119 -111 -3719 1572 -2666 -3325 -1976 4241 -898 289 -593 -370 988 -3166 -6436 1712 4005 -6125 -2900 2745 405 -2037 833 -2511 -3124 9810 -470 -5382 -2097 -562 -1054 -3842 -2452 -163 1327 -502 -1904 1638 2039 -1359 -1031 6430 4095 -1585 -1297 -3056 10 -220 -915 -1488 -236 1645 -6407 -2204 -2476 1140 2192 657 -792 5431 -1048 -6044 -3282 1028 -163 2540 -574 -1138 1535 1587 148 6464 3274 1835 -3065 5761 -5520 975 -503 -160 -10473 6217 5505 5148 2567 -4187 -4603 -338 -1827 -2351 5118
+5234 -1519 -427 1053 613 -166 3712 1801 -912 2115 -159 -3951 1520 -2546 -3280 -1999 4173 -824 236 -469 -192 855 -3229 -6293 1581 4028 -6148 -2941 2671 277 -2041 942 -2495 -3177 9748 -503 -5390 -2069 -632 -999 -3828 -2524 -112 1430 -592 -1847 1646 2081 -1398 -1076 6437 4045 -1581 -1266 -3027 -41 -265 -923 -1573 -235 1825 -6299 -2114 -2217 1156 2239 718 -895 5251 -1057 -5834 -2990 880 -101 2492 -570 -1120 1522 1628 260 6436 3246 1855 -2997 5677 -5461 1042 -503 -79 -10650 6142 5506 5114 2489 -4229 -4622 -327 -1788 -2134 5234
+5414 -1457 -437 1058 589 -127 3661 1697 -882 2132 -216 -4067 1580 -2395 -3296 -1998 4093 -799 252 -467 -120 838 -3288 -6393 1619 3990 -6245 -3012 2673 291 -2067 902 -2515 -3219 9733 -483 -5379 -2068 -685 -1004 -3843 -2606 -59 1480 -598 -1840 1563 2170 -1356 -1227 6367 4093 -1675 -1319 -2978 27 -138 -837 -1574 -284 1900 -6260 -2141 -2206 1124 2195 712 -914 5228 -1000 -5694 -2950 687 -19 2341 -670 -1057 1635 1720 343 6557 3306 1929 -2989 5623 -5477 997 -552 -4 -10703 6040 5433 5085 2354 -4364 -4676 -319 -1840 -2007 5350
+5392 -1392 -388 1030 580 -59 3622 1641 -852 2229 -197 -4176 1737 -2315 -3328 -2003 4098 -777 329 -564 -155 929 -3293 -6623 1798 4034 -6410 -3038 2790 365 -2047 775 -2579 -3173 9768 -449 -5350 -2053 -723 -1032 -3954 -2679 -43 1517 -529 -1906 1506 2205 -1267 -1356 6174 4098 -1729 -1362 -2922 122 -10 -732 -1505 -286 1868 -6312 -2251 -2531 1120 2205 691 -833 5318 -960 -5728 -3178 565 20 2235 -818 -1011 1742 1759 246 6677 3328 1880 -3134 5762 -5554 938 -567 -102 -10735 6004 5370 5077 2240 -4505 -4730 -337 -1909 -2078 5437
+5311 -1487 -358 1064 634 23 3626 1667 -799 2357 -155 -4047 1831 -2355 -3437 -2036 4170 -763 437 -636 -222 977 -3195 -6720 1843 3997 -6484 -3025 2896 445 -2052 694 -2519 -3062 9822 -390 -5307 -2038 -694 -1093 -4063 -2645 -12 1524 -400 -1993 1473 2201 -1219 -1309 6099 4012 -1787 -1367 -2894 187 85 -655 -1392 -247 1735 -6452 -2274 -2759 1191 2194 649 -794 5414 -943 -5884 -3481 610 -49 2288 -810 -1076 1752 1712 64 6631 3312 1855 -3178 5766 -5612 917 -528 -230 -10661 6017 5307 5060 2205 -4608 -4774 -373 -1941 -2192 5381
+5193 -1600 -309 1091 616 -29 3656 1710 -835 2360 -13 -4091 1931 -2496 -3458 -2028 4246 -776 400 -595 -248 951 -3085 -6539 1844 3880 -6470 -2995 2895 321 -2048 634 -2482 -3012 9854 -474 -5358 -2067 -527 -1079 -4218 -2601 27 1483 -300 -2009 1473 2144 -1267 -1200 6114 3917 -1763 -1344 -2874 189 -51 -743 -1382 -218 1640 -6421 -2146 -2677 1278 2238 621 -863 5485 -919 -5980 -3638 625 -207 2278 -664 -1205 1683 1648 -64 6456 3232 1842 -3167 5729 -5548 1017 -508 -326 -10615 6087 5254 5000 2233 -4600 -4782 -459 -1938 -2207 5244
+5272 -1584 -231 1153 565 -96 3732 1763 -875 2332 96 -4236 1989 -2540 -3493 -2013 4303 -780 357 -494 -214 923 -3107 -6283 1858 3867 -6344 -2927 2877 216 -2018 654 -2483 -3005 9869 -569 -5404 -2107 -440 -1059 -4136 -2676 -5 1469 -348 -1908 1518 2109 -1303 -1192 6212 3942 -1772 -1370 -2930 125 -179 -835 -1441 -274 1586 -6365 -1993 -2340 1355 2222 578 -1003 5522 -889 -5967 -3536 749 -212 2302 -616 -1235 1650 1583 -82 6388 3211 1849 -3237 5602 -5334 1206 -481 -384 -10597 6154 5235 5043 2342 -4550 -4801 -567 -1940 -2134 5206
+5369 -1584 -179 1134 567 -118 3758 1753 -865 2228 145 -4372 1907 -2560 -3410 -1979 4277 -774 340 -406 -162 953 -3240 -6123 1937 3903 -6209 -2810 2880 185 -1904 650 -2539 -3010 9835 -592 -5466 -2181 -468 -1115 -3989 -2817 -84 1511 -465 -1809 1617 2161 -1310 -1256 6257 4118 -1682 -1420 -3010 9 -307 -939 -1504 -227 1690 -6300 -2009 -2116 1297 2164 549 -1059 5547 -893 -5909 -3335 846 -100 2236 -569 -1116 1694 1579 56 6569 3292 1932 -3204 5548 -5199 1226 -595 -433 -10635 6140 5239 5074 2340 -4506 -4757 -569 -1934 -2016 5327
+5379 -1602 -163 1121 612 -88 3760 1749 -865 2194 21 -4363 1763 -2532 -3319 -1932 4195 -688 404 -382 -134 1013 -3361 -6202 2003 3966 -6088 -2773 2909 353 -1829 690 -2565 -3044 9871 -519 -5467 -2207 -597 -1170 -3801 -2888 -163 1576 -549 -1726 1729 2198 -1283 -1180 6233 4218 -1617 -1417 -3040 -14 -383 -988 -1556 -260 1780 -6319 -2238 -2209 1211 2081 555 -933 5594 -892 -5828 -3173 888 183 2089 -635 -884 1815 1633 204 6728 3368 1931 -3349 5500 -5124 1174 -658 -530 -10739 6180 5309 5153 2379 -4451 -4710 -508 -1937 -2006 5458
+5332 -1583 -321 1084 705 -50 3732 1747 -823 2247 -194 -4155 1561 -2465 -3332 -1950 4088 -710 432 -385 -169 1005 -3270 -6294 1870 3980 -6105 -2818 2900 463 -1852 762 -2569 -3078 9941 -433 -5428 -2201 -770 -1203 -3817 -2927 -173 1510 -533 -1768 1752 2220 -1309 -1194 6210 4277 -1519 -1399 -3046 -24 -345 -936 -1525 -288 1683 -6483 -2446 -2390 1242 2068 590 -781 5551 -957 -5843 -3205 941 428 2085 -542 -730 1804 1606 269 6752 3321 1909 -3232 5471 -5186 1113 -696 -701 -10648 6221 5322 5167 2448 -4355 -4649 -416 -1916 -2060 5442
+5203 -1599 -452 1054 775 -48 3647 1798 -747 2256 -379 -3852 1501 -2448 -3330 -1891 4091 -745 474 -404 -206 926 -3119 -6343 1702 3865 -6124 -2889 2814 492 -1932 887 -2510 -3113 10011 -359 -5358 -2134 -854 -1216 -3937 -2861 -161 1429 -491 -1825 1714 2139 -1346 -1113 6273 4179 -1486 -1339 -2965 58 -244 -860 -1413 -194 1583 -6528 -2405 -2382 1376 2166 660 -736 5430 -1028 -5929 -3376 1081 505 2140 -313 -757 1714 1576 243 6584 3191 1829 -3234 5459 -5221 1107 -673 -748 -10593 6226 5363 5203 2606 -4337 -4658 -324 -1891 -2229 5325
+5172 -1583 -579 1011 763 -76 3539 1807 -746 2258 -368 -3761 1604 -2465 -3423 -1900 4139 -812 478 -446 -233 822 -3151 -6293 1519 3853 -6227 -3080 2653 439 -2069 1007 -2447 -3210 10077 -342 -5334 -2065 -790 -1184 -4167 -2803 -45 1448 -442 -1921 1672 2044 -1415 -1078 6359 4079 -1553 -1303 -2899 84 -190 -809 -1382 -171 1570 -6501 -2189 -2106 1497 2260 723 -834 5219 -1103 -5997 -3526 1204 361 2298 -181 -891 1578 1535 157 6431 3126 1846 -3163 5459 -5199 1153 -643 -682 -10422 6069 5288 5170 2745 -4349 -4707 -304 -1848 -2306 5192
+5166 -1539 -578 1046 720 -91 3507 1792 -721 2218 -207 -3714 1762 -2498 -3495 -1898 4219 -833 504 -498 -229 828 -3373 -6236 1560 3923 -6290 -3123 2614 409 -2062 1006 -2456 -3214 10069 -440 -5341 -2041 -677 -1185 -4207 -2755 112 1523 -489 -1937 1644 2097 -1422 -986 6299 4121 -1572 -1288 -2859 55 -233 -865 -1431 -113 1773 -6405 -2109 -2024 1470 2247 746 -930 5157 -1119 -6002 -3578 1311 277 2332 -86 -1038 1519 1588 216 6468 3178 1945 -3204 5465 -5170 1235 -638 -592 -10322 5845 5214 5193 2807 -4375 -4763 -324 -1844 -2394 5209
+5252 -1514 -462 1082 612 -100 3539 1787 -707 2170 -14 -3719 1864 -2477 -3502 -1910 4296 -778 567 -508 -249 923 -3615 -6209 1732 4015 -6340 -3058 2742 540 -1964 937 -2539 -3165 10036 -498 -5406 -2090 -643 -1243 -4083 -2761 167 1700 -603 -1890 1653 2267 -1470 -941 6129 4229 -1583 -1297 -2860 11 -249 -896 -1523 -160 1978 -6325 -2210 -2198 1256 2080 613 -995 5270 -1060 -5937 -3520 1273 256 2235 -231 -1076 1596 1665 257 6630 3328 2014 -3351 5474 -5187 1170 -677 -493 -10277 5649 5149 5159 2671 -4371 -4746 -327 -1789 -2399 5275
+5288 -1533 -425 1119 609 -7 3590 1782 -694 2198 118 -3730 1782 -2436 -3423 -1916 4231 -767 564 -450 -248 920 -3564 -6203 1794 4031 -6303 -2922 2856 620 -1887 832 -2623 -3146 10020 -587 -5434 -2135 -644 -1283 -3909 -2767 156 1753 -641 -1831 1703 2358 -1480 -841 6004 4303 -1589 -1332 -2898 -55 -315 -950 -1602 -255 2012 -6292 -2409 -2498 1104 1992 498 -932 5538 -948 -5843 -3391 1204 376 2178 -324 -1063 1656 1699 284 6731 3367 1975 -3454 5398 -5224 1062 -724 -538 -10375 5603 5161 5123 2527 -4405 -4730 -311 -1683 -2306 5345
+5328 -1429 -491 1201 678 -32 3650 1742 -772 2271 63 -3776 1624 -2366 -3416 -1952 4115 -765 547 -383 -213 867 -3334 -6297 1756 4039 -6259 -2819 2875 534 -1861 724 -2628 -3122 10060 -622 -5494 -2217 -669 -1374 -3813 -2717 39 1686 -648 -1792 1698 2360 -1555 -843 5938 4296 -1589 -1362 -2948 -9 -323 -974 -1592 -255 1905 -6307 -2395 -2534 1147 2057 457 -918 5627 -914 -5790 -3273 1080 490 2209 -362 -1120 1645 1640 144 6560 3286 1930 -3384 5302 -5288 1064 -719 -707 -10369 5648 5170 5060 2436 -4405 -4703 -307 -1643 -2162 5279
+5378 -1358 -552 1240 719 -57 3761 1713 -883 2272 -37 -3954 1545 -2288 -3445 -2013 3934 -818 481 -353 -189 825 -3215 -6443 1781 4014 -6216 -2785 2767 325 -1933 728 -2574 -3150 10088 -633 -5551 -2294 -689 -1304 -3856 -2622 -58 1502 -582 -1766 1718 2229 -1656 -820 6091 4229 -1555 -1341 -2950 78 -358 -982 -1528 -251 1691 -6252 -2115 -2399 1308 2185 485 -951 5601 -908 -5787 -3191 994 506 2395 -323 -1158 1565 1545 21 6373 3189 1857 -3354 5241 -5258 1182 -649 -805 -10491 5780 5274 5092 2452 -4418 -4698 -325 -1733 -2064 5235
+5348 -1309 -596 1203 689 -105 3835 1642 -997 2300 -173 -4207 1738 -2265 -3468 -2006 3896 -830 507 -365 -123 855 -3319 -6454 1803 4061 -6150 -2803 2675 206 -2009 753 -2508 -3157 10133 -583 -5557 -2305 -707 -1316 -3867 -2542 -178 1388 -575 -1740 1747 2198 -1703 -842 6195 4114 -1520 -1317 -2945 130 -431 -1011 -1469 -149 1633 -6160 -1845 -2256 1281 2229 521 -1041 5414 -936 -5800 -3155 951 410 2513 -258 -1112 1568 1553 20 6343 3195 1919 -3245 5258 -5137 1323 -615 -800 -10569 5826 5315 5096 2486 -4400 -4687 -369 -1884 -2084 5243
+5355 -1320 -554 1191 606 -163 3861 1557 -1069 2288 -215 -4270 1902 -2345 -3442 -2015 3911 -763 542 -393 -67 860 -3508 -6281 1793 4188 -6160 -2890 2751 264 -1999 766 -2481 -3155 10160 -509 -5499 -2247 -722 -1388 -3775 -2458 -217 1403 -564 -1697 1737 2288 -1651 -912 6251 4160 -1460 -1318 -2976 120 -499 -1097 -1495 -72 1786 -6135 -1865 -2360 1088 2162 557 -1007 5350 -952 -5841 -3195 925 260 2549 -291 -1056 1590 1594 90 6447 3284 2032 -3187 5362 -5147 1444 -531 -752 -10602 5889 5321 5148 2503 -4421 -4713 -451 -2094 -2205 5399
+5247 -1480 -409 1154 535 -177 3947 1587 -1078 2258 -200 -4258 2042 -2460 -3437 -1999 4014 -699 619 -371 -16 910 -3582 -6046 1662 4279 -6296 -2949 2924 445 -1888 732 -2557 -3109 10080 -482 -5443 -2179 -776 -1422 -3666 -2459 -172 1551 -594 -1679 1720 2422 -1520 -836 6263 4143 -1379 -1278 -2978 15 -560 -1158 -1612 -172 1924 -6209 -2177 -2494 883 2006 570 -852 5387 -968 -5834 -3187 901 160 2458 -360 -1052 1621 1702 262 6564 3384 2134 -3174 5290 -5208 1443 -491 -774 -10701 5893 5302 5188 2488 -4429 -4742 -539 -2172 -2280 5536
+5266 -1552 -425 1160 555 -216 3898 1649 -1057 2276 -77 -4202 2086 -2579 -3482 -1997 4122 -707 604 -331 -115 845 -3360 -5919 1498 4213 -6397 -3038 2978 526 -1884 746 -2632 -3164 10030 -478 -5363 -2096 -780 -1428 -3660 -2470 -61 1671 -672 -1685 1671 2441 -1508 -785 6248 4104 -1268 -1211 -2964 -100 -567 -1154 -1738 -252 2069 -6213 -2408 -2503 927 1997 568 -670 5509 -966 -5748 -3140 758 81 2321 -415 -1118 1599 1768 344 6432 3334 2161 -3136 5254 -5338 1379 -479 -861 -10664 6014 5264 5143 2436 -4493 -4786 -589 -2121 -2212 5573
+5338 -1470 -534 1180 655 -197 3783 1703 -974 2297 50 -4124 1898 -2518 -3534 -2045 4161 -741 536 -308 -237 753 -3096 -5955 1423 4002 -6401 -3106 2816 391 -1968 802 -2616 -3219 9956 -500 -5341 -2028 -702 -1326 -3774 -2441 24 1661 -623 -1720 1635 2306 -1585 -818 6268 3973 -1322 -1189 -2924 -112 -482 -1090 -1754 -321 2016 -6294 -2391 -2252 1144 2095 635 -576 5508 -1010 -5676 -3064 604 30 2275 -512 -1222 1564 1754 281 6275 3266 2114 -3140 5126 -5323 1339 -517 -954 -10652 6027 5205 5099 2488 -4465 -4806 -609 -1961 -1941 5443
+5395 -1361 -616 1216 719 -217 3680 1716 -923 2327 59 -4186 1770 -2468 -3522 -2023 4118 -789 480 -347 -298 716 -3042 -6179 1566 3888 -6295 -3126 2628 211 -2062 877 -2540 -3201 9904 -499 -5360 -2031 -666 -1103 -3940 -2425 63 1629 -551 -1777 1667 2204 -1685 -865 6247 3861 -1465 -1207 -2862 -42 -404 -984 -1610 -235 1880 -6248 -2172 -2034 1379 2228 716 -629 5366 -1097 -5682 -3037 548 -79 2311 -638 -1224 1599 1755 276 6255 3205 1979 -3220 5182 -5296 1370 -532 -873 -10567 6003 5125 5038 2497 -4488 -4811 -538 -1770 -1805 5384
+5344 -1371 -550 1212 677 -197 3559 1695 -781 2317 0 -4121 1583 -2403 -3422 -2063 4083 -771 431 -309 -243 719 -3193 -6257 1784 3813 -6187 -3094 2582 187 -2136 925 -2447 -3209 9936 -430 -5322 -2025 -657 -980 -4053 -2374 1 1535 -437 -1847 1634 2231 -1625 -1004 6164 3890 -1634 -1275 -2838 44 -336 -939 -1512 -122 1897 -6260 -2071 -2180 1310 2173 761 -663 5286 -1144 -5771 -3102 589 -154 2445 -733 -1135 1698 1728 218 6335 3244 1945 -3272 5285 -5188 1350 -608 -728 -10455 5849 5015 4997 2488 -4450 -4773 -459 -1715 -1737 5334
+5288 -1542 -366 1149 556 -187 3520 1714 -729 2222 -128 -3919 1459 -2459 -3303 -2070 3968 -757 426 -220 -115 721 -3325 -6064 1892 3797 -6188 -2909 2748 280 -2071 844 -2475 -3142 9915 -360 -5330 -2081 -701 -1027 -3967 -2452 -136 1475 -462 -1841 1649 2290 -1532 -967 6128 3904 -1751 -1322 -2851 81 -383 -1006 -1527 -98 1975 -6290 -2117 -2424 1116 2037 714 -672 5286 -1147 -5869 -3173 745 -203 2493 -806 -1082 1793 1766 369 6622 3389 1980 -3294 5332 -5180 1300 -655 -673 -10360 5731 4970 5084 2561 -4511 -4804 -360 -1720 -1870 5380
+5138 -1668 -309 1105 493 -221 3454 1690 -720 2163 -259 -3685 1444 -2560 -3189 -2067 3987 -777 486 -143 -45 684 -3318 -5849 1823 3872 -6281 -2788 2949 379 -1966 754 -2582 -3156 9916 -279 -5379 -2201 -733 -1110 -3839 -2579 -272 1420 -566 -1755 1644 2243 -1278 -886 6185 3932 -1788 -1359 -2924 -9 -471 -1086 -1654 -189 2087 -6252 -2271 -2468 1112 1966 610 -671 5446 -1074 -5945 -3220 917 -148 2475 -716 -1064 1799 1783 499 6713 3461 2028 -3279 5292 -5377 1223 -611 -665 -10343 5679 5016 5129 2587 -4516 -4813 -308 -1732 -2007 5401
+5214 -1696 -363 1043 568 -245 3459 1718 -775 2085 -347 -3557 1481 -2631 -3236 -2117 4018 -761 530 -161 -102 625 -3207 -5906 1712 3856 -6341 -2779 2916 378 -1967 677 -2616 -3250 9964 -379 -5432 -2239 -739 -1238 -3826 -2692 -271 1354 -666 -1707 1623 2182 -1289 -942 6331 3990 -1800 -1384 -2994 -103 -578 -1168 -1760 -279 2071 -6257 -2256 -2267 1266 2039 536 -675 5566 -1021 -5894 -3047 962 -215 2462 -663 -1062 1764 1772 557 6606 3407 2059 -3216 5262 -5532 1180 -550 -694 -10495 5741 5174 5221 2715 -4498 -4865 -352 -1771 -2111 5396
+5298 -1654 -496 996 659 -170 3533 1733 -842 2059 -302 -3702 1689 -2585 -3287 -2126 4129 -809 587 -259 -196 693 -3135 -6199 1730 3824 -6320 -2861 2747 316 -1967 705 -2582 -3280 10011 -515 -5488 -2245 -702 -1277 -3858 -2722 -236 1299 -656 -1720 1617 2131 -1378 -1044 6504 4042 -1763 -1398 -3074 -202 -601 -1121 -1756 -385 1849 -6271 -2226 -2046 1435 2185 548 -741 5628 -980 -5777 -2966 856 -191 2330 -678 -1084 1720 1748 522 6548 3379 2045 -3212 5294 -5552 1229 -487 -652 -10585 5818 5305 5231 2824 -4401 -4858 -421 -1761 -2087 5394
+5279 -1560 -518 939 756 -53 3600 1716 -870 2068 -221 -3990 1842 -2505 -3378 -2112 4248 -729 606 -331 -223 829 -3186 -6484 1776 3816 -6256 -2966 2546 334 -1983 817 -2457 -3228 10070 -599 -5497 -2205 -626 -1228 -3947 -2678 -114 1273 -481 -1778 1588 2243 -1539 -1199 6524 4028 -1762 -1350 -3058 -178 -606 -1103 -1689 -419 1688 -6345 -2153 -2055 1403 2192 620 -745 5515 -1072 -5726 -2920 825 -133 2249 -674 -1118 1681 1736 421 6491 3345 2019 -3267 5377 -5483 1270 -579 -637 -10599 5829 5366 5234 2809 -4319 -4782 -437 -1699 -2003 5396
+5256 -1563 -424 867 720 -10 3695 1717 -867 2073 -123 -4244 1909 -2452 -3379 -2054 4284 -671 592 -311 -130 852 -3255 -6458 1688 3891 -6245 -3000 2583 473 -1997 904 -2362 -3083 10122 -623 -5441 -2140 -681 -1119 -4060 -2628 -2 1350 -298 -1925 1589 2444 -1632 -1321 6536 3967 -1778 -1306 -3004 -179 -528 -986 -1537 -290 1637 -6428 -2207 -2366 1159 2111 689 -732 5361 -1171 -5736 -2994 890 -86 2287 -756 -1109 1690 1731 375 6585 3350 1922 -3366 5543 -5339 1299 -589 -569 -10616 5805 5406 5238 2689 -4334 -4699 -382 -1650 -1977 5385
+5176 -1669 -277 913 653 -13 3755 1714 -849 2140 -143 -4336 1880 -2456 -3355 -2034 4190 -643 546 -205 2 759 -3273 -6200 1593 4019 -6299 -2973 2772 616 -1895 905 -2372 -3027 10137 -574 -5409 -2120 -764 -1054 -4081 -2663 48 1444 -261 -1878 1537 2585 -1513 -1276 6492 3960 -1740 -1247 -2951 -159 -406 -903 -1510 -246 1705 -6493 -2261 -2520 989 2028 680 -691 5354 -1164 -5752 -3166 934 -26 2279 -687 -1215 1651 1762 422 6602 3358 1866 -3369 5535 -5373 1284 -577 -605 -10640 5856 5412 5207 2501 -4387 -4633 -340 -1647 -1981 5379
+5172 -1675 -274 961 612 -97 3775 1732 -871 2258 -193 -4271 1873 -2497 -3299 -2040 4017 -683 509 -123 44 642 -3222 -6006 1567 4090 -6337 -2954 2877 595 -1919 895 -2473 -3143 10141 -525 -5416 -2145 -865 -993 -4164 -2784 106 1458 -455 -1842 1507 2583 -1388 -1178 6489 4021 -1677 -1207 -2930 -216 -306 -875 -1597 -292 1891 -6472 -2270 -2369 1085 2108 654 -684 5472 -1063 -5755 -3269 1002 -57 2293 -617 -1306 1588 1738 485 6597 3364 1933 -3143 5462 -5500 1247 -538 -691 -10572 5929 5408 5182 2354 -4460 -4637 -368 -1777 -1930 5401
+5274 -1596 -359 1014 615 -207 3732 1797 -892 2342 -211 -4093 1876 -2589 -3332 -2064 3877 -770 547 -200 -62 671 -3262 -6250 1754 4103 -6324 -2955 2815 382 -2001 944 -2540 -3337 10073 -443 -5424 -2167 -926 -1025 -4178 -2885 101 1342 -586 -1740 1470 2387 -1283 -1198 6448 4037 -1674 -1196 -2909 -255 -309 -851 -1714 -418 1990 -6334 -2254 -2168 1235 2144 560 -753 5660 -897 -5670 -3246 928 -40 2236 -441 -1322 1518 1679 408 6501 3370 1976 -2979 5476 -5600 1237 -517 -730 -10532 6032 5390 5161 2364 -4591 -4766 -469 -1932 -1941 5432
+5343 -1521 -515 1076 666 -205 3723 1674 -982 2416 -163 -4092 1912 -2551 -3379 -2121 3786 -849 598 -339 -249 699 -3281 -6634 2021 4169 -6320 -2970 2694 162 -2150 970 -2546 -3503 10043 -315 -5441 -2220 -933 -1122 -4197 -2888 79 1146 -633 -1750 1426 2242 -1363 -1210 6393 4098 -1693 -1221 -2929 -281 -362 -857 -1725 -454 1989 -6385 -2223 -2187 1201 2120 499 -816 5751 -832 -5611 -3083 967 68 2171 -343 -1269 1531 1623 263 6517 3411 2022 -3001 5584 -5467 1197 -598 -637 -10571 6055 5368 5186 2425 -4685 -4888 -555 -2025 -2000 5531
+5375 -1376 -516 1092 670 -168 3682 1566 -1004 2404 -74 -4066 1872 -2467 -3490 -2137 3854 -847 635 -424 -375 754 -3191 -6706 2070 4094 -6284 -2968 2723 107 -2222 967 -2478 -3521 10017 -127 -5422 -2260 -1008 -1182 -4194 -2880 13 1114 -548 -1838 1444 2274 -1525 -1344 6248 4109 -1745 -1258 -2910 -133 -395 -879 -1587 -359 1827 -6392 -2169 -2413 1049 2042 521 -820 5727 -883 -5596 -2948 956 173 2252 -285 -1124 1624 1627 137 6621 3496 2074 -3066 5688 -5400 1182 -704 -563 -10520 5991 5247 5137 2420 -4677 -4907 -555 -2008 -2089 5519
+5366 -1386 -468 1131 645 -208 3713 1540 -991 2310 -15 -4056 1749 -2339 -3505 -2107 4002 -823 669 -374 -395 766 -3117 -6489 1924 4020 -6307 -2946 2821 253 -2223 932 -2407 -3335 9995 24 -5383 -2308 -1029 -1257 -4066 -2829 -90 1169 -441 -1865 1543 2394 -1586 -1301 6189 4068 -1818 -1290 -2899 -14 -474 -916 -1469 -243 1665 -6396 -2063 -2678 933 1957 529 -751 5733 -939 -5594 -2835 984 243 2327 -229 -1165 1554 1612 144 6731 3469 2038 -3071 5707 -5388 1116 -758 -493 -10549 5992 5200 5129 2406 -4642 -4880 -503 -1871 -2105 5419
+5357 -1409 -428 1170 618 -261 3740 1622 -950 2147 36 -4042 1633 -2239 -3479 -2032 4127 -714 621 -283 -335 744 -3108 -6140 1692 3964 -6360 -2962 2902 413 -2168 872 -2384 -3233 9964 48 -5386 -2314 -993 -1292 -3971 -2767 -136 1341 -439 -1784 1626 2396 -1453 -1190 6180 4073 -1775 -1308 -2884 167 -450 -922 -1415 -209 1532 -6280 -1951 -2576 993 2040 579 -643 5757 -962 -5604 -2858 978 214 2423 -190 -1264 1451 1596 119 6653 3425 1980 -3066 5616 -5570 1041 -746 -532 -10536 6117 5202 5094 2424 -4468 -4782 -443 -1728 -1973 5322
+5365 -1439 -406 1247 641 -325 3800 1785 -882 2115 -49 -3965 1582 -2285 -3452 -1929 4131 -649 657 -232 -252 851 -3248 -6100 1662 3939 -6299 -3002 2878 480 -2079 872 -2379 -3211 9946 -96 -5410 -2286 -890 -1216 -3920 -2704 -54 1487 -480 -1684 1710 2364 -1319 -1054 6210 4131 -1721 -1339 -2915 187 -406 -954 -1435 -215 1504 -6246 -1961 -2346 1140 2137 629 -524 5773 -955 -5642 -2986 996 192 2466 -135 -1373 1324 1554 155 6596 3373 1984 -2929 5476 -5745 1015 -642 -543 -10580 6175 5243 5023 2431 -4263 -4675 -419 -1737 -1899 5239
+5365 -1462 -507 1254 698 -351 3768 1751 -905 2119 -160 -3972 1688 -2432 -3406 -1893 4039 -683 629 -255 -231 869 -3316 -6327 1712 3995 -6273 -3051 2758 352 -2029 872 -2462 -3252 9926 -382 -5482 -2263 -794 -1147 -3931 -2653 58 1584 -620 -1657 1674 2216 -1240 -917 6178 4222 -1590 -1334 -2945 131 -427 -984 -1562 -313 1670 -6282 -2077 -2130 1200 2145 659 -452 5810 -921 -5669 -3135 891 58 2288 -175 -1380 1301 1527 192 6599 3401 2001 -2861 5497 -5804 1034 -599 -500 -10571 6278 5302 5036 2515 -4144 -4641 -415 -1828 -1946 5327
+5344 -1468 -589 1176 670 -305 3677 1678 -913 2136 -197 -3891 1810 -2582 -3427 -1923 3944 -767 660 -343 -262 895 -3263 -6591 1725 4009 -6268 -3076 2702 301 -2003 865 -2511 -3281 9995 -517 -5530 -2271 -845 -1145 -3919 -2645 83 1643 -599 -1725 1627 2073 -1312 -926 6182 4191 -1442 -1279 -2912 102 -427 -994 -1642 -328 1852 -6322 -2230 -2170 1023 1983 656 -450 5723 -966 -5712 -3261 785 40 2166 -165 -1211 1389 1486 179 6768 3475 2010 -2870 5677 -5671 1141 -608 -461 -10556 6179 5297 5076 2588 -4148 -4647 -390 -1888 -2078 5472
+5394 -1343 -579 1081 612 -249 3567 1567 -909 2089 -212 -3739 1827 -2656 -3415 -1990 3870 -827 664 -421 -276 786 -3082 -6602 1584 4071 -6313 -3046 2735 314 -1992 835 -2599 -3285 10120 -625 -5522 -2220 -822 -1189 -3959 -2616 32 1530 -545 -1869 1599 2066 -1508 -1107 6199 4042 -1380 -1211 -2817 144 -414 -972 -1573 -210 1873 -6388 -2283 -2310 927 1913 712 -428 5626 -1059 -5724 -3241 658 -27 2186 -277 -1016 1547 1465 74 6855 3465 1924 -2949 5950 -5524 1075 -735 -360 -10608 6092 5334 5180 2564 -4322 -4692 -314 -1844 -2338 5491
+5370 -1345 -569 1042 487 -268 3545 1583 -873 2059 -193 -3625 1744 -2616 -3412 -2089 3874 -862 612 -428 -267 738 -3006 -6472 1596 4103 -6315 -2956 2799 342 -1976 840 -2600 -3256 10272 -583 -5488 -2205 -941 -1177 -3973 -2597 -108 1467 -573 -1966 1664 2113 -1591 -1080 6280 3883 -1482 -1229 -2752 263 -326 -904 -1453 -109 1775 -6335 -2161 -2284 1023 1929 756 -388 5591 -1155 -5736 -3147 600 17 2352 -259 -887 1637 1432 41 6895 3421 1803 -3049 6121 -5604 970 -798 -365 -10652 6061 5412 5260 2486 -4436 -4693 -299 -1759 -2376 5369
+5421 -1454 -494 1037 380 -373 3585 1742 -815 2092 -178 -3691 1747 -2456 -3497 -2127 3969 -846 577 -435 -242 742 -3140 -6368 1723 4102 -6265 -2885 2785 330 -1986 865 -2605 -3274 10314 -524 -5499 -2234 -935 -1198 -3960 -2584 -255 1460 -624 -1894 1730 2140 -1598 -975 6250 3841 -1587 -1274 -2695 390 -249 -852 -1352 -42 1697 -6257 -2059 -2106 1209 2057 781 -336 5633 -1199 -5748 -3009 621 63 2497 -235 -945 1612 1418 23 6764 3370 1828 -2958 6084 -5645 860 -866 -462 -10583 6052 5407 5232 2431 -4587 -4718 -268 -1612 -2284 5299
+5347 -1500 -516 1104 469 -368 3686 1823 -838 2281 -132 -3961 1709 -2286 -3518 -2124 4104 -724 554 -374 -209 891 -3334 -6454 1895 4114 -6177 -2879 2681 285 -1989 962 -2558 -3330 10295 -534 -5510 -2240 -817 -1219 -3900 -2505 -265 1442 -688 -1783 1734 2115 -1451 -836 6124 3943 -1625 -1359 -2766 358 -222 -853 -1373 -94 1736 -6254 -2123 -2068 1272 2134 758 -362 5689 -1155 -5738 -2907 649 156 2557 -235 -989 1603 1465 128 6803 3410 1914 -2835 5965 -5743 889 -773 -473 -10493 6143 5408 5136 2389 -4524 -4683 -309 -1588 -2113 5343
+5304 -1558 -509 1129 517 -306 3805 1870 -888 2394 -39 -4223 1728 -2261 -3516 -2046 4133 -650 554 -351 -194 944 -3298 -6598 1992 4066 -6204 -2894 2634 283 -1984 989 -2526 -3383 10203 -512 -5525 -2273 -692 -1241 -3784 -2456 -212 1465 -697 -1728 1706 2112 -1387 -805 5969 4113 -1684 -1476 -2859 324 -253 -881 -1442 -198 1744 -6337 -2250 -2238 1083 1988 616 -482 5741 -1052 -5687 -2847 570 72 2348 -409 -899 1684 1524 125 6859 3463 1981 -2757 5913 -5687 938 -788 -434 -10364 6111 5356 5036 2374 -4455 -4665 -354 -1633 -1938 5430
+5275 -1545 -531 1050 594 -177 3824 1763 -935 2353 6 -4373 1862 -2367 -3479 -1984 4096 -665 557 -333 -231 878 -3031 -6692 1912 3972 -6325 -2930 2710 408 -2026 943 -2506 -3415 10115 -452 -5512 -2297 -693 -1202 -3782 -2392 -94 1545 -646 -1790 1676 2143 -1507 -873 5886 4104 -1669 -1472 -2918 231 -386 -982 -1536 -244 1781 -6379 -2214 -2455 921 1881 527 -652 5737 -984 -5689 -2885 570 -12 2202 -496 -842 1735 1592 139 6924 3482 1977 -2750 5898 -5592 1018 -752 -342 -10304 6138 5350 5024 2323 -4431 -4634 -327 -1687 -1942 5493
+5297 -1450 -596 961 642 -120 3785 1641 -984 2260 3 -4276 1925 -2596 -3374 -1942 4015 -724 554 -345 -266 853 -2913 -6715 1731 3960 -6476 -2980 2865 612 -2080 883 -2450 -3345 10027 -300 -5455 -2280 -753 -1250 -3829 -2392 -34 1539 -556 -1880 1695 2224 -1685 -967 6053 4057 -1706 -1482 -2965 140 -513 -1079 -1552 -170 1779 -6312 -1983 -2470 893 1890 497 -777 5705 -966 -5685 -2971 503 -218 2130 -505 -806 1713 1582 74 6797 3379 1891 -2757 5937 -5578 992 -779 -305 -10326 6096 5361 5061 2300 -4512 -4680 -331 -1736 -2001 5467
+5360 -1375 -614 903 672 -192 3786 1616 -1043 2093 -59 -4195 1891 -2726 -3342 -2003 3929 -763 522 -419 -261 812 -2998 -6634 1712 4000 -6489 -3008 2882 614 -2143 880 -2394 -3350 10031 -108 -5399 -2269 -842 -1206 -3945 -2413 -51 1489 -581 -1932 1754 2230 -1742 -981 6325 3924 -1756 -1435 -2917 175 -590 -1055 -1452 -122 1597 -6234 -1754 -2387 1060 2068 543 -793 5653 -1027 -5676 -3031 522 -405 2231 -489 -903 1626 1511 10 6611 3311 1874 -2703 5908 -5676 876 -839 -383 -10345 6190 5414 5181 2395 -4571 -4748 -341 -1707 -2004 5387
+5313 -1393 -582 923 642 -277 3784 1668 -1040 2070 -145 -4113 1865 -2713 -3296 -2028 3951 -806 514 -437 -243 826 -3232 -6566 1791 4013 -6339 -3005 2773 451 -2156 979 -2318 -3353 10033 -52 -5390 -2229 -900 -1122 -4048 -2422 -70 1489 -573 -1886 1770 2245 -1665 -898 6442 3972 -1728 -1411 -2913 149 -479 -965 -1356 -151 1411 -6258 -1848 -2286 1126 2202 639 -612 5689 -1114 -5678 -3060 634 -373 2465 -387 -941 1544 1453 -41 6512 3269 1890 -2682 5928 -5792 792 -855 -434 -10487 6241 5463 5212 2422 -4582 -4781 -367 -1771 -2178 5455
+5343 -1493 -499 1003 666 -318 3796 1684 -1005 2168 -182 -4144 1772 -2544 -3322 -2078 4065 -765 509 -411 -231 811 -3300 -6448 1778 3949 -6156 -2936 2647 271 -2136 1063 -2295 -3382 10019 -112 -5386 -2191 -916 -1110 -4035 -2501 -98 1460 -615 -1807 1696 2135 -1519 -800 6416 4107 -1643 -1378 -2947 80 -288 -847 -1368 -225 1437 -6407 -2132 -2333 951 2111 668 -436 5723 -1136 -5604 -2954 735 -211 2563 -401 -995 1563 1484 26 6619 3381 2023 -2687 5912 -5846 859 -760 -399 -10520 6309 5446 5191 2469 -4456 -4751 -403 -1772 -2186 5554
+5374 -1563 -414 1085 723 -307 3695 1643 -945 2209 -30 -4125 1649 -2378 -3358 -2067 4189 -757 508 -405 -329 824 -3113 -6420 1782 3889 -6116 -2872 2672 135 -1998 1027 -2406 -3399 10069 -222 -5379 -2153 -968 -1167 -3976 -2607 -132 1413 -620 -1817 1614 2058 -1359 -830 6353 4151 -1617 -1322 -2945 -118 -236 -805 -1439 -272 1596 -6505 -2368 -2444 754 1965 652 -442 5671 -1089 -5502 -2807 802 -89 2514 -514 -954 1689 1544 41 6672 3442 2098 -2654 5901 -5762 1021 -713 -376 -10403 6296 5338 5121 2459 -4343 -4713 -408 -1750 -2134 5611
+5423 -1484 -399 1120 792 -256 3632 1599 -878 2138 144 -4061 1519 -2402 -3410 -2073 4206 -729 456 -442 -408 785 -2873 -6479 1768 3867 -6233 -2876 2823 148 -1898 912 -2570 -3315 10131 -309 -5399 -2177 -990 -1330 -3904 -2789 -189 1340 -584 -1804 1592 1944 -1327 -907 6307 4138 -1588 -1272 -2935 -201 -289 -883 -1539 -304 1761 -6503 -2271 -2394 773 1895 637 -558 5622 -1001 -5400 -2631 758 -45 2480 -631 -988 1755 1593 -9 6769 3487 2050 -2678 5863 -5729 1152 -604 -338 -10394 6147 5227 5036 2335 -4280 -4656 -393 -1714 -1989 5510
+5486 -1302 -453 1169 818 -230 3551 1595 -813 2105 195 -3884 1515 -2547 -3395 -2022 4213 -701 460 -531 -439 893 -2913 -6661 1931 3815 -6357 -2913 2931 306 -1887 793 -2627 -3169 10191 -380 -5446 -2207 -909 -1410 -3823 -2878 -222 1297 -529 -1843 1682 1889 -1295 -1058 6328 4031 -1632 -1207 -2874 -222 -403 -920 -1526 -218 1828 -6383 -2020 -2266 978 1988 633 -662 5662 -921 -5426 -2638 796 -120 2466 -550 -1165 1629 1519 -145 6638 3362 1909 -2717 5872 -5754 1146 -613 -410 -10434 6148 5209 5045 2333 -4335 -4671 -353 -1630 -1921 5382
+5520 -1247 -484 1109 769 -288 3516 1698 -771 2090 50 -3722 1632 -2763 -3391 -1980 4151 -734 432 -565 -378 928 -3124 -6705 2059 3855 -6440 -2993 2927 314 -1932 702 -2614 -3117 10261 -468 -5471 -2221 -788 -1338 -3923 -2930 -171 1335 -506 -1884 1787 1923 -1362 -1058 6352 3993 -1756 -1224 -2879 -164 -480 -962 -1478 -151 1837 -6320 -1875 -2134 1150 2074 644 -561 5763 -921 -5505 -2738 837 -292 2488 -475 -1356 1513 1519 -126 6550 3307 1848 -2682 5885 -5834 1088 -607 -522 -10512 6138 5244 5077 2377 -4446 -4726 -317 -1662 -2023 5325
+5460 -1376 -394 1109 669 -359 3646 1798 -808 2186 -121 -3793 1791 -2850 -3340 -1942 4106 -790 451 -510 -211 934 -3331 -6590 2022 3768 -6384 -3066 2781 285 -2024 768 -2491 -3140 10299 -510 -5512 -2245 -637 -1189 -4047 -2875 -85 1389 -492 -1901 1778 2017 -1352 -941 6252 4081 -1814 -1298 -2919 -74 -464 -948 -1408 -112 1729 -6359 -1979 -2203 1151 2082 659 -371 5949 -950 -5610 -2888 957 -463 2448 -439 -1421 1422 1513 -44 6555 3324 1889 -2693 5993 -5885 1012 -685 -579 -10561 6133 5259 5059 2453 -4483 -4749 -306 -1707 -2166 5373
+5462 -1502 -347 1102 647 -327 3764 1805 -846 2326 -318 -3888 1900 -2777 -3334 -1936 4128 -775 487 -401 -72 902 -3283 -6405 1846 3762 -6315 -3020 2707 264 -2024 828 -2427 -3169 10261 -519 -5530 -2269 -544 -1116 -4079 -2857 -13 1494 -440 -1916 1691 2012 -1290 -945 6136 4294 -1902 -1430 -3014 -3 -385 -960 -1409 -168 1587 -6501 -2154 -2332 966 1935 631 -230 6031 -985 -5583 -2931 936 -421 2306 -389 -1326 1473 1591 196 6706 3406 1973 -2780 5999 -5687 1054 -723 -569 -10611 6102 5292 5095 2589 -4397 -4757 -393 -1865 -2208 5456
+5445 -1572 -268 1072 646 -261 3855 1810 -885 2311 -305 -3977 1841 -2518 -3315 -1961 4137 -753 553 -412 -97 946 -3168 -6502 1755 3899 -6224 -2890 2773 394 -1985 862 -2449 -3186 10208 -453 -5497 -2298 -702 -1214 -3987 -2912 -4 1596 -425 -1861 1632 2024 -1202 -1036 6101 4294 -1879 -1445 -3058 -9 -384 -1048 -1503 -252 1548 -6479 -2212 -2297 854 1828 591 -301 5979 -966 -5465 -2854 833 -279 2083 -394 -1202 1513 1630 382 6793 3478 2058 -2760 5951 -5522 1114 -740 -501 -10521 5973 5237 5092 2573 -4377 -4740 -426 -1882 -2171 5416
+5479 -1446 -375 1028 718 -177 3892 1766 -886 2214 -174 -4017 1693 -2319 -3362 -1999 4132 -726 602 -542 -213 1010 -3085 -6678 1763 4023 -6180 -2839 2861 551 -1970 831 -2510 -3218 10141 -349 -5471 -2280 -828 -1259 -3919 -2912 2 1640 -427 -1860 1642 2031 -1231 -1207 6147 4188 -1801 -1359 -3029 -90 -480 -1116 -1572 -254 1611 -6472 -2095 -2145 1001 1944 675 -410 5777 -970 -5350 -2777 670 -51 1972 -313 -1041 1548 1592 363 6738 3445 2038 -2775 5796 -5595 1140 -728 -501 -10422 5889 5216 5088 2471 -4334 -4665 -416 -1855 -2073 5310
+5445 -1326 -404 1043 715 -202 3876 1763 -931 2116 30 -4056 1570 -2229 -3407 -2043 4121 -682 531 -651 -352 1046 -3138 -6837 1885 4193 -6159 -2820 2870 621 -1956 836 -2500 -3181 10111 -263 -5402 -2212 -933 -1375 -3807 -2911 -48 1533 -401 -1877 1710 2080 -1249 -1307 6191 4011 -1637 -1223 -2974 -152 -538 -1145 -1641 -257 1773 -6398 -1982 -2022 1156 2097 734 -473 5669 -972 -5355 -2801 553 42 1930 -209 -1009 1530 1542 283 6538 3314 1911 -2843 5692 -5686 1093 -714 -598 -10323 5964 5254 5108 2393 -4298 -4592 -405 -1807 -1981 5171
+5393 -1421 -395 1007 620 -259 3888 1810 -935 2152 147 -4117 1569 -2259 -3487 -1994 4109 -599 452 -642 -361 1082 -3285 -6761 1828 4301 -6136 -2858 2794 551 -1970 908 -2434 -3134 10071 -280 -5379 -2162 -913 -1400 -3814 -2867 -147 1404 -407 -1881 1792 2139 -1298 -1237 6150 3877 -1538 -1141 -2899 -143 -526 -1140 -1656 -206 1978 -6312 -2042 -2094 1205 2159 765 -364 5688 -1028 -5534 -2972 620 86 2102 -190 -1070 1504 1476 212 6632 3374 1922 -2875 5596 -5825 1007 -690 -607 -10324 5951 5282 5068 2343 -4286 -4541 -370 -1840 -2028 5160
+5346 -1534 -304 1027 541 -286 3889 1844 -930 2197 230 -4161 1761 -2424 -3503 -1896 4098 -671 393 -538 -309 965 -3338 -6464 1722 4201 -6121 -2866 2691 412 -1971 1022 -2385 -3150 10037 -356 -5368 -2126 -824 -1326 -3875 -2754 -161 1361 -473 -1888 1766 2204 -1301 -1158 6162 3890 -1515 -1157 -2857 -30 -332 -1019 -1593 -220 1926 -6374 -2201 -2322 1095 2093 746 -213 5879 -1073 -5725 -3127 731 96 2213 -244 -1184 1497 1447 162 6858 3504 1937 -3008 5619 -5772 991 -748 -638 -10410 6031 5381 5173 2501 -4310 -4604 -407 -1965 -2126 5318
+5410 -1557 -286 1071 485 -299 3806 1775 -867 2309 192 -4137 1919 -2577 -3479 -1797 4085 -652 422 -439 -225 953 -3346 -6363 1631 4112 -6169 -2893 2727 443 -1912 1065 -2388 -3114 9998 -442 -5380 -2088 -710 -1263 -3932 -2659 -121 1447 -438 -1872 1648 2213 -1329 -1168 6197 3949 -1568 -1215 -2890 -26 -179 -905 -1559 -218 1878 -6459 -2301 -2392 916 1919 653 -268 5977 -1084 -5802 -3105 851 3 2351 -303 -1320 1513 1522 306 7023 3622 2002 -3081 5534 -5692 1123 -704 -567 -10481 5979 5375 5177 2572 -4411 -4705 -440 -2024 -2183 5388
+5493 -1513 -352 1053 552 -172 3812 1731 -775 2317 110 -4046 2059 -2553 -3449 -1789 4036 -797 503 -424 -210 1018 -3304 -6428 1705 3953 -6233 -2885 2801 526 -1816 1035 -2467 -3123 9993 -463 -5399 -2121 -688 -1290 -3886 -2566 -57 1558 -409 -1889 1532 2188 -1323 -1272 6228 3986 -1674 -1324 -2947 -4 -129 -906 -1551 -253 1822 -6417 -2239 -2252 825 1850 594 -421 5943 -1083 -5732 -2953 800 2 2329 -354 -1463 1472 1573 399 7001 3610 2060 -3076 5467 -5596 1233 -661 -591 -10432 5927 5347 5224 2615 -4481 -4825 -520 -2074 -2159 5386
+5490 -1340 -508 1053 685 -92 3749 1680 -715 2305 -8 -3907 2004 -2462 -3482 -1787 4075 -844 556 -503 -259 1144 -3299 -6619 1802 3887 -6301 -2938 2852 562 -1758 941 -2531 -3129 10049 -439 -5475 -2228 -717 -1290 -3824 -2526 2 1706 -439 -1910 1594 2252 -1390 -1258 6212 3951 -1774 -1395 -2996 -10 -221 -991 -1587 -239 1880 -6365 -2077 -2048 881 1929 592 -558 5832 -1084 -5641 -2792 664 14 2270 -344 -1415 1489 1614 394 6801 3533 2023 -3048 5549 -5571 1256 -658 -666 -10364 5936 5267 5188 2550 -4491 -4869 -551 -1954 -2029 5253
+5351 -1332 -633 1019 715 -108 3758 1672 -760 2249 -71 -3924 1918 -2334 -3548 -1862 4149 -855 490 -554 -302 1117 -3285 -6683 1870 3911 -6283 -2945 2802 459 -1825 879 -2533 -3157 10163 -429 -5520 -2289 -765 -1337 -3761 -2524 1 1792 -471 -1940 1708 2218 -1329 -1101 6074 3928 -1758 -1388 -3016 -81 -432 -1134 -1642 -226 1946 -6271 -1933 -2260 943 2051 588 -624 5787 -1066 -5637 -2809 594 45 2254 -283 -1324 1470 1588 301 6511 3380 1979 -3032 5633 -5639 1209 -667 -814 -10307 5985 5206 5076 2478 -4379 -4806 -520 -1866 -1976 5197
+5283 -1317 -714 1023 712 -260 3794 1684 -890 2242 -101 -3989 1801 -2254 -3584 -1897 4236 -840 394 -550 -270 1006 -3197 -6367 1781 3888 -6240 -2936 2761 250 -1896 825 -2549 -3131 10253 -460 -5550 -2342 -746 -1264 -3756 -2485 -36 1744 -464 -1964 1771 2227 -1332 -1016 6006 3921 -1791 -1421 -3006 -20 -545 -1219 -1666 -202 1930 -6209 -1966 -2585 978 2128 604 -537 5825 -1062 -5729 -3001 647 91 2364 -253 -1170 1519 1623 295 6471 3362 1951 -3093 5691 -5633 1156 -702 -842 -10329 6057 5180 5002 2465 -4294 -4762 -484 -1799 -1872 5202
+5286 -1396 -652 1075 720 -364 3821 1661 -973 2283 -96 -4007 1637 -2415 -3542 -1939 4277 -662 315 -512 -157 954 -3216 -6057 1656 3992 -6159 -2887 2809 223 -1901 755 -2553 -3084 10360 -526 -5523 -2302 -730 -1310 -3702 -2446 -160 1760 -431 -1914 1763 2171 -1327 -987 6042 4016 -1802 -1450 -3001 39 -562 -1186 -1637 -228 1818 -6205 -1969 -2741 935 2059 572 -482 5786 -1097 -5792 -3127 754 58 2572 -196 -1068 1542 1647 339 6576 3381 1960 -3089 5646 -5599 1190 -699 -755 -10359 6050 5147 4973 2485 -4218 -4720 -482 -1795 -1791 5221
+5509 -1349 -562 1146 728 -453 3919 1690 -1024 2229 -103 -3907 1599 -2556 -3373 -1936 4225 -567 305 -495 -113 965 -3279 -6010 1716 4116 -6126 -2816 2908 287 -1889 697 -2637 -3061 10340 -622 -5499 -2218 -634 -1326 -3698 -2391 -284 1683 -475 -1855 1692 2072 -1326 -1059 6287 4074 -1847 -1484 -2987 89 -400 -1083 -1534 -181 1665 -6237 -1957 -2534 871 2016 617 -480 5698 -1132 -5741 -3077 805 -37 2790 -246 -1201 1496 1714 469 6615 3418 2032 -3009 5430 -5510 1317 -658 -696 -10478 6016 5148 5039 2511 -4309 -4766 -493 -1856 -1803 5230
+5619 -1302 -513 1180 745 -411 3897 1678 -974 2185 -142 -3750 1604 -2643 -3310 -1937 4164 -585 353 -573 -105 1041 -3380 -6206 1704 4182 -6163 -2850 2949 426 -1858 681 -2727 -3088 10294 -679 -5501 -2178 -639 -1363 -3692 -2414 -356 1653 -557 -1807 1655 2024 -1325 -1057 6428 4093 -1756 -1424 -2939 120 -287 -1015 -1508 -211 1668 -6253 -1964 -2154 848 1995 660 -516 5607 -1136 -5595 -2877 728 -39 2836 -266 -1274 1455 1685 392 6475 3391 2084 -2918 5267 -5535 1301 -671 -680 -10601 6018 5211 5143 2462 -4438 -4800 -492 -1980 -1953 5305
+5572 -1228 -439 1180 760 -333 3866 1696 -885 2227 -208 -3665 1648 -2567 -3305 -1941 4114 -676 379 -597 -121 1036 -3324 -6420 1738 4110 -6213 -2893 2862 400 -1910 739 -2722 -3159 10230 -696 -5524 -2161 -633 -1367 -3680 -2454 -308 1576 -592 -1778 1676 2010 -1335 -991 6486 4078 -1663 -1323 -2863 52 -303 -1007 -1508 -184 1772 -6281 -2038 -2049 879 2006 712 -459 5566 -1152 -5466 -2637 639 -66 2726 -317 -1329 1471 1691 261 6336 3356 2145 -2867 5291 -5632 1254 -635 -719 -10630 6063 5256 5171 2433 -4485 -4795 -513 -2037 -2065 5372
+5506 -1202 -445 1131 726 -294 3831 1736 -864 2260 -300 -3772 1770 -2438 -3395 -1936 4135 -857 323 -548 -135 940 -3277 -6492 1659 3966 -6294 -2981 2717 309 -2053 878 -2599 -3258 10235 -695 -5526 -2177 -659 -1307 -3757 -2508 -203 1522 -610 -1795 1812 2035 -1372 -880 6441 4081 -1544 -1241 -2841 -77 -387 -1022 -1550 -97 1984 -6311 -2144 -2139 976 1998 712 -341 5647 -1116 -5422 -2510 606 -43 2530 -479 -1244 1609 1686 55 6267 3356 2170 -2894 5365 -5739 1115 -681 -742 -10564 6131 5300 5135 2427 -4408 -4722 -523 -2116 -2134 5407
+5411 -1287 -431 1126 638 -370 3795 1753 -856 2327 -235 -4006 1871 -2322 -3468 -1951 4198 -975 262 -483 -131 859 -3283 -6343 1633 3913 -6397 -3008 2671 225 -2099 968 -2503 -3229 10235 -579 -5532 -2226 -742 -1220 -3841 -2533 -63 1551 -550 -1839 1813 2073 -1375 -850 6354 4070 -1543 -1190 -2782 -71 -445 -1031 -1576 -144 1966 -6340 -2198 -2332 1096 1979 667 -285 5774 -1048 -5485 -2613 662 -84 2408 -656 -1133 1761 1709 4 6355 3387 2188 -3049 5329 -5683 1068 -745 -702 -10435 6124 5293 5090 2501 -4232 -4631 -554 -2100 -2024 5286
+5474 -1362 -381 1069 572 -426 3755 1776 -866 2301 -35 -4154 1929 -2354 -3488 -1968 4265 -907 224 -454 -151 851 -3415 -6186 1744 3952 -6477 -2998 2808 312 -2030 985 -2446 -3204 10263 -478 -5497 -2242 -824 -1144 -3901 -2565 -25 1598 -539 -1844 1765 2085 -1448 -880 6338 4159 -1616 -1258 -2791 -19 -465 -1004 -1518 -151 1831 -6353 -2137 -2302 1123 1946 634 -358 5798 -991 -5557 -2746 761 -241 2403 -604 -1064 1811 1771 191 6495 3394 2128 -3094 5282 -5553 1145 -764 -665 -10326 6068 5239 5049 2541 -4184 -4594 -557 -1983 -1841 5180
+5512 -1384 -372 1038 577 -372 3739 1781 -825 2193 161 -4082 1881 -2485 -3427 -1930 4310 -742 260 -465 -210 941 -3566 -6190 1964 4048 -6452 -2857 2983 456 -1902 902 -2497 -3107 10271 -357 -5506 -2304 -854 -1164 -3903 -2627 -41 1696 -483 -1839 1658 2120 -1491 -982 6293 4274 -1725 -1367 -2834 146 -409 -937 -1420 -141 1678 -6449 -2082 -2074 1062 1911 655 -434 5697 -991 -5555 -2788 895 -371 2541 -520 -1142 1725 1761 415 6462 3336 2084 -3068 5194 -5543 1216 -735 -586 -10327 6003 5254 5035 2465 -4261 -4602 -489 -1847 -1811 5129
+5489 -1405 -373 950 619 -289 3810 1745 -843 2083 285 -4019 1817 -2646 -3386 -1962 4269 -605 270 -489 -253 988 -3525 -6286 2015 4064 -6334 -2742 3018 519 -1808 807 -2563 -3104 10267 -386 -5529 -2337 -802 -1202 -3875 -2690 -87 1667 -521 -1783 1595 2237 -1562 -919 6218 4385 -1851 -1488 -2896 247 -367 -964 -1405 -147 1635 -6400 -2059 -1918 926 1888 654 -480 5679 -974 -5478 -2826 830 -427 2564 -482 -1136 1675 1762 485 6405 3236 1991 -3086 5259 -5542 1190 -779 -661 -10338 6051 5321 5123 2442 -4398 -4679 -432 -1843 -1913 5121
+5368 -1463 -373 922 676 -225 3819 1735 -894 2130 192 -4045 1828 -2669 -3326 -1887 4252 -595 310 -493 -254 965 -3336 -6363 1922 3943 -6176 -2683 2869 377 -1771 802 -2584 -3151 10243 -546 -5575 -2332 -678 -1272 -3815 -2726 -80 1546 -558 -1717 1691 2297 -1633 -747 6161 4447 -1906 -1539 -2912 339 -339 -1014 -1441 -173 1636 -6383 -2007 -2055 877 1887 612 -474 5686 -973 -5358 -2759 761 -302 2536 -489 -1011 1700 1742 445 6370 3180 1941 -3123 5379 -5654 1104 -767 -732 -10362 6028 5340 5137 2439 -4479 -4760 -416 -1903 -2098 5193
+5304 -1468 -334 982 761 -268 3852 1685 -928 2305 -74 -4121 1834 -2616 -3305 -1851 4213 -618 365 -479 -175 962 -3267 -6390 1761 3900 -6017 -2695 2695 300 -1810 868 -2461 -3144 10249 -663 -5566 -2293 -591 -1297 -3824 -2723 5 1460 -561 -1691 1868 2408 -1644 -672 6117 4372 -1865 -1503 -2914 285 -451 -1086 -1540 -207 1690 -6351 -1965 -2375 1012 1957 586 -435 5829 -941 -5326 -2814 649 -41 2405 -557 -859 1767 1702 317 6459 3199 1904 -3224 5598 -5622 985 -855 -726 -10415 6054 5397 5163 2474 -4506 -4814 -394 -1947 -2263 5164
+5293 -1489 -294 1032 798 -304 3889 1729 -907 2414 -319 -4206 1855 -2471 -3278 -1884 4196 -677 397 -450 -70 992 -3383 -6257 1640 3916 -5989 -2802 2649 409 -1845 888 -2359 -3084 10260 -703 -5575 -2266 -546 -1316 -3804 -2725 34 1355 -500 -1599 1966 2399 -1607 -742 6168 4252 -1757 -1414 -2874 232 -470 -1102 -1601 -270 1693 -6355 -1921 -2485 1071 2042 586 -455 5793 -972 -5366 -2823 669 32 2385 -620 -865 1813 1740 256 6469 3224 1931 -3329 5619 -5543 1055 -842 -701 -10269 6069 5345 5167 2561 -4453 -4836 -429 -1972 -2241 5063
+5368 -1490 -241 1097 811 -351 3880 1794 -811 2440 -434 -4109 1800 -2397 -3269 -1915 4190 -684 419 -402 -2 1042 -3584 -6087 1679 3964 -6070 -2847 2805 658 -1852 916 -2321 -3021 10259 -590 -5522 -2237 -727 -1217 -3833 -2710 58 1335 -446 -1632 1888 2394 -1549 -984 6128 4079 -1624 -1304 -2829 187 -414 -984 -1583 -294 1665 -6460 -1986 -2329 1098 2135 644 -539 5697 -1007 -5497 -2854 819 -36 2376 -524 -1019 1735 1731 283 6380 3159 1947 -3216 5535 -5433 1159 -862 -689 -10223 6079 5307 5139 2506 -4286 -4740 -454 -1980 -2140 4904
+5390 -1516 -205 1102 782 -313 3818 1803 -721 2411 -377 -3912 1847 -2424 -3242 -1910 4237 -720 459 -372 24 1113 -3629 -5933 1648 3951 -6187 -2932 2923 825 -1817 918 -2361 -2970 10215 -483 -5476 -2186 -765 -1116 -3894 -2728 71 1422 -412 -1644 1760 2435 -1502 -961 6160 4065 -1567 -1274 -2833 153 -243 -781 -1528 -391 1614 -6523 -2149 -2127 898 2047 639 -620 5679 -1008 -5647 -2955 969 -236 2419 -406 -1253 1632 1741 365 6333 3127 1982 -3096 5418 -5336 1229 -841 -760 -10279 6133 5289 5115 2412 -4182 -4656 -482 -1949 -2021 4926
+5392 -1524 -222 1058 783 -250 3757 1813 -662 2381 -187 -3785 1818 -2469 -3321 -1898 4270 -711 418 -384 -68 1057 -3397 -5932 1595 3872 -6237 -2954 2892 700 -1835 924 -2458 -3070 10140 -453 -5483 -2212 -839 -939 -3997 -2786 62 1517 -467 -1709 1660 2422 -1498 -917 6080 4112 -1567 -1303 -2869 178 -63 -673 -1481 -394 1654 -6503 -2261 -2117 725 1904 576 -649 5727 -995 -5739 -3034 1017 -349 2355 -327 -1323 1598 1728 392 6311 3109 1986 -3033 5415 -5387 1154 -859 -876 -10398 6280 5323 5078 2346 -4118 -4600 -524 -2019 -1977 5078
+5345 -1466 -383 972 791 -217 3743 1814 -700 2366 -20 -3747 1792 -2572 -3438 -1884 4287 -675 389 -474 -207 992 -3193 -6135 1662 3757 -6221 -2965 2747 384 -1878 956 -2539 -3162 10073 -487 -5460 -2196 -795 -942 -4101 -2832 115 1590 -526 -1824 1647 2415 -1553 -865 6080 4056 -1590 -1313 -2902 137 -39 -675 -1485 -293 1812 -6401 -2172 -2316 804 1887 521 -624 5885 -960 -5754 -3105 896 -220 2164 -411 -1240 1641 1715 335 6401 3177 2022 -3044 5421 -5408 1006 -968 -935 -10429 6373 5369 5078 2344 -4161 -4624 -577 -2163 -2049 5215
+5373 -1316 -573 968 789 -297 3714 1736 -791 2362 27 -3748 1780 -2564 -3470 -1867 4250 -668 352 -581 -260 977 -3199 -6260 1709 3762 -6167 -2978 2628 192 -1944 935 -2558 -3195 10032 -553 -5491 -2217 -676 -996 -4132 -2814 146 1550 -508 -1866 1699 2371 -1520 -955 6094 3919 -1645 -1321 -2942 88 -176 -812 -1535 -265 1900 -6337 -2021 -2504 997 1982 518 -573 5963 -983 -5738 -3085 751 5 2125 -456 -1062 1718 1690 183 6483 3201 1940 -3220 5331 -5479 959 -968 -814 -10472 6343 5324 5049 2418 -4289 -4705 -615 -2270 -2147 5215
+5412 -1243 -665 939 760 -360 3747 1749 -846 2263 -16 -3764 1672 -2502 -3475 -1890 4143 -701 382 -588 -231 976 -3418 -6278 1834 3976 -6164 -2897 2704 182 -1943 836 -2577 -3070 9998 -543 -5512 -2258 -577 -1117 -4061 -2778 122 1534 -498 -1860 1742 2328 -1464 -1096 6099 3838 -1722 -1335 -2963 92 -352 -901 -1584 -305 1864 -6352 -1925 -2497 1156 2105 604 -568 5848 -1050 -5683 -3006 607 225 2199 -488 -1003 1681 1643 31 6398 3164 1917 -3231 5275 -5484 1042 -931 -706 -10457 6232 5248 5047 2452 -4390 -4758 -644 -2397 -2264 5086
+5409 -1282 -541 939 678 -377 3885 1769 -871 2115 -62 -3826 1658 -2369 -3423 -1887 4072 -704 426 -484 -93 948 -3556 -6100 1799 4147 -6199 -2825 2907 330 -1872 758 -2523 -2918 9973 -540 -5525 -2280 -538 -1196 -3953 -2680 23 1492 -456 -1839 1694 2279 -1277 -1210 6065 3837 -1780 -1372 -3019 -12 -482 -915 -1596 -378 1731 -6410 -1966 -2360 1134 2159 698 -583 5642 -1122 -5642 -2902 591 342 2403 -341 -1151 1521 1587 -12 6274 3151 1984 -3160 5204 -5544 1140 -789 -531 -10444 6008 5142 5015 2359 -4485 -4746 -582 -2384 -2392 5027
+5451 -1267 -433 1004 684 -349 3938 1751 -871 2108 -144 -3845 1746 -2270 -3390 -1867 4072 -761 496 -346 -3 907 -3495 -6006 1678 4312 -6208 -2802 3035 452 -1829 725 -2497 -2890 10008 -537 -5550 -2306 -556 -1219 -3831 -2590 -134 1499 -436 -1701 1665 2326 -1228 -1205 5973 3986 -1873 -1449 -3065 -6 -474 -899 -1555 -383 1576 -6384 -2134 -2340 987 2094 741 -581 5519 -1149 -5697 -2952 729 330 2570 -197 -1309 1400 1584 51 6221 3134 2051 -3062 5314 -5607 1127 -756 -597 -10370 5963 5095 4981 2260 -4489 -4686 -485 -2172 -2308 5099
+5450 -1274 -386 1016 664 -321 4054 1782 -936 2092 -57 -3998 1915 -2275 -3480 -1856 4124 -808 480 -334 -82 835 -3265 -6091 1504 4275 -6247 -2848 2972 385 -1830 730 -2513 -3018 10036 -591 -5582 -2334 -653 -1150 -3813 -2534 -254 1515 -509 -1687 1642 2330 -1224 -1076 5978 4088 -1950 -1473 -3063 45 -353 -824 -1543 -382 1573 -6243 -2162 -2334 961 1979 658 -579 5581 -1081 -5743 -3083 767 176 2457 -237 -1353 1427 1644 163 6273 3175 2049 -3045 5523 -5712 1063 -785 -714 -10382 6010 5150 4971 2224 -4329 -4603 -463 -2073 -2232 5105
+5551 -1174 -415 1115 682 -408 4038 1734 -1034 2162 110 -4118 2008 -2370 -3553 -1884 4112 -830 444 -405 -238 884 -3191 -6390 1442 4227 -6293 -2987 2780 340 -1940 792 -2510 -3212 10083 -666 -5598 -2332 -791 -1089 -3865 -2533 -273 1519 -535 -1654 1693 2346 -1316 -1034 6109 4138 -1939 -1438 -3017 68 -328 -879 -1524 -256 1710 -6127 -2057 -2378 1107 2010 638 -466 5767 -1011 -5785 -3217 746 61 2308 -257 -1295 1519 1684 235 6454 3179 1966 -3107 5680 -5687 1019 -846 -794 -10493 6047 5243 4996 2297 -4209 -4570 -429 -1928 -2078 5088
+5579 -1135 -528 1139 706 -494 3972 1658 -1135 2253 231 -4256 2024 -2527 -3616 -1938 4092 -801 389 -519 -345 935 -3269 -6507 1454 4178 -6332 -3061 2664 389 -2051 806 -2528 -3325 10181 -679 -5578 -2307 -902 -1094 -3870 -2496 -201 1537 -552 -1713 1684 2339 -1407 -1113 6196 4005 -1821 -1284 -2912 -53 -386 -918 -1543 -187 1879 -6176 -1960 -2305 1271 2125 687 -381 5848 -1025 -5816 -3218 706 -31 2168 -327 -1208 1603 1696 244 6485 3147 1813 -3246 5678 -5530 1099 -847 -730 -10552 6035 5326 5019 2408 -4101 -4545 -389 -1930 -2121 4967
+5526 -1154 -551 1125 643 -599 3864 1635 -1125 2236 300 -4194 1896 -2609 -3596 -1970 4075 -699 343 -511 -338 919 -3394 -6390 1535 4148 -6434 -3043 2694 534 -2087 813 -2522 -3306 10234 -602 -5579 -2287 -912 -1155 -3904 -2465 -70 1586 -478 -1821 1638 2374 -1439 -1287 6255 3916 -1654 -1134 -2848 -156 -499 -951 -1557 -115 2018 -6289 -1988 -2102 1275 2171 787 -346 5725 -1108 -5779 -3044 661 -137 2159 -404 -1207 1588 1624 176 6427 3091 1728 -3250 5590 -5470 1196 -811 -692 -10530 5999 5376 5134 2542 -4093 -4575 -377 -2014 -2259 4907
+5358 -1311 -490 1075 520 -607 3803 1642 -1009 2227 177 -4102 1717 -2516 -3546 -1994 4064 -629 317 -436 -209 811 -3405 -6127 1560 4126 -6408 -2954 2761 559 -2091 895 -2528 -3292 10304 -460 -5487 -2215 -910 -1264 -3895 -2414 -3 1621 -437 -1887 1519 2345 -1353 -1304 6295 3955 -1554 -1081 -2842 -314 -554 -926 -1566 -174 1960 -6434 -2155 -2100 1107 2120 830 -379 5652 -1137 -5753 -2914 750 -102 2265 -323 -1245 1534 1571 175 6363 3118 1775 -3171 5557 -5518 1232 -758 -678 -10434 6009 5414 5221 2605 -4160 -4622 -365 -2135 -2504 4981
+5277 -1370 -511 974 462 -594 3719 1630 -917 2295 -65 -4058 1637 -2332 -3533 -2022 4039 -664 350 -352 -100 750 -3290 -6054 1623 3998 -6333 -2868 2765 440 -2068 1001 -2532 -3329 10281 -390 -5444 -2199 -806 -1269 -3948 -2348 -11 1631 -474 -1834 1436 2358 -1335 -1220 6251 4117 -1577 -1125 -2864 -273 -546 -907 -1593 -253 1920 -6314 -2285 -2249 947 1968 766 -413 5664 -1082 -5708 -2845 927 -12 2446 -321 -1193 1551 1615 296 6539 3261 1950 -3051 5582 -5586 1182 -746 -792 -10332 5999 5384 5243 2644 -4194 -4669 -432 -2192 -2470 5136
+5270 -1391 -596 921 567 -496 3705 1647 -876 2399 -284 -4030 1573 -2245 -3475 -2104 4018 -723 394 -359 -90 782 -3200 -6308 1849 3927 -6209 -2812 2742 260 -2021 1058 -2548 -3428 10208 -354 -5458 -2236 -732 -1189 -4030 -2313 5 1628 -573 -1837 1457 2342 -1332 -1121 6226 4297 -1651 -1241 -2907 -168 -526 -912 -1545 -225 1846 -6211 -2287 -2355 965 1909 626 -533 5675 -973 -5652 -2926 975 104 2414 -404 -1046 1612 1616 312 6717 3399 2067 -3042 5640 -5652 1036 -812 -804 -10295 6087 5372 5199 2609 -4243 -4713 -504 -2187 -2311 5159
+5318 -1302 -683 906 658 -496 3660 1587 -913 2424 -322 -4018 1647 -2356 -3383 -2117 3979 -849 480 -446 -171 824 -3154 -6550 2017 3840 -6178 -2829 2708 212 -2008 1078 -2574 -3519 10186 -277 -5518 -2327 -739 -1168 -4005 -2363 -90 1457 -629 -1756 1566 2348 -1438 -1046 6192 4378 -1753 -1335 -2920 -26 -532 -999 -1515 -140 1871 -6122 -2143 -2425 1098 2010 564 -613 5721 -881 -5630 -3094 946 126 2285 -468 -963 1684 1675 350 6841 3463 2075 -3112 5525 -5631 966 -894 -760 -10242 6167 5327 5142 2573 -4285 -4751 -587 -2116 -1993 5065
+5433 -1325 -671 930 726 -519 3699 1603 -936 2309 -252 -3927 1754 -2580 -3300 -2083 4022 -891 477 -480 -199 853 -3161 -6556 1971 3897 -6288 -2870 2801 321 -1989 1035 -2521 -3459 10119 -164 -5538 -2414 -905 -1239 -3895 -2425 -148 1408 -665 -1784 1705 2376 -1545 -1089 6080 4326 -1764 -1366 -2904 35 -662 -1153 -1547 -79 1931 -6202 -1934 -2443 1180 2149 578 -699 5636 -880 -5571 -3187 747 21 2161 -403 -905 1690 1639 279 6731 3370 1964 -3134 5437 -5526 1007 -924 -666 -10242 6094 5216 5083 2462 -4379 -4773 -620 -2007 -1842 4885
+5411 -1307 -532 942 717 -509 3736 1701 -918 2076 -91 -3798 1799 -2818 -3259 -1993 4152 -752 487 -404 -170 843 -3199 -6335 1839 3944 -6339 -2902 2904 419 -1965 998 -2409 -3347 10164 -60 -5506 -2426 -1073 -1374 -3699 -2505 -176 1387 -507 -1804 1765 2304 -1596 -1208 5917 4236 -1659 -1299 -2875 44 -727 -1261 -1654 -151 1939 -6295 -1872 -2474 1150 2181 567 -838 5570 -901 -5549 -3248 621 -99 2121 -350 -1019 1610 1588 205 6511 3289 1906 -3091 5341 -5509 1113 -859 -636 -10220 6006 5105 5017 2304 -4404 -4731 -616 -1944 -1796 4797
+5377 -1384 -355 935 679 -500 3796 1773 -837 1905 98 -3830 1869 -2850 -3246 -1900 4276 -638 483 -315 -110 808 -3217 -6129 1642 3961 -6342 -2982 2869 458 -1957 963 -2297 -3247 10205 -111 -5499 -2395 -1109 -1368 -3643 -2569 -124 1478 -462 -1811 1736 2279 -1525 -1206 5880 4243 -1626 -1316 -2869 -7 -725 -1246 -1775 -325 1894 -6328 -1960 -2520 994 2047 481 -965 5546 -930 -5550 -3166 643 -236 2190 -392 -1141 1569 1548 202 6474 3288 1952 -2952 5453 -5601 1212 -714 -703 -10225 5944 5073 5060 2313 -4460 -4778 -661 -2177 -1978 4923
+5351 -1487 -307 943 688 -473 3840 1863 -827 1893 117 -3905 1774 -2737 -3262 -1892 4284 -574 525 -280 -126 797 -3276 -6243 1656 3992 -6298 -3016 2763 322 -1984 949 -2319 -3215 10246 -275 -5465 -2278 -964 -1379 -3762 -2621 -67 1555 -517 -1788 1712 2211 -1335 -1016 5987 4264 -1573 -1305 -2848 -5 -597 -1140 -1828 -439 1910 -6286 -2128 -2456 978 1962 414 -977 5619 -926 -5615 -3102 832 -180 2246 -455 -1147 1631 1578 304 6672 3434 2020 -2938 5584 -5743 1164 -655 -742 -10301 6009 5111 5067 2360 -4445 -4808 -709 -2386 -2071 5016
+5414 -1400 -402 912 773 -431 3773 1850 -851 2037 32 -3985 1712 -2543 -3343 -1901 4216 -612 576 -352 -223 873 -3346 -6593 1805 4023 -6297 -3053 2715 198 -1967 873 -2464 -3301 10278 -518 -5491 -2214 -819 -1235 -3998 -2702 -2 1580 -645 -1788 1654 2155 -1309 -881 6146 4202 -1533 -1301 -2819 82 -429 -1042 -1773 -414 1943 -6222 -2258 -2365 1076 1979 402 -939 5635 -921 -5682 -3010 1032 -80 2268 -525 -1146 1693 1644 359 6800 3553 2075 -2961 5648 -5826 1209 -586 -787 -10486 6071 5196 5078 2479 -4352 -4816 -739 -2534 -2118 5081
+5511 -1303 -488 881 818 -330 3706 1769 -878 2215 10 -4024 1595 -2451 -3338 -2025 4059 -661 592 -400 -297 892 -3292 -6835 1952 4110 -6310 -2986 2797 229 -1917 767 -2625 -3299 10307 -650 -5488 -2163 -708 -1200 -4138 -2770 48 1620 -611 -1830 1660 2143 -1411 -841 6207 4077 -1423 -1228 -2783 94 -329 -986 -1695 -340 2010 -6300 -2315 -2327 1198 2140 499 -889 5547 -934 -5694 -2940 1048 49 2287 -554 -1105 1699 1639 249 6796 3568 2072 -2954 5630 -5764 1329 -498 -705 -10668 6031 5248 5040 2516 -4162 -4715 -692 -2518 -2144 5012
+5479 -1267 -509 832 787 -326 3705 1755 -886 2255 -7 -3939 1566 -2428 -3370 -2101 3946 -770 575 -384 -317 826 -3145 -6778 2005 4144 -6350 -2895 2940 341 -1906 739 -2671 -3269 10378 -547 -5485 -2194 -772 -1262 -4099 -2852 15 1522 -554 -1892 1662 2172 -1467 -926 6164 4019 -1344 -1238 -2819 69 -252 -943 -1642 -280 2025 -6426 -2292 -2232 1257 2182 579 -905 5379 -976 -5701 -2956 933 72 2300 -445 -1061 1659 1624 61 6543 3481 2057 -2917 5602 -5678 1346 -585 -659 -10709 5968 5267 5041 2508 -4009 -4597 -613 -2408 -2140 4921
+5428 -1315 -469 809 704 -362 3751 1749 -896 2272 35 -3923 1662 -2531 -3340 -2112 3933 -788 541 -296 -281 762 -3085 -6543 1996 4081 -6317 -2824 2981 412 -1970 819 -2590 -3193 10412 -399 -5485 -2276 -894 -1329 -3994 -2924 -45 1500 -425 -1860 1678 2123 -1522 -1004 6120 4027 -1349 -1286 -2901 5 -294 -994 -1690 -274 2078 -6514 -2203 -2246 1192 2084 622 -915 5205 -1067 -5706 -3008 778 59 2408 -287 -1102 1533 1586 -5 6478 3447 2032 -2791 5754 -5610 1324 -607 -652 -10753 5837 5244 5061 2499 -3926 -4523 -570 -2295 -2089 4801
+5455 -1270 -456 863 696 -452 3861 1742 -961 2289 103 -4089 1788 -2562 -3345 -2115 3958 -747 537 -258 -235 776 -3152 -6465 2007 4113 -6237 -2832 2834 323 -2046 960 -2462 -3182 10383 -272 -5463 -2314 -967 -1335 -3903 -2920 -86 1440 -456 -1774 1727 2110 -1575 -968 6031 4111 -1413 -1378 -2990 -66 -424 -1086 -1807 -398 2048 -6469 -2199 -2328 1166 1959 589 -879 5210 -1158 -5793 -3168 779 30 2535 -186 -1128 1493 1634 110 6530 3463 2091 -2663 5801 -5672 1184 -707 -748 -10574 5717 5156 5024 2478 -3895 -4488 -563 -2263 -2064 4726
+5457 -1304 -462 994 763 -537 3895 1710 -1014 2372 119 -4255 1950 -2605 -3411 -2069 4046 -602 581 -288 -245 853 -3252 -6557 2049 4003 -6162 -2935 2675 307 -2128 1061 -2298 -3245 10264 -165 -5465 -2356 -921 -1267 -3909 -2905 -119 1371 -552 -1605 1774 2081 -1424 -787 6068 4152 -1558 -1463 -3043 -35 -463 -1076 -1802 -449 1989 -6335 -2261 -2326 1157 1911 575 -757 5332 -1181 -5853 -3237 888 1 2600 -231 -1184 1506 1699 302 6695 3528 2112 -2667 5766 -5697 1078 -732 -763 -10445 5724 5150 5075 2572 -3986 -4567 -576 -2270 -2095 4755
+5505 -1257 -454 1134 862 -581 3984 1638 -1098 2492 11 -4391 1976 -2538 -3425 -1988 4074 -511 652 -372 -242 947 -3203 -6661 1943 3932 -6161 -2967 2632 407 -2097 1053 -2322 -3271 10109 -80 -5449 -2354 -890 -1254 -3950 -2866 -185 1411 -633 -1563 1772 2117 -1318 -669 6089 4187 -1693 -1528 -3051 72 -438 -1066 -1749 -394 1937 -6258 -2345 -2263 1186 2039 632 -619 5393 -1190 -5895 -3217 1024 4 2589 -253 -1234 1482 1671 432 6760 3565 2151 -2617 5585 -5728 1058 -750 -703 -10298 5657 5112 5110 2679 -4102 -4695 -619 -2412 -2249 4771
+5510 -1197 -477 1166 895 -530 3975 1560 -1113 2422 -94 -4316 1896 -2488 -3436 -1932 4089 -494 683 -398 -232 959 -3016 -6645 1662 3948 -6276 -3024 2790 580 -2035 925 -2401 -3275 9968 -57 -5422 -2303 -853 -1247 -4038 -2786 -148 1472 -594 -1657 1731 2235 -1380 -703 6115 4174 -1777 -1527 -2998 142 -425 -1072 -1681 -377 1835 -6241 -2248 -2205 1245 2155 681 -596 5414 -1125 -5865 -3144 972 29 2518 -344 -1261 1471 1557 269 6645 3563 2177 -2616 5434 -5616 1066 -824 -600 -10147 5658 5075 5154 2748 -4301 -4827 -619 -2461 -2395 4806
+5482 -1251 -387 1154 839 -522 3892 1539 -1019 2339 -314 -4048 1845 -2395 -3422 -1908 4060 -561 730 -371 -179 913 -2883 -6533 1486 3928 -6294 -2999 2851 671 -1959 863 -2395 -3262 9937 -41 -5347 -2212 -894 -1352 -3983 -2691 -145 1477 -458 -1799 1666 2287 -1404 -888 6049 4158 -1745 -1459 -2970 137 -333 -1034 -1619 -303 1725 -6320 -2121 -2299 1282 2174 645 -754 5349 -1053 -5831 -3085 833 106 2407 -420 -1219 1483 1410 72 6648 3587 2127 -2726 5497 -5602 1062 -842 -540 -10124 5655 5060 5142 2657 -4346 -4827 -620 -2429 -2373 4783
+5535 -1224 -398 1071 671 -563 3819 1547 -954 2133 -324 -3949 1860 -2346 -3446 -1954 3995 -725 714 -350 -180 915 -3013 -6420 1469 3944 -6234 -2984 2773 564 -2004 850 -2361 -3269 9970 -56 -5305 -2152 -928 -1358 -4024 -2684 -21 1533 -422 -1925 1644 2252 -1574 -1020 6076 4167 -1706 -1392 -2947 12 -276 -997 -1648 -352 1748 -6419 -2020 -2380 1225 2084 597 -871 5294 -1011 -5831 -3103 694 140 2356 -463 -1146 1551 1372 -33 6740 3618 2108 -2818 5737 -5600 1010 -864 -603 -10146 5776 5105 5152 2573 -4330 -4796 -638 -2378 -2178 4822
+5532 -1229 -503 1029 574 -647 3748 1611 -927 2070 -279 -3849 1895 -2469 -3402 -2012 3930 -837 684 -321 -190 869 -3220 -6428 1604 3964 -6143 -2980 2596 378 -2069 938 -2288 -3317 10017 -162 -5331 -2138 -914 -1282 -4041 -2650 26 1496 -489 -1931 1628 2129 -1520 -1091 6155 4172 -1626 -1297 -2896 -91 -282 -948 -1680 -379 1870 -6423 -2038 -2376 1147 2031 600 -817 5383 -1008 -5881 -3178 658 133 2450 -487 -1068 1633 1441 42 6938 3692 2115 -2835 5818 -5634 1013 -840 -777 -10165 5985 5178 5122 2533 -4220 -4738 -709 -2404 -1988 4753
+5483 -1295 -613 939 540 -646 3639 1689 -869 2116 -105 -3742 1795 -2547 -3426 -2062 3908 -882 605 -323 -314 860 -3243 -6417 1700 3915 -6057 -2945 2505 225 -2068 1001 -2330 -3391 10115 -226 -5378 -2160 -922 -1199 -4068 -2642 -21 1515 -659 -1953 1642 2060 -1453 -1002 6191 4225 -1586 -1234 -2907 -211 -313 -902 -1647 -347 1953 -6395 -2222 -2265 1077 2083 642 -688 5479 -1043 -5922 -3216 776 113 2517 -421 -1074 1645 1535 173 7014 3673 2082 -2779 5817 -5634 1131 -739 -905 -10243 6173 5250 5073 2533 -4072 -4675 -780 -2465 -1874 4749
+5442 -1346 -672 909 554 -572 3614 1747 -837 2193 68 -3690 1719 -2579 -3433 -2042 3929 -840 613 -318 -361 785 -3004 -6473 1699 3909 -6132 -2944 2610 263 -1963 968 -2465 -3409 10193 -302 -5441 -2234 -884 -1167 -3993 -2614 -159 1578 -730 -1950 1637 2085 -1290 -946 6248 4267 -1567 -1205 -2899 -232 -377 -869 -1568 -219 1998 -6316 -2354 -2257 1043 2149 674 -592 5554 -1042 -5876 -3172 876 99 2463 -334 -1137 1572 1590 355 6988 3619 2072 -2672 5655 -5652 1287 -602 -806 -10290 6234 5283 5057 2490 -4099 -4675 -793 -2545 -1955 4825
+5397 -1365 -670 864 633 -423 3673 1800 -811 2195 197 -3707 1581 -2546 -3466 -1978 4033 -704 635 -312 -387 803 -2819 -6572 1679 3921 -6251 -2911 2811 413 -1854 905 -2596 -3344 10274 -387 -5481 -2274 -876 -1195 -3872 -2591 -294 1541 -682 -1986 1639 2091 -1161 -1004 6226 4386 -1635 -1259 -2917 -130 -380 -849 -1476 -170 1872 -6308 -2277 -2343 1048 2141 617 -722 5510 -987 -5779 -3156 844 66 2286 -304 -1092 1527 1577 370 6815 3518 2024 -2659 5641 -5588 1394 -567 -728 -10374 6134 5255 5083 2455 -4192 -4710 -778 -2569 -2125 4901
+5399 -1373 -635 796 617 -387 3728 1839 -838 2102 185 -3819 1618 -2418 -3494 -1924 4089 -580 625 -343 -359 818 -2836 -6654 1767 4002 -6350 -2999 2903 429 -1810 892 -2662 -3285 10295 -387 -5503 -2331 -841 -1324 -3779 -2512 -347 1554 -565 -2057 1665 2120 -1241 -1168 6299 4481 -1785 -1374 -2963 -32 -313 -844 -1378 -128 1655 -6334 -2102 -2504 1109 2079 540 -965 5433 -900 -5692 -3194 753 113 2107 -399 -980 1565 1551 262 6690 3438 2023 -2635 5819 -5551 1363 -598 -715 -10464 5956 5184 5106 2401 -4295 -4747 -747 -2625 -2268 4889
+5453 -1240 -648 863 612 -523 3823 1807 -942 2063 30 -4006 1707 -2371 -3541 -1972 4087 -560 650 -332 -266 788 -3066 -6660 1823 4108 -6396 -3118 2818 361 -1904 883 -2617 -3217 10352 -474 -5506 -2315 -733 -1414 -3722 -2471 -261 1552 -543 -2063 1691 2015 -1264 -1267 6323 4464 -1894 -1437 -3004 11 -329 -907 -1385 -168 1560 -6420 -1995 -2636 1134 2031 474 -1140 5341 -876 -5708 -3347 706 131 1948 -498 -898 1632 1545 213 6720 3406 1950 -2718 6056 -5576 1136 -750 -698 -10462 5910 5149 5087 2348 -4383 -4748 -658 -2507 -2244 4739
+5507 -1167 -643 855 589 -627 3880 1749 -1096 2130 -117 -4183 1821 -2399 -3524 -2054 3988 -610 603 -301 -216 745 -3266 -6576 1800 4228 -6375 -3172 2698 251 -2053 866 -2549 -3233 10323 -518 -5515 -2294 -641 -1397 -3722 -2495 -177 1607 -564 -2011 1723 1919 -1279 -1288 6276 4466 -1910 -1446 -3015 0 -388 -1000 -1484 -232 1633 -6418 -2040 -2553 1159 2114 504 -1106 5365 -903 -5827 -3519 809 79 1989 -550 -942 1634 1515 176 6776 3455 1993 -2722 6071 -5658 939 -877 -725 -10470 5789 5068 4994 2345 -4329 -4694 -581 -2318 -2156 4683
+5477 -1215 -594 912 594 -622 3976 1650 -1207 2293 -194 -4235 1915 -2505 -3409 -2117 3890 -683 600 -248 -182 706 -3192 -6455 1692 4245 -6356 -3144 2728 241 -2079 860 -2532 -3227 10210 -475 -5505 -2273 -641 -1322 -3717 -2497 -111 1670 -609 -1950 1704 2022 -1247 -1134 6207 4400 -1956 -1451 -2973 18 -464 -1048 -1567 -245 1782 -6319 -2166 -2372 1223 2198 546 -968 5389 -992 -5956 -3558 1088 -2 2255 -463 -1114 1559 1459 91 6761 3479 2033 -2672 5871 -5728 893 -858 -548 -10508 5760 5068 4918 2418 -4174 -4639 -544 -2248 -2113 4686
+5510 -1314 -539 931 683 -524 3980 1576 -1211 2447 -136 -4212 1957 -2633 -3300 -2155 3866 -739 605 -215 -209 704 -2961 -6492 1653 4289 -6327 -2993 2874 310 -2067 785 -2531 -3265 10111 -384 -5473 -2234 -734 -1266 -3760 -2539 -106 1777 -625 -1928 1659 2036 -1101 -1091 6086 4344 -1927 -1404 -2899 86 -396 -989 -1582 -208 1861 -6171 -2251 -2344 1256 2245 558 -919 5493 -993 -5969 -3394 1327 -47 2465 -368 -1333 1447 1424 24 6621 3460 2116 -2562 5604 -5744 961 -787 -369 -10474 5712 5033 4886 2462 -4013 -4582 -529 -2232 -2156 4709
+5465 -1331 -488 922 741 -424 3927 1539 -1068 2374 -74 -4149 1949 -2615 -3267 -2125 3972 -724 610 -254 -274 844 -2906 -6711 1767 4303 -6252 -2872 2930 368 -2037 816 -2532 -3307 10031 -298 -5407 -2199 -851 -1221 -3846 -2506 -88 1780 -547 -1935 1577 2104 -1037 -1204 6136 4343 -1911 -1420 -2872 121 -248 -880 -1499 -164 1813 -6140 -2165 -2390 1320 2156 495 -1019 5504 -960 -5886 -3160 1397 38 2495 -303 -1334 1462 1418 -120 6563 3452 2111 -2583 5500 -5786 993 -756 -260 -10335 5695 5041 4959 2528 -3997 -4609 -524 -2217 -2232 4819
+5456 -1224 -521 940 774 -407 3882 1529 -988 2304 36 -4234 1919 -2549 -3329 -2076 4057 -650 559 -306 -271 911 -3060 -6815 1989 4235 -6197 -2807 2914 307 -2034 876 -2489 -3327 9940 -267 -5421 -2211 -929 -1180 -3881 -2508 -111 1626 -424 -1909 1604 2040 -1082 -1315 6290 4229 -1878 -1418 -2864 185 -97 -777 -1434 -177 1774 -6212 -2079 -2317 1376 2101 514 -1129 5366 -960 -5799 -3021 1307 191 2376 -445 -1184 1598 1482 -218 6615 3439 2065 -2628 5674 -5817 955 -757 -328 -10259 5853 5147 5076 2593 -4006 -4643 -544 -2260 -2287 4833
+5408 -1190 -572 898 769 -466 3745 1557 -933 2294 21 -4317 1923 -2436 -3385 -1997 4149 -639 527 -322 -205 894 -3284 -6666 2011 4057 -6221 -2847 2741 271 -2087 946 -2390 -3331 9939 -293 -5413 -2203 -915 -1230 -3925 -2593 -59 1465 -397 -1868 1634 1997 -1227 -1309 6461 4103 -1773 -1383 -2906 109 -22 -715 -1356 -143 1704 -6386 -2196 -2284 1371 2132 599 -1125 5151 -1015 -5795 -3061 1172 340 2216 -451 -1050 1675 1546 -204 6656 3395 1986 -2773 5785 -5846 858 -806 -456 -10227 6015 5249 5164 2632 -4105 -4686 -552 -2354 -2366 4752
+5474 -1252 -546 883 683 -579 3718 1619 -894 2296 -115 -4174 1901 -2467 -3410 -1989 4174 -603 516 -276 -149 775 -3345 -6422 1967 3900 -6327 -2912 2736 314 -2097 937 -2368 -3250 9956 -346 -5455 -2231 -831 -1247 -3890 -2689 -64 1403 -491 -1865 1662 2012 -1320 -1268 6450 3976 -1625 -1321 -2984 -35 -71 -735 -1401 -182 1796 -6485 -2334 -2178 1392 2222 725 -932 5068 -1090 -5880 -3266 1118 264 2199 -450 -1066 1622 1546 -66 6703 3326 1903 -2862 5870 -5848 850 -798 -460 -10307 6269 5405 5199 2606 -4176 -4662 -526 -2401 -2403 4767
+5516 -1363 -457 896 715 -584 3664 1613 -881 2410 -242 -3938 1898 -2591 -3321 -1983 4165 -622 595 -255 -86 736 -3248 -6310 1899 3743 -6400 -2927 2809 514 -2015 892 -2416 -3137 10041 -400 -5479 -2266 -726 -1339 -3797 -2768 -125 1484 -551 -1822 1675 2127 -1351 -1129 6296 4033 -1538 -1359 -3066 -85 -176 -812 -1486 -202 1878 -6407 -2438 -2211 1366 2240 737 -801 5094 -1127 -5966 -3486 1113 100 2254 -388 -1188 1482 1531 130 6604 3280 1922 -2873 5795 -5780 971 -732 -377 -10310 6357 5456 5164 2498 -4183 -4614 -557 -2464 -2335 4842
+5570 -1347 -384 944 773 -537 3713 1673 -849 2338 -296 -3616 1859 -2664 -3208 -1979 4124 -706 669 -316 -143 776 -3158 -6486 1936 3698 -6382 -2904 2832 582 -1926 860 -2525 -3126 10120 -493 -5472 -2274 -701 -1334 -3852 -2845 -146 1550 -575 -1829 1632 2209 -1232 -1131 6193 4113 -1605 -1426 -3086 -65 -289 -881 -1572 -261 1934 -6180 -2363 -2355 1291 2134 656 -795 5279 -1078 -6015 -3604 1153 -8 2346 -292 -1227 1408 1475 176 6594 3307 1926 -2930 5829 -5745 1043 -721 -315 -10236 6360 5400 5141 2375 -4276 -4634 -624 -2517 -2203 4916
+5562 -1274 -358 996 824 -468 3821 1738 -825 2158 -223 -3517 1748 -2684 -3186 -2042 4112 -710 678 -336 -194 924 -3213 -6734 1970 3805 -6276 -2950 2753 568 -1884 874 -2550 -3160 10230 -573 -5515 -2295 -641 -1223 -3974 -2797 -96 1595 -456 -1862 1588 2180 -1208 -1143 6113 4228 -1693 -1506 -3094 61 -321 -901 -1541 -253 1829 -6105 -2171 -2495 1227 2015 549 -904 5365 -1008 -5914 -3455 1045 39 2257 -258 -1145 1447 1475 196 6658 3317 1915 -2940 5932 -5789 1071 -708 -339 -10106 6336 5319 5068 2217 -4282 -4628 -711 -2486 -2031 4893
+5468 -1286 -333 1044 844 -501 3889 1814 -877 2070 -119 -3686 1690 -2615 -3186 -2016 4091 -721 608 -311 -211 993 -3302 -6688 1965 3871 -6124 -2977 2651 442 -1902 929 -2548 -3216 10312 -637 -5541 -2294 -615 -1107 -4106 -2737 2 1588 -383 -1894 1646 2105 -1187 -1075 6068 4174 -1773 -1526 -3057 124 -243 -897 -1494 -261 1675 -6241 -2021 -2468 1119 1985 487 -1050 5321 -983 -5838 -3203 948 203 2188 -345 -991 1597 1498 134 6711 3298 1816 -3032 6029 -5767 1000 -717 -419 -10123 6369 5316 5034 2128 -4338 -4655 -724 -2468 -2111 4777
+5412 -1246 -361 1037 763 -580 3891 1881 -964 2088 -34 -3947 1542 -2487 -3265 -2063 4089 -702 495 -246 -190 872 -3314 -6387 1832 4054 -6056 -2955 2690 321 -1910 900 -2528 -3190 10342 -710 -5581 -2291 -613 -1014 -4182 -2725 70 1570 -394 -1929 1712 2096 -1347 -1086 6043 4096 -1729 -1471 -3023 150 -141 -870 -1491 -298 1560 -6445 -2030 -2367 1132 2111 528 -1079 5273 -955 -5778 -3031 747 375 2143 -419 -879 1734 1532 32 6680 3260 1757 -3055 5948 -5778 937 -754 -507 -10173 6381 5329 4987 2153 -4385 -4705 -695 -2460 -2300 4696
+5432 -1378 -301 995 670 -630 3871 1921 -989 2150 -8 -4091 1515 -2420 -3394 -2033 4114 -592 439 -180 -159 793 -3271 -6050 1684 4168 -6115 -2938 2820 372 -1943 827 -2491 -3136 10356 -683 -5543 -2247 -649 -1038 -4130 -2760 64 1548 -436 -1936 1804 2126 -1444 -1020 5989 4063 -1665 -1382 -2959 72 -124 -901 -1552 -346 1616 -6484 -2082 -2433 1219 2245 585 -983 5304 -950 -5851 -3103 686 434 2268 -362 -925 1719 1540 -2 6536 3208 1804 -2998 5751 -5688 943 -776 -483 -10364 6332 5326 4961 2243 -4305 -4701 -660 -2506 -2484 4718
+5509 -1455 -281 916 628 -507 3847 1940 -900 2262 46 -4158 1650 -2451 -3433 -1963 4141 -544 490 -194 -130 861 -3279 -6064 1694 4292 -6191 -2910 2973 472 -1883 778 -2514 -3059 10299 -649 -5497 -2188 -704 -1102 -4001 -2837 -30 1546 -570 -1860 1837 2166 -1473 -974 5991 4186 -1602 -1335 -2926 -49 -152 -881 -1650 -342 1846 -6390 -2171 -2402 1202 2217 562 -929 5324 -960 -5958 -3282 746 322 2433 -366 -1110 1590 1480 105 6596 3309 1968 -2928 5575 -5684 932 -803 -305 -10500 6248 5326 4974 2332 -4212 -4689 -615 -2541 -2478 4830
+5561 -1518 -326 858 675 -320 3850 1878 -788 2341 34 -4116 1959 -2524 -3445 -1858 4156 -511 629 -290 -96 1014 -3316 -6377 1812 4329 -6209 -2929 2962 507 -1829 798 -2479 -3027 10178 -610 -5468 -2171 -744 -1095 -3909 -2821 -114 1580 -611 -1782 1860 2216 -1437 -906 6018 4350 -1524 -1318 -2914 -85 -196 -893 -1684 -349 1995 -6275 -2253 -2506 1088 2106 502 -972 5402 -939 -6048 -3529 866 142 2455 -385 -1156 1583 1494 188 6745 3464 2115 -2965 5510 -5702 875 -857 -185 -10517 6072 5260 4977 2352 -4034 -4632 -608 -2557 -2401 4901
+5475 -1433 -409 823 703 -243 3859 1797 -728 2416 22 -4085 2210 -2524 -3438 -1820 4151 -571 647 -341 -115 1087 -3285 -6514 1817 4154 -6172 -2985 2837 425 -1841 879 -2457 -3071 10054 -598 -5444 -2150 -687 -1054 -3921 -2743 -165 1557 -532 -1732 1848 2133 -1321 -847 6038 4427 -1463 -1273 -2864 -98 -294 -890 -1617 -291 1997 -6342 -2294 -2414 1005 2019 522 -1022 5348 -991 -6063 -3614 904 -17 2338 -462 -1100 1599 1529 268 6855 3503 2098 -3046 5587 -5753 846 -836 -238 -10478 6004 5190 4936 2300 -3900 -4532 -534 -2457 -2307 4702
+5352 -1432 -423 867 724 -271 3924 1735 -758 2499 12 -4144 2332 -2530 -3456 -1864 4099 -659 629 -332 -62 1030 -3234 -6419 1747 4039 -6161 -3006 2714 337 -1865 986 -2365 -3117 9985 -511 -5458 -2194 -642 -1005 -3972 -2606 -121 1463 -391 -1736 1820 2117 -1356 -784 6093 4426 -1401 -1259 -2869 -39 -334 -885 -1544 -254 1894 -6524 -2239 -2265 1013 2028 568 -1046 5211 -1066 -5984 -3515 870 -33 2193 -531 -1015 1642 1548 249 6770 3427 2004 -3100 5631 -5837 840 -748 -314 -10466 5999 5171 4882 2267 -3838 -4455 -458 -2339 -2332 4591
+5337 -1412 -461 920 706 -393 3902 1646 -859 2566 -10 -4180 2206 -2441 -3393 -1898 4050 -721 543 -263 -37 944 -3213 -6085 1549 4010 -6238 -3011 2757 414 -1895 1005 -2334 -3036 9920 -442 -5472 -2231 -595 -1025 -3976 -2524 -43 1543 -314 -1732 1832 2177 -1403 -788 6043 4433 -1381 -1261 -2907 -62 -329 -912 -1504 -215 1782 -6676 -2175 -2207 1136 2120 611 -1012 5160 -1090 -5893 -3372 766 44 2160 -567 -966 1650 1579 161 6566 3291 1875 -3170 5560 -5882 922 -677 -382 -10457 6067 5206 4921 2298 -3855 -4413 -401 -2255 -2449 4495
+5455 -1312 -516 932 690 -539 3950 1643 -957 2457 -34 -4216 1943 -2331 -3411 -1981 4011 -736 459 -261 -40 846 -3261 -5878 1537 4144 -6306 -2957 2935 501 -1890 909 -2375 -2985 9929 -339 -5455 -2257 -619 -1061 -3948 -2515 26 1593 -381 -1746 1816 2288 -1526 -834 6074 4508 -1463 -1344 -2978 -88 -398 -1013 -1544 -215 1758 -6594 -2215 -2270 1348 2211 625 -958 5186 -1069 -5864 -3312 768 201 2278 -467 -996 1602 1591 133 6365 3206 1861 -3132 5418 -5818 1033 -637 -371 -10565 6089 5266 5007 2431 -3956 -4483 -473 -2325 -2499 4582
+5626 -1219 -548 1015 705 -620 3918 1620 -1025 2315 -41 -4142 1676 -2240 -3414 -1976 4026 -688 418 -335 -101 863 -3313 -5936 1571 4190 -6265 -2932 2970 580 -1950 818 -2421 -3021 10001 -290 -5443 -2264 -678 -1163 -3868 -2543 30 1728 -559 -1805 1779 2349 -1517 -933 6073 4624 -1572 -1414 -2979 -59 -493 -1160 -1666 -237 1907 -6389 -2309 -2437 1383 2123 528 -988 5192 -1035 -5888 -3303 904 291 2427 -349 -1101 1565 1632 136 6266 3241 1972 -3093 5410 -5691 1150 -635 -362 -10544 6092 5299 5115 2519 -4140 -4608 -581 -2481 -2423 4714
+5672 -1182 -615 1021 757 -559 3927 1635 -1027 2141 -41 -4063 1584 -2305 -3467 -1935 4065 -663 442 -445 -163 913 -3349 -6153 1561 4160 -6156 -2916 2833 448 -1944 773 -2503 -3106 10008 -415 -5471 -2264 -674 -1118 -3832 -2536 -32 1709 -567 -1699 1687 2318 -1500 -954 6069 4587 -1644 -1431 -2946 -43 -573 -1179 -1748 -289 2001 -6275 -2382 -2435 1348 2075 507 -1078 5170 -1000 -5928 -3311 1078 212 2570 -413 -1145 1619 1713 222 6376 3328 2055 -3085 5515 -5611 1179 -657 -449 -10531 6109 5352 5178 2464 -4278 -4663 -630 -2515 -2300 4804
+5520 -1192 -659 1067 783 -555 3880 1601 -1045 2101 -64 -3890 1643 -2410 -3550 -1872 4146 -674 458 -497 -236 935 -3289 -6216 1428 3992 -6058 -2907 2632 274 -1997 740 -2575 -3218 9986 -593 -5515 -2257 -589 -1113 -3849 -2470 -113 1611 -595 -1673 1617 2224 -1390 -862 6020 4435 -1616 -1360 -2891 -73 -589 -1159 -1758 -294 2003 -6265 -2405 -2342 1319 2100 528 -1196 5167 -968 -5937 -3332 1151 101 2515 -479 -1121 1681 1757 263 6463 3383 2110 -3080 5584 -5537 1144 -701 -652 -10354 6182 5325 5138 2392 -4385 -4695 -627 -2480 -2216 4804
+5367 -1249 -652 1063 800 -512 3889 1641 -1039 2234 -136 -3794 1793 -2603 -3500 -1852 4223 -681 517 -468 -204 917 -3267 -6145 1282 3937 -6007 -2910 2572 268 -1973 736 -2595 -3214 9989 -742 -5517 -2185 -550 -1135 -3823 -2398 -176 1518 -497 -1668 1644 2249 -1409 -822 5918 4221 -1593 -1292 -2821 8 -481 -1075 -1708 -308 1893 -6297 -2287 -2133 1437 2215 541 -1242 5213 -949 -5875 -3280 1094 -20 2357 -537 -1136 1688 1724 197 6378 3300 2051 -3069 5623 -5474 1166 -701 -820 -10265 6306 5363 5077 2350 -4324 -4660 -629 -2440 -2110 4708
+5316 -1261 -615 1073 710 -590 3854 1792 -965 2295 -195 -3626 1824 -2703 -3461 -1855 4203 -757 474 -405 -187 897 -3365 -6017 1292 3908 -6132 -2987 2642 438 -2019 698 -2569 -3147 10006 -767 -5482 -2125 -596 -1161 -3770 -2410 -222 1436 -432 -1697 1710 2301 -1397 -946 5970 4125 -1603 -1287 -2825 -18 -319 -965 -1640 -247 1912 -6344 -2185 -2131 1582 2296 545 -1169 5305 -940 -5816 -3255 984 -28 2190 -583 -1137 1660 1657 95 6218 3242 1999 -3088 5447 -5406 1158 -750 -831 -10194 6308 5341 5048 2417 -4214 -4658 -672 -2359 -2008 4711
+5501 -1259 -600 1027 657 -628 3791 1920 -882 2266 -107 -3616 1823 -2697 -3466 -1940 4188 -800 375 -379 -159 860 -3502 -5968 1489 3995 -6340 -3051 2808 614 -2012 689 -2584 -3074 10031 -705 -5444 -2123 -665 -1210 -3691 -2469 -205 1475 -507 -1734 1775 2342 -1398 -1110 6136 4101 -1636 -1321 -2897 -60 -215 -947 -1656 -248 2065 -6248 -2239 -2327 1551 2257 532 -1042 5403 -925 -5780 -3290 896 70 2138 -617 -1114 1654 1637 134 6297 3300 2056 -3075 5353 -5355 1171 -783 -693 -10212 6137 5284 5073 2479 -4185 -4716 -748 -2398 -1943 4782
+5634 -1239 -599 961 623 -579 3791 1928 -833 2135 73 -3789 1741 -2560 -3493 -2039 4133 -845 265 -379 -179 806 -3511 -6149 1781 4040 -6433 -3062 2831 596 -1993 759 -2539 -3079 10008 -610 -5423 -2130 -644 -1261 -3655 -2517 -104 1561 -634 -1751 1730 2388 -1414 -1191 6309 4068 -1606 -1336 -2990 -196 -285 -956 -1700 -258 2232 -6204 -2372 -2487 1340 2167 561 -981 5290 -979 -5787 -3337 983 203 2168 -585 -1100 1662 1709 309 6452 3421 2137 -3108 5190 -5376 1093 -840 -557 -10261 5948 5229 5107 2483 -4181 -4747 -753 -2387 -1990 4909
+5587 -1256 -538 951 625 -520 3845 1859 -845 2109 245 -3995 1654 -2440 -3488 -2036 4129 -804 185 -375 -208 782 -3340 -6294 1815 4024 -6396 -3034 2772 402 -1955 867 -2537 -3173 9939 -581 -5465 -2174 -571 -1160 -3796 -2536 17 1653 -674 -1804 1650 2306 -1367 -1067 6476 4088 -1569 -1353 -3064 -260 -410 -1015 -1737 -256 2274 -6162 -2471 -2443 1112 2137 654 -967 5122 -1016 -5798 -3351 1104 232 2335 -569 -1096 1690 1805 473 6546 3459 2180 -3114 5117 -5373 1028 -874 -627 -10367 5880 5248 5189 2509 -4219 -4810 -758 -2477 -2136 4951
+5416 -1361 -475 936 640 -480 3887 1745 -947 2161 299 -4188 1643 -2447 -3444 -2011 4136 -701 180 -408 -196 813 -3160 -6416 1837 3978 -6317 -2935 2732 244 -1904 954 -2542 -3259 9874 -544 -5490 -2211 -510 -1092 -3951 -2494 112 1684 -617 -1857 1558 2268 -1305 -957 6476 4012 -1566 -1349 -3120 -318 -531 -1010 -1740 -318 2107 -6150 -2449 -2309 1118 2160 639 -1074 5037 -1006 -5793 -3306 1147 195 2425 -526 -1108 1712 1839 501 6477 3400 2142 -3126 5089 -5447 1041 -818 -775 -10502 5893 5299 5204 2484 -4168 -4775 -695 -2545 -2250 4951
+5396 -1342 -358 980 660 -505 3978 1677 -1030 2254 213 -4281 1770 -2556 -3376 -1958 4170 -602 264 -473 -135 922 -3266 -6452 1858 4112 -6233 -2864 2801 248 -1805 953 -2536 -3199 9842 -498 -5480 -2236 -569 -1015 -3996 -2506 95 1710 -462 -1917 1590 2303 -1296 -1057 6359 3980 -1621 -1374 -3150 -277 -504 -962 -1682 -367 1832 -6214 -2256 -2368 1218 2205 577 -1091 5234 -917 -5798 -3328 1046 261 2393 -363 -1091 1645 1711 314 6372 3358 2126 -3122 5108 -5477 1099 -702 -758 -10451 5912 5230 5061 2451 -4045 -4676 -636 -2526 -2218 4881
+5406 -1328 -350 976 582 -621 4017 1714 -1071 2248 48 -4265 1895 -2638 -3333 -1955 4148 -597 319 -488 -117 982 -3515 -6361 1981 4251 -6241 -2817 2925 290 -1787 867 -2576 -3098 9867 -455 -5456 -2261 -626 -1090 -3999 -2534 25 1659 -423 -1931 1697 2373 -1272 -1235 6297 4006 -1772 -1420 -3122 -145 -421 -945 -1675 -433 1760 -6182 -2092 -2547 1297 2167 457 -1027 5508 -858 -5848 -3380 911 214 2342 -360 -1098 1614 1605 153 6265 3342 2134 -3146 5189 -5427 1214 -660 -706 -10472 5854 5144 4948 2472 -3944 -4611 -636 -2520 -2073 4847
+5538 -1396 -342 953 617 -579 3963 1682 -1023 2180 -112 -4217 2038 -2594 -3332 -1917 4235 -593 376 -491 -67 1001 -3690 -6285 1990 4399 -6266 -2854 2961 351 -1811 814 -2519 -3036 9952 -412 -5407 -2235 -749 -1212 -3912 -2663 -69 1623 -472 -1867 1766 2441 -1279 -1353 6270 4031 -1937 -1445 -3086 -84 -330 -946 -1667 -405 1834 -6208 -2057 -2718 1205 2105 411 -938 5689 -852 -5906 -3352 847 214 2216 -355 -1072 1642 1575 223 6441 3405 2130 -3202 5304 -5376 1294 -615 -620 -10454 5773 5061 4928 2506 -3898 -4578 -672 -2481 -1904 4771
+5531 -1517 -320 921 649 -459 3897 1661 -946 2213 -200 -4258 2084 -2475 -3354 -1906 4283 -675 389 -448 -46 1012 -3607 -6273 1873 4339 -6250 -2921 2927 343 -1880 818 -2437 -3018 10047 -371 -5406 -2195 -784 -1379 -3750 -2743 -161 1571 -520 -1746 1769 2400 -1179 -1323 6210 4074 -1943 -1418 -3003 -35 -283 -956 -1667 -324 1962 -6189 -2119 -2591 1014 2065 461 -900 5610 -930 -5947 -3290 883 160 2202 -447 -1117 1661 1639 442 6690 3449 2085 -3211 5441 -5424 1274 -613 -597 -10520 5728 5027 4899 2431 -3953 -4559 -674 -2384 -1943 4739
+5392 -1555 -278 882 725 -256 3888 1698 -805 2333 -167 -4274 2006 -2368 -3337 -1881 4305 -741 408 -384 -60 1039 -3364 -6321 1781 4141 -6229 -2941 2890 342 -1902 854 -2401 -3076 10071 -426 -5420 -2164 -803 -1392 -3782 -2735 -121 1592 -527 -1664 1716 2450 -1295 -1194 6180 4064 -1879 -1301 -2906 -66 -351 -996 -1735 -356 2033 -6202 -2175 -2323 1009 2118 585 -904 5400 -1013 -5974 -3302 923 142 2229 -457 -1287 1613 1744 676 6725 3410 2007 -3193 5443 -5374 1295 -598 -783 -10572 5773 5081 4972 2437 -4114 -4634 -691 -2402 -2100 4765
+5305 -1581 -266 895 827 -166 3829 1751 -727 2468 -51 -4173 1772 -2406 -3246 -1863 4266 -723 395 -382 -116 1130 -3256 -6478 1788 4006 -6250 -2954 2909 408 -1916 897 -2429 -3120 10078 -435 -5423 -2158 -750 -1389 -3839 -2673 -77 1526 -431 -1616 1672 2496 -1324 -1105 6150 4188 -1771 -1266 -2893 -138 -356 -905 -1703 -403 1897 -6220 -2251 -2091 1159 2187 639 -974 5249 -1028 -5963 -3373 924 107 2192 -404 -1338 1557 1772 630 6551 3278 1915 -3172 5455 -5403 1257 -595 -898 -10543 5844 5127 5010 2479 -4193 -4690 -709 -2491 -2275 4785
+5335 -1524 -326 896 878 -227 3777 1843 -712 2516 -28 -4018 1621 -2515 -3228 -1843 4214 -686 350 -428 -144 1140 -3338 -6470 1909 3924 -6289 -2925 2944 517 -1972 872 -2442 -3204 10131 -415 -5385 -2125 -785 -1253 -4092 -2633 17 1519 -295 -1713 1614 2576 -1435 -1219 6206 4261 -1722 -1237 -2896 -195 -402 -825 -1663 -429 1817 -6387 -2282 -2224 1383 2228 628 -952 5225 -1025 -5946 -3474 806 205 2127 -291 -1317 1478 1684 393 6328 3202 1929 -3128 5429 -5317 1274 -636 -873 -10544 5861 5164 5053 2579 -4237 -4747 -732 -2641 -2326 4757
+5434 -1477 -435 892 812 -450 3669 1897 -734 2352 -43 -3784 1556 -2631 -3338 -1879 4209 -649 275 -518 -163 1061 -3527 -6341 2014 3885 -6330 -2908 2926 515 -2061 851 -2486 -3259 10184 -285 -5381 -2186 -869 -1188 -4252 -2673 13 1531 -296 -1815 1602 2577 -1408 -1332 6315 4403 -1713 -1293 -2935 -170 -409 -776 -1510 -297 1775 -6513 -2349 -2383 1414 2168 607 -846 5264 -1065 -5961 -3532 664 274 2057 -268 -1175 1505 1649 172 6276 3247 2040 -3099 5350 -5263 1285 -671 -756 -10426 5749 5142 5096 2601 -4188 -4712 -684 -2570 -2255 4708
+5491 -1467 -531 845 739 -623 3658 1878 -809 2110 -68 -3610 1627 -2686 -3484 -1932 4176 -712 208 -570 -199 862 -3507 -6163 1914 3827 -6303 -2883 2773 360 -2200 888 -2469 -3283 10183 -181 -5393 -2224 -894 -1125 -4333 -2726 20 1476 -417 -1867 1519 2507 -1372 -1301 6271 4427 -1679 -1292 -2944 -107 -411 -825 -1441 -160 1811 -6588 -2360 -2407 1248 2116 656 -754 5297 -1125 -5948 -3439 647 289 2090 -342 -1079 1580 1638 42 6413 3389 2190 -3069 5323 -5217 1237 -721 -678 -10194 5724 5091 5124 2586 -4144 -4645 -618 -2463 -2187 4565
+5457 -1418 -509 854 689 -615 3684 1776 -882 1976 -55 -3635 1773 -2639 -3619 -1923 4221 -710 227 -579 -242 821 -3353 -6168 1779 3817 -6228 -2883 2649 234 -2217 944 -2437 -3296 10161 -140 -5413 -2277 -894 -1113 -4262 -2813 0 1496 -492 -1815 1498 2405 -1376 -1130 6178 4484 -1619 -1301 -2936 -30 -515 -968 -1480 -141 1864 -6504 -2297 -2113 1104 2026 646 -784 5222 -1195 -5956 -3285 754 283 2161 -304 -1118 1550 1613 70 6529 3438 2197 -3030 5294 -5267 1156 -739 -701 -10247 5757 5148 5131 2520 -4079 -4574 -558 -2351 -2157 4549
+5425 -1389 -502 883 716 -508 3780 1685 -964 2018 -116 -3700 1855 -2510 -3625 -1958 4194 -779 270 -607 -312 895 -3181 -6348 1691 3868 -6143 -2870 2636 232 -2120 935 -2444 -3291 10047 -173 -5415 -2289 -871 -1185 -4150 -2816 -58 1513 -568 -1749 1467 2314 -1405 -948 6122 4586 -1602 -1360 -2945 -59 -574 -1017 -1554 -198 1840 -6386 -2233 -1947 1112 1978 625 -878 5227 -1181 -5965 -3195 951 199 2328 -335 -1319 1453 1551 128 6517 3401 2154 -2946 5213 -5372 1128 -675 -760 -10208 5890 5183 5095 2530 -4031 -4586 -612 -2294 -2082 4620
+5408 -1294 -503 959 786 -418 3916 1689 -1031 2107 -135 -3918 1914 -2385 -3639 -1946 4173 -836 310 -653 -345 1050 -3189 -6514 1636 3966 -6188 -2917 2733 426 -2005 891 -2464 -3245 9964 -186 -5405 -2265 -830 -1296 -4005 -2711 -151 1466 -538 -1680 1512 2260 -1433 -890 6082 4597 -1622 -1391 -2915 8 -609 -1036 -1622 -337 1752 -6292 -2207 -2152 1264 2020 544 -983 5301 -1072 -5926 -3141 1086 106 2410 -368 -1440 1396 1506 140 6441 3339 2110 -2886 5239 -5444 1119 -662 -799 -10366 5941 5274 5107 2617 -4049 -4682 -714 -2375 -2074 4747
+5518 -1266 -507 1008 743 -461 3940 1739 -1046 2086 -61 -4071 1885 -2335 -3580 -1933 4126 -883 246 -592 -314 1123 -3268 -6462 1605 4109 -6263 -2954 2836 588 -1952 843 -2490 -3241 9926 -203 -5385 -2216 -788 -1363 -3943 -2617 -236 1359 -469 -1704 1643 2309 -1469 -1004 6110 4491 -1719 -1430 -2873 67 -533 -938 -1623 -416 1649 -6348 -2259 -2453 1364 2055 453 -1014 5409 -975 -5923 -3235 1107 71 2322 -454 -1341 1453 1448 26 6458 3302 1985 -3029 5366 -5427 1179 -626 -661 -10418 5853 5236 5106 2681 -4166 -4811 -786 -2471 -2107 4771
+5598 -1214 -526 1047 679 -493 3992 1747 -1046 2091 42 -4251 1898 -2356 -3605 -1891 4085 -826 209 -498 -234 1058 -3348 -6231 1432 4149 -6339 -3040 2818 568 -1972 869 -2392 -3243 9945 -243 -5349 -2151 -819 -1380 -3906 -2517 -301 1308 -461 -1729 1785 2330 -1494 -1076 6100 4301 -1767 -1415 -2829 114 -416 -835 -1557 -385 1635 -6470 -2293 -2603 1339 2154 491 -980 5411 -965 -5903 -3276 1072 9 2163 -540 -1183 1592 1531 54 6551 3285 1885 -3222 5501 -5407 1207 -590 -520 -10418 5735 5162 5102 2631 -4304 -4862 -726 -2435 -2210 4771
+5503 -1330 -483 989 544 -519 3983 1751 -979 2065 172 -4247 1889 -2401 -3569 -1874 4037 -756 221 -459 -188 968 -3317 -6141 1339 4125 -6310 -3119 2693 407 -2055 937 -2286 -3272 10018 -301 -5343 -2112 -788 -1304 -3903 -2462 -188 1364 -513 -1740 1837 2318 -1461 -934 6066 4153 -1743 -1406 -2787 181 -283 -813 -1513 -270 1718 -6448 -2185 -2433 1228 2206 572 -960 5339 -1004 -5830 -3230 1006 -66 2210 -591 -1034 1702 1626 110 6629 3297 1860 -3277 5610 -5440 1242 -523 -494 -10400 5640 5076 5063 2478 -4384 -4819 -612 -2365 -2288 4761
+5449 -1400 -466 988 545 -452 3934 1630 -954 2266 197 -4220 1937 -2472 -3499 -1869 4047 -678 357 -543 -201 1005 -3298 -6334 1400 4091 -6196 -3109 2606 306 -2066 980 -2280 -3242 10024 -381 -5363 -2091 -739 -1200 -3809 -2473 -38 1528 -549 -1762 1786 2227 -1364 -839 6006 4107 -1692 -1427 -2824 146 -224 -809 -1511 -212 1864 -6303 -2060 -2163 1105 2149 594 -991 5272 -1031 -5728 -3127 1018 -74 2341 -542 -1024 1670 1673 206 6641 3292 1843 -3186 5711 -5494 1292 -431 -609 -10471 5678 5065 5012 2356 -4289 -4710 -545 -2338 -2269 4787
+5423 -1428 -497 1008 599 -468 3845 1536 -1019 2472 85 -4102 1877 -2459 -3502 -1921 4026 -647 458 -720 -306 1066 -3269 -6632 1657 4101 -6205 -3091 2658 245 -2012 888 -2427 -3290 10067 -416 -5365 -2101 -708 -1138 -3828 -2486 84 1652 -641 -1845 1640 2162 -1375 -738 6071 4171 -1596 -1460 -2917 94 -211 -847 -1558 -233 1948 -6252 -2062 -2090 1012 2077 584 -980 5254 -1035 -5629 -3016 1059 -124 2554 -403 -1053 1586 1660 267 6582 3277 1923 -2980 5766 -5554 1302 -390 -725 -10551 5748 5099 4974 2336 -4217 -4668 -562 -2247 -2059 4968
+5484 -1265 -597 1027 613 -529 3808 1555 -1030 2533 -95 -4035 1858 -2362 -3571 -1981 4069 -712 477 -793 -384 1082 -3261 -6776 1859 4220 -6273 -3032 2845 324 -1924 753 -2645 -3287 10043 -389 -5376 -2142 -715 -1117 -3838 -2552 81 1705 -668 -1910 1602 2177 -1504 -806 6202 4191 -1558 -1497 -3019 3 -245 -832 -1613 -328 1974 -6277 -2194 -2365 994 2043 556 -922 5391 -966 -5596 -2997 1145 10 2653 -442 -1005 1554 1549 155 6613 3320 1945 -2884 5858 -5481 1248 -462 -635 -10703 5806 5145 4951 2379 -4113 -4653 -642 -2245 -1938 5025
+5506 -1221 -599 1012 624 -580 3764 1656 -958 2431 -269 -3937 1829 -2279 -3628 -2000 4158 -812 424 -707 -378 998 -3242 -6659 1867 4166 -6313 -2978 2940 375 -1860 752 -2717 -3271 9971 -301 -5370 -2171 -731 -1167 -3799 -2541 -27 1680 -578 -1920 1654 2229 -1458 -947 6354 4087 -1518 -1462 -3048 -67 -398 -827 -1611 -385 1896 -6365 -2341 -2592 1048 2078 579 -789 5491 -910 -5598 -3037 1077 69 2516 -575 -796 1719 1487 35 6731 3368 1913 -2960 5862 -5391 1198 -581 -496 -10745 5774 5157 4991 2444 -4114 -4662 -651 -2189 -2018 5051
+5549 -1293 -547 1025 581 -630 3769 1740 -924 2249 -332 -3983 1842 -2316 -3630 -1960 4206 -876 346 -545 -281 907 -3230 -6418 1726 4133 -6243 -2960 2858 320 -1907 858 -2612 -3245 9927 -175 -5369 -2201 -670 -1244 -3806 -2451 -91 1604 -487 -1895 1759 2378 -1606 -999 6323 3924 -1495 -1396 -3040 9 -423 -867 -1557 -324 1751 -6396 -2311 -2512 1133 2179 629 -760 5490 -876 -5604 -3061 870 62 2295 -667 -701 1820 1447 -56 6829 3407 1877 -3018 5755 -5323 1134 -654 -414 -10786 5707 5162 5062 2492 -4139 -4644 -614 -2224 -2234 4981
+5535 -1389 -463 1044 587 -590 3786 1768 -899 2091 -199 -4033 1905 -2512 -3508 -1901 4222 -906 341 -456 -247 935 -3279 -6356 1651 3976 -6147 -2990 2667 311 -1994 1011 -2406 -3201 9829 -111 -5336 -2173 -596 -1282 -3781 -2431 -99 1518 -503 -1788 1809 2361 -1553 -944 6336 3894 -1521 -1372 -3015 47 -395 -911 -1533 -255 1730 -6223 -2137 -2290 1141 2159 618 -831 5525 -840 -5627 -3095 682 -89 2177 -610 -765 1806 1441 -19 6795 3388 1848 -3049 5628 -5417 1080 -642 -451 -10755 5728 5201 5127 2537 -4204 -4640 -563 -2268 -2383 4987
+5412 -1449 -523 1013 640 -496 3823 1784 -890 2050 -127 -3987 1856 -2741 -3377 -1869 4182 -807 380 -457 -272 1056 -3357 -6530 1643 3902 -6146 -3072 2615 365 -2086 1080 -2264 -3172 9686 -80 -5326 -2166 -603 -1349 -3762 -2433 -56 1488 -563 -1669 1830 2267 -1461 -882 6219 3968 -1607 -1385 -2951 210 -317 -971 -1575 -260 1770 -6137 -1995 -2177 1132 2060 577 -867 5604 -852 -5679 -3105 644 -297 2215 -533 -976 1706 1453 54 6715 3391 1937 -2920 5536 -5540 1078 -532 -502 -10603 5821 5224 5161 2596 -4254 -4654 -542 -2347 -2329 5084
+5352 -1473 -574 953 677 -405 3769 1794 -874 2059 -90 -3841 1778 -2833 -3336 -1895 4165 -715 412 -572 -334 1096 -3301 -6722 1659 3887 -6249 -3100 2695 462 -2098 982 -2284 -3212 9571 -90 -5332 -2179 -720 -1341 -3757 -2528 -74 1454 -646 -1594 1693 2153 -1351 -965 6127 4099 -1707 -1379 -2910 166 -244 -985 -1680 -347 1906 -6141 -2090 -2266 1047 1909 509 -793 5726 -876 -5712 -3113 739 -379 2345 -414 -1151 1580 1425 32 6637 3412 2038 -2827 5574 -5594 1045 -535 -483 -10529 5893 5242 5137 2633 -4256 -4669 -553 -2342 -2110 5188
+5299 -1455 -632 874 718 -349 3693 1763 -890 2094 -178 -3742 1658 -2739 -3414 -1983 4179 -689 390 -632 -376 1097 -3227 -6815 1670 3963 -6421 -3054 2831 515 -2110 813 -2394 -3311 9527 -120 -5341 -2169 -859 -1219 -3889 -2605 -62 1517 -678 -1692 1634 2091 -1323 -975 6042 4128 -1738 -1338 -2871 81 -276 -986 -1722 -340 2012 -6237 -2279 -2460 1080 1983 593 -616 5766 -957 -5754 -3125 858 -213 2432 -315 -1126 1552 1437 -38 6713 3508 2057 -2848 5755 -5568 979 -598 -306 -10445 5891 5222 5113 2598 -4267 -4686 -549 -2268 -1966 5144
+5348 -1514 -619 773 639 -317 3640 1753 -833 2080 -257 -3732 1621 -2465 -3448 -2030 4209 -679 373 -612 -320 971 -3150 -6726 1709 3964 -6473 -2986 2885 415 -2083 718 -2506 -3315 9516 -191 -5373 -2173 -916 -1196 -4018 -2691 -114 1608 -615 -1811 1657 2192 -1359 -1063 5954 4027 -1678 -1202 -2792 19 -363 -983 -1719 -305 2027 -6316 -2327 -2383 1255 2169 704 -524 5621 -1054 -5762 -3120 848 95 2431 -281 -1009 1560 1462 -82 6905 3587 2016 -2955 5874 -5497 948 -698 -193 -10335 5848 5179 5121 2541 -4361 -4729 -508 -2035 -1985 4951
+5468 -1448 -471 738 578 -379 3666 1779 -809 2020 -230 -3835 1674 -2245 -3456 -2120 4204 -711 315 -537 -276 881 -3158 -6581 1893 3942 -6335 -2894 2807 225 -2079 731 -2514 -3302 9648 -262 -5366 -2145 -914 -1043 -4175 -2710 -65 1692 -502 -1930 1690 2265 -1456 -1140 5943 3975 -1607 -1090 -2733 -31 -473 -982 -1640 -254 1943 -6253 -2212 -2190 1468 2371 823 -616 5387 -1100 -5705 -3079 675 298 2392 -299 -897 1601 1497 -117 6862 3508 1842 -3038 5934 -5465 970 -670 -200 -10338 5791 5156 5129 2440 -4402 -4738 -457 -1885 -2158 4784
+5531 -1441 -418 780 584 -454 3723 1723 -853 2116 -127 -4061 1750 -2225 -3408 -2145 4131 -781 314 -497 -252 902 -3274 -6626 2127 3940 -6271 -2855 2729 165 -2114 784 -2466 -3278 9808 -310 -5369 -2146 -856 -1001 -4275 -2747 -72 1645 -460 -1953 1657 2220 -1404 -1175 6040 4087 -1638 -1113 -2778 -20 -474 -975 -1517 -105 1939 -6194 -2070 -2080 1435 2309 829 -719 5218 -1141 -5728 -3104 575 319 2441 -198 -997 1460 1479 16 6810 3441 1799 -2945 5931 -5582 965 -570 -246 -10364 5970 5258 5180 2456 -4390 -4778 -489 -1917 -2304 4753
+5539 -1382 -397 881 699 -459 3799 1672 -935 2277 49 -4236 1835 -2409 -3368 -2154 3998 -791 284 -485 -251 889 -3253 -6764 2288 3987 -6238 -2848 2752 253 -2116 818 -2445 -3234 9951 -379 -5404 -2176 -695 -1146 -4243 -2761 -45 1669 -535 -1904 1604 2077 -1272 -1139 6155 4371 -1676 -1230 -2891 -72 -439 -999 -1469 -55 1938 -6247 -2198 -2222 1169 2101 747 -748 5338 -1133 -5855 -3242 632 184 2455 -29 -1173 1346 1445 97 6700 3384 1828 -2815 5965 -5648 1068 -448 -399 -10397 6066 5320 5155 2520 -4394 -4837 -561 -2093 -2353 4882
+5524 -1334 -430 994 793 -468 3833 1627 -1039 2358 128 -4323 1942 -2679 -3376 -2030 3989 -842 338 -495 -284 907 -3139 -6906 2244 4067 -6387 -2885 2863 375 -2070 842 -2521 -3240 10060 -355 -5438 -2234 -712 -1254 -4148 -2839 -95 1613 -595 -1874 1552 1976 -1127 -1177 6185 4518 -1644 -1261 -2958 -109 -477 -1029 -1485 -84 1922 -6382 -2391 -2329 944 1944 674 -610 5547 -1162 -6003 -3270 904 -28 2448 18 -1323 1313 1489 266 6726 3449 1962 -2715 5983 -5580 1173 -377 -372 -10438 6166 5389 5149 2534 -4276 -4794 -567 -2278 -2376 4974
+5425 -1388 -364 1017 807 -421 3937 1706 -1055 2338 102 -4313 1961 -2803 -3452 -1949 4042 -765 408 -471 -259 919 -3019 -6924 2034 4086 -6447 -2947 2872 473 -1995 921 -2556 -3294 10117 -340 -5500 -2308 -721 -1330 -4046 -2858 -197 1597 -655 -1901 1633 1971 -977 -1160 6161 4441 -1534 -1205 -3008 -258 -565 -1114 -1597 -203 1869 -6458 -2420 -2363 906 1951 595 -532 5802 -1127 -6057 -3282 1103 -77 2428 -44 -1415 1332 1436 221 6844 3564 2036 -2798 5982 -5480 1199 -404 -249 -10599 6130 5404 5080 2418 -4188 -4691 -522 -2292 -2299 5019
+5483 -1427 -320 1036 772 -389 3939 1661 -1095 2281 -41 -4323 2041 -2715 -3468 -1833 4122 -741 538 -450 -208 975 -3105 -6836 1875 4097 -6411 -3028 2823 424 -1974 992 -2541 -3323 10100 -335 -5515 -2333 -715 -1271 -4042 -2847 -259 1509 -575 -1930 1710 2078 -1067 -1215 6098 4219 -1467 -1125 -2980 -342 -663 -1149 -1638 -226 1799 -6376 -2247 -2296 1138 2120 591 -586 5841 -1090 -5981 -3146 1127 -24 2313 -181 -1373 1421 1442 168 6866 3596 2035 -2847 5945 -5347 1124 -514 -197 -10702 6112 5379 5051 2324 -4150 -4603 -480 -2288 -2260 4938
+5570 -1421 -325 1018 691 -417 3919 1675 -1029 2198 -175 -4283 1944 -2538 -3498 -1868 4157 -650 604 -432 -187 1020 -3350 -6732 1837 4129 -6361 -3109 2765 380 -1986 1051 -2457 -3290 10032 -362 -5472 -2280 -761 -1116 -4148 -2733 -236 1514 -482 -1994 1782 2166 -1201 -1374 6059 4093 -1544 -1107 -2907 -320 -686 -1116 -1643 -225 1799 -6257 -1955 -2322 1231 2185 577 -769 5693 -1038 -5797 -2987 921 170 2243 -240 -1347 1459 1440 38 6789 3547 1986 -2758 5953 -5397 956 -618 -195 -10728 6046 5349 5004 2157 -4237 -4557 -457 -2193 -2215 4935
+5579 -1382 -360 1018 673 -440 3800 1658 -991 2172 -263 -4161 1821 -2345 -3509 -1953 4155 -640 626 -453 -201 993 -3457 -6581 1809 4187 -6354 -3166 2768 362 -2004 1008 -2389 -3226 9949 -307 -5435 -2248 -827 -995 -4181 -2685 -223 1526 -471 -1976 1769 2120 -1305 -1391 6063 4085 -1711 -1205 -2858 -192 -539 -1039 -1617 -271 1786 -6190 -1928 -2499 1083 2100 549 -905 5541 -976 -5629 -2936 671 380 2226 -316 -1354 1438 1432 12 6746 3581 2023 -2681 5807 -5490 867 -628 -278 -10760 5992 5308 4971 2180 -4247 -4568 -561 -2244 -2148 4973
+5534 -1449 -430 1024 677 -425 3719 1685 -887 2227 -303 -3924 1686 -2292 -3533 -2046 4112 -718 577 -432 -257 939 -3310 -6473 1785 4207 -6303 -3085 2864 385 -2003 901 -2385 -3151 9871 -203 -5377 -2188 -880 -982 -4165 -2707 -125 1638 -544 -2030 1636 2049 -1239 -1339 6049 4111 -1813 -1315 -2896 -115 -337 -973 -1608 -249 1892 -6260 -2083 -2596 821 1976 535 -849 5459 -979 -5588 -2994 650 395 2253 -282 -1308 1406 1454 168 6797 3629 2134 -2563 5718 -5513 867 -609 -248 -10611 5927 5204 4963 2254 -4316 -4616 -606 -2255 -2172 5076
+5316 -1510 -439 1025 691 -347 3699 1768 -787 2265 -159 -3700 1617 -2392 -3485 -2003 4043 -814 546 -384 -313 900 -3016 -6494 1764 4141 -6280 -2956 2925 350 -1969 827 -2401 -3131 9766 -145 -5332 -2134 -893 -1079 -4026 -2678 -34 1655 -586 -1996 1529 2094 -1122 -1194 6053 4076 -1836 -1379 -2965 -152 -176 -925 -1632 -331 1907 -6411 -2305 -2460 803 1965 552 -666 5597 -966 -5626 -3136 782 192 2278 -357 -1171 1500 1541 342 7006 3706 2128 -2705 5680 -5468 952 -526 -108 -10606 5775 5124 4929 2317 -4381 -4658 -579 -2249 -2261 5129
+5314 -1556 -392 1004 684 -278 3695 1842 -696 2197 1 -3592 1683 -2569 -3426 -1945 4016 -840 519 -344 -314 905 -2874 -6534 1802 3980 -6188 -2890 2863 262 -1982 840 -2368 -3211 9683 -139 -5289 -2082 -833 -1106 -3978 -2631 86 1685 -570 -1976 1537 2212 -1068 -1164 6074 3973 -1763 -1392 -3075 -249 -110 -877 -1641 -380 1844 -6557 -2281 -2127 956 2064 591 -552 5781 -940 -5641 -3182 947 -42 2205 -297 -1113 1505 1580 517 7152 3701 2076 -2862 5638 -5457 950 -533 27 -10518 5760 5087 4980 2370 -4399 -4677 -508 -2184 -2327 5099
+5419 -1432 -402 1020 653 -344 3710 1792 -715 2145 156 -3705 1782 -2710 -3347 -1901 3970 -791 520 -359 -260 906 -3029 -6485 1869 3896 -6123 -2923 2739 190 -1987 872 -2382 -3286 9664 -179 -5330 -2108 -693 -1179 -3935 -2539 63 1599 -552 -1937 1647 2274 -1213 -1185 6195 3851 -1672 -1373 -3113 -359 -327 -907 -1614 -305 1845 -6491 -2125 -1926 1185 2147 615 -561 5796 -943 -5579 -3056 940 -308 2158 -352 -1041 1550 1584 496 7026 3622 1977 -2996 5719 -5461 1007 -487 -17 -10484 5755 5113 5078 2438 -4402 -4688 -395 -2080 -2319 5099
+5534 -1345 -417 952 576 -438 3711 1799 -769 2033 143 -3875 1861 -2656 -3398 -1907 3964 -714 533 -357 -191 889 -3249 -6310 1851 3864 -6183 -3011 2702 234 -2035 885 -2406 -3281 9696 -254 -5365 -2148 -626 -1087 -3948 -2459 -14 1439 -518 -1913 1734 2277 -1453 -1226 6294 3839 -1647 -1401 -3142 -314 -430 -963 -1612 -285 1912 -6448 -2017 -2019 1143 2007 586 -639 5744 -927 -5480 -2900 787 -367 2044 -331 -1119 1517 1559 420 6827 3463 1867 -3040 5838 -5486 952 -486 -153 -10534 5962 5261 5187 2543 -4368 -4713 -355 -1967 -2202 5087
+5541 -1319 -543 955 551 -498 3746 1767 -881 2066 -17 -3999 1842 -2450 -3496 -1949 3999 -685 546 -358 -188 793 -3301 -6133 1696 3914 -6345 -3073 2768 403 -2070 799 -2463 -3182 9770 -345 -5416 -2213 -607 -1035 -3953 -2483 -144 1337 -513 -1859 1695 2120 -1505 -1197 6432 3951 -1691 -1469 -3147 -177 -452 -973 -1555 -184 1914 -6442 -2130 -2274 927 1855 605 -582 5625 -954 -5425 -2736 644 -315 2039 -432 -1188 1519 1518 250 6651 3441 1910 -2945 6002 -5472 1016 -443 -327 -10541 6087 5336 5213 2693 -4205 -4709 -407 -1956 -2043 5095
+5423 -1342 -591 912 543 -403 3820 1784 -922 2163 -221 -4035 1893 -2209 -3584 -1947 4093 -688 597 -363 -187 836 -3190 -6147 1482 3937 -6382 -3126 2808 662 -2112 733 -2493 -3043 9865 -413 -5467 -2263 -598 -1015 -3778 -2554 -213 1375 -559 -1822 1632 2061 -1471 -1099 6452 3984 -1687 -1465 -3122 -44 -344 -978 -1564 -226 1854 -6496 -2316 -2286 805 1815 649 -475 5554 -988 -5450 -2669 673 -258 2167 -543 -1242 1582 1594 272 6728 3547 2026 -2834 5990 -5370 1185 -342 -389 -10526 6176 5417 5275 2839 -4094 -4729 -484 -1948 -2052 5150
+5325 -1473 -567 942 617 -204 3928 1787 -962 2280 -271 -4085 1886 -2161 -3560 -1946 4131 -674 700 -355 -236 928 -3097 -6393 1419 4040 -6300 -3096 2749 697 -2024 731 -2524 -2929 9895 -522 -5481 -2254 -633 -1059 -3549 -2629 -241 1551 -531 -1756 1619 2064 -1275 -1020 6378 4009 -1668 -1454 -3089 5 -213 -973 -1608 -292 1779 -6472 -2348 -2101 917 1858 625 -393 5663 -972 -5532 -2759 837 -231 2348 -673 -1291 1621 1630 277 6858 3626 2063 -2831 5869 -5217 1311 -315 -330 -10440 6142 5403 5300 2843 -4151 -4779 -541 -1981 -2167 5126
+5300 -1580 -566 968 623 -118 4023 1759 -993 2286 -157 -4184 1915 -2255 -3468 -1938 4099 -689 734 -356 -259 1055 -3195 -6582 1487 4076 -6080 -3029 2592 565 -1983 778 -2549 -2953 9902 -664 -5495 -2199 -544 -1121 -3483 -2600 -124 1670 -553 -1770 1713 2161 -1196 -918 6338 4015 -1709 -1417 -3016 34 -152 -951 -1589 -316 1668 -6378 -2185 -1929 1193 1977 579 -472 5716 -965 -5573 -2822 981 -303 2566 -638 -1291 1622 1639 218 6797 3572 2043 -2855 5666 -5167 1289 -376 -310 -10423 6008 5329 5262 2681 -4330 -4830 -564 -1980 -2143 5151
+5395 -1442 -604 1021 637 -209 3996 1701 -1074 2246 92 -4316 1924 -2537 -3379 -1973 3969 -756 662 -336 -248 1020 -3355 -6511 1561 4131 -6001 -2997 2551 420 -1993 777 -2577 -3062 9859 -787 -5466 -2118 -440 -1109 -3632 -2514 -7 1660 -569 -1798 1774 2191 -1319 -950 6246 4027 -1712 -1349 -2880 106 -265 -1016 -1602 -260 1729 -6319 -2097 -2118 1290 2069 596 -560 5769 -968 -5561 -2904 887 -380 2703 -533 -1236 1582 1600 96 6614 3459 1953 -2964 5519 -5209 1222 -380 -332 -10432 5895 5279 5227 2495 -4522 -4882 -613 -2017 -1969 5146
+5435 -1341 -651 1026 645 -337 3893 1662 -1077 2218 222 -4398 1927 -2780 -3356 -1966 3896 -787 569 -264 -193 828 -3451 -6282 1597 4221 -6099 -2938 2671 367 -1989 701 -2589 -3120 9805 -755 -5429 -2075 -453 -1072 -3851 -2496 20 1644 -523 -1875 1853 2115 -1439 -947 6234 4047 -1739 -1291 -2759 231 -359 -1045 -1573 -144 1871 -6238 -2043 -2340 1125 1972 571 -643 5674 -1030 -5511 -2890 678 -420 2597 -428 -1163 1555 1560 38 6468 3396 1984 -2937 5583 -5292 1062 -462 -406 -10530 5861 5280 5162 2363 -4669 -4898 -616 -2085 -1793 5185
+5517 -1283 -620 1008 662 -438 3766 1682 -1031 2229 212 -4302 1833 -2799 -3454 -2011 3907 -806 534 -218 -132 666 -3378 -6148 1626 4258 -6316 -2950 2885 393 -2013 648 -2580 -3135 9759 -570 -5396 -2052 -514 -1067 -3985 -2508 -64 1553 -512 -1954 1728 2000 -1524 -1008 6180 4109 -1725 -1265 -2692 256 -411 -1006 -1519 -28 1969 -6282 -2129 -2456 927 1952 638 -597 5563 -1113 -5497 -2881 467 -275 2429 -421 -1025 1599 1566 40 6539 3427 2027 -2996 5625 -5294 1001 -516 -413 -10598 5814 5266 5106 2312 -4702 -4857 -576 -2051 -1712 5197
+5512 -1303 -523 1051 708 -371 3712 1727 -903 2310 36 -4105 1803 -2638 -3465 -1924 4050 -729 605 -247 -124 663 -3307 -6321 1771 4237 -6406 -2970 2974 412 -1995 692 -2468 -3111 9729 -371 -5334 -2053 -641 -1076 -3964 -2543 -103 1529 -529 -1964 1644 2060 -1498 -1008 6099 4190 -1663 -1230 -2707 294 -371 -973 -1440 34 1971 -6257 -2130 -2299 929 2061 703 -539 5523 -1139 -5550 -2901 397 -208 2318 -497 -976 1662 1643 194 6704 3512 2092 -3038 5572 -5278 1048 -463 -235 -10699 5759 5234 5022 2273 -4631 -4773 -514 -2046 -1834 5168
+5560 -1323 -399 1097 753 -311 3698 1717 -775 2337 -97 -3913 1752 -2398 -3480 -1947 4211 -649 685 -325 -137 828 -3275 -6556 1872 4050 -6373 -3046 2834 337 -1967 803 -2408 -3122 9623 -230 -5346 -2130 -691 -1108 -3828 -2663 -156 1591 -590 -1878 1664 2097 -1383 -943 6144 4410 -1639 -1328 -2830 255 -276 -932 -1385 10 1845 -6274 -2121 -2030 1048 2136 685 -523 5620 -1102 -5652 -2908 541 -174 2360 -494 -1021 1669 1688 293 6788 3525 2063 -3121 5399 -5288 1146 -376 -111 -10753 5634 5198 5008 2293 -4483 -4733 -560 -2053 -1921 5124
+5533 -1257 -439 1158 847 -241 3763 1607 -784 2320 -192 -3898 1754 -2307 -3387 -1990 4225 -633 690 -330 -181 916 -3305 -6615 1939 3904 -6307 -3111 2699 246 -1903 908 -2371 -3181 9559 -252 -5363 -2165 -677 -1122 -3823 -2697 -135 1562 -704 -1805 1743 2161 -1292 -924 6290 4533 -1667 -1387 -2919 175 -325 -954 -1382 -42 1739 -6353 -2109 -2123 1050 2096 621 -566 5768 -1015 -5708 -2883 714 -190 2469 -390 -1162 1560 1633 266 6718 3439 1997 -3104 5371 -5335 1221 -251 -121 -10778 5639 5211 5073 2362 -4436 -4768 -599 -2024 -1992 5112
+5489 -1298 -464 1139 781 -341 3750 1600 -850 2157 -176 -3848 1753 -2466 -3288 -2085 4189 -661 571 -233 -160 863 -3323 -6328 1815 3861 -6315 -3131 2650 195 -1864 920 -2458 -3227 9504 -302 -5390 -2203 -671 -1090 -3919 -2733 -119 1547 -655 -1786 1845 2178 -1330 -876 6388 4572 -1632 -1387 -2917 164 -405 -997 -1370 -16 1676 -6379 -2129 -2431 895 1960 561 -594 5880 -980 -5718 -2820 792 -187 2534 -271 -1252 1485 1518 89 6533 3288 1859 -3134 5387 -5457 1220 -174 -207 -10755 5716 5235 5099 2445 -4311 -4754 -587 -2030 -2085 5092
+5385 -1370 -503 1104 685 -436 3763 1656 -944 2031 -210 -3826 1678 -2591 -3255 -2141 4094 -695 452 -145 -121 722 -3242 -5967 1751 3889 -6380 -3072 2777 251 -1853 870 -2557 -3192 9575 -242 -5386 -2222 -666 -1166 -4052 -2704 -183 1518 -593 -1849 1809 2183 -1464 -910 6400 4386 -1614 -1307 -2837 125 -597 -1081 -1437 -30 1714 -6374 -2107 -2633 709 1863 556 -593 5815 -1039 -5683 -2759 706 -127 2583 -272 -1145 1542 1476 -11 6525 3273 1827 -3243 5569 -5432 1244 -154 -345 -10776 5835 5275 5112 2581 -4204 -4717 -505 -1955 -2144 5064
+5382 -1495 -426 1078 579 -445 3763 1791 -916 2029 -182 -3847 1707 -2742 -3176 -2097 4000 -758 403 -69 -88 688 -3206 -5909 1762 4056 -6344 -2983 2922 404 -1881 851 -2577 -3110 9698 -203 -5324 -2141 -674 -1216 -4161 -2651 -140 1568 -494 -1923 1687 2259 -1619 -1030 6344 4256 -1593 -1261 -2764 174 -575 -1048 -1472 -83 1699 -6280 -2036 -2501 770 1966 664 -467 5837 -1074 -5624 -2797 547 108 2492 -283 -1000 1644 1517 71 6649 3316 1852 -3363 5664 -5276 1303 -198 -389 -10758 5880 5267 5105 2644 -4155 -4652 -389 -1905 -2206 5049
+5389 -1538 -414 1015 590 -306 3782 1850 -913 2045 -150 -3967 1767 -2768 -3239 -2015 3976 -745 430 -128 -63 754 -3220 -6121 1854 4132 -6300 -2980 2891 416 -1965 813 -2564 -3086 9870 -235 -5319 -2126 -725 -1240 -4184 -2717 -160 1632 -467 -1903 1581 2324 -1600 -1163 6249 4166 -1644 -1260 -2758 170 -373 -922 -1477 -172 1673 -6233 -1989 -2284 919 2051 700 -329 5844 -1092 -5592 -2885 477 279 2441 -277 -917 1699 1581 274 6863 3356 1833 -3471 5627 -5121 1353 -235 -309 -10639 5918 5240 5181 2715 -4207 -4648 -304 -1885 -2225 5062
+5368 -1609 -405 958 604 -190 3740 1834 -870 2110 -146 -4105 1867 -2623 -3336 -1963 4034 -717 493 -227 -105 830 -3228 -6347 1978 4032 -6184 -2926 2777 261 -2010 807 -2560 -3106 9918 -374 -5330 -2094 -726 -1245 -4201 -2724 -115 1672 -583 -1868 1533 2389 -1488 -1178 6208 4141 -1780 -1354 -2807 167 -186 -795 -1517 -290 1729 -6188 -1991 -2217 1022 2044 668 -279 5916 -1025 -5582 -2998 562 282 2472 -245 -983 1627 1572 398 6896 3364 1848 -3445 5566 -5091 1324 -274 -229 -10606 5832 5187 5212 2685 -4313 -4685 -314 -1902 -2129 5135
+5335 -1595 -386 921 605 -159 3728 1792 -858 2162 -144 -4222 1967 -2434 -3429 -1967 4083 -661 549 -280 -108 769 -3180 -6375 1929 3970 -6212 -2915 2707 186 -2047 727 -2576 -3152 9889 -597 -5397 -2083 -696 -1210 -4163 -2764 -89 1679 -683 -1756 1650 2370 -1393 -1066 6175 4132 -1882 -1432 -2878 139 -9 -743 -1512 -329 1745 -6363 -2067 -2308 954 1970 668 -282 5907 -995 -5629 -3083 802 208 2499 -131 -1123 1504 1554 379 6752 3284 1828 -3404 5515 -5162 1200 -339 -264 -10452 5884 5176 5239 2640 -4405 -4748 -394 -1919 -2103 5239
+5334 -1609 -320 937 589 -226 3715 1778 -835 2256 -177 -4212 2071 -2345 -3531 -1976 4138 -683 604 -255 -87 714 -3191 -6225 1832 3911 -6377 -2925 2787 269 -2059 665 -2628 -3147 9834 -724 -5449 -2121 -703 -1181 -4058 -2774 -107 1631 -653 -1730 1733 2325 -1350 -1060 6088 3971 -1883 -1422 -2928 -10 -115 -773 -1493 -214 1828 -6476 -2094 -2383 903 1937 716 -306 5879 -988 -5647 -3068 967 41 2398 -68 -1181 1417 1484 248 6690 3269 1796 -3403 5585 -5176 1096 -358 -352 -10393 5852 5142 5183 2611 -4440 -4788 -472 -1938 -2157 5276
+5278 -1610 -258 950 581 -271 3699 1817 -804 2360 -151 -4116 2093 -2381 -3545 -1993 4125 -692 609 -187 -50 695 -3253 -6088 1788 3995 -6464 -2891 2885 439 -2016 597 -2606 -3058 9763 -720 -5478 -2152 -679 -1176 -3993 -2745 -197 1530 -498 -1725 1736 2323 -1393 -1131 6094 3757 -1829 -1370 -2942 -50 -287 -802 -1477 -154 1809 -6497 -2063 -2266 988 1992 756 -347 5891 -981 -5627 -3000 936 -87 2299 -211 -1224 1443 1499 130 6681 3296 1843 -3380 5511 -5143 1047 -438 -371 -10309 5966 5209 5163 2616 -4515 -4849 -534 -1984 -2259 5246
+5376 -1571 -281 937 562 -269 3741 1884 -802 2368 -54 -4054 2006 -2464 -3516 -2004 4055 -704 581 -164 -94 777 -3390 -6168 1927 4071 -6525 -2937 2944 493 -2007 601 -2537 -2960 9734 -608 -5471 -2181 -648 -1197 -3934 -2721 -267 1538 -414 -1802 1668 2300 -1367 -1395 6149 3613 -1694 -1302 -3009 -163 -404 -819 -1494 -188 1803 -6428 -2057 -1934 1094 2045 759 -312 5927 -1002 -5583 -2867 854 -41 2223 -239 -1196 1501 1535 62 6651 3274 1849 -3462 5333 -5011 1104 -451 -304 -10373 5954 5264 5132 2557 -4541 -4827 -500 -1915 -2267 5284
+5444 -1487 -413 929 613 -218 3758 1803 -850 2253 149 -4062 1860 -2536 -3487 -1997 3957 -787 602 -254 -178 810 -3430 -6364 1962 4123 -6480 -3002 2848 402 -2044 674 -2455 -2953 9758 -434 -5388 -2159 -608 -1238 -3933 -2676 -263 1554 -476 -1824 1586 2328 -1351 -1415 6243 3712 -1665 -1333 -3076 -175 -415 -798 -1505 -244 1806 -6284 -2149 -1923 1146 2034 732 -222 6054 -973 -5517 -2797 710 6 2218 -317 -1236 1525 1559 90 6636 3286 1892 -3462 5156 -5010 1168 -380 -170 -10575 5928 5348 5155 2440 -4520 -4773 -476 -1983 -2175 5388
+5457 -1470 -547 905 694 -152 3698 1607 -950 2156 190 -4170 1689 -2524 -3452 -1989 3853 -817 554 -276 -208 797 -3309 -6413 1803 4098 -6363 -3019 2725 294 -2068 796 -2418 -3048 9735 -344 -5342 -2126 -539 -1287 -3928 -2680 -194 1599 -588 -1823 1571 2259 -1237 -1334 6342 3845 -1697 -1370 -3134 -156 -256 -781 -1563 -379 1787 -6373 -2306 -2109 979 1892 665 -222 6060 -955 -5497 -2774 772 63 2359 -267 -1270 1476 1560 144 6514 3271 1969 -3400 5098 -5067 1195 -292 -135 -10763 5887 5415 5142 2304 -4508 -4735 -455 -2019 -2044 5493
+5327 -1524 -636 937 661 -149 3670 1513 -1016 2040 80 -4206 1620 -2469 -3486 -1957 3856 -857 538 -275 -223 770 -3209 -6359 1614 4161 -6308 -2985 2751 275 -2024 822 -2496 -3143 9690 -304 -5350 -2153 -489 -1304 -3876 -2636 -80 1622 -669 -1803 1598 2247 -1174 -1119 6348 3892 -1708 -1356 -3112 -94 -191 -813 -1562 -356 1747 -6451 -2312 -2380 817 1820 621 -343 5962 -935 -5481 -2800 866 20 2389 -200 -1289 1447 1540 122 6430 3252 1994 -3368 5198 -5251 1125 -237 -122 -10814 5930 5446 5107 2204 -4461 -4694 -451 -2013 -1993 5510
+5224 -1550 -653 989 622 -194 3715 1557 -1002 2012 -189 -4135 1633 -2352 -3540 -1943 3933 -812 555 -275 -164 754 -3256 -6225 1516 4232 -6279 -2951 2801 357 -1992 846 -2521 -3209 9677 -265 -5349 -2140 -577 -1290 -3797 -2562 16 1613 -648 -1851 1641 2247 -1153 -1089 6281 3784 -1628 -1201 -2980 -77 -267 -923 -1607 -320 1760 -6394 -2147 -2445 822 1844 592 -537 5820 -924 -5492 -2887 926 -39 2338 -201 -1184 1495 1545 119 6474 3253 1938 -3405 5430 -5361 1143 -141 -174 -10831 5932 5437 5065 2186 -4378 -4654 -425 -1967 -2138 5452
+5278 -1473 -616 1058 591 -300 3690 1653 -931 2090 -401 -3968 1825 -2338 -3583 -1887 4053 -775 557 -291 -133 808 -3411 -6056 1545 4236 -6283 -2988 2795 413 -1993 826 -2545 -3238 9734 -193 -5335 -2134 -693 -1293 -3765 -2507 -46 1487 -487 -1927 1617 2266 -1292 -1297 6217 3628 -1543 -1033 -2829 -106 -387 -965 -1646 -298 1770 -6297 -2009 -2337 1045 2033 607 -691 5737 -924 -5479 -2944 844 -198 2241 -393 -1075 1611 1633 129 6542 3222 1830 -3450 5603 -5247 1218 -124 -152 -10830 5874 5395 5062 2254 -4396 -4678 -396 -1888 -2256 5409
+5433 -1351 -587 1125 603 -356 3715 1744 -883 2183 -481 -3784 1999 -2289 -3600 -1929 4141 -786 538 -341 -196 843 -3486 -6038 1637 4171 -6227 -3078 2702 338 -2049 871 -2506 -3217 9802 -252 -5310 -2084 -774 -1188 -3788 -2483 -110 1385 -476 -1928 1571 2316 -1346 -1466 6191 3675 -1602 -1010 -2756 -80 -459 -951 -1670 -296 1878 -6194 -1990 -2325 1150 2163 602 -666 5825 -929 -5476 -2998 674 -234 2212 -543 -1132 1635 1733 266 6573 3197 1765 -3489 5474 -5133 1327 -114 -81 -10813 5832 5348 5112 2380 -4427 -4730 -428 -1818 -2247 5367
+5506 -1341 -588 1167 635 -355 3717 1678 -872 2300 -359 -3733 2115 -2456 -3543 -1946 4132 -755 488 -380 -231 855 -3369 -6051 1546 4010 -6247 -3219 2591 297 -2109 858 -2465 -3181 9864 -384 -5300 -2022 -679 -1125 -3793 -2454 -178 1299 -537 -1861 1555 2304 -1284 -1343 6205 3924 -1686 -1082 -2769 -50 -429 -903 -1686 -357 1913 -6191 -2237 -2420 1060 2069 531 -575 5922 -959 -5493 -2972 561 -280 2224 -599 -1252 1581 1773 351 6422 3141 1770 -3384 5371 -5044 1301 -172 -37 -10814 5795 5277 5144 2450 -4521 -4780 -406 -1757 -2141 5423
+5449 -1262 -578 1184 684 -269 3761 1645 -897 2319 -128 -3741 2039 -2510 -3496 -2012 4045 -828 459 -396 -311 843 -3108 -6136 1525 3899 -6325 -3253 2623 304 -2082 796 -2485 -3166 9909 -470 -5314 -2010 -612 -1095 -3795 -2494 -167 1253 -682 -1774 1582 2350 -1277 -1075 6146 4165 -1740 -1173 -2844 -54 -365 -861 -1675 -346 1963 -6373 -2347 -2535 849 1972 568 -495 5930 -1013 -5528 -2851 625 -298 2399 -704 -1374 1549 1772 379 6384 3168 1852 -3357 5351 -5032 1201 -256 -119 -10884 5800 5255 5178 2504 -4553 -4776 -333 -1727 -2107 5485
+5357 -1335 -507 1175 627 -266 3788 1675 -937 2313 -21 -3876 1860 -2547 -3422 -2015 3982 -792 413 -400 -323 826 -3064 -6253 1605 3919 -6413 -3214 2773 356 -1984 707 -2575 -3197 9896 -476 -5375 -2069 -552 -1193 -3768 -2508 -103 1311 -719 -1744 1582 2381 -1373 -880 6074 4293 -1718 -1230 -2906 -64 -384 -926 -1592 -234 1925 -6501 -2240 -2423 724 1940 616 -544 5782 -1073 -5571 -2676 836 -240 2574 -660 -1382 1580 1749 338 6403 3221 1954 -3344 5387 -5038 1032 -341 -200 -10773 5940 5263 5156 2557 -4506 -4732 -249 -1672 -2056 5433
+5335 -1331 -484 1147 550 -340 3788 1777 -931 2287 -69 -3973 1696 -2457 -3426 -2015 3961 -773 404 -368 -260 896 -3245 -6262 1713 3975 -6428 -3115 2854 418 -1958 675 -2628 -3257 9875 -409 -5379 -2102 -606 -1265 -3771 -2501 -8 1314 -604 -1802 1580 2440 -1499 -1002 6070 4218 -1561 -1133 -2879 -71 -548 -1068 -1531 -71 1942 -6456 -1969 -2228 840 2040 708 -613 5628 -1090 -5592 -2525 997 -190 2674 -713 -1249 1689 1722 320 6550 3355 2025 -3349 5495 -4950 1040 -387 -286 -10652 5969 5216 5106 2626 -4441 -4696 -184 -1567 -2099 5279
+5355 -1347 -570 1066 453 -389 3779 1852 -947 2196 -150 -4104 1649 -2390 -3500 -2016 3965 -772 422 -398 -178 842 -3504 -6229 1769 4035 -6352 -3070 2803 298 -1948 750 -2632 -3285 9848 -292 -5389 -2174 -645 -1260 -3889 -2503 55 1377 -463 -1901 1518 2411 -1604 -1208 6179 4191 -1466 -1108 -2870 -174 -757 -1200 -1562 -23 1954 -6320 -1909 -2146 1030 2118 707 -620 5606 -1073 -5628 -2556 938 -172 2616 -698 -1075 1796 1688 320 6650 3352 1941 -3410 5419 -4888 1068 -403 -168 -10569 5978 5203 5157 2721 -4440 -4745 -237 -1597 -2116 5175
+5394 -1407 -604 1043 419 -386 3758 1797 -983 2095 -211 -4176 1741 -2356 -3543 -2005 4003 -799 473 -413 -178 844 -3571 -6207 1705 4047 -6197 -3000 2655 139 -2021 857 -2531 -3279 9804 -228 -5378 -2202 -721 -1112 -4057 -2515 53 1411 -468 -1932 1509 2374 -1563 -1304 6311 4214 -1416 -1118 -2849 -152 -824 -1200 -1654 -156 1951 -6272 -2132 -2283 1045 2057 696 -440 5735 -1063 -5672 -2729 754 -218 2527 -576 -1092 1741 1659 383 6630 3335 1889 -3393 5309 -4874 1152 -335 -82 -10561 5942 5247 5264 2739 -4466 -4798 -343 -1599 -2090 5235
+5315 -1525 -643 991 458 -246 3712 1652 -1022 2009 -128 -4217 1920 -2455 -3545 -2017 4111 -823 488 -465 -235 836 -3377 -6309 1557 4063 -6146 -2997 2590 141 -2094 924 -2439 -3244 9738 -208 -5378 -2196 -641 -951 -4233 -2541 50 1431 -546 -1889 1501 2361 -1494 -1115 6393 4272 -1459 -1179 -2854 -180 -762 -1139 -1731 -313 1912 -6285 -2394 -2471 895 1900 654 -253 5887 -1063 -5687 -2934 564 -278 2457 -457 -1127 1628 1616 455 6655 3351 1892 -3387 5259 -4948 1099 -303 4 -10695 5889 5343 5361 2686 -4531 -4841 -429 -1691 -2145 5413
+5203 -1598 -548 1000 480 -116 3733 1589 -994 2030 -35 -4174 1998 -2589 -3523 -2012 4180 -758 526 -529 -319 960 -3207 -6543 1526 4088 -6245 -3006 2688 361 -2065 885 -2376 -3147 9642 -255 -5387 -2174 -628 -890 -4234 -2582 14 1501 -649 -1785 1593 2389 -1485 -817 6327 4229 -1446 -1198 -2857 -191 -625 -1044 -1752 -395 1839 -6359 -2439 -2470 771 1829 668 -224 5840 -1130 -5680 -3031 483 -324 2426 -408 -1261 1528 1637 556 6693 3417 1935 -3387 5295 -5076 1003 -314 -83 -10763 5972 5437 5367 2574 -4567 -4838 -473 -1826 -2230 5527
+5243 -1609 -361 1016 531 -56 3738 1687 -900 2061 38 -4088 1939 -2624 -3446 -1985 4194 -746 523 -562 -325 1037 -3218 -6682 1647 4180 -6339 -2996 2874 561 -1995 815 -2459 -3075 9530 -285 -5404 -2160 -685 -995 -4082 -2681 -98 1592 -688 -1709 1710 2349 -1524 -752 6241 4086 -1443 -1203 -2826 -151 -490 -1019 -1671 -304 1820 -6363 -2158 -2183 852 1890 723 -283 5739 -1139 -5597 -2960 519 -351 2426 -401 -1260 1533 1723 580 6764 3411 1899 -3460 5405 -5063 1031 -263 -149 -10841 6076 5530 5299 2451 -4572 -4798 -460 -1875 -2191 5547
+5361 -1507 -343 1051 599 -133 3708 1796 -801 2178 17 -4097 1769 -2619 -3353 -1951 4167 -685 431 -469 -200 997 -3323 -6639 1791 4200 -6457 -2975 2948 661 -1977 781 -2479 -3099 9482 -309 -5364 -2127 -820 -1162 -3931 -2738 -189 1541 -600 -1742 1766 2277 -1587 -1036 6174 3910 -1483 -1228 -2793 -115 -465 -1040 -1608 -163 1927 -6245 -1883 -2050 1080 2023 744 -392 5693 -1102 -5585 -2889 679 -154 2381 -400 -1216 1565 1757 489 6786 3412 1822 -3576 5504 -4969 1132 -210 -159 -10814 6026 5490 5164 2320 -4594 -4769 -448 -1911 -2058 5433
+5461 -1493 -333 1105 638 -241 3646 1867 -723 2255 1 -4117 1673 -2546 -3285 -1899 4125 -705 351 -381 -92 922 -3396 -6465 1850 4105 -6441 -2983 2839 505 -2062 823 -2458 -3199 9546 -304 -5353 -2122 -872 -1249 -3948 -2740 -187 1540 -490 -1729 1819 2216 -1601 -1203 6141 3819 -1553 -1274 -2813 -95 -508 -1068 -1566 -38 2076 -6115 -1918 -2184 1194 2103 736 -397 5749 -1014 -5563 -2823 707 18 2350 -335 -1204 1546 1718 383 6693 3402 1829 -3487 5501 -4918 1277 -137 -67 -10785 5959 5447 5102 2267 -4591 -4754 -456 -1943 -1884 5402
+5434 -1461 -404 1059 699 -286 3599 1807 -702 2237 -29 -4080 1611 -2512 -3301 -1914 4073 -741 289 -337 -44 840 -3256 -6378 1852 3919 -6373 -2974 2722 291 -2160 842 -2389 -3282 9632 -267 -5333 -2109 -844 -1241 -4116 -2735 -151 1563 -526 -1792 1770 2137 -1553 -1161 6088 3835 -1621 -1370 -2904 -164 -590 -1076 -1650 -121 2144 -6166 -2170 -2408 1155 2053 667 -389 5863 -916 -5550 -2821 602 167 2285 -203 -1203 1495 1604 201 6617 3422 1865 -3375 5564 -4968 1286 -81 62 -10824 5845 5401 5086 2222 -4617 -4761 -459 -1947 -1879 5407
+5236 -1607 -468 1018 724 -193 3581 1719 -732 2279 -140 -3968 1625 -2543 -3311 -1958 4070 -760 315 -413 -119 867 -3103 -6492 1892 3861 -6330 -2956 2729 184 -2189 843 -2390 -3249 9689 -207 -5329 -2108 -773 -1131 -4279 -2712 -120 1598 -634 -1800 1752 2142 -1359 -835 6063 3870 -1660 -1440 -3006 -196 -610 -990 -1649 -205 2040 -6273 -2390 -2458 1079 2026 629 -418 5912 -888 -5567 -2884 543 236 2273 -13 -1232 1413 1505 195 6670 3505 1961 -3206 5562 -5141 1242 -47 35 -10854 5795 5360 5078 2245 -4549 -4752 -483 -1894 -1970 5466
+5177 -1639 -471 943 700 -127 3618 1672 -782 2258 -256 -3835 1850 -2564 -3390 -1956 4130 -810 362 -577 -285 984 -3044 -6740 2106 3888 -6355 -2948 2871 264 -2114 771 -2466 -3231 9725 -172 -5356 -2169 -762 -1065 -4295 -2774 -128 1735 -713 -1810 1759 2184 -1376 -650 6151 3905 -1601 -1454 -3077 -217 -590 -947 -1642 -265 1911 -6332 -2330 -2212 972 1980 613 -523 5894 -918 -5600 -2967 584 93 2354 26 -1270 1402 1449 202 6809 3596 2019 -3138 5649 -5138 1108 -97 -25 -10893 5799 5351 5105 2352 -4527 -4777 -454 -1832 -2162 5446
+5236 -1598 -520 901 695 -89 3604 1730 -834 2225 -387 -3738 2014 -2541 -3511 -1948 4227 -836 373 -665 -395 1038 -3089 -6745 2132 4006 -6396 -2948 2942 298 -1991 711 -2588 -3259 9693 -175 -5385 -2224 -771 -1024 -4272 -2825 -55 1785 -741 -1872 1628 2182 -1469 -830 6297 3914 -1648 -1464 -3070 -120 -517 -946 -1563 -220 1800 -6290 -2131 -2065 928 1987 601 -630 5869 -952 -5618 -3072 743 17 2410 51 -1336 1393 1476 296 6961 3595 1940 -3230 5620 -5049 1124 -93 -43 -11030 5796 5354 5134 2504 -4474 -4813 -437 -1786 -2213 5414
+5364 -1485 -539 943 647 -142 3672 1818 -908 2125 -394 -3821 2019 -2499 -3606 -1981 4288 -786 317 -627 -399 963 -3146 -6539 2028 4026 -6384 -2978 2890 229 -1915 770 -2621 -3279 9635 -233 -5398 -2241 -813 -1069 -4148 -2798 -24 1761 -698 -1954 1666 2174 -1604 -1121 6402 3920 -1621 -1412 -2998 -12 -434 -992 -1533 -187 1788 -6243 -2010 -2215 944 2008 580 -653 5900 -956 -5647 -3163 951 28 2472 -30 -1356 1392 1475 209 6894 3557 1864 -3342 5457 -4940 1147 -151 1 -10946 5777 5274 5150 2633 -4386 -4806 -402 -1765 -2159 5285
+5401 -1445 -518 1013 601 -220 3751 1821 -960 2023 -234 -4022 1924 -2458 -3644 -1961 4227 -789 262 -542 -342 900 -3182 -6314 1810 3983 -6335 -3045 2768 139 -1900 877 -2576 -3304 9574 -285 -5407 -2247 -786 -1138 -4028 -2720 -62 1626 -614 -1879 1721 2157 -1594 -1257 6484 3903 -1619 -1325 -2920 13 -381 -999 -1596 -199 1856 -6212 -2085 -2588 956 1986 519 -623 5909 -951 -5674 -3240 1015 164 2414 19 -1345 1326 1456 103 6700 3451 1844 -3288 5415 -4837 1205 -170 62 -10897 5718 5240 5230 2687 -4349 -4786 -352 -1801 -2089 5280
+5383 -1396 -460 1090 600 -179 3817 1799 -959 1954 13 -4149 1680 -2370 -3550 -1931 4084 -761 304 -520 -292 892 -3167 -6325 1640 3915 -6302 -3119 2665 188 -1929 981 -2422 -3244 9562 -233 -5390 -2197 -763 -1183 -3973 -2618 -96 1525 -625 -1845 1820 2226 -1530 -1202 6321 3882 -1568 -1202 -2828 33 -359 -1023 -1714 -344 1888 -6210 -2260 -2759 962 2000 492 -633 5848 -922 -5635 -3197 979 280 2296 -39 -1259 1327 1455 68 6640 3463 1904 -3246 5456 -4936 1158 -122 107 -10768 5718 5181 5262 2703 -4330 -4732 -307 -1763 -2049 5271
+5317 -1493 -388 1162 639 -166 3809 1702 -968 2020 124 -4224 1563 -2308 -3598 -1920 3985 -749 386 -635 -332 951 -3196 -6638 1679 3929 -6357 -3152 2697 347 -1994 978 -2310 -3174 9574 -183 -5334 -2127 -835 -1153 -3948 -2580 -198 1411 -696 -1770 1788 2244 -1331 -997 6258 3926 -1561 -1126 -2795 -16 -332 -935 -1728 -399 1879 -6230 -2267 -2608 1010 2052 519 -708 5750 -919 -5611 -3124 890 387 2250 -21 -1122 1368 1478 111 6866 3600 1966 -3247 5585 -5104 1052 -89 90 -10743 5753 5210 5305 2764 -4355 -4738 -337 -1773 -2036 5359
+5306 -1381 -386 1130 612 -148 3774 1720 -935 2030 186 -4118 1611 -2371 -3612 -1852 3946 -848 442 -750 -384 1023 -3253 -6948 1828 4038 -6490 -3146 2816 447 -2045 910 -2311 -3201 9611 -115 -5291 -2098 -932 -1162 -3940 -2599 -257 1419 -788 -1798 1698 2243 -1242 -1031 6253 3978 -1637 -1120 -2761 41 -267 -815 -1664 -447 1785 -6319 -2232 -2246 1043 2084 566 -808 5632 -961 -5618 -3041 911 248 2285 -100 -1030 1468 1531 296 7157 3680 1947 -3284 5666 -5189 1045 -36 54 -10784 5806 5248 5277 2798 -4454 -4802 -428 -1789 -2002 5416
+5463 -1281 -416 1095 519 -188 3720 1750 -908 2111 169 -3996 1720 -2400 -3689 -1902 3960 -951 460 -814 -441 963 -3258 -6959 1900 4052 -6484 -3078 2841 380 -2144 836 -2396 -3330 9692 -126 -5265 -2072 -935 -1183 -3920 -2624 -205 1438 -771 -1908 1576 2225 -1335 -1269 6285 4155 -1709 -1175 -2804 110 -191 -798 -1545 -287 1795 -6424 -2144 -2157 1031 2027 556 -805 5670 -993 -5666 -3000 999 60 2398 -98 -961 1518 1513 351 7250 3622 1776 -3364 5704 -5143 1124 -22 67 -10861 5840 5309 5276 2793 -4517 -4861 -566 -1810 -1888 5406
+5472 -1300 -504 1064 474 -303 3675 1725 -933 2174 62 -3973 1907 -2436 -3756 -1924 4059 -947 424 -755 -420 877 -3228 -6698 1833 4101 -6427 -2976 2785 237 -2155 783 -2488 -3392 9746 -240 -5334 -2131 -883 -1085 -3906 -2622 -58 1453 -688 -2018 1531 2146 -1396 -1376 6306 4276 -1811 -1231 -2816 190 -202 -830 -1466 -175 1798 -6451 -2100 -2256 1031 2007 554 -697 5812 -1006 -5739 -3007 1048 -68 2494 -141 -1008 1501 1415 254 7169 3565 1651 -3434 5725 -5005 1286 52 99 -10851 5813 5316 5284 2754 -4644 -4931 -630 -1841 -1854 5424
+5462 -1388 -484 1033 404 -346 3675 1717 -897 2145 120 -4082 2037 -2419 -3800 -1907 4146 -932 384 -697 -414 947 -3283 -6546 1827 4107 -6320 -2947 2734 147 -2172 778 -2533 -3417 9808 -337 -5380 -2160 -785 -1045 -3901 -2591 55 1534 -556 -2036 1579 2124 -1409 -1405 6298 4344 -1819 -1269 -2875 155 -245 -890 -1409 -49 1797 -6542 -2108 -2315 1089 2023 598 -521 5877 -1030 -5781 -3026 995 -155 2507 -242 -1062 1520 1336 102 6976 3455 1640 -3355 5730 -4919 1320 30 95 -10804 5697 5295 5257 2575 -4738 -4905 -553 -1824 -1890 5358
+5377 -1475 -531 1072 442 -305 3650 1674 -859 2164 60 -4167 2071 -2406 -3705 -1848 4243 -854 362 -682 -429 1043 -3361 -6613 1914 4183 -6235 -2913 2766 281 -2151 752 -2508 -3287 9869 -367 -5427 -2183 -599 -957 -3867 -2527 113 1553 -483 -2039 1660 2128 -1215 -1339 6292 4316 -1725 -1234 -2928 42 -359 -944 -1454 -112 1736 -6538 -2179 -2215 1177 2085 680 -478 5762 -1033 -5738 -2955 794 -109 2433 -450 -1101 1578 1351 72 6962 3463 1723 -3283 5839 -4947 1258 56 44 -10832 5663 5284 5226 2419 -4794 -4859 -436 -1806 -1958 5315
+5294 -1537 -597 1079 524 -146 3673 1671 -839 2179 11 -4237 1901 -2340 -3606 -1803 4230 -809 322 -659 -406 1189 -3364 -6748 1873 4223 -6245 -2975 2813 491 -2114 755 -2462 -3133 9947 -338 -5418 -2205 -593 -947 -3851 -2551 4 1576 -513 -1954 1659 2205 -1141 -1184 6410 4243 -1681 -1181 -2933 -76 -434 -897 -1469 -193 1674 -6496 -2229 -1996 1157 2099 735 -541 5506 -1051 -5647 -2881 554 -81 2235 -664 -1087 1673 1467 132 7029 3573 1860 -3185 5862 -4993 1196 35 -45 -10767 5589 5271 5155 2349 -4759 -4813 -340 -1732 -1904 5357
+5325 -1431 -621 1145 574 -100 3751 1757 -852 2199 -121 -4157 1749 -2436 -3542 -1798 4153 -777 320 -649 -345 1150 -3260 -6808 1725 4209 -6265 -3031 2781 574 -2099 802 -2452 -3095 10004 -250 -5378 -2210 -683 -955 -3775 -2595 -161 1567 -508 -1894 1648 2159 -1041 -1197 6561 4212 -1693 -1181 -2894 -77 -423 -869 -1511 -291 1657 -6400 -2307 -1981 1031 2006 777 -565 5362 -1075 -5621 -2895 500 -143 2184 -744 -1090 1690 1530 162 7034 3550 1855 -3118 5923 -4977 1172 22 -47 -10825 5599 5313 5148 2419 -4626 -4811 -363 -1713 -1847 5380
+5386 -1480 -503 1161 558 -99 3791 1825 -844 2248 -242 -4034 1622 -2494 -3399 -1821 4096 -733 319 -636 -240 1114 -3178 -6690 1520 4080 -6222 -3088 2695 521 -2065 901 -2426 -3139 9965 -200 -5370 -2203 -778 -1044 -3705 -2643 -243 1526 -549 -1905 1608 2155 -1048 -1315 6676 4227 -1722 -1229 -2874 -39 -344 -847 -1515 -281 1660 -6275 -2302 -2216 922 1928 729 -497 5445 -1078 -5651 -2989 577 -268 2259 -598 -1188 1588 1547 157 6961 3502 1851 -2999 5864 -4859 1241 6 21 -10887 5512 5287 5171 2544 -4528 -4867 -441 -1745 -1876 5532
+5389 -1468 -453 1169 514 -138 3786 1782 -887 2259 -331 -3931 1702 -2593 -3276 -1884 4079 -763 361 -579 -190 1060 -3204 -6466 1405 3946 -6207 -3123 2640 422 -2027 986 -2396 -3220 9874 -187 -5349 -2172 -804 -1163 -3656 -2619 -241 1414 -454 -1875 1657 2047 -1205 -1300 6628 4111 -1757 -1250 -2831 18 -213 -805 -1467 -237 1645 -6248 -2185 -2469 956 1923 664 -433 5598 -1079 -5712 -3072 671 -416 2382 -431 -1171 1497 1490 37 6841 3422 1774 -2983 5862 -4799 1244 -24 94 -11018 5415 5271 5231 2648 -4395 -4876 -488 -1742 -2010 5516
+5435 -1469 -397 1119 456 -187 3753 1763 -846 2188 -265 -3768 1785 -2562 -3289 -2004 4117 -687 357 -616 -240 1064 -3359 -6327 1504 3979 -6221 -3121 2685 351 -1970 981 -2432 -3271 9733 -219 -5354 -2135 -815 -1215 -3768 -2634 -154 1379 -475 -1898 1743 2027 -1269 -1288 6549 3944 -1740 -1240 -2858 -33 -121 -786 -1389 -104 1663 -6213 -2072 -2546 1081 2033 632 -449 5743 -1034 -5731 -3070 718 -460 2444 -357 -1052 1498 1444 -52 6825 3421 1743 -3062 5820 -4880 1140 -67 148 -10991 5388 5242 5260 2683 -4351 -4864 -490 -1739 -2140 5434
+5468 -1460 -460 1031 483 -143 3660 1749 -788 2085 -193 -3703 1792 -2487 -3432 -2094 4195 -675 321 -673 -317 1052 -3403 -6324 1738 3991 -6289 -3016 2818 360 -1956 867 -2472 -3235 9628 -194 -5327 -2095 -800 -1084 -3961 -2681 -95 1357 -466 -1945 1746 2049 -1252 -1290 6426 3815 -1784 -1282 -2897 -97 -130 -754 -1369 -95 1682 -6234 -2001 -2327 1148 2129 631 -549 5714 -1021 -5739 -3000 684 -323 2394 -328 -937 1540 1427 -59 6915 3431 1722 -3201 5797 -4972 1031 -91 75 -10978 5466 5237 5263 2728 -4329 -4827 -457 -1751 -2145 5367
+5403 -1409 -501 965 542 -99 3638 1798 -711 2098 -115 -3758 1849 -2348 -3546 -2032 4304 -748 311 -713 -379 1043 -3334 -6440 1902 3980 -6286 -2936 2924 357 -1970 785 -2459 -3192 9601 -154 -5269 -2055 -825 -975 -4134 -2698 -61 1470 -555 -1968 1665 2115 -1223 -1198 6405 3842 -1844 -1402 -3008 -197 -224 -735 -1396 -134 1738 -6269 -2086 -2191 1047 2097 612 -764 5541 -989 -5697 -2899 596 -193 2295 -393 -978 1587 1480 73 7073 3525 1804 -3243 5717 -5044 1068 22 39 -10949 5550 5219 5226 2765 -4390 -4821 -463 -1870 -2036 5435
+5394 -1432 -488 1004 650 -4 3709 1878 -691 2203 -19 -3908 1827 -2309 -3608 -1920 4343 -769 316 -653 -377 1008 -3123 -6517 1887 3923 -6207 -2895 2875 260 -1943 782 -2439 -3125 9626 -144 -5251 -2048 -795 -888 -4226 -2758 -27 1647 -555 -1957 1579 2181 -1187 -1109 6309 3895 -1888 -1492 -3073 -175 -303 -777 -1453 -116 1884 -6201 -2201 -2295 871 2002 551 -911 5520 -921 -5721 -2953 646 -145 2206 -367 -1143 1518 1465 87 7022 3540 1854 -3179 5612 -4931 1170 68 64 -10896 5600 5181 5190 2786 -4444 -4801 -457 -1948 -1887 5515
+5301 -1462 -395 1035 714 58 3760 1875 -745 2267 74 -4095 1786 -2458 -3470 -1829 4283 -757 361 -539 -256 1031 -3093 -6560 1853 3840 -6111 -2877 2757 183 -1902 836 -2419 -3144 9616 -159 -5287 -2105 -762 -903 -4163 -2753 29 1740 -500 -1954 1543 2276 -1286 -1063 6278 3985 -1909 -1567 -3105 -100 -358 -858 -1452 -73 1903 -6099 -2196 -2454 870 1962 503 -910 5589 -895 -5772 -3103 805 -177 2280 -318 -1347 1401 1391 -11 6888 3485 1854 -3132 5668 -4820 1325 115 105 -10859 5539 5139 5204 2728 -4606 -4830 -443 -1960 -1930 5561
+5297 -1574 -328 1071 625 20 3839 1849 -826 2195 160 -4264 1856 -2637 -3387 -1780 4187 -754 391 -491 -179 1066 -3290 -6468 1833 3897 -6152 -2963 2729 185 -1860 849 -2500 -3212 9661 -217 -5352 -2179 -735 -1045 -4089 -2748 12 1734 -467 -1925 1580 2280 -1433 -1079 6203 3925 -1820 -1520 -3072 -67 -398 -918 -1409 -32 1811 -6094 -2065 -2480 1041 2091 526 -886 5624 -932 -5833 -3278 1035 -135 2330 -190 -1451 1314 1306 -140 6807 3468 1830 -3098 5886 -4756 1295 82 163 -10836 5504 5106 5209 2585 -4712 -4808 -370 -1870 -2160 5473
+5413 -1496 -382 1106 605 -117 3838 1761 -946 2113 91 -4275 1880 -2773 -3329 -1852 4054 -837 395 -473 -95 1010 -3488 -6344 1809 4063 -6330 -3072 2814 321 -1848 779 -2602 -3219 9733 -302 -5426 -2242 -683 -1222 -3954 -2788 -97 1614 -529 -1904 1741 2212 -1562 -1139 6261 3863 -1659 -1417 -3038 -43 -306 -926 -1400 -66 1722 -6229 -2014 -2366 1189 2189 577 -814 5594 -1016 -5849 -3378 1082 -56 2310 -133 -1349 1354 1316 -160 6911 3456 1744 -3144 6084 -4794 1165 -3 104 -10856 5556 5139 5220 2520 -4746 -4805 -370 -1775 -2304 5315
+5516 -1401 -463 1138 625 -188 3753 1659 -1015 2068 -54 -4179 1909 -2726 -3396 -1940 4030 -867 368 -519 -135 907 -3471 -6329 1757 4187 -6542 -3143 2896 464 -1911 728 -2682 -3218 9834 -392 -5422 -2240 -726 -1420 -3949 -2852 -220 1537 -641 -1895 1820 2153 -1623 -1232 6337 3859 -1575 -1342 -2976 -50 -311 -996 -1444 -129 1638 -6297 -2119 -2338 1174 2217 643 -844 5483 -1069 -5780 -3317 1028 136 2234 -187 -1179 1448 1383 -22 7056 3510 1703 -3231 6116 -4765 1107 7 20 -10843 5682 5216 5253 2587 -4654 -4813 -433 -1785 -2277 5192
+5465 -1359 -474 1178 684 -201 3741 1632 -1017 2160 -220 -4088 1892 -2503 -3563 -2011 4130 -863 333 -596 -233 836 -3250 -6395 1653 4266 -6553 -3138 2882 442 -1932 727 -2691 -3136 9880 -462 -5406 -2195 -705 -1445 -3977 -2877 -346 1530 -736 -1861 1828 2090 -1501 -1117 6308 3953 -1465 -1252 -2917 -65 -299 -1003 -1590 -273 1705 -6304 -2374 -2392 1008 2050 601 -911 5415 -1060 -5746 -3244 963 449 2109 -106 -1086 1457 1434 187 7127 3493 1697 -3233 5847 -4775 1133 25 14 -10913 5778 5307 5218 2622 -4423 -4744 -469 -1873 -2189 5255
+5376 -1409 -356 1266 701 -146 3807 1670 -990 2324 -295 -4068 1828 -2258 -3591 -2006 4238 -736 319 -608 -308 895 -3064 -6561 1679 4155 -6357 -3057 2752 388 -1979 799 -2573 -3056 9874 -541 -5411 -2139 -645 -1367 -3982 -2826 -344 1632 -747 -1834 1758 2054 -1387 -962 6173 4116 -1365 -1178 -2837 -59 -343 -1013 -1704 -324 1876 -6233 -2493 -2497 997 1966 550 -970 5365 -1003 -5710 -3154 974 614 2093 -92 -984 1500 1475 335 7118 3520 1790 -3181 5613 -4656 1267 103 88 -10834 5797 5332 5202 2662 -4278 -4712 -478 -1978 -2127 5408
+5320 -1541 -235 1264 694 -124 3793 1668 -881 2408 -233 -4177 1798 -2206 -3502 -1981 4284 -630 327 -551 -253 964 -3095 -6602 1869 4066 -6153 -2884 2676 269 -1926 835 -2514 -2994 9760 -649 -5424 -2089 -549 -1245 -3982 -2724 -223 1756 -683 -1787 1758 2048 -1398 -806 6086 4215 -1345 -1159 -2807 -23 -318 -995 -1701 -219 2038 -6183 -2367 -2435 1181 2012 503 -951 5514 -927 -5814 -3231 1183 642 2169 -27 -1022 1428 1430 326 7049 3529 1876 -3203 5534 -4611 1280 84 208 -10885 5787 5373 5199 2604 -4244 -4693 -412 -1959 -2109 5447
+5366 -1526 -234 1202 638 -174 3704 1713 -785 2321 -145 -4155 1805 -2294 -3494 -1940 4218 -616 283 -467 -176 946 -3307 -6438 1971 4047 -6149 -2780 2724 267 -1909 773 -2524 -3030 9642 -725 -5417 -2055 -566 -1189 -3960 -2649 -61 1835 -652 -1843 1782 2033 -1462 -901 6027 4188 -1338 -1119 -2768 24 -367 -1000 -1584 -83 2041 -6242 -2201 -2294 1442 2198 572 -871 5631 -913 -5930 -3338 1349 470 2208 -15 -986 1445 1383 219 7066 3582 1891 -3274 5689 -4639 1121 -13 267 -10905 5718 5378 5216 2508 -4322 -4708 -337 -1940 -2201 5343
+5481 -1465 -380 1081 581 -262 3518 1741 -720 2167 -88 -4047 1774 -2466 -3532 -1944 4066 -710 229 -378 -111 793 -3405 -6200 1972 4059 -6348 -2760 2907 308 -1944 634 -2594 -3087 9517 -607 -5406 -2092 -693 -1233 -3944 -2699 -25 1869 -652 -1865 1881 2017 -1540 -1055 6060 4260 -1400 -1159 -2823 32 -380 -1044 -1498 9 1981 -6446 -2122 -2138 1497 2318 657 -828 5646 -967 -6019 -3449 1412 315 2266 -2 -1009 1476 1367 126 7172 3607 1798 -3430 5833 -4754 908 -114 260 -10937 5714 5388 5211 2483 -4475 -4805 -370 -1873 -2196 5230
+5532 -1362 -523 986 539 -238 3448 1774 -714 2048 -112 -3865 1835 -2589 -3599 -1947 3991 -891 215 -362 -182 679 -3340 -6141 1903 4028 -6541 -2819 3018 322 -2033 556 -2620 -3171 9535 -366 -5347 -2120 -819 -1320 -3891 -2783 -70 1805 -718 -1942 1872 2002 -1595 -1116 6098 4417 -1469 -1268 -2932 16 -384 -1100 -1460 -16 1839 -6624 -2191 -2115 1302 2192 681 -788 5535 -1049 -5968 -3382 1213 163 2298 -70 -1169 1458 1425 181 7179 3615 1813 -3392 5778 -4844 817 -116 321 -10936 5722 5382 5179 2460 -4586 -4867 -429 -1828 -2212 5184
+5353 -1455 -549 900 522 -91 3470 1837 -693 2101 -180 -3703 1879 -2608 -3606 -1953 4013 -938 343 -409 -242 789 -3240 -6317 1821 3962 -6504 -2921 2933 335 -2113 628 -2452 -3160 9589 -181 -5284 -2107 -814 -1304 -3828 -2727 -134 1721 -686 -1872 1807 2078 -1465 -1043 6096 4517 -1495 -1328 -2993 -53 -436 -1113 -1557 -126 1818 -6546 -2408 -2215 1115 2038 699 -746 5518 -1046 -5869 -3274 998 179 2329 -71 -1270 1419 1453 214 7023 3535 1754 -3355 5666 -4841 917 -11 415 -10977 5725 5370 5126 2428 -4608 -4867 -491 -1839 -2184 5284
+5224 -1491 -490 962 547 54 3634 1818 -752 2195 -257 -3673 1937 -2476 -3539 -1943 4065 -911 513 -507 -310 886 -3225 -6464 1729 3910 -6387 -3072 2758 294 -2135 795 -2302 -3184 9640 -45 -5249 -2103 -689 -1319 -3779 -2577 -204 1618 -645 -1804 1707 2075 -1364 -913 6070 4567 -1407 -1302 -3000 -126 -554 -1143 -1626 -177 1844 -6346 -2459 -2320 1075 2033 678 -767 5442 -1017 -5788 -3204 827 279 2383 -113 -1307 1389 1481 286 6889 3518 1824 -3317 5542 -4847 1091 122 545 -10921 5730 5340 5093 2321 -4615 -4793 -436 -1785 -2224 5385
+5216 -1625 -391 1005 487 74 3749 1823 -789 2157 -300 -3801 1895 -2243 -3518 -1949 4144 -794 584 -537 -314 1002 -3355 -6441 1715 3977 -6245 -3092 2704 308 -2061 873 -2304 -3141 9665 -121 -5290 -2111 -530 -1292 -3733 -2461 -198 1519 -564 -1756 1680 2154 -1361 -948 6137 4442 -1375 -1238 -2948 -175 -590 -1153 -1672 -188 1945 -6188 -2370 -2350 1209 2186 712 -741 5427 -973 -5765 -3183 857 351 2468 -187 -1255 1432 1561 352 6843 3514 1885 -3371 5579 -4826 1226 248 533 -10878 5790 5310 5116 2272 -4593 -4731 -381 -1760 -2140 5373
+5335 -1493 -447 1063 492 -48 3794 1796 -886 2024 -189 -4028 1859 -2104 -3575 -1938 4164 -749 552 -480 -284 925 -3457 -6190 1643 4146 -6285 -3143 2758 359 -1996 861 -2447 -3183 9744 -296 -5337 -2109 -450 -1276 -3735 -2453 -191 1395 -554 -1715 1731 2145 -1432 -1056 6320 4221 -1357 -1162 -2882 -176 -535 -1088 -1672 -233 1919 -6212 -2290 -2364 1260 2297 737 -701 5442 -948 -5816 -3256 951 305 2562 -338 -1108 1539 1584 326 6875 3484 1825 -3509 5659 -4820 1151 203 440 -10871 5969 5397 5170 2265 -4597 -4701 -320 -1749 -2076 5338
+5393 -1408 -532 1110 482 -193 3797 1796 -936 1988 -108 -4185 1787 -2202 -3649 -1949 4124 -717 418 -412 -185 805 -3444 -5939 1572 4304 -6324 -3084 2858 384 -1924 765 -2647 -3198 9848 -528 -5347 -2072 -479 -1216 -3753 -2463 -113 1354 -574 -1813 1761 2237 -1467 -1207 6509 4039 -1431 -1150 -2857 -182 -383 -1026 -1634 -240 1839 -6300 -2205 -2523 1182 2259 698 -772 5455 -962 -5917 -3401 932 169 2608 -393 -1057 1575 1625 320 6927 3475 1794 -3575 5661 -4831 1057 147 329 -10983 6116 5489 5223 2373 -4517 -4687 -318 -1824 -2016 5326
+5441 -1324 -599 1107 511 -227 3775 1825 -986 2025 22 -4226 1847 -2425 -3651 -1875 4042 -790 363 -395 -181 703 -3336 -5964 1568 4381 -6348 -3105 2860 263 -1900 713 -2722 -3180 9996 -582 -5361 -2060 -601 -1152 -3765 -2564 -118 1321 -535 -1891 1747 2333 -1540 -1270 6517 3967 -1495 -1203 -2919 -247 -235 -964 -1628 -291 1782 -6335 -2259 -2653 986 2072 591 -874 5509 -946 -5919 -3404 728 -103 2634 -446 -1112 1579 1657 311 6819 3453 1805 -3534 5630 -4743 1087 173 340 -11136 6271 5614 5297 2563 -4442 -4723 -394 -2019 -2164 5446
+5338 -1314 -593 1132 570 -209 3784 1742 -1010 2289 75 -4230 1899 -2608 -3583 -1879 3918 -867 454 -456 -190 776 -3313 -6280 1742 4337 -6230 -3079 2767 149 -1891 752 -2685 -3115 10093 -649 -5374 -2040 -632 -1009 -3847 -2594 -69 1388 -527 -1937 1590 2376 -1477 -1195 6483 4009 -1553 -1302 -3034 -375 -162 -876 -1623 -335 1754 -6317 -2373 -2596 941 1972 508 -953 5565 -930 -5881 -3311 516 -250 2543 -452 -1224 1534 1651 277 6694 3421 1853 -3376 5572 -4714 1197 220 423 -11068 6288 5598 5320 2686 -4375 -4746 -480 -2077 -2218 5551
+5293 -1407 -575 1124 629 -151 3797 1628 -1033 2457 50 -4178 1931 -2688 -3475 -1935 3887 -850 493 -474 -224 876 -3333 -6488 1836 4238 -6200 -3082 2691 143 -1930 767 -2611 -3072 10157 -679 -5378 -2022 -590 -960 -3971 -2553 -34 1414 -552 -1980 1409 2430 -1417 -1124 6381 3995 -1627 -1365 -3077 -426 -300 -874 -1627 -308 1877 -6168 -2392 -2489 1076 2113 517 -992 5606 -895 -5840 -3210 455 -294 2406 -537 -1254 1567 1714 288 6589 3443 1915 -3300 5566 -4629 1228 197 472 -11004 6156 5497 5325 2696 -4400 -4774 -516 -2054 -2280 5526
+5348 -1419 -615 1132 623 -169 3776 1539 -983 2453 -54 -4163 1914 -2542 -3453 -2062 3923 -882 491 -415 -151 840 -3358 -6406 1819 4227 -6301 -3052 2770 269 -1953 740 -2580 -3102 10095 -713 -5375 -2018 -490 -930 -4083 -2548 13 1366 -507 -1940 1374 2355 -1354 -1102 6376 3904 -1754 -1420 -3100 -396 -453 -918 -1590 -215 1966 -6136 -2333 -2393 1302 2317 588 -976 5518 -919 -5840 -3104 654 -186 2365 -656 -1286 1640 1781 382 6657 3476 1949 -3341 5500 -4654 1146 182 511 -10899 6036 5378 5281 2608 -4449 -4786 -511 -1907 -2176 5372
+5361 -1353 -602 1141 596 -196 3716 1540 -909 2379 -206 -4119 1913 -2315 -3463 -2115 4064 -811 426 -274 -112 778 -3348 -6125 1653 4256 -6478 -3021 2885 379 -1922 677 -2587 -3151 9965 -726 -5409 -2070 -494 -1034 -4093 -2568 -13 1299 -480 -1854 1500 2337 -1325 -1183 6329 3883 -1851 -1468 -3138 -337 -491 -930 -1600 -226 1970 -6230 -2299 -2352 1389 2364 629 -1019 5313 -972 -5847 -3072 890 -78 2383 -809 -1158 1780 1815 366 6690 3406 1814 -3613 5477 -4759 964 112 504 -10868 6019 5371 5227 2484 -4441 -4752 -472 -1864 -2038 5220
+5402 -1391 -563 1209 585 -235 3709 1599 -853 2273 -279 -4037 1755 -2184 -3437 -2130 4171 -696 386 -178 -87 774 -3342 -5956 1542 4201 -6499 -3004 2880 458 -1924 668 -2580 -3144 9816 -653 -5439 -2131 -437 -1172 -3980 -2621 -65 1244 -448 -1804 1661 2313 -1222 -1221 6292 3824 -1916 -1487 -3132 -229 -432 -950 -1561 -178 1916 -6319 -2224 -2482 1329 2264 645 -1086 5092 -1041 -5864 -3144 1027 12 2467 -752 -1113 1758 1781 445 6720 3301 1681 -3797 5373 -4785 913 101 460 -10977 6011 5396 5168 2421 -4506 -4754 -414 -1816 -1977 5199
+5394 -1414 -420 1234 599 -131 3776 1727 -835 2252 -230 -3988 1658 -2293 -3377 -2104 4190 -559 404 -220 -99 827 -3367 -6071 1572 4119 -6328 -2994 2759 456 -1959 726 -2484 -3117 9724 -563 -5410 -2146 -497 -1223 -3894 -2666 -74 1283 -441 -1815 1757 2434 -1310 -1267 6251 3901 -1911 -1470 -3129 -175 -298 -940 -1558 -245 1756 -6350 -2255 -2439 1262 2146 659 -1108 4987 -1101 -5876 -3283 966 62 2532 -652 -1064 1692 1692 438 6678 3293 1686 -3855 5341 -4734 928 78 517 -10994 5980 5390 5128 2404 -4554 -4771 -384 -1867 -2027 5184
+5401 -1330 -363 1300 636 -107 3797 1713 -883 2218 -100 -3965 1666 -2611 -3305 -2051 4142 -526 433 -258 -130 855 -3317 -6174 1586 4079 -6138 -2998 2652 373 -1993 787 -2417 -3064 9690 -429 -5427 -2190 -550 -1109 -3923 -2748 -73 1413 -473 -1802 1705 2405 -1309 -1270 6290 3949 -1806 -1390 -3063 -161 -269 -932 -1582 -313 1721 -6262 -2370 -2325 1242 2083 641 -1039 5051 -1128 -5876 -3365 909 46 2499 -526 -1080 1619 1642 495 6764 3331 1753 -3810 5412 -4626 1042 119 593 -11141 5884 5437 5172 2417 -4516 -4778 -405 -1976 -2146 5192
+5453 -1356 -374 1260 610 -115 3747 1684 -952 2088 1 -4005 1743 -2825 -3229 -1968 4087 -651 486 -318 -150 772 -3236 -6261 1573 4106 -6139 -2997 2703 360 -2053 791 -2437 -3053 9682 -342 -5369 -2174 -632 -1009 -4045 -2791 -103 1490 -544 -1821 1572 2299 -1376 -1241 6385 4012 -1696 -1268 -2943 -135 -304 -945 -1620 -308 1785 -6152 -2506 -2173 1309 2144 642 -914 5204 -1126 -5921 -3422 948 62 2390 -462 -1007 1618 1634 542 6935 3456 1818 -3768 5545 -4626 1090 164 637 -11088 5870 5470 5208 2384 -4525 -4802 -464 -2110 -2262 5164
+5451 -1435 -360 1234 615 -161 3725 1730 -906 1936 -27 -3936 1830 -2883 -3329 -1973 4067 -771 518 -265 -120 691 -3144 -6120 1576 4097 -6160 -2894 2844 402 -2036 752 -2530 -3101 9696 -280 -5334 -2142 -790 -994 -4154 -2839 -120 1576 -576 -1780 1527 2207 -1274 -1211 6474 3992 -1594 -1127 -2803 -62 -377 -936 -1659 -334 1879 -6105 -2549 -2230 1364 2181 609 -864 5347 -1083 -5974 -3402 1146 57 2268 -504 -1016 1657 1702 575 7033 3490 1793 -3787 5567 -4677 1079 212 533 -11065 5891 5499 5190 2388 -4433 -4803 -545 -2148 -2261 5130
+5440 -1495 -326 1162 547 -234 3667 1827 -834 1910 -181 -3919 1934 -2711 -3368 -1965 4105 -898 529 -199 -65 617 -3143 -5942 1577 4064 -6232 -2871 2901 391 -2014 765 -2643 -3184 9738 -273 -5310 -2119 -887 -1087 -4154 -2823 -119 1693 -569 -1789 1618 2233 -1291 -1181 6427 4076 -1544 -1070 -2754 -7 -377 -913 -1627 -253 1919 -6138 -2426 -2403 1388 2158 553 -954 5410 -991 -5982 -3327 1376 99 2242 -461 -1083 1666 1740 539 6988 3417 1701 -3807 5503 -4671 1047 219 415 -11028 6023 5550 5154 2380 -4315 -4771 -610 -2169 -2173 5137
+5344 -1599 -316 1059 498 -246 3627 1971 -705 2035 -226 -3859 1873 -2446 -3451 -2009 4170 -919 580 -207 -100 680 -3291 -5951 1711 3908 -6273 -2908 2823 302 -2041 807 -2643 -3274 9792 -338 -5337 -2094 -914 -1273 -4100 -2794 -65 1696 -547 -1762 1714 2319 -1282 -1208 6255 4151 -1547 -1060 -2752 55 -306 -862 -1545 -233 1806 -6254 -2242 -2490 1339 2080 507 -1095 5301 -947 -5958 -3254 1498 214 2282 -377 -1303 1552 1675 401 6741 3229 1577 -3870 5374 -4600 1147 259 342 -11050 6058 5562 5098 2342 -4232 -4696 -597 -2149 -2101 5112
+5295 -1585 -302 978 532 -150 3637 2000 -671 2292 -219 -3930 1738 -2251 -3483 -2071 4157 -777 593 -245 -171 756 -3361 -6098 1799 3801 -6295 -3011 2695 222 -2082 860 -2574 -3246 9839 -446 -5394 -2121 -866 -1380 -3969 -2760 -59 1688 -518 -1731 1775 2366 -1410 -1281 6159 4271 -1527 -1124 -2841 39 -261 -831 -1435 -123 1772 -6302 -2181 -2439 1296 2091 519 -1192 5193 -923 -5903 -3257 1373 313 2262 -327 -1417 1485 1622 311 6572 3177 1635 -3833 5401 -4385 1213 221 357 -11089 6080 5541 5133 2347 -4264 -4660 -555 -2163 -2042 5069
+5298 -1553 -420 932 598 -59 3619 1859 -764 2373 -61 -4083 1685 -2262 -3483 -2089 4102 -697 560 -334 -245 784 -3253 -6259 1863 3804 -6377 -3069 2687 199 -2081 787 -2527 -3210 9877 -583 -5453 -2158 -772 -1356 -3995 -2740 -67 1639 -586 -1709 1715 2329 -1550 -1298 6116 4389 -1572 -1199 -2899 73 -286 -881 -1420 -64 1848 -6260 -2231 -2204 1250 2190 602 -1064 5178 -958 -5855 -3316 1112 443 2192 -256 -1397 1457 1586 295 6634 3251 1754 -3807 5422 -4283 1246 167 399 -11045 5976 5473 5193 2379 -4380 -4684 -539 -2192 -2018 5033
+5325 -1579 -468 903 650 -17 3617 1738 -848 2289 117 -4217 1649 -2421 -3471 -2057 4007 -675 537 -338 -253 769 -3067 -6374 1907 3875 -6503 -3054 2892 261 -2028 651 -2537 -3073 9861 -714 -5497 -2199 -674 -1219 -4039 -2701 -146 1570 -673 -1628 1648 2278 -1680 -1156 6177 4504 -1614 -1270 -2929 110 -413 -959 -1449 -31 1885 -6163 -2352 -2185 1243 2267 650 -930 5296 -964 -5848 -3454 916 501 2102 -245 -1316 1462 1563 354 6810 3338 1800 -3818 5450 -4284 1177 141 394 -11042 5878 5389 5264 2418 -4536 -4746 -533 -2212 -2055 5074
+5258 -1713 -415 957 616 -79 3650 1672 -910 2159 179 -4314 1660 -2549 -3482 -2003 3943 -653 549 -310 -232 773 -3047 -6376 1863 4085 -6535 -3002 3036 364 -1941 590 -2599 -3043 9848 -633 -5529 -2288 -760 -1092 -4039 -2704 -199 1615 -709 -1611 1635 2272 -1639 -988 6225 4543 -1648 -1294 -2903 90 -533 -973 -1519 -117 1861 -6149 -2368 -2337 1165 2211 591 -906 5416 -947 -5853 -3564 842 512 2036 -84 -1227 1447 1549 374 6923 3357 1764 -3769 5479 -4413 1049 143 371 -10962 5867 5340 5271 2502 -4643 -4825 -541 -2261 -2261 5105
+5256 -1663 -412 1049 593 -138 3739 1675 -977 2119 82 -4362 1772 -2617 -3468 -1970 3890 -677 592 -278 -146 743 -3186 -6372 1922 4273 -6438 -2953 3040 317 -1834 604 -2619 -3124 9844 -545 -5512 -2303 -815 -1080 -3941 -2634 -203 1682 -652 -1641 1715 2348 -1587 -955 6267 4475 -1644 -1300 -2873 127 -489 -927 -1531 -194 1739 -6171 -2242 -2511 1145 2145 528 -1032 5450 -899 -5855 -3559 904 417 2135 33 -1188 1360 1490 240 6836 3311 1718 -3726 5453 -4475 1012 164 355 -10878 5878 5256 5236 2637 -4606 -4867 -587 -2212 -2311 5104
+5257 -1599 -344 1132 626 -211 3746 1665 -975 2190 -106 -4379 1912 -2544 -3467 -2027 3879 -798 618 -238 -86 746 -3359 -6341 1945 4375 -6279 -2960 2861 149 -1825 752 -2574 -3208 9835 -433 -5486 -2307 -864 -1153 -3907 -2575 -112 1702 -616 -1719 1799 2458 -1613 -1019 6305 4284 -1594 -1244 -2830 86 -456 -894 -1559 -331 1625 -6262 -2123 -2557 1116 2109 508 -1154 5374 -889 -5891 -3524 935 310 2145 134 -1227 1275 1442 110 6671 3229 1654 -3746 5358 -4442 1075 207 410 -10866 5828 5213 5207 2773 -4491 -4852 -548 -2081 -2299 5082
+5342 -1433 -497 1151 648 -271 3668 1547 -1025 2248 -255 -4352 1956 -2381 -3557 -2086 3915 -868 588 -262 -164 686 -3334 -6368 1844 4322 -6204 -3007 2662 31 -1951 908 -2496 -3332 9831 -347 -5423 -2227 -836 -1240 -3906 -2517 -18 1664 -608 -1795 1787 2394 -1644 -1108 6370 4210 -1518 -1253 -2853 54 -273 -854 -1550 -384 1606 -6336 -2178 -2428 1126 2129 559 -1109 5306 -929 -5963 -3490 937 292 2150 73 -1230 1311 1509 49 6592 3215 1690 -3723 5380 -4325 1152 197 478 -10886 5777 5183 5181 2789 -4336 -4781 -496 -2004 -2230 5027
+5372 -1412 -602 1130 699 -226 3607 1480 -1018 2197 -258 -4251 1979 -2285 -3666 -2110 3977 -938 522 -337 -323 647 -3156 -6522 1804 4285 -6304 -3048 2680 107 -2056 954 -2434 -3378 9835 -293 -5354 -2134 -844 -1241 -3999 -2502 37 1599 -676 -1824 1668 2236 -1650 -1033 6454 4129 -1503 -1276 -2830 78 -141 -834 -1574 -365 1735 -6308 -2317 -2388 1101 2190 619 -931 5343 -970 -6040 -3529 801 360 2057 -113 -1256 1426 1623 186 6745 3261 1698 -3850 5330 -4269 1221 239 472 -10955 5774 5230 5221 2761 -4245 -4731 -451 -1964 -2090 5009
+5413 -1361 -643 1113 753 -193 3600 1483 -952 2111 -186 -4018 1930 -2280 -3679 -2050 4060 -871 526 -398 -414 734 -3000 -6656 1777 4240 -6429 -3095 2789 349 -2118 964 -2394 -3317 9836 -208 -5285 -2061 -795 -1182 -3992 -2453 -19 1499 -707 -1816 1606 2129 -1665 -862 6464 4176 -1582 -1392 -2917 -30 -154 -823 -1592 -326 1837 -6241 -2436 -2450 1144 2169 597 -851 5443 -993 -6094 -3536 772 399 2044 -316 -1291 1496 1678 346 6918 3343 1725 -3842 5306 -4360 1177 267 410 -11099 5746 5314 5223 2656 -4142 -4676 -451 -2071 -2003 5096
+5394 -1405 -573 1112 656 -220 3641 1635 -888 2075 -19 -3864 1819 -2387 -3587 -1981 4055 -788 573 -383 -441 815 -3083 -6705 1868 4176 -6470 -3084 2883 511 -2102 909 -2412 -3275 9797 -227 -5278 -2022 -786 -1060 -3980 -2481 -129 1415 -718 -1781 1631 2146 -1600 -711 6349 4172 -1559 -1442 -2998 -139 -279 -801 -1633 -324 1920 -6207 -2387 -2488 1224 2124 535 -914 5507 -983 -6071 -3481 797 282 2169 -487 -1279 1550 1587 238 6904 3339 1697 -3830 5369 -4394 1140 299 329 -11153 5767 5395 5244 2628 -4191 -4720 -469 -2186 -2109 5254
+5418 -1397 -564 1142 615 -246 3682 1806 -837 2152 41 -3713 1647 -2590 -3470 -1924 3989 -671 616 -334 -332 852 -3311 -6572 1901 4034 -6440 -3084 2814 489 -2111 870 -2447 -3275 9795 -265 -5289 -2050 -811 -1068 -3904 -2517 -234 1404 -632 -1780 1737 2226 -1541 -798 6245 4055 -1584 -1460 -3067 -241 -381 -786 -1605 -300 1875 -6370 -2288 -2439 1355 2144 555 -1037 5415 -1031 -6047 -3385 917 140 2372 -441 -1283 1521 1454 126 6848 3308 1704 -3696 5505 -4426 1177 381 388 -11152 5753 5416 5207 2568 -4253 -4776 -551 -2258 -2183 5231
+5467 -1304 -610 1147 572 -334 3675 1864 -871 2201 102 -3729 1519 -2628 -3394 -1916 3950 -702 612 -321 -273 824 -3427 -6390 1842 3840 -6341 -3048 2667 354 -2168 848 -2478 -3330 9812 -341 -5352 -2120 -821 -975 -3876 -2585 -242 1308 -575 -1858 1756 2222 -1464 -1033 6228 3967 -1681 -1534 -3116 -240 -459 -809 -1515 -291 1725 -6566 -2197 -2248 1401 2220 650 -1086 5191 -1130 -6064 -3379 1068 145 2543 -364 -1142 1535 1360 20 6805 3306 1697 -3604 5643 -4310 1257 348 436 -11030 5645 5372 5187 2504 -4408 -4848 -612 -2256 -2245 5147
+5482 -1260 -666 1159 535 -361 3646 1842 -911 2144 61 -3840 1524 -2532 -3429 -1984 3887 -854 602 -347 -262 728 -3283 -6387 1711 3852 -6319 -3018 2610 231 -2224 772 -2519 -3394 9854 -350 -5380 -2176 -800 -1010 -3906 -2654 -195 1212 -538 -1861 1682 2080 -1374 -1178 6249 3962 -1780 -1597 -3153 -169 -414 -849 -1514 -243 1751 -6620 -2267 -2070 1431 2313 759 -1006 5054 -1174 -6052 -3373 1073 129 2611 -472 -932 1669 1446 99 6889 3311 1667 -3574 5766 -4193 1306 356 513 -10971 5546 5339 5177 2385 -4577 -4890 -655 -2205 -2174 5035
+5427 -1242 -660 1149 525 -303 3674 1737 -946 2063 -122 -3948 1635 -2352 -3551 -2043 3909 -981 608 -428 -310 714 -3051 -6541 1661 3936 -6265 -2952 2673 269 -2242 683 -2516 -3339 9941 -327 -5387 -2207 -788 -1028 -3916 -2720 -166 1243 -565 -1856 1633 1992 -1402 -1051 6183 4058 -1826 -1602 -3105 -58 -363 -963 -1569 -270 1775 -6466 -2410 -2165 1442 2349 807 -873 5065 -1171 -6047 -3463 952 198 2525 -598 -845 1785 1612 335 6980 3351 1706 -3531 5683 -4116 1276 317 403 -10964 5539 5311 5153 2320 -4619 -4854 -677 -2174 -2034 5042
+5380 -1416 -557 1146 488 -224 3772 1698 -1004 2006 -230 -4120 1804 -2248 -3611 -2073 3964 -1020 630 -484 -347 824 -2983 -6750 1752 4077 -6285 -2928 2817 348 -2177 641 -2499 -3224 9954 -243 -5382 -2208 -738 -1054 -3977 -2706 -65 1359 -548 -1849 1521 2035 -1429 -861 6083 4191 -1778 -1560 -3048 -33 -356 -1037 -1645 -262 1912 -6243 -2442 -2385 1369 2253 734 -866 5168 -1082 -5972 -3453 812 209 2388 -601 -798 1797 1747 492 6925 3330 1719 -3536 5537 -4128 1215 283 252 -11012 5594 5299 5103 2287 -4599 -4790 -668 -2221 -1976 5115
+5396 -1439 -490 1145 405 -278 3814 1692 -1050 2033 -252 -4180 1910 -2282 -3584 -2113 4019 -868 564 -462 -341 852 -3028 -6644 1762 4164 -6231 -2981 2818 324 -2103 706 -2482 -3219 10002 -204 -5334 -2148 -702 -1091 -4042 -2703 -56 1404 -512 -1857 1481 2166 -1396 -895 6021 4188 -1634 -1384 -2935 -71 -427 -1041 -1701 -317 1932 -6143 -2413 -2497 1332 2186 668 -959 5231 -1018 -5883 -3356 773 9 2357 -568 -848 1744 1747 442 6799 3288 1709 -3576 5423 -4231 1163 294 261 -10996 5780 5343 5103 2407 -4525 -4761 -627 -2306 -2004 5147
+5477 -1442 -435 1129 384 -351 3853 1693 -1084 2136 -108 -4344 1967 -2491 -3483 -2078 4019 -723 498 -411 -251 862 -3176 -6411 1674 4187 -6235 -3060 2761 221 -2024 796 -2495 -3286 9980 -266 -5321 -2096 -690 -1108 -4131 -2662 -41 1417 -420 -1894 1532 2313 -1378 -1155 6085 4086 -1460 -1190 -2859 -183 -502 -1000 -1693 -321 1878 -6235 -2329 -2508 1291 2185 608 -1094 5301 -960 -5820 -3165 931 -193 2356 -565 -925 1651 1606 214 6817 3375 1737 -3646 5447 -4238 1140 294 383 -11002 5737 5321 5190 2601 -4481 -4787 -589 -2318 -2118 5092
+5459 -1426 -492 1119 465 -360 3787 1645 -1067 2295 13 -4413 1925 -2658 -3390 -2060 4025 -548 459 -315 -170 807 -3143 -6231 1554 4165 -6321 -3130 2731 184 -1981 902 -2508 -3287 9924 -393 -5377 -2121 -739 -1062 -4134 -2698 -54 1348 -408 -1857 1674 2293 -1317 -1297 6214 3999 -1378 -1051 -2785 -167 -500 -979 -1702 -335 1867 -6289 -2311 -2420 1255 2233 605 -1134 5371 -938 -5820 -3079 1126 -260 2416 -587 -959 1665 1548 93 6927 3478 1763 -3664 5571 -4218 1249 355 482 -10964 5687 5256 5274 2796 -4413 -4823 -564 -2297 -2211 4948
+5349 -1476 -554 1005 512 -258 3705 1632 -945 2320 165 -4430 1864 -2681 -3391 -2015 4037 -574 477 -313 -191 881 -3110 -6399 1606 4145 -6411 -3126 2797 239 -1951 907 -2522 -3264 9882 -458 -5397 -2126 -822 -1098 -4053 -2726 -52 1312 -412 -1797 1689 2209 -1313 -1319 6298 4173 -1403 -1063 -2827 -189 -389 -949 -1683 -308 1901 -6345 -2312 -2430 1235 2227 577 -1038 5481 -884 -5804 -3095 1159 -145 2479 -687 -914 1719 1499 25 7122 3599 1746 -3688 5675 -4241 1245 377 537 -10939 5577 5185 5344 2903 -4338 -4845 -575 -2294 -2334 4863
+5235 -1525 -557 972 610 -110 3664 1567 -825 2336 93 -4374 1840 -2589 -3366 -2003 4080 -639 556 -348 -218 923 -3045 -6738 1762 4183 -6427 -3089 2912 404 -1967 943 -2468 -3179 9885 -388 -5377 -2129 -899 -1140 -3961 -2756 -38 1355 -466 -1746 1698 2165 -1321 -1137 6189 4368 -1490 -1161 -2914 -164 -368 -1011 -1595 -146 1949 -6225 -2368 -2648 1206 2214 529 -990 5489 -828 -5736 -3149 980 67 2446 -682 -974 1733 1530 124 7190 3606 1722 -3596 5641 -4314 1241 440 463 -10967 5626 5193 5405 2963 -4236 -4818 -556 -2229 -2405 4900
+5241 -1615 -457 943 673 -2 3622 1635 -707 2346 -90 -4168 1770 -2414 -3302 -1999 4096 -765 603 -354 -244 984 -3089 -6936 1980 4162 -6372 -3030 2910 402 -2013 957 -2411 -3165 9985 -204 -5362 -2160 -1030 -1249 -3881 -2848 -112 1440 -513 -1755 1660 2144 -1287 -1100 6029 4558 -1540 -1224 -2981 -159 -391 -1028 -1556 -28 2077 -6057 -2314 -2687 1216 2214 550 -1024 5449 -775 -5646 -3245 752 207 2456 -551 -1055 1678 1523 212 7109 3604 1751 -3492 5570 -4404 1194 461 415 -11075 5653 5224 5345 2916 -4163 -4770 -527 -2221 -2442 4970
+5339 -1543 -416 947 650 -50 3612 1720 -723 2376 -282 -4053 1800 -2374 -3330 -2048 4155 -824 581 -326 -227 911 -3132 -6751 1998 4100 -6223 -2931 2842 302 -2037 982 -2410 -3259 10112 -94 -5331 -2183 -1034 -1354 -3936 -2861 -145 1589 -565 -1843 1652 2228 -1293 -1213 5913 4564 -1571 -1231 -3028 -158 -492 -1099 -1562 -39 2068 -6085 -2229 -2547 1176 2221 582 -1118 5315 -781 -5577 -3271 669 135 2501 -378 -1218 1496 1456 138 6983 3549 1773 -3445 5551 -4339 1216 481 434 -11192 5698 5239 5278 2897 -4127 -4743 -534 -2167 -2246 4926
+5500 -1451 -430 1002 675 -203 3578 1735 -810 2361 -402 -3980 1843 -2430 -3354 -2050 4158 -874 488 -295 -278 792 -3175 -6515 1911 4060 -6182 -2904 2778 168 -2080 962 -2470 -3431 10154 -124 -5341 -2188 -963 -1414 -4081 -2793 -104 1639 -597 -1994 1660 2259 -1358 -1418 5923 4357 -1598 -1163 -2983 -233 -660 -1136 -1592 -103 1989 -6266 -2153 -2423 1164 2295 661 -1136 5228 -876 -5644 -3341 816 -29 2464 -206 -1295 1394 1471 145 6906 3500 1752 -3498 5670 -4233 1303 492 524 -11197 5685 5235 5186 2752 -4196 -4732 -522 -2231 -2237 4825
+5500 -1441 -505 1001 650 -339 3565 1766 -904 2155 -322 -3898 1821 -2551 -3426 -2057 4162 -845 368 -358 -332 685 -3128 -6348 1760 4047 -6306 -2915 2782 161 -2108 851 -2592 -3522 10099 -206 -5411 -2268 -857 -1465 -4226 -2757 -56 1651 -570 -2074 1656 2144 -1317 -1514 6009 4234 -1646 -1152 -2949 -202 -637 -1086 -1650 -227 1877 -6322 -2154 -2268 1214 2264 664 -1031 5349 -945 -5787 -3413 1022 -141 2423 -193 -1274 1398 1524 154 7005 3560 1743 -3566 5794 -4190 1313 471 602 -11180 5530 5222 5144 2610 -4286 -4746 -557 -2361 -2275 4774
+5369 -1437 -480 1052 692 -359 3626 1741 -981 1996 -153 -3903 1745 -2638 -3478 -2012 4157 -780 380 -521 -415 757 -3148 -6519 1764 4066 -6383 -2966 2825 334 -2085 766 -2653 -3500 10119 -275 -5457 -2295 -868 -1489 -4176 -2733 -46 1675 -600 -2112 1653 2013 -1269 -1399 6032 4171 -1646 -1154 -2924 -147 -482 -1057 -1697 -303 1857 -6282 -2178 -2345 1218 2173 618 -868 5525 -975 -5912 -3408 1187 -61 2379 -161 -1313 1345 1542 271 7128 3580 1696 -3523 5888 -4205 1262 477 582 -11146 5575 5278 5161 2496 -4430 -4776 -587 -2458 -2373 4911
+5386 -1447 -431 1088 755 -288 3691 1752 -978 1915 -58 -3850 1627 -2646 -3469 -1933 4147 -686 439 -623 -417 850 -3172 -6735 1781 4004 -6383 -3005 2788 415 -2077 776 -2593 -3366 10078 -301 -5484 -2319 -930 -1540 -4030 -2801 -98 1738 -660 -2036 1664 1897 -1260 -1246 6066 4150 -1633 -1159 -2914 -169 -329 -1041 -1716 -326 1910 -6195 -2274 -2440 1205 2110 581 -766 5551 -1007 -5968 -3329 1152 126 2304 -158 -1322 1312 1518 358 7180 3622 1677 -3470 5844 -4332 1220 564 590 -11165 5580 5341 5148 2404 -4475 -4771 -644 -2514 -2436 5104
+5438 -1449 -385 1068 758 -216 3766 1780 -946 1964 -55 -3910 1526 -2514 -3482 -1926 4089 -699 527 -619 -343 954 -3186 -6772 1739 3827 -6331 -3076 2652 437 -2080 857 -2452 -3291 10069 -317 -5460 -2270 -964 -1503 -3846 -2754 -218 1771 -735 -1938 1702 1934 -1254 -1214 6108 4094 -1622 -1194 -2926 -211 -184 -995 -1696 -307 1974 -6190 -2376 -2396 1152 2051 627 -750 5408 -1044 -5959 -3212 1016 414 2191 -86 -1327 1266 1448 423 7268 3667 1708 -3405 5824 -4429 1128 559 612 -11280 5652 5416 5119 2351 -4470 -4763 -700 -2520 -2401 5179
+5536 -1408 -389 1050 695 -247 3818 1799 -927 2146 -104 -3991 1570 -2352 -3470 -1975 4024 -823 532 -529 -256 944 -3195 -6607 1687 3704 -6282 -3074 2579 309 -2085 958 -2363 -3246 9989 -344 -5424 -2229 -999 -1425 -3844 -2727 -324 1746 -773 -1862 1712 1986 -1199 -1260 6225 3991 -1607 -1221 -2986 -259 -170 -959 -1656 -242 1966 -6282 -2397 -2307 1147 2082 666 -882 5175 -1086 -5918 -3137 898 538 2190 -94 -1282 1302 1377 428 7365 3717 1737 -3436 5789 -4373 1112 519 720 -11295 5742 5469 5131 2386 -4461 -4790 -753 -2475 -2193 5042
+5596 -1351 -396 992 606 -313 3804 1779 -923 2285 -167 -4150 1738 -2240 -3553 -2036 3970 -929 512 -463 -219 904 -3220 -6416 1725 3717 -6320 -3021 2653 241 -2091 993 -2417 -3296 9924 -329 -5389 -2176 -951 -1361 -3884 -2617 -362 1654 -697 -1853 1792 2001 -1270 -1298 6278 3908 -1582 -1237 -3015 -376 -272 -904 -1607 -219 1919 -6417 -2313 -2209 1212 2162 706 -936 5110 -1131 -5968 -3202 967 518 2217 -87 -1212 1408 1385 379 7486 3775 1700 -3613 5794 -4212 1170 443 757 -11270 5668 5429 5169 2375 -4413 -4768 -716 -2385 -2068 4973
+5483 -1353 -406 959 547 -305 3681 1683 -873 2327 -165 -4172 1991 -2253 -3611 -2048 3984 -951 456 -466 -273 914 -3295 -6424 1939 3892 -6397 -2910 2852 260 -2023 895 -2518 -3319 9821 -369 -5374 -2119 -877 -1400 -3943 -2519 -316 1548 -605 -1863 1808 1962 -1390 -1207 6168 3835 -1590 -1282 -3056 -386 -368 -863 -1620 -277 1908 -6454 -2213 -2300 1344 2159 658 -866 5315 -1123 -6039 -3409 1018 398 2308 -73 -1229 1430 1398 387 7462 3704 1614 -3718 5740 -4116 1148 407 747 -11169 5757 5446 5228 2388 -4370 -4745 -638 -2355 -2068 4897
+5391 -1413 -440 935 552 -280 3667 1600 -891 2269 -61 -4193 2183 -2367 -3682 -2043 3994 -914 374 -554 -369 934 -3315 -6562 2132 4077 -6440 -2879 2985 324 -2058 786 -2573 -3346 9847 -255 -5331 -2117 -860 -1376 -3928 -2492 -268 1524 -513 -1881 1746 1877 -1374 -1059 6117 3906 -1725 -1428 -3137 -332 -359 -884 -1601 -234 1974 -6404 -2164 -2359 1363 2119 594 -783 5510 -1140 -6120 -3570 1041 308 2404 -35 -1303 1390 1394 376 7422 3670 1556 -3777 5734 -4240 1119 483 749 -11116 5798 5426 5296 2491 -4379 -4785 -610 -2395 -2145 4864
+5400 -1426 -448 986 584 -237 3665 1566 -909 2251 45 -4200 2211 -2512 -3702 -1963 4056 -816 353 -632 -422 972 -3253 -6681 2133 4147 -6394 -2859 2965 282 -2092 748 -2562 -3262 9869 -184 -5334 -2135 -793 -1379 -3880 -2509 -130 1618 -506 -1872 1628 1943 -1456 -1048 6085 4026 -1837 -1556 -3155 -205 -349 -927 -1542 -165 1973 -6379 -2208 -2341 1261 2133 635 -716 5473 -1182 -6080 -3520 926 230 2411 -36 -1420 1277 1362 363 7261 3636 1616 -3634 5784 -4388 1106 596 715 -11083 5898 5439 5349 2697 -4352 -4830 -595 -2376 -2202 4988
+5447 -1377 -423 1109 647 -243 3739 1567 -967 2320 60 -4258 2038 -2512 -3634 -1875 4097 -680 376 -604 -424 1025 -3185 -6686 1944 4134 -6250 -2946 2792 209 -2153 778 -2450 -3175 9952 -196 -5311 -2117 -660 -1328 -3802 -2532 -42 1739 -541 -1847 1628 2050 -1386 -1052 6139 4146 -1988 -1652 -3119 -18 -236 -979 -1491 -128 1872 -6413 -2210 -2234 1087 2143 689 -789 5269 -1199 -5970 -3315 792 279 2306 -98 -1452 1240 1371 409 7290 3709 1724 -3477 5830 -4461 1083 600 814 -11050 5904 5406 5366 2893 -4372 -4904 -635 -2363 -2234 4982
+5442 -1419 -365 1172 615 -318 3816 1629 -1009 2383 -1 -4382 1838 -2439 -3523 -1841 4103 -574 438 -562 -296 958 -3203 -6634 1757 4204 -6213 -3016 2707 220 -2121 792 -2401 -3112 10000 -304 -5330 -2116 -569 -1140 -3777 -2523 -5 1763 -532 -1809 1655 2174 -1363 -962 6241 4195 -1998 -1626 -3047 48 -241 -1028 -1511 -151 1736 -6425 -2215 -2190 1038 2187 738 -888 5128 -1156 -5838 -3077 744 342 2201 -223 -1322 1308 1387 395 7386 3745 1731 -3446 5988 -4341 1051 519 955 -11088 5869 5371 5396 3021 -4341 -4941 -690 -2339 -2200 4860
+5417 -1453 -360 1214 617 -372 3839 1701 -1006 2405 -180 -4348 1687 -2304 -3428 -1858 4133 -629 464 -473 -191 1013 -3371 -6484 1668 4275 -6255 -3069 2784 358 -2010 772 -2450 -3083 9983 -505 -5372 -2119 -462 -1005 -3820 -2451 -36 1640 -434 -1758 1711 2213 -1427 -909 6175 4164 -1897 -1515 -2945 75 -220 -969 -1574 -302 1599 -6460 -2141 -2309 1113 2202 680 -973 5176 -1072 -5806 -2984 908 303 2235 -391 -1180 1443 1465 375 7391 3652 1582 -3559 6049 -4170 1114 472 934 -11088 5798 5289 5314 2913 -4321 -4864 -641 -2205 -2149 4804
+5416 -1335 -458 1187 621 -390 3771 1743 -918 2284 -266 -4120 1586 -2227 -3417 -1915 4143 -752 468 -457 -127 990 -3531 -6406 1623 4374 -6396 -3130 2903 549 -1905 721 -2544 -3110 10009 -601 -5411 -2110 -507 -1006 -3828 -2457 -100 1479 -379 -1803 1754 2172 -1564 -899 6126 4207 -1761 -1408 -2837 115 -262 -978 -1648 -374 1687 -6388 -2117 -2565 1158 2148 582 -876 5459 -979 -5843 -3053 1072 192 2422 -356 -1137 1510 1508 337 7278 3539 1505 -3570 6039 -4095 1041 426 927 -11107 5758 5261 5247 2679 -4328 -4777 -594 -2186 -2140 4690
+5431 -1307 -604 1056 628 -305 3722 1783 -803 2189 -295 -3828 1604 -2281 -3417 -1955 4143 -863 407 -466 -160 1001 -3506 -6404 1615 4388 -6439 -3113 2947 551 -1834 740 -2608 -3101 10058 -580 -5432 -2135 -626 -1103 -3731 -2529 -217 1313 -354 -1841 1764 2073 -1646 -963 6169 4273 -1638 -1310 -2776 141 -249 -931 -1641 -352 1838 -6362 -2134 -2616 1048 2083 525 -739 5704 -942 -5880 -3142 1098 -81 2575 -264 -1176 1461 1500 268 7043 3406 1511 -3481 5913 -4137 1055 482 774 -11130 5795 5277 5178 2580 -4314 -4713 -553 -2207 -2103 4695
+5481 -1302 -659 975 692 -253 3699 1815 -775 2178 -223 -3635 1674 -2464 -3405 -1967 4150 -920 316 -495 -255 961 -3270 -6411 1636 4180 -6346 -3071 2859 383 -1836 820 -2561 -3135 10121 -572 -5402 -2099 -695 -1204 -3708 -2616 -233 1261 -440 -1827 1722 2053 -1612 -1025 6275 4387 -1540 -1273 -2771 155 -219 -933 -1605 -265 1927 -6356 -2239 -2539 897 2043 558 -636 5774 -959 -5844 -3179 1008 -264 2682 -177 -1287 1422 1522 257 6968 3449 1676 -3371 5794 -4244 1062 580 791 -11194 5856 5373 5187 2547 -4293 -4693 -582 -2344 -2097 4737
+5438 -1303 -717 915 665 -249 3708 1854 -785 2200 -64 -3640 1718 -2638 -3439 -1930 4193 -835 288 -535 -302 943 -3118 -6448 1640 3991 -6210 -2999 2672 193 -1908 937 -2442 -3153 10170 -508 -5355 -2046 -724 -1279 -3734 -2743 -189 1300 -580 -1807 1716 2011 -1420 -969 6384 4508 -1582 -1325 -2832 206 -150 -937 -1488 -116 1888 -6406 -2207 -2337 792 2011 607 -663 5649 -967 -5714 -3129 787 -310 2631 -203 -1264 1444 1499 177 6970 3525 1818 -3303 5790 -4249 1106 630 940 -11300 5776 5419 5194 2512 -4373 -4740 -618 -2492 -2165 4796
+5344 -1363 -636 905 630 -290 3695 1902 -790 2207 76 -3759 1785 -2721 -3471 -1849 4267 -761 292 -541 -276 979 -3200 -6393 1749 3911 -6120 -2911 2665 150 -1930 958 -2436 -3154 10107 -487 -5356 -2047 -672 -1181 -3871 -2794 -67 1404 -629 -1852 1699 2053 -1305 -881 6350 4628 -1549 -1376 -2958 120 -135 -882 -1381 -60 1703 -6455 -2167 -2265 950 2061 615 -798 5522 -909 -5559 -3074 649 -225 2505 -384 -1203 1538 1514 102 7121 3602 1801 -3395 5777 -4188 1153 618 1026 -11354 5709 5416 5219 2499 -4372 -4773 -691 -2539 -2181 4831
+5343 -1365 -563 954 584 -349 3705 1877 -789 2125 152 -3956 1756 -2592 -3520 -1837 4301 -694 259 -536 -167 957 -3419 -6242 1838 3936 -6191 -2839 2761 282 -1952 872 -2495 -3182 10008 -461 -5394 -2104 -653 -1066 -4045 -2793 29 1482 -570 -1948 1702 2026 -1362 -814 6226 4636 -1601 -1392 -2999 122 -215 -897 -1396 -123 1636 -6451 -2141 -2375 1199 2116 620 -844 5505 -828 -5458 -3072 647 -119 2406 -567 -1127 1582 1482 56 7134 3551 1675 -3463 5787 -4159 1127 595 1059 -11440 5669 5472 5237 2434 -4331 -4787 -765 -2585 -2151 4797
+5477 -1351 -455 1002 578 -342 3760 1827 -817 2055 135 -4132 1745 -2433 -3537 -1871 4283 -709 245 -514 -63 932 -3522 -6056 1723 3947 -6280 -2861 2856 425 -1951 805 -2549 -3231 9929 -414 -5417 -2171 -718 -1007 -4118 -2781 47 1490 -422 -1975 1705 2085 -1484 -946 6019 4612 -1673 -1415 -2986 136 -315 -909 -1421 -124 1697 -6530 -2096 -2453 1323 2186 642 -758 5519 -844 -5493 -3046 837 -126 2394 -581 -1144 1508 1406 -35 7037 3425 1543 -3513 5906 -4185 1060 561 943 -11401 5722 5481 5202 2391 -4277 -4783 -829 -2563 -2100 4716
+5660 -1237 -422 1077 697 -283 3830 1745 -889 2113 27 -4186 1774 -2349 -3500 -1951 4248 -762 260 -484 -64 918 -3378 -5992 1542 3866 -6319 -2905 2837 466 -1992 783 -2527 -3234 9903 -370 -5440 -2241 -789 -1013 -4116 -2761 82 1571 -384 -2011 1710 2093 -1573 -1148 5931 4460 -1720 -1384 -2919 212 -413 -983 -1533 -182 1834 -6447 -2158 -2325 1308 2237 728 -622 5540 -927 -5589 -3015 970 -194 2458 -541 -1113 1507 1415 80 6934 3360 1496 -3635 5826 -4160 1016 542 810 -11331 5845 5492 5148 2402 -4245 -4765 -825 -2504 -1963 4627
+5723 -1144 -409 1136 789 -207 3914 1646 -1003 2308 -73 -4230 1816 -2389 -3339 -2022 4166 -830 318 -485 -105 931 -3201 -6174 1380 3904 -6285 -3009 2753 492 -2084 841 -2424 -3225 9989 -279 -5447 -2307 -880 -1174 -3925 -2841 3 1663 -434 -1848 1784 2158 -1538 -1204 6014 4378 -1825 -1400 -2876 276 -300 -986 -1535 -137 1887 -6412 -2136 -2089 1147 2170 785 -552 5561 -1014 -5668 -2978 955 -249 2399 -436 -1063 1484 1408 169 7006 3402 1593 -3685 5774 -4149 1011 524 817 -11162 5848 5400 5098 2490 -4272 -4784 -787 -2425 -1871 4611
+5528 -1319 -324 1200 806 -218 3987 1576 -1108 2512 -165 -4302 1890 -2487 -3248 -2022 4122 -792 346 -494 -177 1019 -3180 -6331 1441 3918 -6249 -3012 2718 485 -2099 900 -2354 -3158 10069 -305 -5460 -2313 -903 -1303 -3806 -2843 -45 1669 -561 -1712 1832 2229 -1312 -1015 6071 4321 -1842 -1393 -2858 284 -154 -951 -1552 -190 1839 -6305 -2110 -1962 1034 2018 687 -638 5602 -1029 -5683 -2930 847 -239 2309 -397 -959 1579 1483 302 7193 3455 1645 -3771 5670 -3983 1120 531 872 -11016 5771 5319 5149 2591 -4345 -4822 -737 -2442 -1986 4722
+5389 -1387 -296 1153 771 -297 4015 1571 -1110 2545 -166 -4366 1978 -2553 -3222 -1976 4140 -734 292 -463 -208 1014 -3332 -6298 1623 4058 -6245 -2979 2829 515 -2068 888 -2398 -3116 10172 -364 -5481 -2301 -921 -1409 -3754 -2862 -137 1662 -634 -1635 1840 2236 -1188 -962 6079 4242 -1837 -1397 -2897 184 -4 -872 -1525 -260 1686 -6294 -2111 -2100 1088 1943 574 -757 5669 -973 -5668 -2937 730 -131 2257 -427 -890 1685 1551 360 7317 3480 1632 -3785 5663 -3833 1172 503 875 -10914 5702 5263 5219 2628 -4496 -4885 -669 -2488 -2258 4804
+5340 -1471 -310 1120 732 -408 3905 1635 -1023 2429 -114 -4328 1936 -2524 -3302 -1871 4246 -628 243 -403 -157 981 -3522 -6080 1700 4149 -6267 -2907 2953 521 -2002 842 -2543 -3123 10227 -535 -5529 -2276 -944 -1415 -3820 -2779 -215 1642 -545 -1585 1813 2232 -1232 -1017 6152 4180 -1842 -1429 -2957 35 -44 -825 -1483 -266 1619 -6371 -2229 -2434 1203 2001 556 -744 5691 -957 -5690 -3029 757 -42 2320 -407 -938 1665 1538 285 7188 3380 1561 -3701 5739 -3797 1177 506 793 -10897 5735 5271 5324 2668 -4551 -4922 -650 -2492 -2420 4845
+5522 -1422 -318 1079 721 -434 3750 1756 -837 2348 30 -4217 1824 -2448 -3394 -1798 4338 -606 233 -387 -122 919 -3528 -5992 1780 4221 -6258 -2802 3009 351 -1863 802 -2674 -3093 10258 -664 -5537 -2258 -967 -1404 -3887 -2715 -233 1708 -454 -1678 1775 2287 -1432 -1284 6206 3988 -1771 -1361 -2977 -84 -193 -839 -1515 -246 1762 -6387 -2318 -2527 1220 2125 625 -644 5636 -1011 -5769 -3131 876 83 2396 -301 -1086 1525 1428 107 7033 3363 1533 -3701 5916 -3834 1091 491 718 -11084 5776 5332 5376 2730 -4450 -4882 -623 -2419 -2461 4741
+5594 -1380 -331 1041 772 -361 3689 1795 -721 2374 131 -4136 1676 -2468 -3408 -1770 4359 -590 295 -409 -144 923 -3451 -6140 1819 4099 -6208 -2772 2931 176 -1773 812 -2729 -3083 10257 -802 -5561 -2233 -936 -1316 -3944 -2638 -141 1778 -356 -1806 1728 2378 -1569 -1467 6267 3922 -1827 -1401 -3026 -158 -346 -859 -1512 -141 1906 -6310 -2287 -2430 1137 2203 718 -598 5490 -1124 -5855 -3215 1000 140 2555 -298 -1152 1439 1349 56 7009 3358 1514 -3758 5924 -3892 1073 530 700 -11081 5797 5324 5319 2808 -4301 -4830 -644 -2357 -2323 4616
+5550 -1420 -350 1052 817 -277 3659 1812 -715 2451 107 -4003 1635 -2456 -3421 -1814 4314 -779 391 -510 -190 1028 -3370 -6405 1934 4027 -6207 -2863 2876 60 -1772 811 -2703 -3011 10246 -875 -5589 -2250 -848 -1279 -3947 -2622 -76 1910 -448 -1834 1723 2437 -1525 -1404 6178 3936 -1806 -1447 -3110 -229 -416 -873 -1494 -61 1991 -6213 -2092 -2283 999 2137 716 -645 5417 -1182 -5838 -3180 914 173 2544 -255 -1251 1411 1389 240 7148 3419 1548 -3825 5794 -3870 1136 561 767 -11178 5814 5320 5263 2822 -4105 -4711 -619 -2297 -2228 4640
+5340 -1509 -276 1029 777 -301 3601 1802 -728 2394 -17 -3858 1629 -2511 -3399 -1866 4210 -835 376 -566 -206 997 -3374 -6506 1976 4038 -6338 -2968 2913 194 -1841 784 -2643 -2965 10195 -865 -5592 -2265 -762 -1211 -3952 -2627 34 1971 -577 -1784 1699 2437 -1376 -1204 6029 4039 -1901 -1525 -3176 -243 -369 -888 -1447 -26 1945 -6138 -1936 -2273 903 2019 658 -722 5534 -1139 -5789 -3110 779 134 2462 -281 -1235 1442 1503 456 7182 3416 1594 -3909 5530 -3773 1265 629 839 -11165 5748 5278 5188 2751 -3982 -4610 -619 -2361 -2129 4700
+5249 -1625 -245 980 662 -357 3647 1863 -725 2177 -123 -3695 1716 -2573 -3400 -1927 4130 -968 370 -524 -182 959 -3516 -6323 1930 4037 -6419 -2980 2963 326 -1885 749 -2589 -2975 10101 -719 -5612 -2334 -788 -1136 -3948 -2623 2 1947 -660 -1757 1670 2353 -1224 -1118 5919 4115 -1957 -1572 -3193 -208 -295 -895 -1436 -103 1802 -6280 -1941 -2382 883 1988 595 -719 5642 -1100 -5758 -3028 712 192 2398 -132 -1246 1385 1523 528 7101 3354 1587 -3935 5356 -3786 1314 699 872 -11251 5683 5280 5167 2642 -4046 -4601 -605 -2381 -2120 4871
+5385 -1606 -232 1008 649 -380 3710 1905 -716 2027 -154 -3638 1735 -2543 -3421 -1971 4111 -883 286 -424 -82 919 -3468 -5937 1697 3990 -6414 -3014 2933 452 -1910 772 -2519 -3083 10042 -509 -5546 -2333 -834 -1172 -3865 -2504 -98 1838 -580 -1759 1692 2363 -1279 -1268 5993 4070 -2010 -1522 -3089 -163 -348 -969 -1465 -152 1687 -6411 -2095 -2443 970 2098 642 -614 5765 -1056 -5769 -2988 719 177 2358 31 -1235 1316 1471 397 6885 3235 1543 -3986 5409 -3896 1315 801 829 -11356 5646 5300 5176 2565 -4257 -4711 -633 -2483 -2178 4870
+5525 -1511 -235 976 693 -289 3803 1939 -689 1941 -72 -3671 1736 -2502 -3406 -1890 4160 -715 299 -397 -44 960 -3446 -5856 1557 3935 -6246 -2967 2825 436 -1895 884 -2470 -3162 9963 -316 -5472 -2328 -884 -1276 -3761 -2405 -221 1747 -413 -1793 1722 2356 -1400 -1465 6034 3951 -1968 -1395 -2939 -99 -426 -1033 -1550 -190 1690 -6426 -2143 -2423 1047 2216 707 -542 5772 -1032 -5787 -2992 787 161 2455 81 -1270 1281 1415 205 6853 3297 1616 -3923 5524 -4018 1187 766 836 -11455 5582 5341 5169 2497 -4453 -4802 -656 -2521 -2269 4839
+5546 -1449 -315 948 721 -198 3920 1921 -768 2115 45 -3972 1766 -2385 -3462 -1795 4220 -565 380 -477 -74 1087 -3406 -6020 1506 3836 -6041 -2971 2665 430 -1944 986 -2380 -3227 9940 -270 -5387 -2233 -863 -1294 -3678 -2330 -258 1639 -360 -1827 1787 2430 -1531 -1419 6080 3942 -1915 -1283 -2797 -6 -479 -1073 -1645 -287 1746 -6307 -2074 -2283 1036 2198 718 -556 5683 -1027 -5785 -3000 803 155 2539 7 -1271 1307 1383 49 6990 3417 1647 -3995 5576 -3975 1113 711 944 -11443 5566 5322 5185 2526 -4599 -4907 -724 -2546 -2330 4820
+5421 -1395 -453 915 765 -172 3908 1799 -915 2284 94 -4258 1767 -2317 -3558 -1790 4224 -544 428 -588 -153 1139 -3373 -6296 1698 3903 -6014 -2901 2680 417 -1942 967 -2413 -3205 9929 -287 -5360 -2176 -829 -1249 -3685 -2378 -241 1626 -470 -1820 1805 2408 -1500 -1194 6044 4032 -1736 -1178 -2776 -60 -453 -1053 -1749 -331 1959 -6203 -2010 -2208 903 1997 671 -591 5691 -989 -5736 -3047 658 115 2513 -67 -1389 1323 1460 143 7138 3538 1661 -4018 5429 -3920 1192 740 1027 -11371 5541 5279 5129 2444 -4576 -4864 -721 -2419 -2267 4896
+5338 -1381 -573 886 723 -270 3897 1710 -1023 2367 40 -4390 1843 -2269 -3641 -1831 4189 -665 439 -600 -205 1153 -3397 -6321 1745 4012 -6085 -2894 2793 502 -1961 896 -2461 -3156 9963 -377 -5360 -2111 -710 -1147 -3746 -2432 -99 1585 -617 -1807 1749 2373 -1407 -1000 6015 4262 -1655 -1184 -2844 -177 -388 -990 -1741 -353 2037 -6275 -2118 -2294 760 1815 612 -526 5799 -941 -5634 -3047 500 86 2423 -108 -1443 1357 1501 238 7142 3521 1661 -4000 5351 -3850 1296 788 1047 -11263 5507 5245 5138 2366 -4539 -4812 -676 -2333 -2234 4930
+5312 -1368 -589 898 680 -334 3883 1685 -1007 2407 -27 -4311 2005 -2293 -3588 -1868 4103 -801 418 -490 -199 982 -3362 -6142 1710 4062 -6207 -2889 2899 586 -1972 856 -2487 -3098 10013 -459 -5361 -2061 -727 -1083 -3792 -2475 -27 1627 -694 -1757 1711 2334 -1320 -905 6048 4449 -1542 -1203 -2940 -217 -321 -970 -1683 -299 2010 -6532 -2292 -2400 786 1862 642 -403 5871 -945 -5552 -3037 447 -6 2272 -195 -1481 1378 1572 365 6935 3385 1620 -3991 5294 -3814 1336 817 925 -11152 5659 5301 5160 2332 -4405 -4747 -653 -2241 -2072 4901
+5451 -1288 -527 983 664 -444 3878 1667 -953 2485 -125 -4140 2048 -2337 -3463 -1936 4060 -862 418 -393 -161 930 -3361 -6018 1693 4011 -6230 -2897 2864 484 -1928 879 -2494 -3081 10074 -554 -5378 -2083 -724 -996 -3841 -2485 76 1626 -545 -1782 1624 2300 -1411 -1052 6163 4450 -1467 -1205 -3001 -232 -323 -976 -1600 -181 1973 -6681 -2330 -2251 917 1967 675 -328 5878 -958 -5476 -2966 486 -101 2166 -229 -1406 1403 1569 359 6701 3274 1636 -4000 5402 -3817 1358 880 781 -11072 5811 5377 5195 2447 -4335 -4760 -598 -2150 -2026 4811
+5546 -1300 -508 1095 723 -425 3884 1622 -958 2612 -111 -4083 2024 -2407 -3357 -1999 4010 -849 357 -364 -160 918 -3387 -6098 1655 3956 -6207 -2954 2720 345 -1941 907 -2492 -3147 10132 -577 -5425 -2126 -747 -973 -3875 -2465 79 1543 -361 -1801 1594 2394 -1513 -1035 6147 4376 -1403 -1162 -2998 -255 -459 -1035 -1565 -164 1808 -6699 -2258 -2088 1195 2165 770 -363 5773 -996 -5461 -2911 682 -182 2195 -286 -1268 1485 1604 396 6638 3214 1610 -4023 5551 -3771 1302 805 707 -11110 5917 5478 5256 2600 -4264 -4783 -577 -2243 -2162 4745
+5513 -1203 -508 1137 733 -454 3869 1584 -995 2618 -107 -4113 1891 -2395 -3332 -1989 4015 -824 320 -423 -250 916 -3403 -6369 1667 4017 -6290 -3057 2643 225 -1997 871 -2532 -3196 10187 -627 -5487 -2208 -759 -1006 -3929 -2514 0 1447 -299 -1815 1566 2419 -1610 -1064 6059 4322 -1427 -1152 -2972 -185 -592 -1091 -1643 -219 1909 -6479 -2057 -2063 1279 2135 750 -522 5685 -978 -5481 -2884 832 -127 2313 -340 -1119 1552 1638 520 6845 3284 1560 -4127 5581 -3667 1289 737 795 -11124 5963 5528 5329 2790 -4304 -4875 -607 -2384 -2335 4786
+5492 -1222 -582 1174 780 -501 3786 1506 -1080 2525 -134 -4205 1715 -2349 -3466 -1998 4104 -737 256 -519 -290 847 -3315 -6523 1659 4161 -6442 -3094 2756 260 -2014 743 -2562 -3163 10217 -640 -5529 -2272 -731 -1074 -3914 -2635 -75 1303 -474 -1777 1603 2419 -1634 -868 5919 4334 -1526 -1194 -2939 -142 -620 -1086 -1748 -324 2015 -6350 -2084 -2141 1123 2015 703 -632 5602 -977 -5510 -2843 902 -176 2456 -373 -1115 1569 1710 703 7051 3375 1572 -4094 5537 -3630 1358 775 931 -11176 5935 5509 5382 2879 -4298 -4897 -648 -2483 -2386 4915
+5488 -1126 -625 1171 754 -564 3789 1554 -1086 2223 -122 -4180 1668 -2375 -3559 -1912 4212 -733 280 -529 -277 815 -3221 -6517 1554 4263 -6538 -3100 2889 353 -2063 629 -2580 -3129 10217 -602 -5554 -2295 -711 -1214 -3881 -2811 -113 1243 -623 -1666 1610 2331 -1604 -809 5845 4367 -1635 -1284 -2919 -11 -498 -1108 -1805 -406 2059 -6457 -2235 -2237 959 1980 727 -566 5670 -977 -5548 -2802 886 -176 2475 -369 -1167 1518 1683 725 7116 3443 1614 -4021 5457 -3702 1341 811 1016 -11192 5817 5455 5387 2812 -4351 -4878 -658 -2473 -2353 4957
+5514 -1245 -625 1116 698 -605 3798 1659 -1017 1954 -91 -4055 1644 -2520 -3526 -1905 4281 -721 361 -431 -173 786 -3187 -6357 1452 4379 -6460 -3028 2978 392 -2045 626 -2539 -3043 10202 -529 -5505 -2284 -728 -1311 -3794 -2836 -89 1265 -636 -1551 1598 2324 -1579 -841 5846 4266 -1668 -1287 -2870 72 -349 -1089 -1839 -479 1952 -6506 -2308 -2210 973 2055 684 -541 5782 -981 -5569 -2769 870 -276 2465 -466 -1277 1497 1661 625 7036 3449 1678 -4026 5404 -3825 1287 843 962 -11155 5783 5364 5321 2686 -4330 -4800 -667 -2400 -2193 4862
+5495 -1330 -517 1093 654 -602 3853 1746 -916 1909 -52 -3884 1751 -2673 -3405 -1937 4277 -730 463 -378 -84 855 -3279 -6263 1578 4341 -6230 -2946 2915 291 -1999 735 -2470 -3117 10184 -451 -5465 -2244 -720 -1312 -3814 -2758 -22 1325 -521 -1505 1621 2308 -1531 -860 5846 4099 -1659 -1272 -2813 75 -262 -1064 -1774 -406 1880 -6468 -2220 -2205 1074 2182 694 -510 5952 -957 -5571 -2806 931 -339 2412 -521 -1344 1510 1613 503 7035 3459 1644 -4107 5399 -3950 1186 894 997 -11117 5761 5272 5174 2549 -4353 -4721 -621 -2259 -2084 4746
+5485 -1344 -532 1054 639 -559 3825 1774 -860 2071 -105 -3726 1815 -2730 -3287 -1945 4243 -789 527 -389 -79 948 -3424 -6324 1677 4254 -6126 -2950 2789 181 -2004 872 -2480 -3257 10111 -431 -5433 -2205 -758 -1259 -3956 -2668 46 1376 -428 -1653 1648 2369 -1653 -940 5831 3901 -1587 -1220 -2799 26 -325 -1045 -1696 -314 1841 -6258 -2079 -2301 1211 2204 653 -576 5998 -950 -5578 -2855 1012 -325 2450 -652 -1366 1593 1642 456 7016 3437 1592 -4295 5361 -3863 1155 818 1003 -11188 5720 5250 5077 2442 -4387 -4672 -583 -2233 -2128 4702
+5436 -1309 -575 959 656 -516 3776 1770 -855 2217 -175 -3699 1804 -2682 -3277 -2053 4121 -894 442 -405 -144 907 -3326 -6377 1776 4080 -6181 -2984 2730 161 -2065 961 -2504 -3420 10095 -322 -5422 -2197 -902 -1160 -4153 -2704 65 1451 -441 -1751 1682 2407 -1664 -980 5857 3910 -1525 -1232 -2838 -64 -437 -1013 -1661 -266 1892 -6145 -2052 -2546 1047 2080 613 -685 5810 -1004 -5551 -2869 985 -207 2527 -682 -1365 1622 1648 500 7065 3427 1533 -4426 5277 -3736 1190 793 1116 -11275 5671 5245 5108 2475 -4477 -4721 -596 -2330 -2269 4808
+5456 -1263 -591 876 667 -480 3683 1757 -847 2275 -238 -3753 1675 -2514 -3358 -2148 4073 -894 340 -434 -248 830 -3108 -6486 1778 3964 -6374 -3059 2793 267 -2104 1000 -2490 -3466 10130 -222 -5388 -2174 -1037 -1178 -4202 -2774 39 1507 -568 -1781 1701 2335 -1698 -935 5919 3935 -1529 -1297 -2910 -101 -516 -994 -1681 -259 2049 -6129 -2236 -2703 818 2009 666 -637 5646 -1072 -5575 -2893 906 -64 2577 -581 -1421 1554 1676 676 6997 3388 1585 -4172 5294 -3682 1236 791 1181 -11441 5688 5307 5163 2448 -4511 -4747 -615 -2511 -2436 4895
+5493 -1302 -539 830 635 -457 3681 1813 -866 2207 -156 -3892 1575 -2321 -3371 -2106 4057 -780 340 -422 -305 787 -2958 -6538 1820 3870 -6499 -3096 2874 369 -2127 1030 -2460 -3445 10198 -71 -5362 -2206 -1180 -1309 -4128 -2863 0 1618 -775 -1801 1720 2255 -1628 -902 6067 4067 -1598 -1431 -3036 -184 -555 -954 -1638 -231 2042 -6259 -2385 -2677 709 2052 728 -528 5555 -1089 -5532 -2841 690 20 2554 -463 -1408 1465 1647 637 6869 3378 1681 -3949 5426 -3703 1234 839 1131 -11576 5703 5411 5239 2504 -4420 -4762 -665 -2615 -2456 4916
+5513 -1451 -344 876 650 -415 3724 1823 -849 2254 -79 -4058 1559 -2288 -3362 -2043 4075 -610 427 -392 -262 842 -3040 -6475 1829 3772 -6415 -3074 2833 447 -2073 1086 -2363 -3351 10274 14 -5342 -2236 -1239 -1492 -3927 -2879 -72 1749 -729 -1721 1744 2225 -1536 -934 6093 4090 -1610 -1484 -3087 -138 -471 -971 -1613 -233 1968 -6365 -2269 -2455 882 2161 736 -469 5700 -1024 -5519 -2794 580 52 2550 -335 -1329 1395 1599 557 6830 3420 1770 -3710 5669 -3794 1237 925 1053 -11549 5861 5488 5242 2534 -4318 -4763 -668 -2576 -2441 4830
+5451 -1618 -223 936 612 -472 3855 1832 -902 2338 48 -4283 1703 -2387 -3266 -1970 4114 -526 532 -391 -211 916 -3269 -6395 1913 3765 -6236 -3014 2753 373 -1997 1084 -2372 -3304 10310 -67 -5386 -2291 -1156 -1611 -3817 -2786 -144 1742 -629 -1733 1768 2190 -1434 -974 5983 4066 -1632 -1479 -3063 -81 -472 -1022 -1508 -119 1828 -6268 -2014 -2236 1112 2194 671 -491 5883 -960 -5527 -2760 539 -99 2447 -308 -1229 1407 1597 444 6882 3442 1783 -3667 5741 -3787 1244 948 999 -11475 5915 5516 5175 2539 -4093 -4684 -645 -2494 -2325 4767
+5387 -1569 -253 934 701 -449 3913 1785 -992 2440 -10 -4388 1971 -2467 -3304 -1940 4142 -594 599 -391 -198 899 -3335 -6345 1876 3820 -6162 -2941 2726 317 -1914 1013 -2465 -3294 10228 -323 -5504 -2344 -939 -1585 -3884 -2655 -207 1648 -573 -1785 1798 2227 -1444 -1076 5893 4070 -1704 -1468 -2980 1 -477 -1047 -1445 -29 1797 -6196 -1774 -2244 1141 2084 605 -541 6037 -924 -5587 -2826 568 -191 2440 -151 -1180 1402 1589 304 6947 3430 1658 -3852 5657 -3643 1269 916 1062 -11355 5941 5477 5135 2554 -4006 -4679 -636 -2341 -2152 4756
+5379 -1484 -392 892 781 -449 3839 1676 -1054 2475 -113 -4407 2167 -2565 -3360 -1939 4100 -778 617 -411 -266 826 -3193 -6438 1790 3923 -6183 -2878 2773 353 -1886 842 -2564 -3308 10205 -535 -5590 -2372 -762 -1495 -4030 -2582 -205 1621 -549 -1864 1822 2261 -1485 -1176 5826 4107 -1799 -1442 -2849 75 -557 -1063 -1497 -86 1767 -6270 -1864 -2325 936 1964 659 -437 5961 -1020 -5655 -2814 667 -317 2638 -77 -1312 1328 1604 390 6958 3347 1533 -4044 5503 -3582 1295 885 1160 -11309 5908 5475 5174 2484 -4126 -4721 -615 -2186 -1983 4763
+5423 -1374 -474 871 863 -410 3827 1605 -1059 2365 -233 -4294 2231 -2532 -3417 -1930 4072 -910 624 -420 -387 857 -3020 -6669 1772 4032 -6208 -2820 2861 409 -1890 753 -2580 -3256 10232 -590 -5581 -2346 -795 -1450 -4039 -2549 -214 1696 -666 -1855 1815 2308 -1429 -1163 5911 4184 -1873 -1410 -2782 151 -561 -1074 -1632 -229 1856 -6316 -2113 -2246 763 1936 788 -262 5798 -1129 -5689 -2824 649 -263 2708 -128 -1490 1270 1553 353 6891 3330 1541 -4043 5496 -3559 1212 807 1226 -11287 5820 5465 5258 2461 -4343 -4825 -631 -2189 -1972 4781
+5418 -1394 -510 841 852 -373 3876 1602 -1007 2280 -297 -4150 2091 -2441 -3399 -1959 4038 -868 609 -385 -384 912 -2990 -6811 1811 4069 -6161 -2810 2814 458 -1931 813 -2480 -3192 10281 -520 -5536 -2327 -904 -1440 -3934 -2529 -150 1871 -643 -1839 1775 2344 -1438 -1093 6054 4212 -1875 -1364 -2759 89 -494 -963 -1687 -361 1799 -6355 -2316 -2162 845 2035 876 -138 5727 -1153 -5679 -2860 600 2 2726 -114 -1541 1288 1530 385 6913 3399 1602 -4006 5627 -3610 1108 779 1161 -11283 5847 5490 5335 2493 -4605 -4933 -633 -2238 -2098 4809
+5413 -1413 -441 892 809 -456 3844 1588 -945 2242 -256 -4077 1833 -2323 -3340 -1985 3984 -765 598 -348 -314 936 -3144 -6757 1917 4032 -6167 -2905 2748 393 -1998 924 -2411 -3213 10330 -369 -5496 -2304 -1024 -1435 -3802 -2495 -87 1954 -619 -1794 1664 2324 -1350 -1113 6169 4277 -1830 -1327 -2796 55 -359 -903 -1628 -371 1728 -6371 -2221 -2062 1060 2086 825 -162 5717 -1086 -5574 -2800 540 144 2588 -247 -1414 1401 1496 378 7040 3489 1657 -3963 5767 -3711 1012 781 1136 -11248 5844 5492 5356 2559 -4777 -4998 -626 -2248 -2255 4868
+5461 -1439 -420 894 751 -553 3759 1639 -914 2238 -71 -4021 1584 -2273 -3407 -2003 3988 -644 551 -321 -230 904 -3320 -6549 1921 4034 -6300 -3018 2723 313 -2082 971 -2442 -3305 10320 -332 -5453 -2247 -1110 -1405 -3805 -2491 -106 1853 -569 -1877 1582 2291 -1318 -1265 6266 4374 -1752 -1308 -2883 -14 -288 -856 -1504 -229 1732 -6392 -2081 -2173 1139 2014 714 -276 5781 -1016 -5528 -2856 583 289 2460 -234 -1259 1468 1418 274 7267 3560 1607 -3956 5786 -3712 983 753 1175 -11171 5863 5483 5333 2643 -4756 -4990 -655 -2283 -2252 4917
+5556 -1297 -515 941 727 -686 3686 1668 -937 2205 57 -3954 1398 -2320 -3521 -2041 3925 -690 489 -380 -219 756 -3269 -6450 1866 4002 -6534 -3120 2789 275 -2143 921 -2588 -3454 10230 -334 -5439 -2203 -1026 -1375 -3958 -2469 -183 1606 -523 -1931 1540 2217 -1373 -1382 6312 4405 -1655 -1258 -2932 -24 -326 -871 -1369 -68 1726 -6455 -2019 -2347 978 1887 645 -363 5809 -1020 -5508 -2858 664 49 2451 -221 -1129 1471 1348 167 7309 3534 1528 -3972 5720 -3631 1031 751 1272 -11182 5885 5485 5347 2691 -4613 -4898 -647 -2246 -2177 4963
+5606 -1179 -598 969 774 -699 3619 1684 -931 2137 120 -3770 1478 -2472 -3578 -2053 3968 -767 526 -502 -263 745 -3112 -6607 1838 4034 -6606 -3190 2880 298 -2173 859 -2699 -3544 10175 -327 -5421 -2194 -940 -1345 -4032 -2458 -253 1450 -521 -1937 1570 2177 -1305 -1403 6404 4358 -1709 -1252 -2949 -22 -430 -888 -1341 26 1765 -6512 -2075 -2364 790 1867 667 -379 5789 -1085 -5582 -2928 805 -144 2600 -40 -1133 1360 1290 152 7220 3448 1462 -3952 5697 -3601 1116 828 1355 -11253 5904 5492 5330 2660 -4486 -4812 -646 -2169 -1964 4892
+5553 -1154 -526 1021 767 -607 3720 1744 -906 2090 65 -3624 1625 -2497 -3594 -2038 3980 -822 650 -568 -345 810 -2954 -6788 1876 4000 -6510 -3143 2844 270 -2166 850 -2650 -3472 10177 -274 -5375 -2172 -843 -1351 -3967 -2437 -269 1363 -567 -1907 1599 2166 -1262 -1224 6413 4414 -1694 -1250 -2985 -72 -506 -886 -1420 -63 1772 -6474 -2173 -2215 747 1910 666 -389 5838 -1100 -5668 -3015 874 -226 2667 97 -1211 1251 1308 253 7095 3394 1531 -3877 5665 -3590 1125 837 1279 -11439 5879 5497 5334 2614 -4344 -4715 -618 -2147 -1910 4898
+5510 -1257 -429 1031 729 -515 3849 1753 -950 2097 -81 -3661 1814 -2497 -3475 -2057 3978 -841 719 -508 -327 887 -2963 -6773 1906 3991 -6263 -3083 2733 199 -2130 910 -2501 -3331 10206 -142 -5368 -2203 -796 -1414 -3786 -2504 -250 1414 -531 -1783 1585 2184 -1155 -1121 6369 4349 -1755 -1274 -3023 -96 -465 -848 -1525 -248 1747 -6423 -2103 -2048 968 2033 640 -457 5860 -1046 -5645 -2971 822 -165 2611 -9 -1146 1329 1423 400 7167 3444 1612 -3852 5765 -3665 1046 857 1205 -11527 5967 5556 5363 2673 -4276 -4707 -621 -2212 -1947 4932
+5563 -1291 -413 1014 595 -572 3881 1778 -1033 2135 -247 -3839 1900 -2398 -3447 -2053 3968 -846 668 -390 -263 847 -3084 -6515 1817 4043 -6104 -3038 2649 87 -2136 944 -2427 -3327 10239 -96 -5397 -2250 -841 -1347 -3677 -2574 -165 1475 -538 -1819 1541 2112 -1192 -1191 6231 4308 -1850 -1318 -3004 -20 -329 -813 -1556 -399 1622 -6371 -2028 -2100 1080 2053 533 -619 5871 -929 -5564 -2880 796 8 2372 -139 -1032 1454 1488 446 7292 3426 1533 -3887 5920 -3654 1032 874 1173 -11562 5949 5522 5324 2723 -4293 -4757 -621 -2289 -2088 4988
+5552 -1320 -444 936 496 -680 3960 1821 -1115 2171 -263 -4164 1895 -2273 -3464 -2068 3948 -841 533 -293 -238 734 -3120 -6241 1623 4110 -6119 -3021 2686 147 -2125 883 -2411 -3362 10266 -94 -5439 -2326 -900 -1289 -3714 -2638 -82 1490 -534 -1903 1520 2082 -1252 -1262 6146 4251 -1918 -1328 -2925 84 -202 -858 -1541 -365 1577 -6359 -2004 -2336 1064 2053 519 -776 5785 -870 -5458 -2693 827 63 2206 -328 -915 1620 1524 369 7303 3415 1442 -3903 5986 -3630 1119 901 1259 -11567 5806 5426 5280 2734 -4291 -4799 -613 -2336 -2203 5061
+5554 -1231 -630 900 509 -727 3919 1752 -1184 2207 -197 -4403 1870 -2234 -3499 -2000 3964 -817 488 -285 -299 688 -3059 -6182 1526 4141 -6284 -3005 2844 269 -2052 779 -2538 -3430 10263 -140 -5477 -2341 -975 -1203 -3835 -2672 -42 1465 -516 -2013 1592 2068 -1318 -1289 6195 4044 -2049 -1326 -2860 168 -149 -910 -1526 -316 1569 -6427 -2021 -2524 888 2018 554 -827 5644 -913 -5383 -2569 896 -8 2182 -368 -973 1606 1462 208 7240 3390 1387 -3829 6030 -3628 1160 960 1460 -11502 5607 5317 5243 2676 -4360 -4857 -610 -2330 -2212 4996
+5481 -1167 -726 883 588 -651 3926 1731 -1133 2180 -19 -4321 1780 -2354 -3454 -1952 3965 -754 559 -359 -360 765 -3007 -6455 1528 4044 -6331 -3024 2887 422 -1985 782 -2550 -3432 10247 -232 -5450 -2279 -1006 -1178 -3883 -2663 -32 1451 -497 -2012 1695 2101 -1286 -1122 6263 3936 -2024 -1314 -2824 224 -154 -942 -1543 -261 1651 -6372 -2054 -2477 798 2062 630 -759 5621 -1007 -5452 -2668 1027 -149 2389 -335 -1161 1469 1315 52 7190 3382 1387 -3745 6163 -3676 1144 967 1520 -11459 5477 5263 5194 2541 -4484 -4881 -571 -2152 -2078 4947
+5374 -1249 -639 897 647 -546 3950 1674 -1067 2222 186 -4258 1739 -2523 -3335 -1961 3991 -667 688 -384 -379 903 -3030 -6680 1588 3905 -6281 -3052 2761 488 -1988 902 -2447 -3391 10310 -224 -5393 -2191 -965 -1261 -3894 -2657 -27 1463 -467 -2002 1715 2114 -1225 -954 6206 3884 -1923 -1319 -2877 119 -204 -870 -1590 -342 1718 -6299 -2089 -2241 866 2042 617 -605 5701 -1065 -5506 -2861 935 -268 2544 -249 -1284 1388 1309 63 7197 3438 1472 -3682 6268 -3739 1129 1027 1413 -11499 5532 5303 5178 2459 -4422 -4814 -547 -2037 -2000 4913
+5434 -1321 -531 931 646 -507 3945 1636 -1007 2267 259 -4133 1776 -2625 -3275 -1991 4033 -585 741 -366 -320 918 -3074 -6690 1650 3825 -6212 -3072 2623 434 -2004 1044 -2338 -3290 10282 -339 -5383 -2133 -878 -1279 -3822 -2697 -33 1473 -492 -1936 1688 2045 -1199 -939 6104 3920 -1794 -1342 -3004 -81 -233 -786 -1626 -424 1795 -6271 -2196 -2255 956 2019 600 -479 5818 -1068 -5574 -3037 819 -218 2640 -356 -1357 1393 1387 172 7281 3489 1503 -3736 6155 -3734 1130 1023 1224 -11512 5685 5400 5177 2489 -4389 -4783 -557 -2092 -2037 4964
+5519 -1408 -454 943 598 -552 3878 1601 -956 2390 161 -4192 1947 -2602 -3292 -2027 4090 -666 720 -271 -229 795 -3108 -6493 1694 3882 -6172 -3023 2586 386 -2052 1113 -2279 -3276 10288 -373 -5430 -2153 -790 -1323 -3805 -2765 -52 1426 -625 -1901 1647 2013 -1268 -1029 6058 4032 -1669 -1382 -3106 -170 -251 -768 -1610 -399 1836 -6405 -2311 -2382 967 1951 588 -439 5842 -1017 -5570 -3031 711 -133 2566 -359 -1342 1383 1429 217 7349 3511 1505 -3880 5913 -3713 1148 1039 1269 -11576 5750 5489 5193 2558 -4361 -4793 -626 -2163 -2034 5045
+5650 -1377 -472 937 615 -610 3795 1672 -866 2427 -68 -4121 1897 -2481 -3330 -2101 4083 -758 614 -208 -134 686 -3123 -6379 1765 4009 -6250 -2983 2735 461 -2036 1072 -2350 -3254 10204 -452 -5474 -2193 -737 -1258 -3865 -2816 -11 1371 -624 -1866 1684 2026 -1408 -1171 6143 4115 -1644 -1460 -3165 -152 -269 -856 -1512 -199 1811 -6560 -2299 -2440 920 1937 641 -510 5709 -986 -5522 -2858 674 -144 2419 -353 -1292 1365 1404 108 7252 3468 1464 -3943 5754 -3661 1190 1021 1352 -11544 5715 5534 5317 2660 -4463 -4889 -691 -2286 -2121 5024
+5593 -1273 -506 911 668 -608 3700 1710 -818 2353 -196 -4052 1796 -2399 -3328 -2115 4090 -783 510 -231 -128 644 -3097 -6473 1900 4240 -6313 -2904 2929 479 -1977 968 -2526 -3283 10137 -419 -5498 -2260 -824 -1250 -3951 -2851 -67 1401 -604 -1869 1799 2072 -1569 -1099 6205 4027 -1660 -1449 -3108 -125 -343 -961 -1433 -33 1755 -6600 -2175 -2285 979 2029 748 -540 5587 -1006 -5526 -2663 756 -267 2357 -237 -1258 1301 1317 -27 7110 3402 1413 -3912 5850 -3636 1224 1057 1452 -11416 5708 5538 5433 2715 -4610 -4976 -705 -2330 -2197 4970
+5435 -1315 -497 902 754 -493 3732 1747 -799 2207 -171 -3948 1630 -2417 -3290 -2101 4078 -719 486 -277 -156 765 -3109 -6638 1982 4300 -6227 -2875 2998 453 -1954 910 -2583 -3318 10157 -251 -5480 -2323 -973 -1213 -3988 -2834 -99 1485 -567 -1890 1844 2163 -1517 -918 6218 3987 -1624 -1391 -3024 -95 -398 -1041 -1440 -46 1711 -6444 -2017 -1990 1120 2144 800 -479 5688 -1021 -5605 -2651 847 -383 2368 -163 -1276 1242 1275 -27 7105 3464 1466 -3818 6005 -3655 1148 1034 1388 -11362 5666 5522 5476 2700 -4623 -4957 -670 -2266 -2198 4976
+5341 -1352 -525 902 861 -427 3840 1716 -896 2096 -86 -3904 1507 -2561 -3205 -2063 4055 -658 547 -299 -162 860 -3126 -6742 1925 4233 -6162 -2908 2855 330 -1997 906 -2509 -3297 10186 -166 -5473 -2368 -1085 -1126 -4073 -2837 -102 1663 -483 -1880 1763 2169 -1424 -828 6000 4034 -1587 -1341 -2923 -26 -390 -1032 -1530 -204 1704 -6287 -2012 -1944 1182 2117 779 -334 5884 -1045 -5710 -2798 873 -442 2401 -163 -1326 1267 1331 152 7280 3553 1526 -3694 6129 -3626 1128 1026 1219 -11250 5643 5440 5425 2714 -4615 -4920 -623 -2230 -2223 5048
+5370 -1349 -526 900 886 -465 3975 1786 -1002 2078 15 -3902 1510 -2712 -3156 -2008 4082 -611 614 -300 -140 862 -3130 -6604 1751 4145 -6157 -2987 2735 227 -2086 889 -2427 -3247 10232 -153 -5484 -2364 -1008 -1225 -4050 -2820 -104 1779 -573 -1836 1671 2142 -1335 -877 5815 4158 -1592 -1334 -2847 -4 -392 -1062 -1718 -402 1830 -6264 -2172 -2084 1108 2024 745 -196 5981 -1086 -5765 -2969 712 -349 2374 -295 -1353 1351 1427 372 7511 3647 1542 -3739 6142 -3583 1152 1023 1128 -11273 5702 5435 5400 2732 -4508 -4847 -613 -2159 -2054 5140
+5514 -1353 -475 911 834 -577 3964 1827 -1075 2132 32 -3937 1576 -2733 -3194 -2012 4120 -614 670 -291 -102 829 -3183 -6457 1667 4107 -6234 -3031 2744 286 -2107 835 -2382 -3211 10247 -344 -5500 -2299 -827 -1327 -4026 -2737 -34 1844 -606 -1831 1607 2072 -1428 -994 5829 4293 -1570 -1312 -2777 110 -376 -1081 -1773 -428 1877 -6309 -2281 -2307 956 1988 778 -206 5894 -1086 -5694 -3033 481 -254 2322 -341 -1340 1395 1447 430 7497 3610 1475 -3782 6000 -3436 1269 1041 1146 -11358 5655 5384 5347 2779 -4474 -4833 -606 -2074 -1896 5200
+5634 -1270 -430 915 781 -669 3951 1930 -1018 2203 -78 -3869 1720 -2572 -3276 -1973 4152 -679 679 -280 -144 842 -3277 -6317 1731 4047 -6410 -3072 2889 397 -2035 719 -2472 -3148 10203 -542 -5536 -2276 -796 -1399 -3987 -2724 -92 1821 -649 -1770 1711 2069 -1581 -1013 5853 4253 -1542 -1264 -2736 128 -395 -1157 -1712 -256 1895 -6438 -2201 -2370 930 2061 816 -381 5689 -1054 -5580 -2986 314 -87 2258 -324 -1334 1384 1384 307 7399 3598 1487 -3877 5884 -3337 1369 1078 1213 -11427 5512 5312 5330 2770 -4496 -4838 -560 -1990 -1950 5023
+5567 -1251 -358 904 793 -603 3894 1921 -914 2274 -143 -3816 1850 -2331 -3328 -1983 4181 -770 646 -317 -203 845 -3333 -6289 1901 3975 -6447 -3009 2985 434 -1917 671 -2585 -3179 10176 -679 -5553 -2234 -788 -1440 -3946 -2682 -144 1765 -621 -1746 1874 2082 -1587 -841 6009 4219 -1502 -1217 -2768 49 -433 -1195 -1574 -41 1860 -6354 -2064 -2293 1068 2174 787 -580 5575 -995 -5542 -2974 358 -47 2266 -232 -1260 1369 1325 157 7243 3513 1442 -3920 5997 -3378 1386 1115 1242 -11558 5435 5311 5371 2767 -4619 -4881 -469 -1965 -2185 4976
+5487 -1192 -330 948 821 -464 3911 1867 -851 2302 -100 -3853 1965 -2255 -3355 -1950 4148 -813 591 -304 -232 892 -3256 -6285 1962 3876 -6319 -2947 2973 306 -1822 740 -2647 -3174 10168 -696 -5560 -2261 -903 -1375 -3894 -2613 -272 1692 -595 -1755 1938 2111 -1484 -804 6079 4222 -1426 -1192 -2842 -13 -430 -1150 -1473 34 1842 -6192 -2074 -2329 1158 2172 690 -636 5775 -894 -5598 -3070 496 14 2326 -176 -1158 1380 1301 140 7326 3601 1510 -3896 6092 -3498 1344 1176 1195 -11604 5343 5261 5354 2792 -4676 -4912 -407 -1986 -2445 4986
+5543 -1214 -271 994 850 -403 3911 1773 -851 2345 -12 -4013 2003 -2258 -3407 -1962 4046 -786 549 -265 -263 845 -3074 -6348 2030 3783 -6183 -2890 2887 185 -1825 863 -2610 -3206 10228 -583 -5585 -2300 -987 -1264 -3889 -2575 -363 1711 -553 -1743 1894 2094 -1379 -889 6161 4377 -1333 -1225 -2975 -118 -392 -1083 -1509 -35 1908 -6222 -2298 -2482 1054 2050 585 -543 5998 -903 -5751 -3189 708 -40 2384 -141 -1162 1377 1367 370 7488 3640 1520 -3804 6104 -3609 1346 1252 1125 -11657 5360 5297 5326 2835 -4594 -4889 -400 -1965 -2449 5175
+5591 -1269 -302 987 781 -489 3905 1734 -914 2323 124 -4234 1997 -2374 -3394 -1888 3986 -795 499 -268 -270 795 -2993 -6327 2022 3757 -6135 -2825 2830 119 -1890 973 -2544 -3218 10272 -434 -5557 -2325 -1021 -1187 -3895 -2562 -339 1708 -594 -1804 1710 1990 -1216 -1134 6223 4506 -1341 -1251 -3005 -149 -479 -1069 -1593 -141 1985 -6277 -2412 -2582 884 2014 587 -487 6065 -954 -5814 -3198 768 -36 2357 -141 -1171 1356 1377 518 7628 3751 1553 -3777 6017 -3572 1364 1280 1187 -11724 5313 5285 5273 2859 -4460 -4852 -464 -1984 -2256 5304
+5604 -1344 -295 992 711 -625 3927 1733 -971 2301 179 -4307 1882 -2492 -3463 -1929 3956 -654 497 -306 -218 752 -3091 -6216 1923 3911 -6163 -2867 2855 253 -1972 1000 -2501 -3214 10298 -353 -5538 -2354 -964 -1126 -3906 -2537 -177 1780 -646 -1840 1658 2012 -1254 -1152 6223 4425 -1352 -1214 -2978 -120 -524 -1119 -1644 -153 2020 -6340 -2314 -2475 838 2063 635 -595 5867 -1018 -5746 -3008 786 -119 2297 -132 -1205 1331 1353 494 7543 3721 1533 -3780 5832 -3515 1382 1263 1316 -11764 5211 5261 5254 2801 -4371 -4808 -489 -1906 -2015 5304
+5471 -1457 -354 916 614 -649 3888 1729 -979 2219 191 -4244 1821 -2492 -3475 -1918 3981 -654 562 -337 -186 822 -3341 -6104 1831 4040 -6253 -2931 2885 398 -2012 934 -2507 -3229 10272 -326 -5524 -2351 -855 -1205 -3862 -2523 -14 1857 -667 -1920 1722 2072 -1359 -988 6178 4191 -1448 -1183 -2908 -66 -545 -1179 -1627 -131 1954 -6288 -1994 -2285 1011 2188 668 -824 5613 -1018 -5624 -2829 799 -51 2317 -166 -1213 1322 1301 324 7373 3682 1555 -3857 5737 -3437 1332 1161 1369 -11782 5195 5299 5295 2694 -4372 -4801 -510 -1867 -1934 5200
+5425 -1388 -413 857 654 -577 3891 1678 -1017 2185 102 -4124 1715 -2375 -3453 -1983 4048 -653 587 -387 -141 912 -3450 -5999 1619 4028 -6241 -3018 2795 478 -2006 911 -2538 -3309 10269 -348 -5515 -2314 -715 -1300 -3787 -2476 47 1871 -529 -1915 1786 2207 -1469 -953 6054 3959 -1510 -1100 -2816 17 -468 -1187 -1599 -150 1841 -6173 -1861 -2212 1265 2320 671 -984 5525 -949 -5548 -2784 886 48 2312 -280 -1213 1375 1324 171 7245 3640 1560 -3928 5739 -3377 1238 1073 1300 -11741 5291 5354 5362 2601 -4480 -4843 -510 -1859 -1984 5187
+5461 -1293 -433 867 716 -499 3944 1681 -940 2171 -44 -3996 1640 -2223 -3440 -2014 4094 -668 625 -362 -106 972 -3365 -6105 1477 4035 -6203 -3046 2724 470 -1999 938 -2514 -3325 10254 -451 -5521 -2274 -646 -1245 -3741 -2376 58 1768 -446 -1982 1830 2307 -1439 -1134 6039 3919 -1594 -1143 -2824 47 -234 -1106 -1609 -211 1762 -6160 -2059 -2280 1326 2228 611 -906 5571 -917 -5597 -2936 1071 176 2483 -288 -1159 1468 1419 177 7276 3675 1637 -3948 5665 -3373 1196 1047 1130 -11545 5423 5395 5389 2633 -4623 -4954 -583 -1975 -2033 5306
+5479 -1249 -506 912 798 -458 3989 1672 -939 2329 -234 -4001 1711 -2169 -3394 -1986 4143 -691 618 -333 -101 1019 -3202 -6269 1425 4025 -6198 -3060 2725 492 -1962 915 -2446 -3281 10257 -539 -5508 -2228 -621 -1220 -3754 -2330 17 1668 -352 -1935 1740 2346 -1343 -1288 6058 3936 -1716 -1225 -2867 65 -154 -1057 -1679 -359 1744 -6253 -2353 -2463 1186 2134 613 -711 5651 -950 -5674 -3119 1102 185 2635 -233 -1225 1481 1527 292 7302 3672 1660 -3875 5578 -3355 1334 1160 1018 -11456 5541 5419 5399 2714 -4728 -5038 -649 -2102 -2025 5485
+5494 -1322 -487 971 804 -494 3979 1764 -953 2464 -372 -4010 1834 -2231 -3403 -1952 4137 -726 528 -296 -112 966 -3154 -6311 1511 4098 -6236 -3022 2802 565 -1952 868 -2429 -3216 10326 -611 -5522 -2224 -657 -1152 -3720 -2365 -55 1535 -393 -1812 1628 2350 -1228 -1318 6154 3979 -1757 -1294 -2921 41 -143 -1000 -1722 -429 1779 -6408 -2500 -2372 1037 2058 679 -532 5604 -1060 -5702 -3177 1033 12 2777 -164 -1328 1406 1533 317 7254 3677 1699 -3736 5541 -3305 1435 1227 1086 -11301 5614 5426 5415 2790 -4865 -5108 -687 -2146 -1972 5555
+5429 -1370 -542 979 708 -625 3895 1833 -901 2441 -323 -3877 1924 -2431 -3344 -1867 4094 -820 455 -300 -67 886 -3306 -6180 1652 4138 -6254 -2914 2890 615 -1968 833 -2430 -3136 10334 -649 -5541 -2227 -746 -1121 -3693 -2452 -83 1431 -489 -1740 1601 2269 -1186 -1193 6171 3927 -1689 -1288 -2986 -67 -255 -931 -1707 -388 1874 -6457 -2365 -2134 1026 2044 693 -626 5520 -1065 -5618 -3108 834 -154 2760 12 -1333 1317 1467 275 7146 3659 1725 -3666 5666 -3280 1473 1254 1253 -11343 5657 5455 5441 2776 -4901 -5076 -632 -2052 -1948 5464
+5368 -1445 -499 922 601 -654 3833 1901 -868 2288 -125 -3738 1923 -2600 -3386 -1857 4077 -804 364 -302 -46 790 -3456 -5975 1726 4125 -6273 -2880 2886 484 -1951 808 -2502 -3175 10392 -641 -5562 -2254 -890 -1148 -3653 -2589 -114 1389 -598 -1749 1631 2321 -1302 -990 6160 3831 -1608 -1246 -3051 -150 -334 -947 -1617 -226 1966 -6464 -2111 -2042 1187 2057 675 -716 5507 -1025 -5542 -2982 720 -276 2529 -47 -1260 1313 1416 224 7060 3596 1659 -3692 5870 -3256 1410 1186 1259 -11305 5686 5445 5443 2714 -4899 -5005 -539 -1910 -1982 5301
+5378 -1372 -569 864 554 -595 3802 1903 -860 2152 104 -3678 1900 -2645 -3403 -1853 4086 -833 373 -371 -86 723 -3437 -5918 1604 4071 -6207 -2895 2746 245 -1948 832 -2589 -3231 10409 -683 -5570 -2260 -883 -1201 -3682 -2674 -82 1359 -524 -1777 1692 2343 -1338 -1000 6156 3876 -1576 -1287 -3140 -252 -323 -925 -1513 -140 1909 -6459 -2064 -2171 1245 2017 592 -733 5634 -917 -5529 -2917 726 -184 2314 -173 -1073 1428 1434 220 7036 3508 1524 -3878 6036 -3224 1289 1102 1180 -11319 5735 5444 5385 2631 -4764 -4882 -453 -1730 -2007 5191
+5552 -1148 -645 866 660 -492 3805 1799 -931 2125 165 -3735 1828 -2520 -3424 -1917 4178 -821 434 -469 -208 744 -3279 -6070 1553 3958 -6194 -2934 2678 72 -1902 807 -2684 -3238 10306 -714 -5599 -2257 -713 -1210 -3857 -2679 35 1399 -440 -1921 1627 2406 -1490 -1257 6266 3992 -1636 -1363 -3196 -221 -255 -920 -1469 -202 1730 -6490 -2286 -2361 1217 1990 571 -633 5704 -881 -5595 -2881 828 -60 2273 -438 -1065 1538 1546 382 7112 3458 1451 -4024 5922 -3226 1269 1134 1102 -11401 5756 5461 5366 2673 -4624 -4838 -460 -1768 -2041 5176
+5559 -1082 -686 835 773 -397 3828 1720 -959 2224 3 -3868 1777 -2294 -3444 -1986 4222 -775 484 -529 -292 818 -3195 -6283 1505 4027 -6232 -3060 2745 181 -1925 795 -2675 -3169 10267 -671 -5530 -2213 -558 -1180 -4013 -2627 88 1443 -324 -1909 1524 2399 -1443 -1375 6365 4111 -1717 -1405 -3151 -172 -191 -865 -1492 -273 1652 -6550 -2445 -2269 1098 2017 629 -537 5634 -923 -5676 -2893 891 -4 2375 -477 -1164 1526 1560 433 7126 3425 1456 -4053 5727 -3271 1324 1215 1218 -11479 5669 5454 5372 2777 -4510 -4833 -469 -1839 -2129 5221
+5484 -1264 -627 895 733 -467 3980 1750 -1045 2254 -164 -3984 1718 -2126 -3453 -2058 4242 -737 443 -506 -324 886 -3246 -6238 1514 4112 -6375 -3142 2871 375 -1929 711 -2636 -3031 10160 -630 -5497 -2192 -455 -1089 -4127 -2640 127 1486 -359 -1839 1442 2338 -1262 -1187 6458 4167 -1743 -1395 -3068 -163 -207 -837 -1512 -357 1532 -6597 -2344 -2120 1057 2111 717 -589 5487 -987 -5678 -2873 778 -89 2582 -460 -1268 1498 1546 437 7109 3471 1557 -4065 5461 -3370 1352 1305 1452 -11560 5569 5451 5462 2903 -4504 -4878 -442 -1940 -2312 5328
+5350 -1282 -550 949 713 -529 4065 1757 -1058 2266 -265 -3984 1678 -2179 -3355 -2065 4168 -733 398 -410 -224 882 -3432 -6017 1464 4173 -6403 -3181 2949 540 -1996 703 -2527 -2949 10083 -482 -5478 -2216 -508 -1148 -4073 -2687 111 1609 -466 -1740 1514 2290 -1172 -984 6350 4086 -1730 -1294 -2930 -117 -379 -909 -1596 -401 1595 -6434 -2050 -2012 1113 2174 735 -737 5405 -1013 -5638 -2987 527 -153 2555 -324 -1276 1461 1501 351 7122 3526 1643 -4027 5461 -3366 1290 1261 1641 -11686 5417 5426 5461 2856 -4496 -4855 -367 -1958 -2434 5343
+5436 -1284 -466 978 684 -588 4137 1733 -1059 2213 -139 -4032 1777 -2391 -3260 -2006 4118 -690 283 -306 -143 864 -3490 -5804 1403 4101 -6321 -3147 2835 473 -2063 765 -2432 -3019 10039 -343 -5480 -2272 -717 -1174 -3938 -2781 6 1609 -522 -1657 1693 2374 -1271 -886 6265 4068 -1771 -1228 -2804 -15 -384 -940 -1592 -360 1670 -6311 -1853 -2205 1094 2129 654 -834 5440 -995 -5615 -3105 365 -147 2501 -292 -1146 1535 1466 245 7299 3604 1638 -4061 5596 -3477 1117 1201 1690 -11729 5424 5408 5405 2765 -4476 -4840 -366 -1931 -2366 5294
+5560 -1167 -412 1005 745 -553 4089 1687 -1013 2258 66 -4102 1863 -2685 -3232 -1954 4109 -669 280 -298 -92 890 -3422 -5900 1466 4060 -6176 -3066 2744 317 -2073 847 -2397 -3170 10023 -276 -5462 -2253 -858 -1274 -3817 -2788 -102 1604 -492 -1669 1856 2373 -1371 -1043 6182 4101 -1818 -1238 -2772 59 -363 -973 -1586 -257 1765 -6228 -1914 -2540 1072 2117 605 -774 5566 -983 -5671 -3246 413 -129 2395 -362 -1023 1641 1498 243 7333 3540 1508 -4154 5765 -3470 962 1088 1595 -11816 5534 5448 5295 2616 -4403 -4786 -398 -1916 -2144 5254
+5693 -1119 -443 1070 823 -542 4062 1638 -1023 2353 174 -4217 1922 -2757 -3267 -1911 4110 -688 309 -401 -128 914 -3282 -6266 1652 4027 -6117 -2961 2694 253 -2052 888 -2411 -3280 10018 -327 -5454 -2243 -935 -1281 -3882 -2748 -167 1497 -452 -1741 1838 2386 -1508 -1193 6153 4251 -1831 -1305 -2855 75 -220 -935 -1515 -181 1718 -6334 -2170 -2592 987 2105 584 -689 5568 -1024 -5801 -3294 691 -55 2424 -414 -1045 1621 1541 365 7263 3404 1406 -4205 5680 -3359 991 1059 1464 -11741 5686 5446 5189 2562 -4413 -4793 -477 -1888 -1914 5228
+5638 -1186 -484 1103 858 -593 3959 1636 -1027 2492 104 -4255 1901 -2629 -3371 -1886 4263 -712 396 -566 -167 984 -3295 -6569 1924 4129 -6203 -2875 2841 338 -2006 830 -2514 -3306 10071 -421 -5428 -2184 -900 -1293 -4031 -2733 -233 1430 -484 -1759 1728 2334 -1487 -1157 6224 4353 -1795 -1353 -2943 -1 -221 -876 -1426 -160 1615 -6343 -2352 -2445 976 2116 603 -696 5521 -1037 -5893 -3236 894 0 2451 -379 -1169 1508 1538 515 7083 3296 1404 -4181 5615 -3251 1139 1122 1480 -11626 5772 5432 5141 2486 -4514 -4817 -527 -1813 -1811 5211
+5450 -1360 -415 1065 808 -611 3855 1752 -948 2510 -68 -4121 1821 -2388 -3492 -1955 4314 -752 392 -601 -175 962 -3359 -6545 2055 4170 -6308 -2835 2968 454 -1931 768 -2577 -3214 10137 -514 -5426 -2117 -780 -1390 -4142 -2700 -241 1526 -594 -1746 1649 2221 -1423 -901 6333 4394 -1649 -1299 -2972 -35 -339 -841 -1384 -152 1631 -6298 -2301 -2263 1024 2146 632 -816 5323 -1100 -5943 -3112 1037 111 2481 -347 -1323 1366 1509 581 6933 3237 1469 -4180 5547 -3194 1246 1180 1569 -11525 5767 5447 5199 2412 -4705 -4872 -544 -1874 -1960 5237
+5349 -1462 -389 1016 692 -656 3751 1770 -895 2476 -292 -3993 1821 -2226 -3463 -1989 4341 -748 347 -559 -109 861 -3428 -6298 1954 4159 -6380 -2865 2965 503 -1900 771 -2556 -3095 10231 -557 -5447 -2131 -717 -1364 -4136 -2646 -125 1709 -651 -1778 1619 2263 -1456 -774 6404 4354 -1551 -1251 -3009 -99 -432 -837 -1384 -100 1735 -6285 -2224 -2344 1071 2106 608 -941 5276 -1089 -5899 -2990 997 114 2407 -397 -1294 1390 1550 552 6900 3225 1486 -4201 5637 -3162 1305 1224 1530 -11478 5780 5487 5337 2388 -4904 -4942 -538 -1936 -2127 5358
+5392 -1426 -386 912 668 -606 3760 1806 -808 2391 -379 -3832 1756 -2195 -3374 -2031 4267 -727 271 -471 -61 829 -3427 -6177 1776 4094 -6351 -2909 2796 397 -1864 869 -2517 -3050 10287 -661 -5493 -2166 -666 -1413 -4047 -2621 -30 1805 -634 -1767 1713 2388 -1568 -838 6463 4324 -1524 -1217 -2943 -23 -533 -925 -1474 -114 1862 -6199 -2144 -2504 1116 2057 570 -924 5389 -1066 -5880 -3038 951 118 2324 -445 -1285 1450 1587 507 7028 3252 1415 -4327 5768 -3208 1244 1232 1445 -11440 5955 5583 5445 2418 -5054 -5023 -560 -1985 -2160 5424
+5464 -1428 -408 850 726 -476 3726 1779 -784 2314 -310 -3773 1837 -2358 -3259 -1960 4164 -796 256 -468 -101 914 -3414 -6351 1698 4028 -6317 -2995 2646 357 -1859 935 -2522 -3104 10334 -703 -5575 -2283 -662 -1400 -3962 -2581 54 1838 -549 -1790 1763 2552 -1695 -1007 6514 4229 -1573 -1209 -2886 48 -513 -979 -1522 -97 1912 -6240 -2153 -2509 1254 2150 594 -836 5487 -1073 -5896 -3187 961 88 2241 -406 -1257 1485 1571 341 7094 3234 1301 -4382 5856 -3244 1189 1208 1356 -11471 6048 5687 5516 2521 -5004 -5050 -586 -1983 -2077 5392
+5432 -1396 -477 797 792 -410 3820 1784 -890 2253 -204 -3913 1911 -2521 -3281 -1883 4106 -847 285 -544 -209 994 -3399 -6627 1704 4071 -6330 -3001 2675 355 -1835 908 -2579 -3171 10276 -729 -5645 -2371 -679 -1343 -3922 -2477 37 1730 -460 -1800 1757 2544 -1828 -1063 6467 4117 -1596 -1202 -2821 123 -360 -973 -1547 -193 1801 -6228 -2207 -2293 1392 2258 655 -770 5518 -1065 -5891 -3315 971 24 2336 -312 -1352 1436 1498 144 7043 3225 1267 -4392 5852 -3272 1221 1245 1422 -11488 6189 5757 5523 2647 -4848 -5007 -567 -1920 -1919 5333
+5355 -1405 -508 787 819 -411 3851 1851 -956 2118 -80 -3912 1890 -2579 -3335 -1791 4114 -889 333 -591 -286 1017 -3331 -6644 1674 4099 -6343 -2996 2816 439 -1889 819 -2603 -3234 10264 -652 -5645 -2392 -765 -1298 -3945 -2450 -61 1608 -550 -1782 1688 2433 -1800 -986 6329 4064 -1631 -1212 -2783 236 -197 -959 -1567 -316 1646 -6278 -2243 -2042 1460 2334 729 -828 5375 -1071 -5870 -3357 1029 -47 2450 -212 -1469 1357 1466 136 6962 3243 1362 -4363 5664 -3263 1234 1249 1590 -11509 6068 5726 5537 2746 -4679 -4951 -522 -1743 -1794 5324
+5288 -1538 -462 802 779 -497 3908 1920 -956 2037 5 -3959 1788 -2513 -3444 -1799 4136 -870 363 -573 -279 973 -3277 -6440 1679 4106 -6338 -2929 2934 434 -1928 803 -2585 -3227 10288 -452 -5578 -2366 -862 -1334 -3921 -2430 -165 1552 -656 -1670 1655 2374 -1700 -746 6208 4064 -1635 -1249 -2760 289 -147 -917 -1604 -395 1649 -6266 -2232 -2065 1389 2266 739 -915 5208 -1053 -5804 -3310 1007 59 2471 -314 -1476 1385 1496 184 6980 3302 1465 -4359 5543 -3273 1237 1264 1714 -11451 5915 5636 5493 2793 -4507 -4871 -468 -1641 -1796 5366
+5373 -1485 -391 851 751 -531 3910 1929 -919 2001 54 -3911 1670 -2321 -3546 -1841 4222 -771 426 -541 -250 960 -3273 -6185 1645 4035 -6236 -2891 2947 347 -1988 846 -2500 -3215 10292 -249 -5470 -2279 -902 -1425 -3759 -2389 -215 1545 -714 -1600 1677 2403 -1588 -745 6210 4061 -1689 -1300 -2790 275 -229 -946 -1706 -386 1875 -6183 -2306 -2280 1293 2152 694 -952 5155 -1002 -5717 -3206 963 172 2331 -480 -1370 1481 1573 313 7006 3312 1490 -4455 5451 -3296 1109 1176 1688 -11482 5755 5577 5504 2837 -4506 -4899 -458 -1592 -1823 5482
+5489 -1369 -339 839 684 -513 3939 1907 -856 2068 78 -3974 1728 -2098 -3589 -1898 4243 -747 425 -582 -259 928 -3240 -6123 1745 3981 -6125 -2879 2863 204 -2067 901 -2438 -3267 10276 -167 -5411 -2207 -913 -1486 -3664 -2397 -162 1577 -686 -1624 1753 2435 -1615 -894 6333 4060 -1758 -1369 -2839 239 -324 -975 -1745 -379 1970 -6255 -2331 -2475 1303 2169 679 -874 5261 -960 -5676 -3117 946 214 2143 -578 -1197 1603 1665 415 6981 3210 1357 -4539 5452 -3331 1024 1169 1622 -11533 5700 5551 5459 2858 -4543 -4940 -460 -1628 -1885 5480
+5533 -1382 -439 890 723 -499 3867 1744 -893 2243 51 -4028 1805 -2070 -3658 -1962 4193 -782 344 -664 -329 958 -3256 -6247 1867 3939 -6204 -2920 2790 145 -2110 916 -2489 -3400 10215 -196 -5364 -2141 -932 -1513 -3709 -2442 -68 1559 -589 -1672 1712 2368 -1476 -1025 6424 4017 -1681 -1373 -2900 185 -400 -986 -1723 -333 2038 -6346 -2306 -2446 1344 2217 640 -796 5436 -915 -5654 -3061 994 153 2062 -480 -1129 1547 1608 358 6862 3111 1284 -4469 5494 -3288 1004 1180 1623 -11648 5642 5542 5426 2841 -4651 -4981 -416 -1717 -2037 5430
+5448 -1267 -576 877 768 -485 3830 1683 -962 2381 2 -3985 1869 -2225 -3654 -1971 4085 -864 252 -717 -404 933 -3143 -6336 1805 3857 -6368 -3033 2825 251 -2136 854 -2590 -3467 10147 -219 -5396 -2159 -890 -1384 -3786 -2459 55 1514 -526 -1853 1649 2321 -1549 -1042 6423 3955 -1517 -1339 -3007 32 -390 -990 -1661 -262 2005 -6393 -2286 -2263 1392 2238 604 -799 5610 -860 -5699 -3132 1005 -89 2143 -362 -1121 1454 1530 284 6802 3124 1329 -4359 5595 -3244 1042 1161 1697 -11750 5605 5516 5395 2747 -4775 -4965 -333 -1683 -2158 5330
+5429 -1266 -656 929 814 -483 3838 1637 -1035 2458 10 -4015 1825 -2504 -3570 -1983 3945 -895 205 -663 -367 930 -3047 -6429 1659 3892 -6454 -3105 2827 354 -2107 880 -2571 -3484 10141 -231 -5411 -2196 -873 -1252 -3894 -2454 121 1569 -488 -1899 1549 2225 -1524 -1014 6380 4067 -1417 -1368 -3071 -54 -359 -958 -1574 -236 1892 -6375 -2291 -2141 1206 2108 548 -883 5563 -882 -5771 -3197 1047 -276 2338 -215 -1171 1412 1512 328 6910 3253 1429 -4269 5673 -3245 1080 1188 1842 -11803 5554 5455 5343 2559 -4828 -4886 -285 -1650 -2154 5353
+5522 -1230 -634 988 822 -536 3919 1668 -1059 2425 45 -4052 1828 -2663 -3426 -1878 3944 -882 274 -598 -317 921 -3015 -6374 1518 3862 -6399 -3104 2813 387 -2069 909 -2494 -3339 10212 -152 -5426 -2233 -850 -1210 -3864 -2513 55 1636 -527 -1843 1548 2221 -1444 -948 6354 4188 -1416 -1378 -3066 -78 -332 -942 -1576 -242 1866 -6372 -2316 -2370 981 1988 538 -929 5488 -891 -5832 -3297 1021 -246 2500 -191 -1154 1438 1541 402 7103 3347 1478 -4271 5724 -3286 1131 1259 1854 -11774 5585 5444 5292 2389 -4755 -4786 -332 -1680 -1984 5425
+5612 -1168 -609 1022 800 -558 3987 1685 -1118 2329 88 -4211 1848 -2666 -3383 -1829 4036 -845 367 -556 -204 998 -3182 -6346 1406 4008 -6243 -3117 2737 356 -2048 994 -2392 -3217 10302 -108 -5441 -2285 -878 -1197 -3745 -2554 -32 1609 -554 -1800 1607 2308 -1385 -1009 6404 4239 -1415 -1337 -2988 -75 -425 -993 -1679 -311 1923 -6345 -2318 -2600 933 2029 566 -971 5371 -898 -5837 -3360 930 -61 2565 -361 -1096 1617 1626 484 7269 3401 1463 -4328 5692 -3250 1206 1343 1638 -11727 5762 5485 5307 2381 -4679 -4775 -460 -1729 -1802 5537
+5632 -1227 -545 1041 741 -623 4006 1608 -1143 2271 58 -4365 1907 -2446 -3403 -1775 4235 -800 435 -584 -187 1068 -3395 -6356 1420 4196 -6195 -3080 2728 351 -1978 950 -2408 -3148 10336 -253 -5480 -2296 -774 -1272 -3712 -2601 -41 1584 -548 -1810 1632 2375 -1241 -1102 6341 4069 -1404 -1197 -2849 -85 -524 -1055 -1772 -397 1935 -6261 -2201 -2593 1197 2259 628 -1025 5317 -874 -5762 -3344 782 114 2476 -517 -1017 1731 1659 460 7165 3335 1417 -4333 5627 -3241 1292 1400 1434 -11697 5948 5551 5276 2403 -4611 -4786 -545 -1803 -1849 5525
+5534 -1292 -515 1048 712 -668 3944 1583 -1143 2285 -122 -4291 1932 -2260 -3495 -1840 4334 -856 437 -623 -240 1034 -3439 -6436 1480 4364 -6231 -3016 2814 346 -1962 813 -2518 -3159 10300 -431 -5535 -2332 -773 -1364 -3724 -2680 -101 1464 -522 -1824 1671 2294 -1237 -1090 6287 3929 -1398 -1103 -2771 -103 -572 -1096 -1778 -417 1883 -6287 -2078 -2422 1431 2467 681 -1045 5242 -920 -5721 -3292 694 221 2410 -628 -1054 1716 1568 311 6992 3288 1352 -4433 5592 -3113 1385 1436 1425 -11677 6069 5628 5324 2515 -4614 -4867 -599 -1864 -1960 5472
+5487 -1254 -591 1084 744 -630 3951 1618 -1120 2293 -273 -4037 1874 -2226 -3487 -1890 4358 -868 415 -672 -337 1044 -3333 -6594 1463 4359 -6249 -2945 2828 409 -1938 716 -2644 -3203 10230 -612 -5575 -2307 -706 -1410 -3787 -2699 -94 1337 -487 -1845 1631 2217 -1249 -1139 6177 3944 -1411 -1096 -2798 -168 -531 -1079 -1779 -453 1839 -6348 -2089 -2304 1420 2395 647 -1044 5252 -972 -5738 -3267 690 143 2426 -575 -1101 1638 1487 284 6982 3315 1406 -4392 5627 -3012 1426 1388 1474 -11635 6058 5652 5435 2657 -4675 -4969 -615 -1975 -2129 5447
+5498 -1199 -527 1085 751 -576 3941 1704 -1021 2302 -272 -3728 1800 -2355 -3319 -1957 4254 -862 415 -665 -386 985 -3148 -6733 1518 4253 -6208 -2933 2814 460 -1935 717 -2610 -3218 10215 -577 -5548 -2259 -782 -1360 -3859 -2742 -117 1399 -471 -1846 1627 2197 -1305 -1122 6121 4084 -1511 -1155 -2849 -179 -423 -1071 -1737 -407 1836 -6363 -2262 -2357 1148 2151 604 -1021 5261 -1054 -5859 -3286 774 -6 2486 -529 -1129 1584 1505 361 7098 3421 1458 -4408 5652 -3007 1304 1288 1621 -11605 5954 5653 5519 2698 -4755 -5021 -568 -2006 -2178 5483
+5590 -1172 -475 1057 698 -525 3953 1777 -943 2206 -183 -3621 1707 -2558 -3165 -1978 4139 -751 364 -587 -371 969 -3122 -6790 1632 4070 -6131 -2962 2671 415 -2021 846 -2477 -3242 10255 -459 -5470 -2195 -811 -1245 -3980 -2702 -59 1527 -509 -1830 1589 2359 -1385 -1181 6141 4297 -1670 -1289 -2975 -218 -380 -1049 -1671 -275 1898 -6413 -2390 -2438 1005 1980 584 -971 5266 -1095 -5978 -3292 859 -57 2558 -439 -1116 1536 1553 457 7270 3517 1454 -4357 5665 -3081 1166 1235 1624 -11514 5830 5576 5523 2693 -4856 -5053 -492 -1867 -2063 5529
+5619 -1275 -397 974 572 -503 3897 1849 -827 2158 23 -3681 1669 -2658 -3059 -1964 4064 -750 311 -504 -275 956 -3279 -6694 1749 4008 -6145 -3031 2614 433 -2101 999 -2334 -3250 10223 -299 -5398 -2167 -890 -1104 -4120 -2717 -24 1681 -543 -1850 1582 2449 -1381 -1198 6165 4393 -1670 -1315 -3042 -262 -497 -1024 -1652 -217 1996 -6403 -2414 -2433 1078 2044 594 -962 5248 -1094 -6044 -3269 875 -1 2528 -290 -1115 1490 1618 557 7286 3523 1488 -4230 5563 -3237 1073 1243 1579 -11400 5776 5528 5480 2682 -4819 -5002 -423 -1727 -1991 5498
+5466 -1491 -414 878 506 -494 3802 1891 -786 2144 170 -3889 1636 -2593 -3186 -1947 4101 -802 328 -510 -223 901 -3439 -6555 1763 3989 -6281 -3125 2661 536 -2171 1041 -2283 -3333 10170 -160 -5365 -2177 -919 -1092 -4206 -2708 45 1695 -574 -1888 1573 2453 -1315 -1144 6161 4247 -1564 -1193 -3057 -381 -648 -1044 -1641 -201 2078 -6363 -2313 -2313 1415 2262 627 -977 5236 -1041 -6011 -3218 819 111 2472 -260 -1062 1473 1595 391 7050 3466 1508 -4172 5509 -3237 1048 1256 1588 -11340 5696 5467 5357 2614 -4772 -4913 -309 -1500 -2040 5349
+5313 -1536 -503 789 527 -505 3715 1913 -828 2130 198 -4066 1630 -2540 -3366 -1967 4159 -882 294 -534 -245 863 -3353 -6491 1736 4057 -6464 -3134 2823 594 -2135 987 -2367 -3413 10071 -86 -5409 -2265 -977 -1121 -4251 -2745 11 1556 -502 -1879 1583 2334 -1361 -1194 6193 4082 -1399 -1064 -3070 -509 -707 -1023 -1659 -231 2071 -6425 -2319 -2213 1595 2338 650 -1009 5201 -1006 -5937 -3188 774 185 2423 -217 -1009 1478 1537 192 6895 3432 1554 -4124 5441 -3111 1125 1248 1627 -11309 5581 5412 5346 2678 -4723 -4880 -260 -1381 -2181 5250
+5265 -1475 -618 806 691 -463 3788 1921 -898 2163 80 -4132 1722 -2414 -3493 -1914 4250 -927 394 -605 -354 954 -3162 -6611 1692 3988 -6452 -3115 2862 548 -2088 958 -2484 -3439 9983 19 -5446 -2338 -1028 -1261 -4149 -2749 -35 1489 -492 -1893 1608 2216 -1381 -1236 6307 4002 -1415 -1044 -3041 -447 -583 -1004 -1690 -350 1943 -6496 -2364 -2284 1445 2223 650 -1039 5175 -1020 -5895 -3234 765 200 2406 -261 -1061 1497 1508 133 6923 3468 1588 -4139 5500 -3006 1206 1281 1711 -11393 5459 5393 5388 2708 -4705 -4878 -287 -1421 -2264 5260
+5391 -1420 -590 836 766 -438 3884 1875 -978 2199 -19 -4140 1805 -2422 -3495 -1920 4303 -854 494 -653 -402 1067 -3056 -6783 1715 3971 -6246 -3034 2763 384 -2049 974 -2461 -3411 9986 66 -5418 -2352 -1080 -1400 -4024 -2776 -190 1540 -487 -1876 1670 2175 -1426 -1268 6340 4087 -1466 -1085 -3009 -335 -443 -1031 -1740 -440 1873 -6502 -2447 -2314 1194 2048 652 -979 5099 -1119 -5903 -3312 836 145 2532 -373 -1178 1514 1568 285 7103 3563 1628 -4123 5493 -2923 1223 1244 1619 -11526 5385 5416 5447 2744 -4711 -4890 -344 -1641 -2339 5413
+5538 -1427 -469 825 793 -445 3981 1746 -1070 2217 -94 -4258 2016 -2527 -3414 -1939 4239 -752 495 -598 -362 1106 -3102 -6756 1846 4018 -6051 -2965 2687 192 -2019 991 -2374 -3293 10012 44 -5404 -2336 -1028 -1345 -3951 -2762 -276 1607 -529 -1837 1700 2225 -1420 -1235 6365 4071 -1577 -1128 -2958 -216 -281 -1012 -1693 -355 1860 -6366 -2381 -2305 1129 2083 703 -943 5120 -1155 -5949 -3423 942 64 2624 -488 -1377 1500 1588 462 7301 3619 1565 -4106 5633 -2975 1256 1328 1489 -11788 5480 5538 5503 2758 -4682 -4866 -373 -1814 -2314 5612
+5511 -1395 -371 852 744 -496 3939 1625 -1130 2239 -129 -4255 2034 -2565 -3328 -1940 4156 -744 433 -512 -273 1064 -3273 -6572 1906 4127 -6126 -2967 2742 122 -2018 938 -2354 -3187 10066 -97 -5396 -2274 -956 -1301 -3981 -2744 -304 1678 -597 -1843 1699 2238 -1357 -1107 6320 3960 -1636 -1113 -2881 -95 -284 -1011 -1705 -359 1897 -6224 -2213 -2192 1318 2282 753 -894 5183 -1106 -5939 -3452 956 31 2530 -482 -1478 1436 1573 501 7269 3611 1562 -3939 5754 -3047 1319 1430 1379 -11856 5610 5572 5452 2778 -4596 -4825 -402 -1887 -2206 5654
+5544 -1530 -337 847 704 -586 3857 1579 -1145 2266 -102 -4314 1965 -2531 -3331 -1959 4031 -809 326 -453 -218 919 -3294 -6372 1903 4238 -6378 -2958 2945 171 -2041 773 -2458 -3151 10066 -229 -5468 -2302 -889 -1278 -4032 -2692 -285 1705 -632 -1892 1685 2091 -1304 -1054 6156 3769 -1623 -1075 -2836 -47 -359 -993 -1670 -265 1977 -6159 -2039 -2222 1524 2456 747 -942 5244 -967 -5847 -3356 932 75 2372 -413 -1452 1372 1467 317 7111 3541 1496 -3894 5956 -3016 1427 1544 1412 -11941 5672 5573 5393 2718 -4528 -4770 -386 -1810 -2089 5607
+5437 -1458 -427 896 800 -538 3809 1617 -1060 2361 -45 -4233 1761 -2480 -3341 -1950 3961 -849 349 -470 -182 850 -3174 -6472 1933 4177 -6534 -2963 3066 269 -2027 714 -2525 -3235 10076 -369 -5520 -2286 -914 -1218 -4019 -2633 -126 1684 -611 -1916 1680 2021 -1274 -1043 6104 3732 -1630 -1113 -2868 -63 -430 -1002 -1707 -328 1990 -6235 -2094 -2402 1444 2364 622 -1048 5308 -828 -5745 -3239 924 88 2143 -430 -1292 1409 1406 158 7124 3564 1514 -3920 6030 -3007 1481 1569 1545 -11801 5557 5422 5333 2689 -4589 -4825 -434 -1724 -1959 5553
+5470 -1336 -490 980 909 -450 3797 1642 -973 2428 -28 -4120 1600 -2346 -3316 -1959 3992 -832 474 -528 -237 884 -3043 -6758 1945 4070 -6578 -3031 3038 315 -2025 752 -2573 -3315 10114 -470 -5550 -2282 -916 -1242 -3926 -2585 7 1729 -530 -1907 1738 1936 -1305 -1067 6102 3879 -1593 -1217 -2985 -65 -410 -981 -1710 -282 2019 -6367 -2298 -2592 1207 2162 525 -1136 5373 -753 -5708 -3203 946 30 2071 -399 -1160 1478 1487 288 7207 3575 1498 -4066 5898 -2988 1473 1572 1661 -11743 5451 5319 5314 2608 -4659 -4888 -506 -1641 -1825 5523
+5520 -1273 -462 1025 931 -366 3822 1654 -902 2415 -42 -4018 1665 -2264 -3351 -1930 4057 -825 602 -536 -265 961 -3060 -6945 1991 3971 -6472 -3021 2889 295 -2041 874 -2522 -3360 10137 -476 -5543 -2291 -969 -1194 -3838 -2562 6 1760 -515 -1875 1788 1982 -1394 -1007 6261 4064 -1670 -1380 -3092 -43 -329 -954 -1585 -199 1916 -6370 -2368 -2593 984 2045 486 -1128 5422 -775 -5744 -3256 964 -66 2159 -388 -1082 1504 1532 459 7385 3582 1424 -4246 5688 -3023 1303 1441 1628 -11742 5370 5274 5367 2592 -4839 -5018 -568 -1670 -1847 5527
+5487 -1284 -444 921 792 -346 3785 1630 -881 2303 -70 -3990 1860 -2222 -3442 -1951 4160 -847 624 -517 -267 923 -3190 -6856 2005 3990 -6398 -3008 2743 212 -2073 979 -2438 -3378 10150 -413 -5509 -2264 -907 -1138 -3798 -2541 -49 1734 -614 -1866 1747 2095 -1445 -933 6319 4170 -1581 -1402 -3117 -19 -325 -980 -1489 -102 1900 -6281 -2365 -2456 1011 2086 514 -1017 5506 -839 -5816 -3373 962 -186 2297 -337 -1138 1468 1509 552 7457 3583 1434 -4174 5585 -3084 1167 1351 1523 -11727 5460 5331 5415 2634 -4959 -5080 -538 -1660 -1962 5578
+5514 -1421 -445 857 649 -467 3702 1636 -926 2175 -147 -3893 2073 -2346 -3543 -1950 4178 -885 504 -428 -303 797 -3262 -6508 1837 4068 -6395 -3003 2699 292 -2168 982 -2464 -3372 10188 -377 -5470 -2238 -799 -1076 -3861 -2588 -144 1589 -711 -1879 1678 2054 -1458 -813 6336 4124 -1494 -1362 -3061 -12 -312 -976 -1445 -23 1947 -6180 -2259 -2357 1169 2222 582 -909 5533 -879 -5845 -3446 944 -259 2308 -381 -1227 1429 1449 518 7369 3610 1502 -4055 5663 -3051 1141 1346 1516 -11698 5537 5390 5420 2698 -4910 -5050 -480 -1695 -2067 5499
+5532 -1420 -451 870 622 -566 3740 1756 -938 2145 -258 -3715 2020 -2440 -3608 -2012 4114 -899 403 -380 -334 741 -3241 -6333 1721 4198 -6376 -2950 2813 409 -2116 914 -2511 -3328 10142 -326 -5465 -2256 -700 -1123 -3845 -2574 -168 1434 -724 -1878 1609 2041 -1514 -868 6389 4076 -1421 -1273 -2933 85 -340 -945 -1455 -60 1904 -6122 -2203 -2478 1322 2308 618 -914 5511 -863 -5804 -3438 877 -115 2187 -455 -1142 1486 1361 282 7354 3637 1520 -3992 5770 -2955 1135 1258 1561 -11620 5485 5383 5437 2775 -4913 -5056 -428 -1693 -2068 5486
+5522 -1342 -509 952 670 -612 3819 1822 -986 2145 -255 -3676 1904 -2522 -3536 -1983 4016 -858 402 -392 -381 765 -3129 -6375 1632 4147 -6302 -2915 2818 467 -2043 892 -2557 -3285 10047 -327 -5465 -2262 -667 -1209 -3797 -2511 -69 1396 -653 -1916 1639 2023 -1541 -943 6411 4038 -1408 -1227 -2823 132 -386 -969 -1593 -201 1916 -6261 -2164 -2557 1354 2269 602 -1053 5303 -858 -5720 -3317 845 66 1959 -573 -1010 1610 1355 126 7456 3700 1481 -4020 5916 -2924 1187 1298 1688 -11505 5406 5387 5484 2832 -4802 -5025 -422 -1664 -1902 5438
+5435 -1296 -506 1054 765 -597 3920 1837 -1029 2133 -170 -3757 1682 -2540 -3478 -1981 3935 -835 470 -410 -360 849 -3060 -6520 1595 4116 -6199 -2952 2745 403 -1972 905 -2545 -3336 10009 -314 -5472 -2267 -693 -1266 -3709 -2454 22 1375 -548 -1898 1686 2076 -1579 -931 6403 4141 -1423 -1227 -2779 123 -331 -960 -1669 -354 1823 -6375 -2236 -2483 1334 2230 642 -1152 5095 -893 -5676 -3193 847 143 1994 -713 -991 1694 1449 143 7506 3697 1436 -4026 5947 -2948 1234 1360 1677 -11474 5494 5475 5543 2869 -4613 -4965 -485 -1725 -1803 5435
+5424 -1280 -460 1056 767 -546 3980 1803 -1067 2082 -24 -4001 1596 -2407 -3449 -1947 3928 -858 548 -412 -329 902 -3091 -6542 1568 3981 -6186 -3010 2651 317 -1976 929 -2488 -3381 10008 -325 -5445 -2255 -724 -1267 -3754 -2461 118 1457 -525 -1907 1662 2194 -1553 -975 6380 4257 -1515 -1302 -2782 189 -224 -952 -1639 -359 1758 -6373 -2237 -2278 1316 2265 689 -1132 5031 -980 -5759 -3190 908 124 2193 -615 -1128 1614 1493 194 7408 3614 1383 -4048 5944 -3000 1192 1379 1586 -11598 5513 5573 5572 2876 -4582 -4956 -507 -1706 -1807 5445
+5435 -1324 -448 1055 668 -552 3960 1752 -1085 2114 55 -4188 1615 -2337 -3447 -1976 3937 -855 582 -360 -232 794 -3125 -6342 1522 3930 -6214 -3039 2659 315 -1990 916 -2443 -3359 10019 -385 -5464 -2236 -753 -1090 -3842 -2473 129 1600 -592 -1904 1668 2256 -1505 -867 6262 4295 -1612 -1357 -2819 180 -176 -957 -1532 -230 1737 -6361 -2271 -2074 1388 2335 763 -954 5124 -1090 -5929 -3270 986 16 2487 -430 -1322 1437 1500 295 7274 3475 1356 -4042 5967 -3058 1235 1438 1478 -11758 5635 5647 5567 2883 -4615 -4956 -508 -1703 -1991 5374
+5528 -1394 -460 1016 526 -577 3862 1782 -1009 2238 71 -4191 1750 -2312 -3458 -2008 4064 -815 608 -332 -177 748 -3150 -6054 1460 3861 -6351 -3096 2739 433 -2029 848 -2369 -3248 10058 -407 -5463 -2187 -712 -1029 -3962 -2516 82 1632 -622 -1914 1623 2234 -1502 -939 6229 4371 -1700 -1432 -2887 217 -160 -960 -1447 -129 1733 -6341 -2306 -2124 1399 2267 684 -807 5318 -1142 -6082 -3375 1022 -135 2672 -285 -1380 1316 1416 229 7207 3456 1386 -3970 6086 -3046 1280 1501 1570 -11826 5615 5670 5543 2875 -4654 -4961 -510 -1777 -2122 5349
+5556 -1449 -525 970 520 -507 3776 1786 -928 2400 -9 -4059 1956 -2386 -3454 -1990 4130 -785 625 -329 -204 816 -3206 -5981 1486 3913 -6368 -3072 2856 463 -1974 799 -2408 -3127 10085 -436 -5454 -2175 -754 -1032 -4011 -2540 74 1675 -603 -1930 1594 2225 -1543 -991 6267 4431 -1799 -1486 -2947 200 -211 -881 -1404 -73 1755 -6549 -2318 -2323 1366 2199 642 -774 5412 -1132 -6124 -3379 1002 -194 2624 -279 -1243 1340 1334 98 7233 3483 1373 -3982 6220 -3010 1347 1517 1728 -11752 5518 5552 5526 2842 -4742 -4988 -514 -1803 -2096 5313
+5496 -1396 -545 937 593 -348 3808 1798 -804 2555 -57 -3910 2051 -2470 -3343 -1962 4159 -701 653 -383 -196 917 -3249 -6154 1631 3965 -6257 -3041 2821 415 -1898 802 -2461 -3093 10109 -492 -5438 -2150 -777 -1163 -3866 -2583 -25 1606 -451 -1922 1672 2158 -1526 -1085 6249 4398 -1777 -1465 -2985 129 -326 -900 -1470 -144 1823 -6582 -2285 -2408 1309 2063 562 -926 5378 -1063 -6088 -3340 1021 -34 2479 -298 -1071 1409 1293 15 7381 3506 1278 -4071 6243 -2999 1274 1472 1849 -11776 5363 5434 5440 2664 -4818 -4943 -444 -1796 -2022 5225
+5383 -1389 -576 917 679 -228 3770 1656 -825 2571 -140 -3990 2074 -2438 -3255 -1942 4139 -673 647 -360 -219 924 -3219 -6319 1719 4051 -6132 -3001 2778 292 -1875 807 -2525 -3133 10125 -519 -5448 -2180 -772 -1296 -3791 -2646 -93 1548 -420 -1874 1737 2159 -1438 -1099 6291 4358 -1645 -1376 -3008 30 -353 -877 -1515 -209 1878 -6679 -2269 -2275 1276 2096 613 -1018 5138 -1073 -5988 -3208 984 75 2430 -400 -1042 1483 1273 -73 7452 3553 1260 -4058 6188 -3132 1199 1508 1876 -11696 5330 5341 5387 2596 -4831 -4908 -411 -1753 -1922 5196
+5357 -1408 -568 908 721 -205 3774 1528 -899 2459 -154 -4186 1985 -2401 -3193 -1937 4101 -680 626 -264 -199 874 -3181 -6354 1699 4145 -6074 -2965 2777 231 -1867 845 -2596 -3129 10114 -522 -5473 -2205 -667 -1362 -3807 -2708 -131 1575 -450 -1846 1783 2141 -1260 -1077 6301 4256 -1539 -1287 -2991 -32 -292 -891 -1540 -276 1825 -6604 -2300 -2171 1235 2187 689 -984 4980 -1115 -5927 -3169 954 187 2494 -354 -1153 1444 1294 -27 7391 3520 1269 -4050 6091 -3299 1085 1519 1874 -11796 5472 5372 5330 2538 -4807 -4858 -371 -1716 -1950 5265
+5438 -1421 -516 912 671 -343 3838 1556 -947 2305 -194 -4301 1799 -2380 -3180 -1990 4046 -766 614 -160 -117 821 -3235 -6268 1587 4279 -6161 -2979 2883 354 -1934 841 -2567 -3093 10080 -452 -5500 -2258 -578 -1284 -3950 -2739 -106 1636 -558 -1841 1756 2127 -1146 -1114 6278 4246 -1479 -1233 -2962 -11 -145 -883 -1540 -290 1757 -6473 -2360 -2135 1198 2249 753 -828 5013 -1159 -5932 -3259 935 188 2566 -311 -1246 1398 1292 -13 7311 3513 1346 -3996 6100 -3252 1018 1477 1864 -11857 5618 5422 5290 2590 -4685 -4821 -432 -1771 -2012 5260
+5605 -1375 -471 984 702 -448 3887 1667 -975 2276 -235 -4215 1677 -2448 -3136 -2004 4080 -737 626 -157 -66 765 -3370 -6209 1495 4365 -6196 -3019 2883 537 -2043 873 -2461 -3056 10087 -346 -5496 -2276 -577 -1208 -4084 -2739 -124 1738 -599 -1805 1714 2134 -1247 -1126 6353 4205 -1558 -1230 -2896 65 -77 -892 -1532 -285 1705 -6305 -2319 -2438 1096 2189 687 -755 5204 -1132 -5928 -3365 835 148 2584 -304 -1311 1381 1342 65 7328 3551 1438 -4076 5895 -3137 1072 1427 1923 -11924 5648 5454 5326 2721 -4583 -4854 -537 -1886 -2051 5349
+5629 -1384 -424 1040 750 -512 3928 1770 -992 2254 -246 -3962 1686 -2554 -3149 -2041 4109 -807 674 -176 -69 803 -3516 -6188 1502 4374 -6250 -3077 2822 536 -2121 872 -2374 -3075 10105 -264 -5435 -2245 -653 -1159 -4176 -2702 -76 1764 -538 -1850 1620 2165 -1273 -1127 6385 4124 -1663 -1205 -2810 187 -47 -901 -1543 -328 1615 -6390 -2167 -2536 1148 2207 657 -829 5340 -1044 -5872 -3385 754 16 2461 -283 -1107 1497 1371 20 7383 3530 1360 -4248 5833 -2946 1128 1393 1944 -11933 5599 5482 5448 2821 -4570 -4937 -619 -1987 -2053 5367
+5513 -1341 -435 1047 766 -463 3923 1760 -986 2236 -222 -3768 1786 -2647 -3189 -2061 4150 -791 615 -247 -110 798 -3517 -6266 1568 4241 -6281 -3121 2695 389 -2160 870 -2380 -3174 10059 -276 -5436 -2269 -870 -1166 -4144 -2730 -115 1782 -505 -1944 1587 2148 -1342 -1136 6333 4064 -1662 -1209 -2811 162 -151 -915 -1568 -338 1643 -6405 -1978 -2357 1176 2182 554 -1068 5374 -932 -5789 -3275 694 -35 2268 -269 -956 1529 1350 23 7503 3512 1270 -4278 5833 -2953 1124 1367 1905 -11802 5607 5456 5465 2783 -4625 -4986 -619 -1995 -2059 5348
+5429 -1297 -479 991 780 -386 3843 1732 -962 2180 -226 -3699 1954 -2636 -3313 -2070 4183 -776 552 -278 -206 708 -3338 -6381 1645 4165 -6403 -3121 2650 269 -2140 796 -2452 -3271 10005 -378 -5445 -2240 -939 -1305 -4062 -2760 -159 1712 -476 -1915 1625 2184 -1383 -1195 6210 3909 -1672 -1188 -2838 136 -234 -907 -1597 -338 1751 -6445 -1965 -2184 1178 2203 536 -1149 5390 -840 -5736 -3183 757 -18 2186 -211 -849 1493 1282 26 7487 3500 1235 -4245 5906 -2977 1067 1384 1819 -11742 5645 5491 5491 2736 -4650 -4993 -540 -1895 -2043 5315
+5428 -1328 -532 942 738 -363 3757 1741 -951 2102 -216 -3755 1957 -2524 -3425 -2101 4141 -779 431 -282 -238 635 -3125 -6419 1755 4128 -6480 -3085 2748 256 -2094 663 -2576 -3301 9977 -529 -5477 -2205 -879 -1375 -3999 -2789 -200 1619 -592 -1923 1640 2169 -1325 -1246 6118 3945 -1664 -1235 -2936 47 -316 -991 -1639 -279 1948 -6360 -2102 -2144 1130 2213 540 -1076 5376 -840 -5757 -3167 867 33 2250 -167 -891 1420 1225 88 7385 3458 1276 -4059 6130 -2984 1087 1446 1736 -11688 5783 5555 5484 2691 -4608 -4951 -481 -1843 -1966 5335
+5505 -1346 -536 939 696 -465 3680 1805 -930 2108 -174 -3876 1834 -2465 -3487 -2082 4056 -819 363 -225 -249 601 -3099 -6328 1898 4070 -6534 -2980 2876 331 -2045 576 -2635 -3250 9968 -617 -5470 -2126 -755 -1441 -4001 -2788 -272 1505 -707 -1842 1629 2189 -1265 -1329 6134 4073 -1740 -1350 -3046 8 -275 -1006 -1603 -147 2045 -6208 -2330 -2384 1054 2129 540 -881 5436 -857 -5781 -3190 972 99 2386 -252 -1004 1420 1245 227 7414 3514 1357 -3977 6273 -2957 1143 1467 1759 -11620 5763 5542 5428 2667 -4639 -4953 -482 -1756 -1831 5368
+5496 -1436 -473 932 632 -442 3695 1933 -841 2200 -67 -3920 1630 -2389 -3417 -2063 3977 -772 403 -165 -121 655 -3238 -6146 1968 4060 -6389 -2891 2902 410 -1974 646 -2556 -3149 10031 -612 -5449 -2112 -652 -1449 -3953 -2705 -295 1460 -702 -1765 1590 2241 -1312 -1317 6207 4185 -1774 -1424 -3126 15 -231 -991 -1513 -63 1972 -6246 -2398 -2563 1089 2092 567 -767 5412 -945 -5811 -3250 1048 161 2444 -374 -1067 1463 1321 357 7522 3499 1307 -4122 6291 -2801 1259 1453 1806 -11614 5687 5552 5448 2689 -4693 -4977 -509 -1695 -1802 5370
+5434 -1556 -391 939 620 -412 3732 1903 -853 2230 94 -3954 1511 -2411 -3365 -2065 3893 -821 455 -146 -57 715 -3393 -6007 1960 3969 -6218 -2840 2746 296 -1983 797 -2488 -3132 10068 -560 -5443 -2136 -588 -1423 -3931 -2602 -278 1401 -664 -1807 1535 2262 -1428 -1206 6270 4147 -1720 -1388 -3103 -14 -252 -979 -1446 -24 1875 -6320 -2307 -2529 1175 2137 626 -824 5326 -999 -5729 -3190 1020 79 2389 -478 -1080 1577 1419 336 7514 3457 1203 -4380 6145 -2733 1216 1388 1856 -11613 5585 5531 5490 2675 -4818 -5020 -527 -1678 -1929 5322
+5320 -1512 -373 907 624 -386 3695 1710 -973 2182 214 -4116 1551 -2457 -3375 -2062 3863 -869 521 -191 -70 694 -3348 -6054 1842 3922 -6204 -2864 2607 166 -2013 908 -2515 -3238 10071 -488 -5443 -2187 -655 -1363 -3937 -2601 -188 1411 -552 -1868 1508 2286 -1565 -1158 6315 4045 -1608 -1273 -3001 -46 -324 -993 -1480 -153 1762 -6438 -2243 -2266 1268 2163 677 -919 5236 -1025 -5655 -3118 983 -31 2321 -492 -1137 1594 1480 255 7333 3343 1121 -4509 5934 -2822 1144 1401 1861 -11639 5647 5585 5504 2619 -4932 -5017 -475 -1674 -2127 5280
+5319 -1458 -422 903 700 -396 3717 1520 -1104 2101 209 -4224 1728 -2433 -3452 -2049 3900 -954 626 -309 -231 724 -3195 -6227 1689 3876 -6294 -3000 2574 175 -2044 900 -2589 -3350 10030 -451 -5473 -2242 -639 -1296 -3907 -2574 -45 1429 -539 -1975 1554 2319 -1535 -1218 6382 3879 -1507 -1133 -2895 -95 -420 -1025 -1632 -287 1873 -6451 -2227 -2063 1310 2206 711 -916 5244 -1016 -5641 -3110 984 -173 2360 -473 -1228 1532 1433 62 7177 3348 1205 -4455 5858 -2950 1098 1452 1778 -11721 5698 5616 5490 2661 -4967 -5005 -452 -1725 -2192 5256
+5505 -1390 -422 941 730 -444 3732 1447 -1164 2071 42 -4257 1916 -2431 -3499 -2047 3957 -864 651 -347 -313 722 -3072 -6332 1626 3999 -6450 -3072 2739 330 -1997 831 -2691 -3303 9904 -471 -5489 -2244 -623 -1212 -3882 -2596 80 1528 -586 -1973 1594 2369 -1544 -1326 6424 3897 -1456 -1082 -2825 -90 -439 -1101 -1767 -338 2038 -6252 -2302 -2143 1318 2171 679 -844 5327 -1011 -5764 -3225 1070 -181 2449 -376 -1240 1459 1422 54 7119 3438 1390 -4243 5780 -3029 1094 1484 1772 -11721 5698 5607 5455 2722 -4816 -4924 -463 -1824 -2090 5354
+5517 -1448 -403 964 705 -452 3786 1561 -1088 2167 -204 -4214 2045 -2361 -3508 -1978 4021 -815 664 -300 -304 756 -3157 -6250 1667 4087 -6531 -3106 2917 477 -1954 756 -2686 -3162 9835 -418 -5458 -2223 -571 -1207 -3743 -2565 70 1621 -602 -1883 1640 2348 -1463 -1262 6425 4022 -1579 -1132 -2818 -106 -435 -1167 -1786 -273 2104 -6070 -2339 -2306 1337 2185 702 -752 5361 -1033 -5865 -3297 1104 -121 2631 -381 -1212 1461 1473 210 7239 3555 1541 -4120 5719 -2935 1181 1509 1824 -11845 5604 5592 5456 2763 -4677 -4850 -479 -1926 -1935 5507
+5400 -1544 -338 1014 663 -419 3796 1701 -946 2371 -359 -4164 2068 -2317 -3421 -1908 4106 -695 634 -189 -142 763 -3338 -6072 1749 4197 -6434 -3059 2962 485 -1958 776 -2516 -3026 9826 -349 -5341 -2095 -557 -1226 -3672 -2519 58 1687 -523 -1739 1653 2320 -1427 -1020 6271 4167 -1663 -1233 -2878 -123 -398 -1143 -1703 -269 1974 -6121 -2296 -2326 1378 2228 700 -814 5307 -1066 -5914 -3219 1102 -70 2672 -478 -1109 1516 1532 338 7338 3582 1537 -4093 5637 -2793 1207 1427 1858 -11818 5489 5485 5431 2729 -4553 -4776 -476 -1886 -1829 5508
+5281 -1652 -296 1042 711 -287 3791 1778 -802 2496 -301 -4098 1953 -2344 -3381 -1862 4125 -666 609 -105 -26 748 -3410 -5988 1791 4166 -6260 -2979 2901 313 -1975 840 -2398 -2980 9852 -331 -5285 -2006 -575 -1277 -3593 -2415 -42 1595 -485 -1719 1656 2315 -1444 -841 6176 4195 -1665 -1274 -2934 -123 -385 -1088 -1577 -178 1838 -6344 -2162 -2159 1397 2305 738 -916 5210 -1095 -5842 -3014 988 -94 2611 -472 -1059 1530 1531 325 7242 3521 1480 -4211 5615 -2759 1101 1331 1906 -11903 5439 5449 5456 2689 -4541 -4790 -471 -1822 -1913 5316
+5215 -1563 -429 981 700 -141 3761 1754 -710 2493 -140 -4122 1920 -2398 -3336 -1795 4092 -744 636 -115 -30 742 -3271 -6162 1860 4131 -6166 -2873 2845 151 -1950 809 -2389 -3028 9839 -436 -5306 -1972 -475 -1326 -3619 -2364 -66 1483 -357 -1699 1710 2330 -1604 -845 6063 4116 -1671 -1289 -2981 -178 -485 -1067 -1538 -177 1746 -6478 -2177 -2191 1308 2279 686 -999 5299 -1044 -5742 -2867 875 -166 2468 -485 -1064 1521 1548 276 6954 3367 1392 -4273 5618 -2775 1092 1347 1719 -11955 5462 5455 5470 2691 -4537 -4830 -456 -1777 -2125 5159
+5332 -1415 -594 953 749 -84 3685 1768 -723 2369 8 -3956 1797 -2531 -3334 -1865 4036 -827 665 -196 -120 756 -3109 -6423 1900 4193 -6201 -2817 2905 113 -1912 720 -2506 -3114 9825 -530 -5380 -2048 -542 -1325 -3622 -2448 -121 1400 -375 -1699 1791 2278 -1600 -1010 6058 4141 -1730 -1313 -3020 -220 -586 -1057 -1587 -195 1857 -6487 -2278 -2288 1220 2203 628 -920 5471 -997 -5696 -2873 764 -175 2321 -500 -1194 1508 1537 237 6782 3289 1401 -4335 5588 -2756 1050 1331 1643 -11933 5539 5461 5473 2754 -4591 -4947 -508 -1795 -2215 5087
+5469 -1293 -706 972 760 -214 3660 1799 -824 2262 -10 -3748 1666 -2595 -3338 -1974 3977 -892 643 -288 -248 765 -3077 -6537 1896 4244 -6324 -2832 2955 210 -1913 615 -2648 -3183 9836 -637 -5474 -2123 -565 -1270 -3702 -2570 -96 1361 -501 -1694 1803 2269 -1632 -1065 6091 4226 -1778 -1362 -3055 -236 -631 -1090 -1700 -210 2118 -6349 -2374 -2534 1095 2084 554 -775 5655 -952 -5745 -3051 728 -205 2347 -582 -1289 1551 1615 335 6850 3363 1445 -4359 5658 -2719 1259 1466 1596 -11851 5564 5418 5399 2817 -4605 -5025 -578 -1879 -2151 5125
+5448 -1415 -688 950 694 -344 3723 1904 -859 2179 -61 -3562 1607 -2556 -3367 -2063 3988 -879 586 -312 -290 792 -3228 -6366 1753 4275 -6365 -2951 2908 318 -1920 611 -2680 -3170 9938 -620 -5501 -2188 -667 -1180 -3774 -2657 -101 1447 -589 -1683 1714 2244 -1598 -910 6100 4336 -1725 -1366 -3050 -236 -575 -1127 -1713 -222 2167 -6263 -2363 -2618 1046 2119 606 -685 5635 -977 -5802 -3248 661 -165 2485 -684 -1368 1607 1696 504 7103 3460 1486 -4384 5569 -2592 1444 1564 1629 -11762 5550 5379 5386 2823 -4651 -5047 -565 -1934 -2129 5274
+5328 -1505 -606 1007 636 -418 3787 1890 -941 2141 -161 -3577 1556 -2411 -3389 -2086 4037 -802 465 -253 -224 792 -3366 -6044 1497 4208 -6340 -3047 2766 332 -1984 694 -2598 -3101 10061 -512 -5509 -2267 -731 -1106 -3882 -2727 -77 1542 -585 -1665 1554 2230 -1523 -677 6074 4283 -1588 -1278 -2971 -167 -466 -1107 -1684 -243 2071 -6272 -2286 -2488 1000 2174 723 -668 5455 -1041 -5826 -3318 586 -108 2590 -710 -1415 1612 1714 563 7295 3512 1422 -4392 5601 -2613 1514 1619 1661 -11686 5613 5375 5358 2676 -4682 -4970 -476 -1900 -2087 5286
+5311 -1520 -510 1071 612 -456 3868 1812 -1011 2089 -145 -3849 1694 -2264 -3423 -2014 4135 -758 405 -274 -197 764 -3346 -5964 1312 4099 -6285 -3125 2610 375 -2029 772 -2530 -3036 10165 -480 -5485 -2269 -762 -1109 -4012 -2688 -58 1665 -507 -1752 1440 2279 -1511 -690 6031 4155 -1416 -1159 -2885 -118 -374 -1042 -1587 -251 1751 -6382 -2192 -2378 1042 2237 763 -765 5325 -1054 -5778 -3249 513 -67 2571 -569 -1348 1561 1605 498 7301 3495 1367 -4474 5619 -2647 1392 1561 1643 -11670 5669 5426 5361 2554 -4720 -4900 -412 -1861 -2127 5130
+5408 -1333 -541 1096 660 -378 3887 1666 -1057 2031 -59 -4149 1776 -2204 -3482 -1988 4162 -744 394 -335 -236 810 -3233 -6188 1301 4011 -6257 -3167 2534 447 -2079 822 -2528 -3084 10143 -489 -5504 -2264 -657 -1116 -4135 -2614 -20 1628 -454 -1839 1464 2413 -1653 -883 6022 4010 -1368 -1095 -2809 -28 -304 -995 -1605 -375 1581 -6463 -2117 -2284 1080 2209 732 -796 5449 -979 -5694 -3118 485 -116 2474 -425 -1189 1538 1527 411 7183 3441 1352 -4533 5675 -2695 1304 1536 1546 -11673 5777 5511 5381 2550 -4681 -4865 -463 -1872 -2073 5005
+5565 -1137 -607 1090 730 -321 3894 1652 -1079 2029 57 -4294 1872 -2345 -3397 -1911 4091 -828 473 -418 -278 858 -3120 -6412 1414 3917 -6223 -3100 2576 572 -2056 830 -2564 -3148 10057 -519 -5511 -2243 -605 -1229 -4169 -2620 -41 1511 -452 -1814 1625 2483 -1807 -1086 6053 4027 -1433 -1127 -2759 38 -399 -1027 -1681 -463 1636 -6357 -2127 -2357 1119 2147 643 -718 5719 -905 -5683 -3067 554 -143 2287 -325 -1061 1532 1495 429 7121 3406 1371 -4603 5639 -2679 1225 1437 1501 -11689 5809 5586 5432 2639 -4617 -4883 -589 -1978 -2010 5059
+5560 -1196 -595 1155 728 -276 3893 1652 -1093 2127 150 -4283 1897 -2611 -3335 -1911 4023 -891 553 -437 -253 910 -3172 -6442 1555 3921 -6206 -2983 2671 556 -2028 804 -2568 -3232 9976 -473 -5487 -2221 -668 -1381 -4069 -2613 -77 1452 -536 -1737 1712 2480 -1821 -1059 6001 4080 -1492 -1209 -2819 57 -421 -1025 -1748 -458 1828 -6298 -2205 -2311 1170 2108 603 -580 5899 -893 -5738 -3053 715 -172 2181 -306 -1018 1551 1585 596 7184 3417 1382 -4692 5487 -2590 1278 1445 1625 -11625 5716 5569 5458 2716 -4586 -4915 -718 -2139 -2055 5154
+5437 -1420 -560 1150 666 -337 3882 1648 -1076 2315 168 -4305 1926 -2750 -3227 -1937 4007 -925 592 -386 -183 850 -3249 -6259 1674 3910 -6120 -2902 2723 405 -2061 889 -2482 -3311 9941 -275 -5419 -2204 -886 -1425 -4025 -2651 -125 1454 -667 -1672 1721 2427 -1743 -894 5876 4153 -1539 -1302 -2891 37 -513 -1076 -1738 -327 2000 -6300 -2246 -2251 1166 2153 694 -485 5886 -942 -5816 -3094 911 -180 2251 -266 -1169 1494 1651 806 7248 3419 1391 -4699 5227 -2570 1309 1464 1746 -11587 5594 5546 5528 2730 -4627 -4919 -692 -2195 -2152 5245
+5308 -1625 -431 1150 601 -341 3820 1662 -976 2461 133 -4247 1956 -2666 -3187 -1950 4082 -884 600 -344 -153 863 -3281 -6120 1730 3920 -6042 -2877 2718 285 -2098 960 -2326 -3332 9959 -95 -5374 -2200 -1037 -1308 -3997 -2674 -161 1488 -689 -1622 1681 2306 -1545 -783 5811 4202 -1476 -1334 -2962 111 -431 -1050 -1641 -229 1975 -6442 -2255 -2054 1101 2139 742 -548 5565 -1051 -5815 -3092 950 -153 2364 -209 -1325 1389 1635 756 7171 3432 1450 -4630 5124 -2666 1287 1519 1829 -11578 5458 5505 5520 2703 -4676 -4887 -584 -2174 -2284 5213
+5283 -1530 -437 1108 611 -252 3785 1625 -871 2536 -40 -4212 1957 -2498 -3250 -1994 4185 -789 541 -360 -182 923 -3301 -6233 1815 3991 -6095 -2929 2768 287 -2094 974 -2236 -3266 9952 9 -5358 -2212 -1131 -1207 -4023 -2670 -223 1514 -681 -1666 1625 2303 -1537 -951 5877 4181 -1540 -1406 -3006 133 -355 -1023 -1549 -192 1830 -6507 -2195 -2107 1097 2098 743 -655 5412 -1040 -5713 -3085 905 -47 2398 -234 -1434 1329 1544 513 7049 3395 1429 -4550 5284 -2784 1180 1498 1756 -11619 5484 5517 5503 2721 -4650 -4861 -489 -2074 -2215 5078
+5335 -1468 -461 1057 635 -230 3679 1600 -811 2512 -229 -4135 1863 -2286 -3320 -2031 4239 -743 480 -427 -285 987 -3286 -6483 1850 4056 -6256 -3026 2814 381 -2057 901 -2287 -3276 9884 -14 -5374 -2236 -1059 -1103 -4121 -2670 -162 1546 -554 -1799 1643 2383 -1523 -1165 5889 4120 -1543 -1397 -2999 165 -275 -923 -1508 -210 1734 -6601 -2252 -2237 1211 2064 682 -701 5395 -1010 -5615 -3099 806 154 2316 -265 -1386 1354 1431 190 7020 3448 1465 -4527 5506 -2796 1071 1443 1739 -11738 5465 5491 5397 2723 -4547 -4816 -434 -1951 -2114 4955
+5427 -1431 -492 1054 729 -244 3664 1606 -875 2406 -388 -4021 1698 -2260 -3374 -2053 4213 -725 409 -505 -363 960 -3220 -6632 1765 4088 -6394 -3137 2807 419 -2037 833 -2446 -3283 9851 -166 -5401 -2242 -937 -1111 -4142 -2710 -82 1620 -532 -1922 1672 2354 -1538 -1252 6039 4177 -1574 -1385 -2948 179 -284 -888 -1564 -319 1788 -6516 -2292 -2323 1279 2037 615 -638 5599 -951 -5566 -3137 770 302 2253 -315 -1300 1449 1418 96 7137 3480 1405 -4559 5656 -2675 1208 1477 1690 -11757 5523 5457 5335 2793 -4494 -4853 -474 -1944 -2030 4966
+5436 -1454 -453 1025 734 -329 3713 1760 -894 2242 -368 -3910 1626 -2411 -3333 -2005 4161 -735 336 -469 -325 909 -3172 -6661 1695 4156 -6465 -3177 2750 354 -1988 814 -2530 -3280 9861 -283 -5429 -2253 -792 -1239 -4056 -2717 -11 1704 -589 -1936 1709 2331 -1608 -1136 6071 4146 -1581 -1331 -2877 154 -340 -901 -1651 -403 1875 -6371 -2361 -2239 1235 2058 611 -602 5739 -957 -5605 -3137 847 220 2276 -301 -1240 1517 1504 238 7229 3448 1374 -4638 5673 -2551 1344 1527 1772 -11811 5556 5439 5350 2788 -4442 -4857 -502 -1887 -2084 5016
+5409 -1601 -331 1055 711 -392 3726 1852 -922 2127 -250 -3898 1628 -2612 -3278 -1955 4087 -832 373 -399 -232 881 -3199 -6574 1630 4167 -6374 -3140 2670 229 -2004 856 -2501 -3194 9885 -343 -5456 -2255 -660 -1324 -3900 -2738 10 1675 -610 -1871 1657 2231 -1411 -1143 6190 4077 -1518 -1227 -2788 133 -396 -965 -1736 -460 1912 -6308 -2385 -2062 1112 2073 653 -583 5693 -1039 -5682 -3124 936 42 2371 -195 -1310 1459 1565 433 7143 3356 1344 -4642 5509 -2570 1465 1644 1817 -11752 5517 5384 5359 2708 -4492 -4867 -494 -1851 -2205 5069
+5403 -1682 -289 1001 659 -368 3723 1877 -911 2009 -98 -3943 1710 -2693 -3247 -1923 4056 -907 385 -376 -161 863 -3225 -6523 1730 4225 -6339 -3073 2718 202 -2017 877 -2478 -3103 9935 -443 -5458 -2217 -618 -1284 -3832 -2715 -11 1649 -650 -1776 1570 2162 -1305 -1188 6272 4057 -1554 -1203 -2765 115 -325 -967 -1661 -368 1774 -6318 -2203 -2029 1030 2085 665 -723 5576 -1066 -5723 -3027 1032 -74 2555 -70 -1384 1340 1536 444 7024 3266 1335 -4602 5487 -2706 1485 1750 1755 -11732 5530 5349 5384 2694 -4564 -4894 -496 -1857 -2262 5066
+5327 -1667 -312 886 618 -260 3645 1859 -846 1913 -11 -3889 1794 -2628 -3335 -1955 4053 -978 376 -462 -194 867 -3236 -6516 1890 4249 -6340 -2982 2850 275 -2064 809 -2492 -3031 9950 -469 -5477 -2216 -624 -1200 -3848 -2658 -132 1472 -612 -1787 1517 2124 -1265 -1335 6353 4054 -1670 -1244 -2787 134 -271 -944 -1594 -336 1638 -6360 -2011 -2191 1057 2081 603 -869 5479 -1034 -5665 -2888 958 -112 2544 -108 -1309 1343 1510 314 6893 3213 1307 -4650 5615 -2869 1375 1775 1774 -11776 5658 5426 5434 2700 -4589 -4920 -543 -1994 -2130 5077
+5283 -1621 -451 804 638 -118 3594 1779 -843 1885 -78 -3767 1808 -2380 -3468 -1997 4098 -975 327 -575 -321 881 -3156 -6560 2031 4235 -6454 -2924 2996 313 -2116 682 -2594 -3086 9894 -522 -5498 -2208 -699 -1049 -3925 -2633 -233 1274 -621 -1836 1540 2164 -1243 -1385 6294 4124 -1775 -1318 -2858 149 -270 -899 -1499 -254 1599 -6347 -1935 -2382 1183 2127 546 -913 5528 -948 -5589 -2802 911 -22 2391 -233 -1189 1403 1483 180 6939 3246 1287 -4632 5706 -2870 1283 1702 1771 -11798 5750 5455 5419 2744 -4572 -4941 -622 -2141 -1927 5074
+5284 -1619 -555 753 640 -66 3583 1765 -870 2009 -135 -3770 1748 -2157 -3593 -2027 4092 -943 292 -634 -452 854 -3025 -6657 2066 4100 -6489 -2881 2961 253 -2161 621 -2677 -3221 9817 -552 -5483 -2198 -794 -970 -3903 -2677 -274 1227 -598 -1849 1607 2150 -1309 -1274 6174 4141 -1914 -1380 -2919 103 -350 -880 -1401 -154 1622 -6308 -1987 -2449 1181 2206 553 -824 5620 -916 -5546 -2814 821 34 2275 -344 -1066 1503 1517 183 7095 3301 1248 -4681 5684 -2703 1280 1615 1833 -11807 5804 5490 5433 2729 -4570 -4940 -663 -2206 -1801 5043
+5348 -1571 -561 814 673 -81 3610 1764 -866 2202 -214 -3845 1709 -2077 -3621 -2001 4093 -832 320 -629 -475 879 -2960 -6726 2064 3857 -6391 -2864 2779 177 -2185 690 -2612 -3339 9748 -481 -5437 -2150 -824 -1019 -3813 -2665 -164 1306 -586 -1853 1624 2188 -1452 -1255 6034 4153 -1951 -1378 -2978 28 -452 -853 -1421 -102 1809 -6290 -2137 -2347 1020 2166 607 -636 5697 -972 -5553 -2826 738 -99 2352 -420 -1029 1562 1528 210 7190 3347 1243 -4647 5581 -2650 1255 1527 1893 -11768 5808 5523 5430 2650 -4576 -4922 -697 -2211 -1805 5033
+5482 -1488 -533 934 686 -161 3658 1703 -901 2329 -133 -4065 1829 -2169 -3614 -1900 4084 -839 365 -598 -414 943 -3069 -6707 1980 3751 -6344 -2933 2638 162 -2234 797 -2505 -3373 9688 -362 -5361 -2084 -723 -1062 -3732 -2604 -2 1382 -577 -1832 1542 2208 -1477 -1290 6041 4141 -2007 -1377 -2987 -82 -609 -902 -1462 -103 1867 -6222 -2196 -2242 826 2074 639 -563 5718 -1024 -5589 -2929 651 -321 2571 -361 -1137 1491 1439 238 7222 3391 1374 -4515 5446 -2659 1194 1516 1948 -11683 5720 5490 5438 2637 -4581 -4936 -749 -2178 -1835 4969
+5527 -1486 -429 1004 658 -242 3693 1602 -969 2340 15 -4315 2030 -2381 -3594 -1834 4057 -891 412 -571 -356 971 -3274 -6604 1885 3766 -6339 -3019 2643 314 -2231 884 -2355 -3364 9662 -177 -5297 -2056 -633 -1091 -3847 -2545 137 1499 -556 -1888 1434 2217 -1448 -1315 6110 4213 -2007 -1389 -2999 -93 -520 -935 -1479 -89 1850 -6197 -2190 -2267 756 1928 611 -549 5714 -1073 -5663 -3080 584 -525 2817 -303 -1166 1507 1432 251 7130 3377 1454 -4448 5445 -2725 1079 1496 1895 -11659 5679 5512 5417 2665 -4614 -4973 -802 -2144 -1881 5008
+5481 -1522 -410 1078 684 -311 3735 1500 -1093 2301 88 -4407 2076 -2531 -3601 -1851 4007 -1040 431 -607 -359 940 -3380 -6493 1787 3829 -6435 -3056 2757 470 -2191 878 -2335 -3320 9626 -56 -5272 -2061 -532 -1053 -3991 -2500 171 1459 -504 -1929 1353 2233 -1256 -1266 6241 4238 -2001 -1369 -2968 -58 -409 -922 -1452 -146 1693 -6277 -2107 -2390 890 1935 633 -506 5728 -1044 -5655 -3078 516 -563 2823 -477 -1076 1636 1446 231 7177 3362 1407 -4534 5558 -2741 1020 1436 1804 -11528 5694 5511 5418 2740 -4647 -5018 -816 -2173 -1931 4944
+5339 -1451 -485 1127 723 -336 3800 1479 -1173 2266 36 -4365 1992 -2645 -3591 -1900 3972 -1108 406 -659 -388 927 -3342 -6547 1661 4032 -6476 -3095 2845 538 -2140 889 -2377 -3345 9651 46 -5283 -2123 -598 -1032 -4094 -2466 100 1391 -521 -1983 1431 2248 -1283 -1124 6293 4149 -1972 -1303 -2903 -12 -308 -905 -1441 -192 1616 -6328 -2086 -2322 1077 2058 706 -411 5690 -1028 -5621 -2984 469 -379 2628 -574 -908 1770 1503 233 7244 3310 1250 -4654 5696 -2623 1036 1382 1829 -11584 5637 5524 5393 2728 -4706 -5009 -705 -2106 -2047 4910
+5355 -1441 -562 1193 789 -375 3824 1501 -1212 2296 -207 -4241 1874 -2473 -3584 -1962 4012 -1123 409 -698 -447 905 -3138 -6695 1591 4013 -6387 -3040 2749 414 -2104 956 -2420 -3409 9673 69 -5312 -2202 -770 -1034 -4061 -2538 -35 1308 -492 -1958 1588 2158 -1365 -942 6279 4053 -1882 -1216 -2816 75 -270 -899 -1469 -176 1703 -6294 -2124 -2251 1129 2200 817 -308 5683 -1000 -5553 -2757 463 -260 2433 -771 -852 1840 1559 241 7302 3286 1110 -4653 5889 -2514 1161 1430 1940 -11689 5578 5549 5378 2628 -4673 -4913 -550 -2116 -2160 4814
+5501 -1387 -606 1237 793 -396 3841 1513 -1160 2361 -405 -4213 1799 -2223 -3553 -2001 4108 -987 415 -705 -483 953 -3044 -6851 1673 4003 -6192 -2977 2617 232 -2039 1030 -2420 -3445 9737 5 -5376 -2263 -920 -1097 -3949 -2626 -143 1321 -592 -1927 1703 2115 -1493 -982 6247 4044 -1818 -1174 -2763 92 -342 -959 -1598 -282 1814 -6245 -2187 -1993 1044 2139 856 -248 5654 -1032 -5507 -2539 481 -264 2311 -725 -947 1724 1540 255 7220 3254 1081 -4516 6057 -2575 1171 1454 2021 -11802 5562 5593 5420 2550 -4609 -4817 -432 -2118 -2239 4755
+5587 -1328 -600 1225 724 -371 3778 1544 -1074 2325 -485 -4116 1728 -2090 -3488 -2016 4199 -855 333 -657 -443 990 -3158 -6769 1760 4116 -6114 -2923 2622 137 -1978 1013 -2460 -3372 9800 -140 -5435 -2277 -973 -1201 -3829 -2723 -205 1441 -648 -1867 1707 1994 -1516 -1106 6208 4112 -1763 -1223 -2841 58 -398 -977 -1682 -378 1830 -6201 -2168 -1946 994 2012 783 -324 5726 -1025 -5508 -2517 502 -412 2406 -570 -1148 1512 1478 271 7181 3300 1184 -4323 6192 -2755 1136 1530 2021 -11784 5569 5559 5405 2556 -4553 -4781 -424 -2071 -2157 4741
+5533 -1408 -541 1133 573 -268 3655 1628 -903 2212 -356 -3892 1668 -2177 -3419 -1980 4229 -708 246 -614 -332 959 -3327 -6569 1822 4205 -6219 -2940 2737 221 -2010 927 -2453 -3253 9901 -271 -5449 -2239 -891 -1217 -3834 -2703 -200 1498 -696 -1903 1636 1961 -1495 -1123 6150 4281 -1770 -1339 -2947 10 -396 -973 -1667 -370 1786 -6345 -2121 -2024 1011 1967 732 -405 5771 -1056 -5572 -2667 532 -553 2551 -453 -1248 1408 1439 246 7158 3351 1303 -4245 6229 -2884 1077 1580 1987 -11803 5666 5584 5424 2696 -4331 -4751 -541 -2171 -2062 4789
+5312 -1554 -513 1060 485 -187 3625 1741 -759 2125 -117 -3660 1626 -2432 -3317 -1960 4175 -740 198 -566 -245 948 -3336 -6364 1789 4231 -6365 -2999 2907 372 -2019 789 -2493 -3113 10000 -440 -5440 -2163 -816 -1177 -3967 -2696 -190 1558 -645 -1893 1661 1975 -1340 -947 5997 4324 -1720 -1417 -3063 -22 -383 -1002 -1589 -307 1711 -6520 -2152 -2149 1163 2017 694 -404 5859 -1045 -5637 -2903 595 -592 2575 -363 -1216 1437 1457 231 7193 3347 1280 -4351 6143 -2894 1086 1621 2012 -11809 5784 5593 5404 2792 -4221 -4760 -634 -2241 -2142 4793
+5280 -1599 -545 1086 538 -134 3641 1859 -711 2138 32 -3524 1661 -2685 -3271 -1898 4157 -829 229 -570 -265 999 -3245 -6500 1721 4218 -6454 -3077 2953 410 -2045 762 -2531 -3113 10031 -459 -5419 -2136 -758 -1234 -4128 -2672 -137 1675 -608 -1924 1756 1985 -1341 -752 5915 4269 -1759 -1477 -3104 -40 -383 -998 -1492 -158 1733 -6621 -2199 -2269 1212 2162 716 -385 5845 -1036 -5639 -3056 646 -413 2494 -360 -1115 1519 1475 220 7221 3339 1270 -4390 5918 -2756 1162 1586 2026 -11745 5831 5537 5398 2854 -4185 -4792 -689 -2266 -2183 4780
+5361 -1478 -594 1146 626 -130 3677 1908 -729 2168 65 -3641 1779 -2737 -3265 -1875 4180 -975 347 -585 -290 1042 -3097 -6722 1665 4119 -6382 -3158 2822 336 -2065 765 -2494 -3219 10077 -365 -5414 -2154 -813 -1208 -4158 -2649 -124 1722 -500 -1942 1820 2002 -1432 -792 5880 4176 -1721 -1458 -3075 71 -327 -991 -1522 -151 1865 -6602 -2276 -2209 1122 2200 761 -366 5776 -1006 -5563 -3059 676 -180 2433 -335 -1054 1558 1433 73 7170 3340 1250 -4401 5759 -2676 1128 1526 2154 -11752 5799 5473 5374 2777 -4308 -4850 -692 -2309 -2255 4723
+5561 -1378 -579 1150 665 -156 3748 1877 -810 2182 -12 -3904 1871 -2568 -3325 -1889 4186 -996 380 -575 -319 1080 -3134 -6787 1647 4083 -6308 -3157 2693 239 -2095 846 -2497 -3319 10011 -261 -5426 -2216 -902 -1249 -4106 -2681 -87 1788 -544 -1938 1804 1993 -1564 -952 5819 4150 -1671 -1434 -3028 103 -326 -1011 -1620 -196 2025 -6397 -2285 -2233 981 2046 667 -497 5712 -977 -5499 -2936 736 9 2447 -254 -1215 1440 1373 63 7138 3401 1341 -4272 5723 -2740 1053 1487 2174 -11792 5817 5452 5345 2625 -4408 -4853 -683 -2318 -2147 4705
+5582 -1423 -476 1095 605 -181 3770 1773 -883 2150 -159 -4171 2033 -2352 -3380 -1893 4280 -984 356 -572 -277 1074 -3289 -6508 1601 4105 -6218 -3088 2697 306 -2093 897 -2457 -3300 9946 -173 -5429 -2279 -933 -1267 -4021 -2737 -59 1799 -560 -1877 1696 1970 -1565 -1132 5851 4201 -1590 -1404 -2978 107 -320 -1021 -1712 -305 2033 -6313 -2238 -2315 862 1935 620 -636 5675 -979 -5471 -2800 854 74 2492 -184 -1351 1334 1320 85 7258 3537 1460 -4185 5850 -2874 902 1450 2148 -11776 5836 5429 5335 2565 -4565 -4909 -694 -2384 -2027 4837
+5455 -1589 -388 1011 519 -230 3767 1691 -976 2180 -332 -4215 2001 -2242 -3443 -1971 4290 -846 277 -557 -254 990 -3389 -6170 1472 4201 -6178 -3027 2749 436 -2129 902 -2383 -3267 9857 -52 -5428 -2321 -928 -1247 -3952 -2782 -125 1727 -650 -1782 1673 1971 -1548 -994 5884 4293 -1503 -1379 -2946 -6 -344 -1032 -1786 -467 1911 -6397 -2237 -2375 885 1932 603 -627 5796 -1018 -5567 -2748 1078 32 2596 -164 -1489 1265 1350 163 7410 3631 1487 -4086 5941 -2882 825 1404 2062 -11656 5885 5404 5285 2525 -4654 -4943 -736 -2438 -1971 4900
+5311 -1696 -346 980 542 -180 3809 1681 -1000 2224 -296 -4192 1843 -2303 -3401 -1995 4219 -751 241 -595 -262 964 -3350 -6070 1441 4061 -6129 -2943 2784 484 -2134 876 -2335 -3145 9754 33 -5389 -2301 -935 -1306 -3913 -2808 -219 1664 -694 -1737 1700 2005 -1444 -893 5901 4167 -1401 -1268 -2882 -24 -339 -1082 -1758 -489 1735 -6563 -2255 -2378 958 2087 652 -534 5896 -1058 -5661 -2795 1210 -96 2532 -176 -1510 1251 1396 243 7519 3629 1384 -4183 5983 -2820 907 1442 2103 -11667 5855 5445 5276 2460 -4692 -4922 -708 -2342 -2080 4923
+5217 -1704 -498 1037 664 -153 3809 1628 -1059 2375 -230 -4202 1773 -2496 -3334 -1962 4151 -723 304 -660 -311 939 -3195 -6290 1498 3965 -6123 -2923 2731 422 -2123 855 -2349 -3125 9745 -7 -5327 -2210 -916 -1364 -3933 -2768 -307 1557 -641 -1709 1782 2005 -1434 -728 5977 4038 -1389 -1222 -2854 4 -342 -1138 -1666 -351 1644 -6539 -2230 -2309 1082 2253 706 -465 5984 -1034 -5703 -2905 1200 -96 2387 -137 -1463 1225 1350 192 7435 3568 1320 -4211 6091 -2631 1064 1458 2092 -11587 5807 5460 5293 2437 -4717 -4917 -686 -2172 -2164 4835
+5304 -1598 -597 1076 825 -120 3784 1582 -1077 2448 -87 -4321 1718 -2608 -3272 -1944 4075 -816 379 -664 -338 996 -3120 -6554 1642 3811 -6147 -2908 2666 342 -2081 863 -2374 -3153 9712 -45 -5307 -2142 -931 -1421 -3894 -2710 -310 1523 -559 -1756 1827 2036 -1488 -877 6043 4048 -1499 -1279 -2870 63 -370 -1134 -1585 -222 1672 -6402 -2108 -2186 1104 2213 652 -557 5922 -963 -5631 -2953 1031 -89 2280 -9 -1421 1223 1360 242 7247 3426 1253 -4216 6080 -2555 1209 1544 2073 -11684 5782 5555 5373 2434 -4648 -4888 -684 -2160 -2212 4754
+5385 -1580 -594 1082 788 -120 3729 1568 -1041 2448 9 -4438 1736 -2611 -3286 -1925 4069 -894 399 -607 -296 984 -3215 -6551 1717 3759 -6257 -2948 2699 362 -2079 841 -2424 -3195 9705 -175 -5328 -2126 -885 -1410 -3883 -2669 -207 1541 -499 -1823 1715 2071 -1589 -1108 6070 4203 -1653 -1409 -2973 21 -389 -1085 -1556 -122 1850 -6234 -2008 -2180 994 2014 568 -680 5835 -877 -5500 -2957 798 -34 2261 -18 -1320 1262 1342 316 7251 3463 1307 -4168 6195 -2658 1173 1568 2008 -11746 5780 5662 5450 2498 -4563 -4865 -675 -2171 -2147 4806
+5422 -1602 -471 1089 735 -143 3663 1588 -904 2353 17 -4340 1765 -2500 -3360 -1914 4100 -988 367 -488 -191 935 -3346 -6321 1726 3789 -6420 -2960 2801 487 -2085 808 -2445 -3211 9721 -292 -5310 -2051 -824 -1421 -3828 -2670 -65 1594 -537 -1855 1621 2038 -1525 -1135 5980 4370 -1722 -1489 -3055 -45 -474 -1084 -1583 -105 1992 -6236 -2042 -2234 907 1949 608 -634 5746 -909 -5474 -2963 720 113 2357 16 -1287 1304 1343 496 7508 3597 1362 -4190 6230 -2776 1130 1621 1952 -11863 5785 5745 5463 2648 -4435 -4826 -646 -2263 -2058 4902
+5359 -1649 -355 1098 663 -166 3626 1648 -815 2260 -65 -4098 1794 -2342 -3426 -1929 4188 -1042 309 -429 -180 883 -3396 -6145 1741 3884 -6488 -2956 2854 502 -2123 786 -2467 -3197 9804 -336 -5353 -2081 -747 -1300 -3819 -2685 22 1628 -602 -1893 1554 2030 -1406 -1021 5849 4408 -1770 -1511 -3088 -120 -539 -1093 -1589 -130 1963 -6434 -2196 -2264 901 1999 710 -442 5718 -1012 -5516 -2950 678 139 2444 -53 -1219 1402 1399 606 7757 3697 1335 -4246 6168 -2835 1073 1605 2005 -11939 5813 5785 5454 2753 -4292 -4757 -583 -2289 -2040 4934
+5368 -1612 -340 1109 665 -200 3617 1733 -750 2221 -145 -3853 1828 -2274 -3504 -1925 4274 -982 247 -456 -237 866 -3330 -6196 1749 3979 -6445 -2996 2818 401 -2131 820 -2455 -3168 9888 -351 -5346 -2088 -768 -1182 -3806 -2712 10 1593 -678 -1937 1595 2065 -1340 -939 5758 4291 -1762 -1447 -3065 -149 -552 -1114 -1568 -71 1942 -6590 -2286 -2263 965 2100 785 -224 5785 -1090 -5565 -2935 665 -37 2484 -113 -1197 1434 1388 543 7800 3730 1279 -4246 6167 -2804 1127 1617 2118 -11868 5682 5702 5454 2844 -4279 -4759 -503 -2209 -2123 4832
+5454 -1464 -422 1162 779 -226 3589 1700 -841 2188 -239 -3717 1873 -2340 -3546 -1891 4279 -917 225 -540 -352 900 -3266 -6455 1884 4062 -6325 -3009 2710 218 -2157 826 -2504 -3200 9949 -352 -5376 -2131 -699 -1071 -3829 -2738 -110 1435 -618 -1975 1649 2020 -1328 -1003 5865 4184 -1791 -1379 -2978 -66 -484 -1147 -1511 -13 1838 -6494 -2273 -2198 1084 2085 781 -126 5882 -1092 -5564 -2833 621 -183 2422 -182 -1214 1418 1313 298 7665 3705 1266 -4263 6125 -2765 1139 1619 2234 -11724 5455 5501 5425 2847 -4392 -4830 -486 -2094 -2182 4677
+5541 -1409 -461 1158 772 -271 3642 1664 -978 2049 -155 -3813 1919 -2426 -3573 -1895 4187 -861 203 -607 -398 874 -3235 -6611 1928 4245 -6299 -3051 2689 89 -2073 795 -2647 -3263 9953 -387 -5392 -2152 -604 -1053 -3855 -2724 -204 1299 -560 -2048 1632 2000 -1279 -1153 6033 4197 -1810 -1340 -2896 24 -356 -1124 -1495 -44 1787 -6287 -2119 -2125 1111 1971 689 -296 5897 -1006 -5516 -2756 526 -374 2319 -280 -1279 1383 1339 189 7482 3695 1391 -4163 6092 -2823 1052 1568 2221 -11656 5371 5387 5444 2826 -4471 -4899 -540 -2057 -2242 4669
+5482 -1500 -409 1080 703 -263 3687 1741 -1031 1886 -59 -3849 1808 -2505 -3527 -1885 4102 -885 265 -543 -330 835 -3249 -6454 1872 4324 -6337 -3021 2813 162 -1986 747 -2746 -3262 9853 -434 -5400 -2151 -534 -1047 -3844 -2691 -232 1218 -520 -2072 1578 2013 -1272 -1295 6108 4258 -1833 -1328 -2830 80 -289 -1056 -1505 -127 1785 -6223 -2074 -2147 963 1838 671 -370 5825 -977 -5509 -2697 442 -437 2304 -317 -1252 1407 1403 232 7412 3698 1429 -4249 6026 -2864 962 1521 2113 -11616 5395 5303 5412 2782 -4586 -4967 -611 -2038 -2288 4728
+5438 -1548 -362 1072 572 -223 3731 1780 -981 1841 -5 -3872 1688 -2477 -3428 -1869 4039 -895 331 -466 -210 794 -3316 -6231 1773 4389 -6422 -2984 2944 327 -1900 739 -2737 -3169 9771 -440 -5363 -2101 -460 -1160 -3702 -2669 -206 1256 -528 -2009 1543 1984 -1198 -1345 6077 4324 -1726 -1274 -2807 86 -266 -1033 -1587 -224 1843 -6256 -2159 -2051 844 1884 772 -352 5660 -1054 -5580 -2699 472 -493 2442 -383 -1222 1472 1524 420 7515 3701 1449 -4241 5873 -2815 975 1514 1955 -11715 5472 5311 5387 2786 -4564 -4957 -683 -2156 -2264 4844
+5369 -1648 -306 1050 496 -169 3792 1902 -902 1980 -1 -3928 1641 -2365 -3381 -1860 4015 -918 443 -385 -124 836 -3406 -6144 1785 4297 -6427 -3013 2980 389 -1916 816 -2575 -3075 9727 -305 -5323 -2077 -478 -1196 -3633 -2639 -107 1420 -565 -1901 1576 2078 -1219 -1171 6030 4289 -1557 -1216 -2858 3 -302 -1012 -1642 -326 1791 -6494 -2232 -2073 873 2048 866 -244 5624 -1132 -5656 -2721 561 -504 2613 -373 -1183 1503 1574 547 7540 3667 1409 -4269 5713 -2679 1059 1498 1887 -11841 5600 5355 5379 2781 -4562 -4923 -692 -2280 -2244 4887
+5389 -1735 -307 1108 546 -72 3810 1912 -832 2285 -92 -4089 1660 -2212 -3452 -1927 4047 -942 502 -414 -142 910 -3481 -6265 1837 4054 -6352 -3021 2837 351 -2001 898 -2390 -3050 9701 -202 -5274 -2031 -613 -1168 -3674 -2623 -41 1564 -555 -1844 1620 2210 -1208 -1090 5973 4195 -1523 -1193 -2930 -70 -354 -1033 -1621 -246 1804 -6517 -2131 -2099 1035 2204 864 -259 5672 -1152 -5674 -2747 656 -578 2858 -413 -1218 1480 1484 409 7435 3598 1364 -4149 5793 -2601 1232 1558 1906 -11895 5673 5387 5361 2693 -4555 -4870 -690 -2380 -2138 4772
+5362 -1642 -449 1088 578 -45 3768 1814 -862 2480 -186 -4211 1845 -2126 -3532 -1938 4104 -929 502 -440 -214 916 -3444 -6356 1873 3918 -6345 -3028 2735 217 -2089 902 -2336 -3084 9659 -168 -5276 -2039 -750 -1110 -3823 -2617 -44 1596 -490 -1880 1631 2244 -1318 -1085 5984 4095 -1570 -1233 -3002 -93 -342 -986 -1509 -155 1717 -6329 -1983 -2163 1178 2194 733 -406 5776 -1077 -5556 -2726 560 -569 2929 -332 -1136 1457 1334 126 7299 3577 1383 -4066 6014 -2609 1368 1686 1871 -11940 5628 5394 5390 2678 -4556 -4867 -705 -2426 -1987 4765
+5368 -1603 -547 1058 555 -68 3724 1677 -924 2502 -170 -4310 1998 -2192 -3622 -1961 4141 -899 475 -480 -341 915 -3367 -6389 1872 3834 -6356 -2963 2761 209 -2097 783 -2429 -3175 9653 -230 -5300 -2048 -780 -1027 -3986 -2559 -78 1478 -383 -1975 1552 2274 -1382 -1223 5907 4189 -1691 -1360 -3076 15 -254 -960 -1390 -47 1685 -6201 -1908 -2291 1087 2071 626 -607 5787 -1007 -5441 -2710 508 -566 2866 -504 -1185 1492 1322 12 7247 3608 1422 -4049 6164 -2676 1419 1779 1768 -11913 5620 5409 5370 2642 -4506 -4873 -782 -2535 -2000 4785
+5327 -1610 -514 1101 564 -115 3736 1582 -941 2434 -99 -4237 2069 -2382 -3558 -1901 4143 -807 473 -451 -354 917 -3299 -6282 1777 3957 -6394 -2897 2922 329 -2033 646 -2582 -3147 9600 -409 -5356 -2072 -769 -978 -4076 -2555 -90 1497 -356 -1925 1492 2219 -1351 -1240 5836 4264 -1784 -1452 -3108 133 -126 -889 -1362 -50 1722 -6307 -2067 -2363 873 1970 591 -666 5672 -1018 -5423 -2719 533 -483 2765 -580 -1227 1540 1399 97 7352 3619 1404 -4112 6128 -2735 1393 1831 1687 -11988 5681 5515 5402 2718 -4412 -4900 -861 -2628 -2066 4882
+5314 -1591 -517 1126 541 -174 3748 1624 -917 2339 -11 -4141 1954 -2558 -3398 -1865 4115 -708 486 -409 -256 919 -3337 -6134 1727 4027 -6337 -2836 2992 430 -1961 566 -2666 -3066 9600 -506 -5420 -2123 -695 -1053 -3990 -2609 -111 1530 -465 -1804 1517 2188 -1347 -1171 5751 4250 -1795 -1435 -3047 160 -128 -839 -1425 -155 1759 -6452 -2270 -2352 712 2004 669 -615 5534 -1063 -5459 -2718 577 -363 2713 -675 -1251 1592 1524 199 7437 3637 1383 -4281 5953 -2708 1371 1789 1689 -12023 5562 5520 5366 2732 -4336 -4881 -848 -2544 -2179 4847
+5334 -1564 -524 1164 561 -246 3797 1684 -903 2287 59 -4111 1735 -2585 -3279 -1868 4094 -738 495 -368 -147 955 -3485 -6052 1672 4107 -6125 -2818 2847 374 -1959 661 -2604 -3035 9694 -467 -5441 -2186 -717 -1232 -3845 -2718 -181 1614 -641 -1698 1635 2213 -1354 -1053 5788 4172 -1790 -1397 -2986 154 -138 -865 -1574 -314 1815 -6484 -2305 -2332 851 2109 699 -512 5572 -1068 -5546 -2740 718 -367 2705 -632 -1249 1587 1634 377 7351 3509 1282 -4386 5797 -2598 1375 1700 1740 -12002 5435 5510 5393 2764 -4362 -4906 -815 -2499 -2289 4731
+5338 -1597 -532 1169 616 -216 3789 1685 -929 2261 79 -4233 1684 -2481 -3263 -1900 4122 -816 489 -348 -60 918 -3521 -6031 1570 4077 -6029 -2945 2624 337 -2070 792 -2490 -3044 9837 -351 -5432 -2228 -717 -1328 -3801 -2769 -214 1623 -659 -1638 1679 2306 -1450 -918 5872 4088 -1771 -1330 -2940 106 -154 -885 -1634 -406 1776 -6348 -2145 -2358 1089 2154 621 -565 5773 -969 -5589 -2788 841 -404 2659 -503 -1237 1506 1543 300 7252 3464 1291 -4354 5921 -2472 1365 1616 1841 -11899 5246 5433 5390 2737 -4371 -4882 -721 -2341 -2240 4615
+5340 -1563 -597 1143 685 -111 3760 1633 -965 2204 -16 -4272 1660 -2378 -3274 -1968 4129 -935 470 -287 -74 877 -3406 -6149 1489 4111 -6042 -3027 2537 353 -2101 870 -2468 -3070 9887 -354 -5425 -2230 -735 -1303 -3833 -2723 -247 1665 -599 -1737 1717 2321 -1535 -939 5984 4025 -1792 -1324 -2906 131 -135 -928 -1622 -358 1789 -6223 -1994 -2338 1180 2063 538 -652 5901 -893 -5541 -2728 874 -417 2508 -308 -1050 1478 1451 244 7235 3425 1258 -4347 5942 -2524 1238 1535 1872 -11733 5117 5332 5408 2749 -4443 -4900 -625 -2206 -2150 4566
+5362 -1549 -623 1127 731 -29 3751 1609 -980 2083 -130 -4119 1738 -2377 -3239 -1992 4191 -966 466 -311 -128 859 -3236 -6272 1422 4133 -6195 -3105 2634 479 -2076 888 -2484 -3101 9839 -370 -5430 -2228 -715 -1245 -4050 -2658 -156 1676 -544 -1885 1690 2322 -1669 -976 6004 4082 -1800 -1323 -2887 150 -110 -927 -1529 -272 1653 -6288 -2056 -2226 1044 1974 569 -641 5851 -927 -5493 -2712 840 -417 2362 -240 -851 1530 1354 183 7389 3496 1283 -4344 6028 -2610 1098 1517 1840 -11608 5073 5287 5362 2745 -4415 -4851 -539 -2211 -2192 4562
+5297 -1500 -613 1094 714 6 3704 1698 -885 1972 -213 -3799 1834 -2491 -3229 -2020 4205 -867 428 -277 -184 816 -3118 -6291 1470 4154 -6358 -3112 2773 595 -2002 842 -2549 -3157 9759 -455 -5468 -2234 -730 -1110 -4159 -2635 -70 1702 -517 -1873 1656 2226 -1603 -1054 6016 4128 -1752 -1345 -2932 201 -43 -857 -1446 -225 1552 -6448 -2211 -2050 930 2009 683 -570 5801 -959 -5476 -2818 748 -307 2234 -216 -768 1581 1355 236 7577 3592 1292 -4409 5846 -2668 1041 1518 1805 -11550 5175 5318 5356 2867 -4433 -4872 -526 -2202 -2266 4675
+5377 -1469 -602 1134 666 -86 3717 1833 -858 2021 -257 -3596 1887 -2621 -3210 -2002 4217 -765 377 -270 -203 847 -3242 -6195 1528 4182 -6434 -3151 2812 539 -1971 783 -2560 -3155 9701 -504 -5473 -2211 -746 -1100 -4143 -2654 -32 1754 -652 -1850 1671 2165 -1552 -1075 6090 4235 -1786 -1425 -3014 134 -88 -799 -1438 -238 1550 -6585 -2244 -1926 963 2067 758 -413 5765 -983 -5495 -2983 684 -185 2309 -231 -879 1534 1305 274 7672 3628 1337 -4411 5659 -2605 1104 1485 1772 -11623 5286 5357 5401 2997 -4400 -4888 -625 -2303 -2272 4660
+5380 -1577 -481 1167 627 -172 3663 1878 -814 2238 -233 -3589 1812 -2661 -3262 -2016 4173 -656 339 -247 -135 805 -3432 -6072 1603 4173 -6387 -3139 2752 388 -2002 776 -2490 -3160 9696 -511 -5451 -2158 -774 -1132 -4014 -2723 -46 1780 -803 -1762 1726 2148 -1482 -849 6114 4322 -1852 -1490 -3104 5 -194 -774 -1432 -247 1596 -6587 -2156 -1982 1177 2132 735 -373 5747 -999 -5579 -3160 745 0 2420 -194 -1193 1390 1266 244 7524 3588 1399 -4447 5597 -2422 1228 1500 1800 -11768 5321 5408 5474 3059 -4374 -4908 -754 -2390 -2246 4672
+5390 -1554 -445 1185 622 -167 3697 1839 -873 2378 -131 -3804 1757 -2544 -3347 -2006 4154 -692 415 -277 -114 762 -3461 -6076 1692 4150 -6269 -3019 2704 201 -1989 734 -2431 -3073 9690 -528 -5430 -2130 -839 -1208 -3850 -2792 -145 1726 -870 -1745 1810 2184 -1548 -740 6108 4363 -1865 -1500 -3118 -40 -333 -859 -1482 -230 1755 -6437 -2021 -2119 1339 2140 703 -375 5778 -959 -5585 -3155 784 71 2528 -199 -1348 1366 1297 174 7297 3481 1398 -4468 5702 -2316 1320 1498 1730 -11836 5417 5441 5548 3013 -4416 -4925 -840 -2483 -2127 4673
+5428 -1552 -370 1192 689 -118 3750 1741 -922 2399 -58 -4068 1644 -2397 -3388 -2014 4130 -762 516 -277 -156 778 -3321 -6216 1687 4093 -6195 -2975 2738 166 -1989 675 -2467 -3036 9723 -550 -5431 -2144 -785 -1204 -3842 -2779 -191 1630 -780 -1808 1798 2217 -1654 -755 5977 4328 -1878 -1493 -3090 -51 -408 -952 -1476 -128 1854 -6414 -2007 -2224 1225 2046 682 -419 5742 -972 -5599 -3038 862 99 2455 -205 -1348 1398 1329 84 7232 3389 1289 -4528 5785 -2291 1305 1498 1620 -11831 5524 5441 5507 2894 -4392 -4876 -845 -2507 -2007 4711
+5438 -1412 -384 1191 734 -110 3846 1691 -968 2289 -76 -4174 1561 -2257 -3373 -2071 4044 -891 657 -275 -223 818 -3126 -6374 1665 4128 -6222 -2937 2836 251 -1941 617 -2540 -3032 9742 -530 -5460 -2183 -716 -1169 -3893 -2696 -216 1451 -597 -1806 1773 2198 -1758 -906 5905 4313 -1919 -1466 -3008 25 -377 -983 -1445 -73 1800 -6483 -2145 -2189 970 1989 716 -417 5760 -993 -5603 -2892 879 34 2272 -189 -1234 1474 1431 165 7288 3338 1199 -4535 5864 -2319 1296 1528 1484 -11795 5627 5406 5405 2741 -4402 -4840 -809 -2477 -1862 4709
+5484 -1484 -357 1194 720 -163 3808 1655 -993 2206 -127 -4191 1703 -2257 -3378 -2072 4024 -895 732 -315 -274 837 -3109 -6385 1677 4044 -6202 -2959 2854 310 -1893 651 -2598 -3084 9762 -499 -5481 -2213 -641 -1149 -3898 -2624 -181 1354 -496 -1769 1720 2188 -1731 -982 5946 4358 -1850 -1442 -2919 153 -228 -937 -1443 -114 1724 -6588 -2286 -2129 796 1912 683 -380 5840 -1020 -5675 -2928 821 -35 2166 -68 -1210 1447 1463 273 7367 3347 1182 -4495 5883 -2421 1354 1635 1542 -11748 5692 5373 5328 2656 -4494 -4863 -744 -2401 -1835 4760
+5400 -1536 -383 1151 676 -236 3808 1671 -1008 2216 -232 -4236 1879 -2367 -3392 -2075 4002 -871 666 -261 -223 804 -3256 -6188 1601 4053 -6269 -3080 2786 289 -1938 729 -2608 -3142 9837 -434 -5465 -2235 -599 -1133 -3844 -2604 -66 1417 -566 -1714 1681 2203 -1603 -929 6094 4423 -1837 -1434 -2873 168 -186 -908 -1534 -317 1641 -6526 -2256 -2039 903 1944 638 -374 5975 -987 -5736 -3052 694 -129 2182 78 -1219 1330 1441 380 7322 3335 1264 -4377 5800 -2415 1378 1675 1727 -11729 5634 5311 5297 2653 -4543 -4935 -780 -2421 -1818 4707
+5275 -1738 -345 1093 596 -242 3729 1613 -1005 2296 -271 -4325 2148 -2460 -3427 -1996 4011 -857 550 -254 -180 737 -3345 -5967 1550 4032 -6315 -3161 2683 274 -2046 826 -2560 -3162 9910 -386 -5456 -2236 -601 -1077 -3829 -2656 16 1475 -632 -1667 1621 2269 -1533 -787 6233 4474 -1772 -1417 -2863 141 -197 -893 -1606 -411 1672 -6415 -2151 -2156 1040 1981 583 -421 5966 -995 -5837 -3198 696 -207 2386 149 -1276 1234 1398 370 7194 3334 1368 -4326 5819 -2437 1389 1663 1861 -11777 5416 5275 5320 2641 -4616 -4995 -779 -2362 -1925 4759
+5117 -1929 -402 998 587 -156 3601 1532 -1011 2250 -194 -4364 2193 -2546 -3500 -1926 4065 -813 488 -283 -229 734 -3281 -6016 1604 3937 -6416 -3181 2708 298 -2169 858 -2540 -3142 9915 -413 -5436 -2196 -619 -1012 -3905 -2668 117 1450 -663 -1698 1577 2337 -1555 -669 6229 4541 -1718 -1420 -2864 92 -368 -987 -1640 -369 1742 -6347 -2067 -2357 1076 2052 636 -480 5774 -1040 -5856 -3234 681 -113 2534 63 -1278 1250 1387 270 7134 3318 1371 -4428 5853 -2476 1220 1562 1952 -11862 5359 5297 5305 2625 -4584 -5008 -788 -2325 -2086 4742
+5189 -1815 -412 969 633 -93 3592 1534 -964 2155 -84 -4311 2049 -2552 -3579 -1915 4058 -776 554 -363 -308 768 -3131 -6333 1725 3884 -6446 -3096 2768 421 -2222 817 -2543 -3126 9827 -455 -5418 -2142 -592 -846 -3980 -2617 126 1342 -525 -1757 1500 2325 -1654 -855 6243 4438 -1564 -1340 -2882 44 -506 -1129 -1658 -259 1831 -6392 -2046 -2359 992 2130 706 -645 5446 -1115 -5784 -3044 710 24 2528 -165 -1270 1351 1438 179 7044 3277 1339 -4569 5661 -2488 1096 1453 1791 -11762 5417 5350 5330 2704 -4513 -5001 -771 -2257 -2146 4661
+5297 -1675 -518 967 665 -58 3523 1611 -889 2026 -9 -4145 1800 -2377 -3518 -1917 4054 -820 627 -363 -387 825 -3043 -6611 1887 3882 -6420 -2974 2790 438 -2251 755 -2570 -3177 9740 -462 -5403 -2101 -624 -766 -4075 -2562 67 1192 -436 -1835 1471 2322 -1746 -1089 6180 4384 -1502 -1354 -2940 9 -558 -1148 -1598 -99 1920 -6413 -2070 -2267 914 2198 769 -730 5305 -1131 -5671 -2873 695 87 2405 -367 -1267 1481 1499 121 6996 3222 1248 -4656 5497 -2562 1028 1456 1796 -11771 5533 5458 5332 2749 -4369 -4924 -713 -2246 -2204 4615
+5436 -1575 -506 1040 705 -133 3471 1705 -835 2073 24 -4042 1561 -2296 -3485 -2027 3987 -869 628 -327 -319 800 -3111 -6664 2045 3932 -6301 -2847 2772 330 -2217 757 -2553 -3266 9668 -399 -5358 -2064 -728 -793 -4012 -2555 -89 1133 -401 -1782 1498 2260 -1675 -1212 6179 4425 -1510 -1419 -3001 85 -492 -1134 -1541 -58 1927 -6238 -2067 -2177 1005 2160 662 -779 5398 -1079 -5566 -2769 613 -9 2339 -501 -1338 1507 1529 134 6948 3273 1363 -4503 5343 -2508 1043 1436 1859 -11788 5541 5525 5371 2839 -4261 -4868 -703 -2298 -1990 4614
+5349 -1591 -494 1058 677 -205 3541 1822 -799 2215 -83 -3916 1556 -2220 -3424 -2057 3959 -977 628 -228 -231 750 -3276 -6516 2058 4062 -6259 -2785 2721 198 -2202 806 -2497 -3314 9602 -312 -5330 -2050 -802 -897 -3949 -2577 -171 1124 -497 -1726 1508 2287 -1502 -1135 6129 4483 -1620 -1536 -3088 78 -385 -1028 -1537 -152 1899 -6215 -2131 -2275 1130 2126 563 -743 5626 -1021 -5605 -2910 524 -182 2359 -565 -1463 1511 1558 212 6909 3321 1479 -4345 5355 -2429 1160 1479 1951 -11688 5519 5514 5406 2828 -4315 -4848 -685 -2328 -1856 4707
+5281 -1676 -438 1030 609 -238 3578 1832 -818 2283 -180 -3806 1645 -2278 -3397 -2096 3928 -961 533 -201 -197 689 -3309 -6368 1988 4138 -6306 -2846 2741 199 -2203 816 -2426 -3353 9625 -151 -5311 -2101 -828 -1014 -3868 -2680 -195 1206 -626 -1723 1529 2238 -1334 -949 5986 4504 -1683 -1561 -3085 101 -320 -956 -1573 -224 1909 -6279 -2206 -2380 1139 2054 507 -694 5696 -1040 -5727 -3055 635 -407 2483 -547 -1446 1512 1564 330 7013 3357 1472 -4351 5619 -2431 1166 1498 2020 -11754 5507 5565 5473 2743 -4351 -4804 -678 -2400 -1881 4779
+5247 -1671 -460 1021 607 -212 3613 1766 -890 2264 -296 -3687 1795 -2388 -3419 -2086 3941 -894 517 -290 -275 743 -3256 -6516 1934 4261 -6430 -2963 2842 339 -2174 776 -2400 -3287 9634 -166 -5322 -2116 -705 -1070 -3913 -2666 -83 1250 -591 -1779 1500 2237 -1235 -1013 5860 4343 -1655 -1486 -3001 51 -480 -1052 -1676 -219 2055 -6328 -2247 -2455 1065 2069 607 -649 5590 -1109 -5857 -3156 763 -525 2596 -596 -1265 1600 1593 423 7172 3370 1400 -4446 5810 -2369 1096 1394 1852 -11737 5549 5574 5485 2711 -4446 -4811 -675 -2420 -2048 4783
+5353 -1587 -584 980 673 -90 3695 1678 -997 2135 -322 -3735 1893 -2509 -3463 -2080 3935 -831 515 -370 -396 790 -3150 -6730 1800 4268 -6509 -3115 2854 463 -2173 741 -2435 -3228 9617 -233 -5382 -2168 -587 -1047 -4021 -2654 -19 1300 -577 -1911 1485 2210 -1346 -1163 5829 4076 -1634 -1330 -2888 36 -519 -1126 -1764 -251 2094 -6326 -2275 -2371 1030 2100 683 -731 5388 -1114 -5839 -3017 952 -515 2644 -659 -1056 1712 1638 508 7220 3304 1257 -4649 5879 -2430 1040 1365 1723 -11741 5737 5639 5493 2712 -4489 -4842 -718 -2460 -2223 4715
+5444 -1422 -638 1024 676 -76 3797 1705 -1031 2044 -331 -3820 1931 -2466 -3475 -2035 3987 -747 600 -414 -396 841 -3136 -6893 1791 4310 -6480 -3203 2788 436 -2061 777 -2516 -3214 9654 -324 -5439 -2207 -548 -967 -4119 -2631 33 1385 -454 -2046 1492 2223 -1483 -1357 5919 3922 -1608 -1252 -2817 34 -517 -1208 -1822 -296 2085 -6228 -2234 -2203 1173 2124 696 -795 5357 -1041 -5721 -2864 981 -429 2539 -715 -894 1753 1697 567 7171 3209 1121 -4834 5776 -2418 1115 1427 1682 -11732 5801 5603 5445 2737 -4560 -4907 -751 -2370 -2201 4617
+5428 -1436 -654 1077 672 -107 3862 1695 -1084 2116 -322 -4109 1853 -2395 -3540 -1999 4054 -684 664 -385 -318 860 -3249 -6804 1720 4200 -6348 -3196 2639 290 -1998 848 -2566 -3227 9706 -385 -5443 -2218 -639 -901 -4154 -2638 10 1571 -438 -2073 1539 2269 -1472 -1298 5998 3966 -1607 -1263 -2872 43 -362 -1133 -1787 -359 2023 -6159 -2240 -2072 1290 2099 656 -742 5427 -968 -5556 -2679 944 -324 2532 -742 -893 1732 1706 552 7004 3170 1174 -4820 5656 -2351 1247 1504 1805 -11721 5820 5554 5397 2707 -4602 -4933 -756 -2317 -2155 4635
+5363 -1560 -563 1154 606 -193 3847 1671 -1075 2214 -146 -4359 1917 -2295 -3488 -1943 4122 -786 702 -334 -231 856 -3299 -6567 1737 4148 -6243 -3146 2602 164 -1941 897 -2653 -3210 9779 -430 -5439 -2216 -725 -966 -4075 -2677 -65 1711 -548 -2017 1578 2243 -1313 -1124 6025 4134 -1619 -1320 -2960 1 -242 -1016 -1762 -469 1871 -6210 -2329 -2091 1290 2029 618 -650 5512 -956 -5483 -2732 845 -250 2470 -683 -1026 1669 1695 576 6965 3270 1323 -4650 5655 -2403 1307 1581 1938 -11711 5796 5481 5342 2621 -4593 -4891 -691 -2305 -2134 4775
+5301 -1606 -451 1180 528 -233 3853 1659 -1046 2291 14 -4438 1941 -2357 -3443 -1994 4082 -856 707 -312 -195 877 -3284 -6460 1834 4142 -6188 -3014 2719 177 -1903 873 -2683 -3122 9777 -443 -5433 -2192 -788 -1134 -3978 -2753 -142 1748 -712 -1902 1642 2221 -1175 -1007 5998 4242 -1635 -1355 -3066 -131 -300 -962 -1732 -437 1889 -6433 -2339 -2165 1166 2101 736 -515 5496 -1034 -5517 -2898 805 -346 2532 -693 -1112 1666 1733 638 7067 3343 1384 -4585 5634 -2485 1275 1584 1921 -11701 5774 5442 5306 2537 -4509 -4820 -639 -2338 -2139 4859
+5330 -1498 -523 1181 535 -202 3734 1499 -1022 2265 75 -4246 1910 -2424 -3373 -2080 3991 -990 640 -383 -302 879 -3167 -6468 1882 4084 -6303 -3005 2834 295 -2000 777 -2689 -3110 9789 -418 -5400 -2159 -889 -1299 -3964 -2817 -237 1642 -813 -1830 1615 2135 -1181 -1081 5964 4170 -1570 -1286 -3110 -266 -466 -974 -1683 -320 1913 -6550 -2238 -2137 1097 2165 786 -574 5390 -1074 -5591 -3082 799 -451 2572 -632 -1171 1687 1687 531 7150 3394 1382 -4547 5604 -2586 1128 1519 1849 -11771 5825 5470 5308 2547 -4402 -4763 -585 -2356 -2248 4836
+5366 -1333 -635 1128 574 -225 3663 1456 -1013 2221 62 -4031 1869 -2550 -3331 -2156 3921 -1013 555 -400 -400 875 -3040 -6577 1907 4118 -6361 -3026 2888 327 -2113 727 -2644 -3157 9752 -332 -5398 -2160 -899 -1413 -3998 -2806 -270 1511 -824 -1879 1616 2002 -1331 -1204 6050 4068 -1564 -1227 -3122 -368 -592 -1012 -1654 -223 1948 -6523 -2061 -2109 1187 2210 749 -751 5355 -1005 -5613 -3171 832 -468 2569 -563 -1189 1656 1614 424 7195 3419 1328 -4623 5571 -2706 1026 1486 1879 -11875 5828 5497 5273 2565 -4379 -4789 -606 -2360 -2239 4726
+5408 -1335 -648 1155 600 -258 3645 1450 -1023 2208 -33 -3980 1850 -2553 -3328 -2151 3935 -908 447 -403 -438 827 -2955 -6637 1848 3998 -6331 -3080 2761 246 -2234 717 -2543 -3303 9703 -251 -5382 -2167 -937 -1450 -4089 -2740 -284 1453 -729 -1914 1601 2023 -1474 -1250 6032 4086 -1643 -1234 -3115 -392 -602 -1044 -1659 -237 1993 -6389 -2031 -2157 1292 2176 585 -938 5485 -836 -5605 -3189 787 -328 2514 -490 -1121 1621 1501 255 7160 3464 1385 -4626 5475 -2685 989 1439 1989 -11975 5775 5532 5321 2645 -4343 -4852 -692 -2388 -2256 4706
+5408 -1387 -605 1165 614 -331 3666 1558 -1015 2289 -124 -4131 1801 -2529 -3344 -2106 4033 -764 426 -338 -360 817 -2964 -6579 1612 3939 -6286 -3136 2590 223 -2289 783 -2436 -3417 9635 -136 -5337 -2172 -993 -1410 -4109 -2709 -267 1516 -660 -1855 1666 2119 -1549 -1155 5972 4251 -1713 -1295 -3106 -349 -437 -950 -1656 -304 1953 -6358 -2194 -2352 1216 2064 436 -929 5704 -706 -5567 -3068 780 -88 2449 -399 -1134 1548 1480 225 7050 3480 1500 -4610 5366 -2630 1072 1465 2110 -11932 5708 5486 5323 2624 -4341 -4864 -722 -2318 -2223 4793
+5387 -1566 -564 1169 610 -364 3644 1663 -960 2341 -160 -4210 1703 -2430 -3353 -2005 4205 -620 506 -327 -265 844 -3051 -6502 1491 3963 -6255 -3141 2567 271 -2228 819 -2358 -3387 9557 -61 -5355 -2231 -979 -1263 -4081 -2711 -171 1698 -671 -1815 1690 2214 -1442 -1050 5868 4370 -1812 -1330 -3048 -212 -230 -904 -1691 -440 1907 -6455 -2400 -2485 1029 2011 432 -811 5813 -722 -5611 -3036 738 123 2409 -354 -1103 1532 1532 326 7103 3516 1560 -4576 5370 -2582 1158 1530 2089 -11903 5647 5498 5350 2549 -4360 -4834 -687 -2298 -2228 4954
+5361 -1665 -502 1099 564 -332 3646 1783 -915 2138 -76 -4195 1661 -2417 -3360 -1972 4266 -682 524 -357 -218 867 -3140 -6401 1421 4058 -6329 -3118 2673 446 -2192 763 -2361 -3300 9525 -2 -5357 -2251 -961 -1222 -4042 -2771 -86 1837 -701 -1766 1681 2190 -1408 -953 5903 4387 -1833 -1285 -2928 -122 -202 -879 -1688 -468 1838 -6531 -2370 -2440 917 2061 570 -723 5705 -881 -5702 -3034 781 216 2505 -316 -1135 1508 1614 432 7205 3526 1502 -4579 5449 -2649 1149 1572 2018 -11835 5710 5545 5317 2451 -4365 -4756 -589 -2215 -2181 5018
+5320 -1716 -506 1012 580 -221 3634 1781 -913 2013 -48 -4059 1596 -2505 -3324 -2049 4193 -787 560 -373 -224 874 -3178 -6455 1452 4167 -6374 -3108 2781 604 -2181 758 -2341 -3202 9595 29 -5355 -2271 -935 -1244 -3947 -2782 -49 1841 -690 -1790 1602 2135 -1386 -1029 6013 4258 -1735 -1191 -2831 -65 -319 -973 -1706 -460 1783 -6499 -2149 -2346 952 2127 659 -795 5481 -1051 -5804 -3126 824 173 2612 -129 -1279 1397 1648 540 7191 3437 1350 -4531 5577 -2661 1192 1608 1828 -11781 5798 5598 5343 2507 -4485 -4783 -518 -2120 -2099 4935
+5229 -1742 -526 949 586 -120 3629 1765 -950 1933 -82 -3926 1644 -2587 -3337 -2127 4108 -956 563 -410 -336 802 -3113 -6649 1575 4230 -6380 -3041 2799 510 -2192 782 -2378 -3190 9709 58 -5373 -2294 -941 -1333 -3915 -2805 -52 1735 -652 -1908 1547 2023 -1447 -1050 6138 4152 -1639 -1121 -2784 -87 -459 -998 -1715 -441 1830 -6339 -1960 -2365 1119 2191 678 -886 5406 -1077 -5874 -3267 883 127 2664 11 -1335 1308 1576 426 7104 3373 1262 -4479 5759 -2693 1143 1578 1857 -11778 5827 5635 5326 2557 -4561 -4815 -490 -2102 -2090 4846
+5241 -1672 -533 952 646 -102 3674 1767 -949 2046 -251 -3870 1757 -2609 -3319 -2106 4055 -985 559 -385 -399 816 -2997 -6804 1723 4165 -6268 -2975 2719 266 -2159 892 -2417 -3244 9880 2 -5345 -2232 -980 -1416 -3866 -2758 -176 1513 -606 -1934 1634 1975 -1581 -977 6198 4242 -1526 -1153 -2871 -185 -492 -1019 -1694 -416 1768 -6264 -2108 -2420 1276 2188 638 -909 5414 -1050 -5927 -3403 947 128 2616 12 -1364 1279 1486 288 7003 3364 1280 -4440 5946 -2582 1194 1545 1922 -11732 5823 5663 5397 2639 -4623 -4871 -521 -2111 -2188 4864
+5381 -1644 -481 968 632 -142 3652 1779 -925 2156 -295 -3920 1833 -2500 -3350 -2065 4041 -968 522 -396 -454 828 -2998 -6829 1849 4040 -6221 -2917 2682 136 -2136 949 -2501 -3321 9980 -120 -5337 -2170 -921 -1442 -3848 -2725 -285 1369 -600 -1920 1757 1935 -1588 -926 6219 4422 -1512 -1280 -2993 -147 -390 -981 -1633 -327 1780 -6379 -2370 -2414 1252 2191 628 -815 5421 -1037 -5947 -3442 927 233 2434 -48 -1423 1300 1460 310 7091 3445 1378 -4440 5990 -2582 1214 1542 2053 -11707 5784 5657 5435 2634 -4688 -4914 -583 -2131 -2249 4908
+5485 -1593 -380 981 645 -145 3621 1774 -817 2254 -291 -3954 1883 -2386 -3440 -1986 4076 -824 511 -372 -378 891 -3139 -6668 1878 3975 -6233 -2877 2747 211 -2079 931 -2551 -3312 10018 -307 -5373 -2125 -836 -1292 -3863 -2673 -317 1293 -673 -1899 1769 1951 -1478 -932 6211 4549 -1520 -1402 -3087 -129 -344 -937 -1547 -236 1775 -6533 -2465 -2292 1194 2229 707 -740 5334 -1094 -5975 -3380 937 379 2382 -173 -1318 1432 1516 483 7263 3486 1385 -4463 5876 -2574 1218 1528 1946 -11611 5735 5617 5471 2610 -4712 -4901 -577 -2065 -2358 4999
+5507 -1533 -348 986 643 -135 3651 1767 -774 2234 -108 -4032 1868 -2298 -3518 -1907 4138 -725 521 -429 -304 927 -3330 -6512 1885 4016 -6326 -2920 2830 368 -2081 877 -2550 -3271 10057 -412 -5390 -2099 -758 -1170 -3841 -2672 -243 1302 -705 -1866 1726 1931 -1391 -915 6213 4468 -1582 -1427 -3070 1 -281 -878 -1441 -70 1818 -6503 -2297 -2168 1240 2287 760 -783 5298 -1143 -6007 -3319 911 367 2462 -229 -1260 1490 1529 585 7462 3517 1353 -4544 5728 -2738 1152 1589 1901 -11561 5810 5605 5465 2647 -4651 -4869 -591 -2013 -2226 4983
+5440 -1532 -398 961 673 -67 3690 1697 -800 2173 56 -4082 1833 -2210 -3574 -1876 4183 -791 592 -472 -281 983 -3365 -6426 1831 3983 -6424 -3034 2864 451 -2087 829 -2531 -3198 10075 -400 -5411 -2131 -710 -1005 -3831 -2703 -106 1314 -659 -1933 1612 1941 -1430 -851 6209 4275 -1644 -1427 -3004 168 -295 -883 -1420 -69 1854 -6385 -1998 -2156 1340 2250 725 -864 5327 -1167 -6046 -3302 921 244 2567 -229 -1241 1495 1499 564 7471 3487 1320 -4509 5589 -2777 1133 1606 1847 -11503 5801 5548 5423 2721 -4490 -4820 -623 -2037 -1987 4840
+5350 -1559 -432 1022 760 -73 3757 1604 -913 2194 173 -4206 1886 -2283 -3602 -1879 4181 -814 668 -542 -334 1011 -3207 -6572 1754 3925 -6403 -3140 2757 418 -2120 818 -2438 -3190 10081 -270 -5377 -2153 -775 -952 -3799 -2679 -1 1385 -511 -2021 1587 1933 -1448 -777 6056 4133 -1620 -1382 -2925 189 -363 -910 -1482 -117 1920 -6269 -1950 -2304 1406 2139 622 -897 5374 -1139 -6032 -3283 928 45 2596 -234 -1197 1450 1417 335 7346 3474 1313 -4481 5603 -2744 1095 1566 1969 -11562 5774 5503 5420 2781 -4436 -4818 -606 -2114 -1940 4880
+5300 -1542 -519 1092 862 -109 3791 1578 -1057 2300 110 -4321 1930 -2404 -3556 -1921 4100 -953 694 -538 -383 989 -3025 -6664 1759 3904 -6316 -3133 2710 269 -2068 819 -2456 -3243 10009 -151 -5327 -2164 -804 -981 -3829 -2670 56 1413 -437 -2072 1642 1970 -1517 -728 5889 4085 -1637 -1381 -2850 219 -317 -958 -1582 -200 1919 -6248 -2251 -2445 1340 2096 592 -805 5472 -1068 -6017 -3291 999 -56 2413 -214 -1144 1448 1392 226 7341 3499 1371 -4336 5771 -2674 1079 1475 2042 -11690 5656 5447 5409 2779 -4390 -4825 -604 -2244 -1953 4941
+5433 -1478 -630 1128 833 -250 3837 1551 -1167 2299 0 -4371 1910 -2461 -3490 -2003 3965 -999 573 -552 -402 964 -3033 -6636 1802 3976 -6274 -3126 2705 243 -2068 842 -2492 -3366 9906 -11 -5286 -2138 -773 -926 -3975 -2666 69 1407 -451 -2083 1658 2035 -1516 -801 5864 4105 -1613 -1383 -2839 255 -226 -970 -1671 -370 1820 -6386 -2579 -2450 1236 2116 620 -724 5448 -1007 -5936 -3274 921 -85 2192 -373 -1036 1560 1457 227 7411 3543 1395 -4251 5868 -2626 1070 1413 2043 -11752 5544 5377 5389 2739 -4395 -4862 -635 -2276 -2027 4944
+5519 -1475 -585 1147 756 -332 3762 1529 -1161 2248 -147 -4237 1804 -2442 -3460 -2027 3928 -956 451 -514 -349 960 -3239 -6390 1839 4061 -6256 -3023 2816 271 -2027 849 -2529 -3432 9777 23 -5256 -2099 -656 -1017 -4044 -2636 15 1300 -507 -2038 1621 2073 -1494 -924 6015 4121 -1668 -1426 -2867 310 -98 -932 -1615 -354 1706 -6406 -2562 -2198 1247 2191 690 -784 5267 -972 -5789 -3192 815 -91 2016 -560 -1013 1657 1567 351 7430 3555 1408 -4171 5940 -2608 1053 1426 1919 -11780 5559 5361 5383 2731 -4477 -4939 -647 -2257 -2111 4992
+5512 -1413 -480 1126 672 -285 3742 1569 -1069 2191 -223 -4058 1664 -2330 -3448 -2067 3944 -859 309 -446 -292 927 -3357 -6163 1882 4096 -6256 -2924 2848 253 -1997 895 -2499 -3398 9693 43 -5229 -2078 -649 -1104 -3977 -2642 -100 1251 -541 -1960 1568 2043 -1432 -1020 6148 4134 -1699 -1436 -2883 335 -101 -905 -1540 -273 1709 -6287 -2239 -2118 1204 2163 678 -943 5133 -951 -5671 -3134 710 -143 2048 -595 -1097 1643 1584 398 7322 3446 1376 -4118 5931 -2676 1115 1492 1746 -11812 5576 5343 5297 2733 -4429 -4939 -679 -2166 -2122 4922
+5358 -1555 -374 1155 627 -208 3721 1617 -958 2218 -218 -3949 1554 -2267 -3482 -1993 4076 -723 314 -464 -241 993 -3358 -6246 1934 3991 -6215 -2895 2817 215 -2054 982 -2391 -3307 9646 48 -5239 -2068 -742 -1219 -3868 -2664 -131 1275 -576 -1877 1563 2015 -1437 -862 6251 4187 -1709 -1459 -2928 294 -170 -889 -1441 -162 1693 -6327 -1929 -2270 1225 2127 634 -1009 5118 -969 -5636 -3158 693 -297 2226 -648 -1195 1585 1511 307 7144 3388 1376 -4120 5911 -2692 1218 1582 1694 -11775 5516 5303 5216 2720 -4411 -4926 -687 -2080 -2074 4847
+5306 -1515 -419 1238 701 -191 3753 1652 -931 2320 -169 -4004 1628 -2287 -3555 -1942 4235 -677 398 -499 -309 1038 -3248 -6577 1963 3956 -6220 -2904 2746 226 -2113 1003 -2332 -3196 9661 -9 -5254 -2088 -856 -1242 -3796 -2691 -156 1327 -567 -1835 1588 1994 -1394 -851 6150 4207 -1608 -1428 -2976 190 -304 -919 -1444 -95 1767 -6337 -1928 -2377 1187 2136 593 -975 5248 -958 -5668 -3266 744 -395 2407 -543 -1164 1522 1388 122 7100 3362 1354 -4139 5940 -2629 1304 1617 1781 -11698 5457 5267 5177 2633 -4433 -4884 -658 -2048 -2047 4767
+5356 -1430 -493 1251 708 -254 3743 1749 -886 2370 -64 -4046 1785 -2395 -3550 -1896 4299 -774 510 -519 -342 1098 -3251 -6819 1920 3932 -6308 -2985 2723 331 -2156 932 -2411 -3135 9638 -189 -5318 -2116 -944 -1227 -3761 -2729 -188 1383 -522 -1798 1726 2048 -1417 -958 6098 4252 -1506 -1393 -3060 10 -401 -937 -1495 -119 1844 -6470 -2142 -2401 1165 2182 573 -886 5362 -948 -5703 -3280 858 -456 2447 -574 -1107 1567 1361 -13 7156 3387 1320 -4156 6083 -2581 1318 1597 1866 -11767 5397 5332 5242 2525 -4511 -4852 -598 -2114 -2093 4808
+5586 -1324 -569 1195 655 -294 3776 1835 -852 2260 71 -3955 1906 -2551 -3484 -1918 4301 -847 522 -529 -290 1052 -3351 -6641 1859 4028 -6418 -2998 2855 473 -2119 741 -2568 -3116 9656 -400 -5375 -2116 -916 -1151 -3827 -2735 -210 1537 -536 -1835 1794 2072 -1385 -1190 6117 4369 -1507 -1394 -3103 -125 -383 -908 -1493 -174 1799 -6535 -2317 -2272 1194 2247 517 -970 5437 -854 -5704 -3220 927 -433 2383 -578 -994 1635 1446 39 7329 3433 1277 -4131 6129 -2592 1273 1544 1833 -11797 5437 5423 5340 2490 -4577 -4845 -603 -2176 -2049 4843
+5617 -1373 -517 1088 549 -278 3773 1849 -789 2176 10 -3799 1979 -2631 -3395 -1938 4262 -940 459 -464 -194 1004 -3564 -6404 1750 4204 -6471 -2959 2948 615 -2112 660 -2638 -3085 9685 -530 -5424 -2137 -816 -1126 -3959 -2753 -144 1760 -525 -1913 1718 2128 -1347 -1336 6179 4394 -1611 -1417 -3093 -102 -286 -896 -1436 -174 1687 -6465 -2273 -2176 1235 2199 456 -1145 5341 -802 -5661 -3047 975 -390 2378 -625 -1040 1634 1560 157 7348 3459 1292 -3999 6098 -2713 1162 1487 1712 -11824 5501 5558 5442 2542 -4692 -4891 -617 -2256 -2105 4901
+5453 -1508 -422 981 443 -191 3792 1821 -723 2106 -104 -3702 1958 -2561 -3287 -1946 4197 -933 356 -386 -111 935 -3569 -6198 1556 4286 -6354 -2936 2877 621 -2100 675 -2580 -3079 9761 -560 -5450 -2163 -655 -1173 -3962 -2694 -92 1910 -564 -1899 1657 2155 -1311 -1173 6195 4425 -1702 -1398 -2989 44 -166 -823 -1394 -198 1638 -6344 -2145 -2309 1216 2087 398 -1308 5195 -815 -5670 -2981 970 -234 2387 -488 -1114 1528 1524 100 7281 3494 1390 -3910 6087 -2769 1194 1611 1722 -11758 5527 5587 5502 2755 -4586 -4894 -698 -2349 -2115 4983
+5301 -1633 -446 921 472 -43 3866 1786 -781 2176 -247 -3866 1884 -2365 -3279 -1961 4206 -836 322 -402 -95 1002 -3458 -6332 1547 4255 -6135 -2883 2707 455 -2115 776 -2482 -3071 9856 -513 -5449 -2194 -615 -1307 -3909 -2711 -28 1962 -603 -1918 1641 2085 -1281 -1015 6129 4427 -1820 -1380 -2859 153 -210 -903 -1459 -169 1765 -6334 -2082 -2485 1140 2033 442 -1205 5135 -925 -5750 -3020 1045 -214 2436 -457 -1137 1460 1431 -54 7154 3471 1442 -3906 6040 -2766 1166 1581 1805 -11660 5458 5575 5532 2912 -4483 -4883 -728 -2345 -2119 5021
+5278 -1571 -577 977 587 -72 3884 1694 -957 2266 -373 -4113 1788 -2202 -3335 -1949 4207 -783 323 -496 -211 1027 -3304 -6509 1557 4206 -6044 -2944 2612 299 -2122 805 -2435 -3123 9900 -450 -5455 -2239 -684 -1429 -3849 -2770 -99 1907 -663 -1896 1745 1942 -1226 -1039 6141 4392 -1824 -1322 -2784 140 -271 -973 -1535 -190 1810 -6435 -2192 -2525 1171 2133 582 -997 5136 -1074 -5881 -3169 1167 -128 2452 -450 -1149 1417 1346 -79 7187 3468 1406 -4075 6000 -2672 1173 1509 1922 -11522 5398 5464 5495 2974 -4486 -4900 -702 -2119 -2039 4969
+5374 -1454 -699 988 681 -169 3821 1635 -1095 2212 -315 -4321 1657 -2205 -3462 -2011 4202 -718 268 -583 -267 1014 -3279 -6510 1609 4213 -6131 -2981 2668 253 -2103 768 -2525 -3212 9873 -455 -5479 -2260 -721 -1415 -3930 -2782 -156 1755 -732 -1904 1866 1914 -1261 -1180 6154 4360 -1726 -1274 -2817 59 -320 -1023 -1643 -283 1810 -6535 -2329 -2333 1273 2206 653 -838 5149 -1170 -5938 -3355 1099 -121 2414 -523 -1110 1498 1338 -6 7341 3471 1317 -4183 5916 -2631 1148 1450 1932 -11467 5372 5390 5443 2913 -4457 -4875 -636 -1981 -1956 4876
+5449 -1466 -664 1039 656 -301 3785 1610 -1137 2166 -134 -4337 1665 -2361 -3453 -2011 4216 -759 294 -579 -257 976 -3366 -6258 1589 4219 -6302 -3028 2862 352 -2004 703 -2619 -3232 9747 -468 -5509 -2282 -779 -1281 -3993 -2796 -235 1619 -769 -1952 1849 1952 -1174 -1383 6248 4365 -1669 -1263 -2897 -78 -370 -1024 -1662 -367 1753 -6552 -2366 -2036 1378 2212 640 -900 5150 -1157 -5944 -3449 982 -80 2329 -486 -1053 1548 1317 111 7511 3512 1248 -4226 5914 -2643 1111 1416 1780 -11514 5386 5359 5436 2882 -4507 -4893 -538 -1853 -1863 4828
+5506 -1487 -488 1065 604 -321 3793 1657 -1044 2165 73 -4235 1764 -2543 -3428 -1960 4269 -799 332 -498 -178 977 -3419 -5955 1564 4048 -6360 -3033 2898 412 -1910 795 -2608 -3235 9690 -451 -5487 -2251 -861 -1121 -3978 -2755 -295 1658 -767 -1940 1818 2068 -1272 -1331 6360 4458 -1602 -1324 -3027 -164 -350 -1033 -1603 -303 1725 -6476 -2379 -2011 1391 2129 582 -1055 5166 -1080 -5963 -3516 826 87 2286 -278 -1086 1496 1287 185 7571 3544 1258 -4148 5963 -2677 1073 1392 1651 -11620 5522 5401 5462 2905 -4576 -4955 -509 -1848 -1889 4844
+5416 -1456 -362 1104 674 -208 3832 1654 -931 2352 115 -4176 1951 -2620 -3403 -1932 4330 -848 366 -443 -135 1063 -3453 -5938 1604 3901 -6287 -3043 2837 369 -1888 939 -2468 -3189 9695 -309 -5391 -2186 -941 -1100 -3914 -2702 -233 1750 -728 -1906 1717 2144 -1308 -1146 6395 4512 -1603 -1388 -3126 -172 -292 -992 -1508 -181 1733 -6544 -2347 -2126 1331 2071 584 -1104 5068 -1077 -5988 -3441 793 158 2343 -169 -1099 1438 1238 158 7559 3618 1359 -4030 6119 -2817 1078 1473 1750 -11722 5589 5380 5429 2908 -4601 -4983 -490 -1888 -2033 4981
+5403 -1465 -398 1118 781 -168 3804 1648 -881 2550 -18 -4169 2054 -2593 -3375 -1921 4294 -920 303 -436 -162 1003 -3338 -6063 1636 3751 -6219 -3058 2675 241 -1943 1038 -2345 -3133 9736 -248 -5336 -2123 -989 -1149 -3875 -2663 -163 1760 -711 -1879 1680 2172 -1399 -985 6441 4432 -1657 -1406 -3123 -110 -294 -976 -1448 -88 1780 -6542 -2275 -2276 1369 2180 652 -1022 5114 -1085 -6075 -3406 868 340 2383 -4 -1036 1415 1221 69 7545 3656 1387 -4059 6199 -2742 1203 1519 1823 -11793 5423 5311 5457 2915 -4677 -5043 -529 -1953 -2126 5090
+5466 -1411 -527 1123 863 -227 3754 1596 -936 2609 -225 -4190 1984 -2428 -3433 -1977 4210 -943 224 -464 -279 956 -3265 -6226 1612 3761 -6237 -3119 2628 264 -2098 986 -2316 -3186 9848 -221 -5306 -2071 -932 -1182 -3913 -2631 -14 1680 -635 -1882 1655 2154 -1426 -995 6431 4233 -1647 -1363 -3086 -100 -321 -973 -1466 -88 1868 -6601 -2214 -2232 1428 2298 681 -892 5164 -1119 -6114 -3334 957 376 2374 -28 -913 1518 1357 121 7517 3610 1311 -4204 6223 -2693 1289 1554 1879 -11796 5428 5317 5450 2798 -4662 -5022 -562 -1952 -2153 5054
+5508 -1354 -613 1075 871 -280 3708 1581 -969 2462 -371 -4098 1828 -2354 -3457 -2025 4175 -863 152 -478 -330 901 -3246 -6201 1598 3902 -6287 -3055 2744 341 -2103 878 -2439 -3191 9915 -252 -5349 -2116 -813 -1218 -3987 -2579 50 1534 -622 -1981 1659 2256 -1447 -1197 6379 3992 -1640 -1282 -3018 -98 -301 -952 -1612 -251 1949 -6479 -2185 -2188 1520 2351 647 -856 5384 -1056 -6088 -3318 980 372 2281 -93 -939 1537 1453 231 7526 3524 1203 -4273 6224 -2633 1294 1576 1838 -11883 5475 5364 5417 2670 -4644 -4999 -641 -1947 -2008 5022
+5523 -1370 -566 1037 775 -288 3723 1607 -953 2228 -330 -3972 1706 -2321 -3460 -2004 4167 -749 168 -489 -286 869 -3299 -6103 1609 4057 -6312 -2945 2911 409 -2086 764 -2564 -3210 9929 -386 -5426 -2163 -644 -1118 -4026 -2565 75 1420 -551 -1895 1677 2331 -1438 -1204 6225 3878 -1664 -1271 -3015 -173 -248 -973 -1724 -383 1998 -6380 -2239 -2233 1373 2190 539 -911 5495 -986 -6008 -3356 887 270 2214 -123 -1018 1480 1468 316 7451 3471 1152 -4309 6156 -2764 1267 1602 1703 -11955 5647 5471 5334 2534 -4526 -4898 -708 -1991 -1812 5076
+5502 -1474 -379 1035 716 -298 3833 1696 -904 2071 -208 -3861 1683 -2375 -3442 -1916 4270 -690 306 -525 -208 959 -3458 -6054 1588 4132 -6203 -2839 2933 420 -1998 733 -2610 -3183 9957 -478 -5484 -2220 -558 -939 -3980 -2543 21 1374 -496 -1860 1611 2368 -1404 -1123 6079 3849 -1699 -1270 -2977 -112 -191 -1036 -1790 -442 1950 -6292 -2378 -2383 1133 2024 502 -997 5440 -946 -5934 -3437 732 131 2209 -62 -1136 1358 1418 426 7419 3510 1294 -4184 6013 -2855 1271 1674 1716 -12062 5728 5583 5300 2513 -4434 -4821 -724 -2090 -1670 5250
+5424 -1488 -248 1091 720 -228 3877 1763 -849 2044 -81 -3809 1755 -2445 -3387 -1841 4324 -738 410 -502 -169 1052 -3567 -6120 1602 4205 -6111 -2840 2827 394 -1986 766 -2568 -3134 10055 -542 -5473 -2222 -570 -813 -3863 -2562 -77 1337 -496 -1732 1567 2354 -1496 -902 6040 3895 -1759 -1294 -2932 -10 -152 -1037 -1755 -392 1891 -6356 -2401 -2588 1007 2044 567 -955 5362 -953 -5943 -3549 691 22 2277 -10 -1208 1299 1341 376 7472 3598 1388 -4156 5955 -2982 1226 1671 1896 -12039 5721 5598 5266 2517 -4459 -4794 -702 -2125 -1633 5321
+5456 -1487 -214 1127 737 -200 3893 1803 -886 2131 -10 -3906 1822 -2434 -3393 -1818 4308 -845 499 -523 -215 1124 -3598 -6250 1664 4040 -6092 -2892 2698 363 -2032 818 -2521 -3073 10067 -620 -5496 -2233 -581 -752 -3788 -2640 -135 1271 -519 -1684 1587 2259 -1567 -837 6082 3882 -1737 -1296 -2940 96 -131 -1043 -1703 -353 1842 -6371 -2327 -2493 1111 2204 667 -883 5327 -950 -5966 -3640 764 31 2436 -81 -1185 1357 1283 221 7530 3698 1430 -4170 5882 -2933 1202 1587 2008 -11923 5554 5571 5380 2583 -4640 -4860 -620 -2128 -1819 5360
+5515 -1447 -316 1145 774 -204 3856 1802 -926 2242 -136 -3911 1868 -2408 -3487 -1865 4220 -948 462 -522 -241 1118 -3536 -6366 1700 3995 -6266 -2960 2719 436 -2073 801 -2495 -3014 10025 -638 -5497 -2194 -574 -799 -3766 -2695 -106 1223 -529 -1684 1644 2212 -1599 -821 6294 3835 -1726 -1279 -2951 73 -235 -1039 -1657 -334 1785 -6393 -2146 -2420 1319 2408 713 -875 5333 -942 -5958 -3604 915 118 2625 -156 -1162 1466 1345 141 7538 3704 1386 -4242 5860 -2917 1127 1545 2116 -11816 5443 5572 5450 2583 -4813 -4932 -526 -1998 -2017 5189
+5524 -1479 -335 1098 761 -217 3801 1827 -879 2236 -247 -3885 1840 -2314 -3537 -1921 4133 -978 382 -492 -247 1061 -3384 -6413 1755 3940 -6392 -2969 2809 550 -2061 792 -2505 -3042 9977 -690 -5438 -2095 -534 -878 -3813 -2630 29 1235 -519 -1773 1711 2300 -1552 -930 6370 3892 -1612 -1276 -3029 -54 -330 -1015 -1638 -302 1856 -6336 -2152 -2329 1429 2367 650 -925 5366 -913 -5874 -3420 1002 168 2656 -240 -1169 1503 1386 46 7373 3615 1368 -4241 5875 -2919 1042 1467 1982 -11643 5445 5547 5529 2641 -4905 -4999 -477 -1882 -2185 5059
+5505 -1459 -321 1057 702 -255 3801 1843 -799 2255 -260 -3879 1875 -2193 -3482 -1862 4089 -928 319 -455 -215 1063 -3348 -6354 1826 3918 -6412 -2931 2890 522 -2010 791 -2503 -3055 9870 -666 -5410 -2049 -479 -932 -3839 -2566 107 1287 -485 -1804 1780 2289 -1462 -915 6296 3997 -1528 -1258 -3101 -177 -457 -1059 -1680 -290 1943 -6281 -2279 -2395 1280 2199 559 -1031 5365 -877 -5735 -3150 1009 88 2601 -301 -1269 1496 1473 74 7171 3568 1437 -4156 5910 -2958 1020 1466 1871 -11606 5497 5540 5483 2667 -4834 -4977 -429 -1750 -2235 5056
+5426 -1521 -303 1029 683 -259 3767 1861 -747 2369 -234 -3966 1843 -2169 -3521 -1827 4114 -759 314 -463 -123 1092 -3455 -6248 1881 3914 -6273 -2843 2875 388 -1951 852 -2496 -3102 9811 -567 -5347 -1998 -561 -991 -3868 -2538 115 1392 -475 -1765 1727 2250 -1448 -812 6205 4267 -1447 -1297 -3139 -262 -459 -1080 -1669 -200 2042 -6318 -2394 -2455 1085 2091 526 -1101 5345 -872 -5654 -2972 941 -69 2492 -358 -1282 1470 1520 161 7045 3540 1515 -4133 5893 -2836 1045 1432 1833 -11557 5573 5523 5468 2784 -4699 -4955 -452 -1716 -2187 5118
+5394 -1495 -401 1004 668 -300 3751 1808 -797 2488 -50 -4126 1851 -2281 -3546 -1827 4179 -661 323 -483 -108 1112 -3533 -6106 1840 3938 -6184 -2837 2833 281 -1923 854 -2492 -3090 9767 -477 -5356 -2046 -600 -961 -3910 -2568 49 1463 -583 -1762 1673 2230 -1534 -728 6089 4335 -1456 -1271 -3076 -221 -452 -1067 -1588 -85 2032 -6329 -2344 -2340 1213 2191 579 -1071 5328 -908 -5720 -3048 933 -191 2328 -438 -1261 1494 1600 345 7169 3541 1452 -4266 5879 -2713 1159 1416 1810 -11565 5668 5537 5502 2857 -4560 -4882 -413 -1643 -2105 5115
+5440 -1485 -544 996 733 -279 3798 1717 -920 2581 34 -4219 1898 -2425 -3521 -1874 4229 -634 376 -554 -149 1091 -3480 -6087 1780 3989 -6123 -2812 2811 299 -1946 811 -2536 -3043 9782 -410 -5400 -2136 -672 -1003 -3875 -2674 -11 1533 -642 -1768 1656 2215 -1623 -735 6088 4334 -1510 -1261 -2932 -24 -360 -1040 -1487 -16 1932 -6337 -2164 -2254 1495 2365 617 -1048 5322 -925 -5835 -3204 1043 -327 2313 -567 -1187 1566 1687 531 7292 3504 1332 -4345 5864 -2638 1217 1392 1830 -11652 5727 5560 5535 2860 -4486 -4836 -409 -1654 -1994 5031
+5431 -1483 -614 997 782 -206 3854 1648 -1018 2488 98 -4206 1846 -2549 -3448 -1911 4221 -692 462 -560 -237 1103 -3321 -6175 1704 4069 -6119 -2824 2867 411 -1934 737 -2539 -2964 9814 -436 -5426 -2190 -729 -1045 -3818 -2732 -46 1514 -633 -1784 1748 2319 -1704 -826 6112 4225 -1537 -1202 -2802 97 -319 -978 -1477 -111 1819 -6310 -2115 -2298 1674 2386 586 -1061 5313 -923 -5895 -3354 1140 -319 2342 -661 -1085 1621 1677 539 7320 3421 1187 -4386 5975 -2626 1258 1437 1722 -11811 5769 5607 5575 2869 -4533 -4862 -401 -1736 -2047 5050
+5443 -1493 -564 1072 806 -189 3938 1596 -1025 2382 -27 -4175 1859 -2535 -3369 -1958 4226 -772 508 -505 -259 1157 -3208 -6313 1624 4071 -6077 -2874 2848 500 -1918 772 -2531 -2964 9834 -438 -5473 -2264 -704 -1132 -3692 -2674 -28 1511 -619 -1775 1875 2467 -1684 -897 6036 4215 -1542 -1192 -2746 106 -375 -1025 -1586 -254 1802 -6330 -2262 -2371 1559 2249 575 -1051 5215 -970 -5882 -3364 1209 -217 2450 -611 -1022 1633 1607 421 7186 3400 1234 -4322 6019 -2768 1249 1533 1648 -11813 5838 5615 5548 2848 -4578 -4902 -462 -1926 -2197 5136
+5460 -1397 -482 1136 790 -234 3950 1633 -958 2272 -145 -4204 1886 -2410 -3341 -1940 4250 -750 459 -380 -218 1150 -3246 -6313 1591 4066 -6081 -2961 2783 449 -1890 859 -2541 -3016 9883 -423 -5480 -2292 -762 -1270 -3658 -2661 -42 1575 -593 -1725 1925 2499 -1605 -1009 5932 4306 -1519 -1197 -2734 119 -433 -1096 -1711 -355 1841 -6352 -2422 -2391 1263 2081 625 -1019 5076 -1036 -5759 -3201 1103 -84 2473 -585 -1066 1618 1572 369 7102 3448 1432 -4195 6029 -2831 1239 1555 1617 -11867 5862 5611 5483 2785 -4615 -4928 -530 -2087 -2285 5324
+5447 -1305 -514 1173 781 -281 3926 1611 -907 2250 -325 -4208 1923 -2311 -3302 -1930 4269 -799 326 -292 -151 1071 -3411 -6184 1603 4017 -6150 -3042 2708 356 -1895 938 -2588 -3178 9949 -409 -5470 -2283 -809 -1365 -3761 -2634 -98 1633 -622 -1664 1841 2427 -1588 -980 5930 4415 -1487 -1249 -2796 31 -524 -1142 -1723 -288 1917 -6415 -2521 -2257 1131 2070 694 -977 4961 -1126 -5696 -3035 969 -46 2456 -645 -1105 1632 1543 327 7087 3500 1544 -4207 5813 -2830 1268 1572 1712 -11895 5715 5494 5365 2670 -4595 -4912 -617 -2114 -2199 5353
+5458 -1322 -494 1208 749 -380 3853 1615 -911 2353 -355 -4117 1859 -2335 -3325 -1926 4249 -799 252 -302 -120 999 -3537 -6111 1611 4032 -6287 -3101 2747 339 -1945 934 -2621 -3243 9995 -401 -5432 -2209 -866 -1427 -3903 -2631 -109 1712 -708 -1707 1674 2372 -1543 -954 6057 4445 -1471 -1296 -2864 63 -562 -1149 -1612 -189 1880 -6397 -2338 -2082 1299 2228 744 -919 5009 -1159 -5759 -3045 913 -29 2425 -698 -1185 1668 1591 399 7208 3545 1532 -4358 5606 -2734 1276 1502 1790 -11959 5540 5423 5317 2496 -4709 -4901 -594 -1977 -2050 5289
+5468 -1296 -567 1106 737 -362 3818 1628 -942 2339 -208 -3949 1764 -2484 -3315 -1950 4220 -788 269 -408 -211 921 -3425 -6234 1698 4072 -6435 -3092 2840 321 -1976 898 -2682 -3251 9987 -392 -5418 -2171 -885 -1390 -4092 -2663 -106 1742 -757 -1808 1580 2349 -1571 -979 6101 4390 -1432 -1340 -2942 69 -487 -1045 -1429 -43 1738 -6424 -2153 -2052 1484 2316 703 -885 5192 -1126 -5909 -3154 981 -96 2471 -756 -1232 1694 1633 439 7238 3466 1396 -4533 5450 -2644 1197 1372 1811 -12016 5395 5350 5203 2258 -4759 -4820 -489 -1737 -1953 5104
+5480 -1285 -613 1009 706 -388 3798 1684 -990 2182 0 -3737 1609 -2581 -3357 -2001 4177 -836 339 -529 -318 899 -3224 -6532 1748 4148 -6481 -3023 2885 347 -2057 819 -2644 -3247 9977 -428 -5437 -2177 -928 -1388 -4151 -2684 -105 1727 -795 -1876 1566 2377 -1643 -1072 6184 4303 -1410 -1359 -2995 66 -369 -904 -1356 -62 1640 -6536 -2088 -2240 1439 2271 620 -891 5367 -1053 -6046 -3318 1095 -162 2455 -656 -1348 1565 1571 410 7148 3345 1275 -4536 5525 -2666 1116 1322 1694 -11972 5402 5362 5212 2195 -4819 -4808 -407 -1618 -1911 5036
+5526 -1265 -675 991 697 -447 3816 1751 -993 2038 137 -3679 1525 -2607 -3404 -2064 4200 -847 468 -593 -385 930 -3194 -6794 1788 4170 -6378 -2985 2779 269 -2124 806 -2581 -3236 9903 -427 -5439 -2202 -1019 -1397 -4088 -2739 -134 1700 -798 -1870 1701 2379 -1575 -1151 6178 4198 -1391 -1351 -3034 -5 -312 -776 -1402 -197 1632 -6674 -2183 -2324 1155 2102 537 -947 5373 -1008 -6070 -3325 1129 -205 2430 -523 -1393 1446 1489 317 7047 3332 1303 -4421 5686 -2751 1114 1365 1555 -11903 5427 5427 5235 2300 -4809 -4831 -360 -1640 -2015 5160
+5520 -1243 -715 997 679 -515 3804 1800 -1000 2012 148 -3825 1587 -2506 -3471 -2102 4206 -899 456 -550 -304 878 -3254 -6674 1764 4202 -6249 -2894 2663 136 -2131 790 -2548 -3269 9834 -451 -5457 -2224 -1022 -1385 -4005 -2711 -193 1619 -762 -1788 1718 2285 -1464 -1227 6166 4097 -1488 -1370 -3032 -60 -323 -778 -1503 -325 1691 -6678 -2278 -2332 970 2031 511 -1008 5307 -988 -5997 -3283 999 -191 2310 -394 -1308 1417 1500 380 7103 3378 1416 -4340 5758 -2728 1197 1426 1552 -11825 5422 5459 5310 2530 -4773 -4917 -421 -1773 -2100 5293
+5469 -1303 -608 1020 667 -549 3834 1831 -1013 2108 34 -4028 1673 -2374 -3476 -2075 4211 -936 378 -463 -200 865 -3377 -6362 1610 4159 -6198 -2872 2620 137 -2125 771 -2565 -3259 9784 -389 -5482 -2282 -1040 -1356 -3941 -2757 -294 1626 -726 -1733 1701 2166 -1364 -1140 6194 4017 -1591 -1360 -2954 28 -319 -840 -1597 -357 1838 -6456 -2216 -2291 979 2106 546 -1006 5288 -983 -5864 -3210 773 23 2207 -293 -1193 1460 1583 495 7182 3420 1470 -4383 5697 -2661 1281 1429 1607 -11789 5484 5545 5458 2792 -4707 -4990 -513 -1890 -2099 5427
+5403 -1423 -482 1021 645 -461 3861 1844 -992 2267 -112 -4116 1755 -2353 -3449 -2047 4171 -877 336 -371 -165 901 -3379 -6214 1512 4211 -6242 -2869 2748 354 -2069 722 -2559 -3196 9786 -339 -5464 -2277 -926 -1325 -3907 -2691 -335 1581 -653 -1732 1595 2169 -1291 -1126 6220 3910 -1677 -1351 -2897 87 -279 -895 -1643 -403 1850 -6339 -2097 -2316 1128 2197 565 -900 5396 -988 -5804 -3273 571 130 2217 -179 -1147 1478 1648 543 7223 3386 1428 -4430 5614 -2558 1357 1411 1585 -11755 5517 5628 5622 2970 -4642 -5000 -531 -1821 -2071 5418
+5420 -1459 -385 1010 671 -391 3898 1765 -1016 2282 -218 -4133 1865 -2475 -3392 -1982 4084 -883 372 -355 -241 969 -3237 -6387 1501 4099 -6348 -2944 2837 588 -2117 703 -2524 -3139 9866 -271 -5423 -2254 -791 -1357 -3942 -2664 -315 1599 -636 -1767 1577 2236 -1299 -1056 6194 3827 -1805 -1363 -2847 245 -190 -907 -1615 -405 1750 -6285 -1997 -2443 1189 2183 562 -785 5507 -993 -5826 -3376 500 159 2347 -109 -1178 1454 1632 392 7034 3288 1371 -4480 5649 -2460 1342 1339 1428 -11760 5560 5666 5676 2999 -4581 -4945 -487 -1702 -1990 5307
+5488 -1471 -372 1023 668 -370 3880 1684 -1051 2170 -169 -4151 1923 -2606 -3435 -1930 4035 -782 442 -424 -352 996 -3060 -6633 1538 4045 -6387 -3042 2836 653 -2179 732 -2490 -3150 10015 -228 -5383 -2214 -770 -1383 -3909 -2657 -251 1545 -621 -1872 1626 2276 -1266 -1173 6151 3809 -1877 -1399 -2891 262 -88 -806 -1571 -427 1590 -6504 -2095 -2566 1090 2091 579 -732 5505 -1009 -5889 -3452 642 155 2449 13 -1303 1353 1519 158 6780 3149 1319 -4503 5679 -2516 1274 1284 1262 -11642 5676 5623 5650 2986 -4570 -4897 -413 -1560 -1917 5273
+5562 -1446 -378 1011 705 -423 3796 1574 -1086 2053 -31 -4266 1918 -2662 -3497 -1989 4029 -787 488 -454 -418 995 -3079 -6733 1697 3877 -6351 -3079 2665 430 -2231 845 -2506 -3240 10130 -255 -5359 -2170 -798 -1324 -3914 -2681 -124 1495 -547 -1924 1662 2250 -1307 -1272 6138 3848 -1901 -1397 -2968 177 -119 -753 -1551 -414 1554 -6620 -2254 -2367 995 2013 626 -751 5379 -1037 -5915 -3354 855 127 2536 43 -1404 1303 1432 6 6731 3188 1352 -4459 5789 -2524 1304 1359 1233 -11543 5718 5570 5590 2982 -4590 -4898 -393 -1503 -1819 5295
+5534 -1369 -412 1008 737 -473 3764 1548 -1035 2118 114 -4381 1907 -2575 -3472 -1979 4076 -799 564 -431 -383 966 -3184 -6544 1802 3737 -6211 -3018 2550 219 -2137 931 -2530 -3285 10169 -318 -5350 -2123 -856 -1266 -3856 -2642 -45 1449 -496 -1933 1646 2220 -1407 -1300 6293 3928 -1836 -1393 -3029 110 -271 -795 -1566 -450 1578 -6601 -2327 -2097 1068 2080 682 -826 5238 -1031 -5868 -3228 938 176 2445 -138 -1396 1353 1393 -23 6881 3263 1373 -4464 5692 -2579 1324 1361 1342 -11407 5627 5417 5504 2937 -4758 -4980 -420 -1533 -1731 5291
+5456 -1496 -323 968 709 -481 3738 1646 -905 2372 136 -4321 1781 -2406 -3471 -2012 4188 -731 631 -402 -308 1026 -3290 -6306 1900 3742 -6080 -2898 2677 216 -1986 986 -2585 -3224 10161 -387 -5359 -2088 -802 -1098 -3827 -2552 39 1485 -481 -1967 1592 2249 -1402 -1221 6448 4029 -1789 -1371 -3084 -62 -416 -843 -1550 -317 1759 -6462 -2324 -1920 1233 2158 682 -852 5279 -1012 -5827 -3133 937 285 2260 -235 -1266 1471 1456 123 7141 3368 1372 -4532 5527 -2603 1338 1384 1546 -11408 5464 5332 5486 2851 -4914 -5048 -432 -1586 -1784 5318
+5407 -1575 -251 914 704 -367 3773 1734 -778 2525 43 -4134 1690 -2196 -3345 -2004 4162 -728 688 -343 -294 1037 -3208 -6261 1978 3850 -6105 -2801 2900 351 -1831 927 -2579 -3118 10086 -411 -5338 -2047 -722 -1020 -3763 -2503 -3 1436 -467 -1872 1566 2333 -1335 -1111 6477 4064 -1655 -1265 -3089 -162 -511 -913 -1536 -175 1898 -6369 -2274 -2087 1427 2246 652 -801 5406 -1002 -5801 -3077 910 260 2191 -246 -1167 1524 1504 268 7199 3378 1409 -4492 5450 -2683 1326 1397 1591 -11507 5400 5310 5472 2771 -4946 -5062 -469 -1713 -1962 5369
+5374 -1582 -336 852 747 -253 3779 1748 -721 2492 -109 -3919 1641 -2151 -3327 -1999 4105 -759 680 -383 -333 1090 -3094 -6488 2057 3938 -6129 -2786 2977 399 -1812 904 -2549 -3085 10050 -392 -5330 -2030 -682 -943 -3833 -2499 -40 1316 -499 -1837 1568 2378 -1321 -1005 6468 4159 -1612 -1244 -3038 -106 -435 -896 -1472 -95 1864 -6376 -2232 -2315 1434 2189 604 -732 5530 -1020 -5816 -3129 937 184 2298 -194 -1081 1509 1490 252 7100 3313 1403 -4511 5529 -2776 1250 1416 1543 -11685 5430 5335 5416 2650 -4919 -5026 -510 -1784 -2028 5359
diff --git a/sim/generate_datasim.py b/sim/generate_datasim.py
index 7b45170f4a529d916e3c15d5b68c57dae928283f..0f6826b1f2f0e2252bb7d80dfa033022b3ac0ffb 100644
--- a/sim/generate_datasim.py
+++ b/sim/generate_datasim.py
@@ -37,7 +37,7 @@ def generate_bpm_data(size, DC=0, A=0, F=100, N=0):
 #############################################################################
 N_BPM=respmat.shape[1]*2
 N_PSC=respmat.shape[0]
-size=200
+size=2000
 
 N_MM_RND=14
 
@@ -104,9 +104,12 @@ for i in range(1,size):
                    mm[:,i-1]     *K_B*2**-C_N_RND +
                    corrfp[:,i-1] *K_D*2**-C_N_RND
                    )*K_C*2**-C_N_RND
-                    
+
 corr = np.round(corrfp*2**-C_N_FP).astype('i2')
 
+corravg = corr[:,:1024].mean(axis=1).astype('i4')
+
+
 #############################################################################
 # Write partial and results to files
 #############################################################################
@@ -122,5 +125,8 @@ with open("corrout.txt", "w") as fp:
     for i in range(size):
         fp.write(" ".join(corr[:,i].astype("str"))+"\n")
 
+with open("corravg.txt", "w") as fp:
+    for i in range(100):
+        fp.write(" ".join(corr[i].astype("str"))+"\n")
 
 
diff --git a/sim/tc_basic.vhd b/sim/tc_basic.vhd
index 352e23b87c3700a3e3dcd41eb37f80d8ea75bb38..64a6ce4f673a011f7511e9ed1e99803dc3ad7283 100644
--- a/sim/tc_basic.vhd
+++ b/sim/tc_basic.vhd
@@ -187,7 +187,7 @@ begin
 
         log("+-- Sending bpm packets", INFO);
         --while (not endfile(read_file)) loop
-        while nturn <  20 loop
+        while nturn <  1500 loop
             readline(read_file, line_v);
 
             for I in 0 to C_NUMBPM-1 loop