From 3d1b8a64e097f96e6a2c0232f6f2041ee2347684 Mon Sep 17 00:00:00 2001
From: =?UTF-8?q?Romain=20Bron=C3=A8s?= <romain.brones@synchrotron-soleil.fr>
Date: Fri, 23 Jun 2023 11:31:16 +0200
Subject: [PATCH] feat: Going to a lead-lag corrector

* The corrector contains 4 coefficients that are enought to make a
  lag-compensator or lead-compensator
* Change the name of the block to erase "pi"
* Update the simulation data

!! Still error on simulation, to be fixed
---
 hdl/corr_ll.vhd                               | 147 +++++++
 hdl/data_serializer.vhd                       |   6 +-
 hdl/matrix_mul.vhd                            |   6 +-
 hdl/orbit_error.vhd                           |  10 +-
 ...kg_corrmatrixpi.vhd => pkg_corrmatrix.vhd} |  19 +-
 ..._corr_matrixpi.vhd => top_corr_matrix.vhd} |  33 +-
 rdl/{corr_matrixpi.rdl => corr_matrix.rdl}    |  29 +-
 sim/TestCtrl_e.vhd                            |   4 +-
 sim/bpmdata.txt                               | 400 +++++++++---------
 sim/corrout.txt                               | 400 +++++++++---------
 sim/generate_datasim.py                       |  25 +-
 ...b_corr_matrixpi.vhd => tb_corr_matrix.vhd} |  16 +-
 sim/tc_basic.vhd                              |  10 +-
 tcl/main.tcl                                  |  16 +-
 14 files changed, 635 insertions(+), 486 deletions(-)
 create mode 100644 hdl/corr_ll.vhd
 rename hdl/{pkg_corrmatrixpi.vhd => pkg_corrmatrix.vhd} (69%)
 rename hdl/{top_corr_matrixpi.vhd => top_corr_matrix.vhd} (88%)
 rename rdl/{corr_matrixpi.rdl => corr_matrix.rdl} (68%)
 rename sim/{tb_corr_matrixpi.vhd => tb_corr_matrix.vhd} (96%)

diff --git a/hdl/corr_ll.vhd b/hdl/corr_ll.vhd
new file mode 100644
index 0000000..69c33ec
--- /dev/null
+++ b/hdl/corr_ll.vhd
@@ -0,0 +1,147 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+library desy;
+use desy.math_signed.all;
+
+use work.pkg_corr_matrix.all;
+
+entity corr_ll is
+    port(
+        clk             : in std_logic;
+        rst_n           : in std_logic;
+
+        coef_a          : in signed(C_W_COR_COEF-1 downto 0);
+        coef_b          : in signed(C_W_COR_COEF-1 downto 0);
+        coef_ic         : in signed(C_W_COR_COEF-1 downto 0);
+        coef_d          : in signed(C_W_COR_COEF-1 downto 0);
+
+        enable_corr     : in std_logic;
+        reset_corr      : in std_logic;
+
+        matmult         : in signed_array(0 to C_N_MM_PSC-1)(C_W_MM-1 downto 0);
+        matmult_valid   : in std_logic;
+        matmult_seq     : in std_logic_vector(C_W_BPMSEQ-1 downto 0);
+
+        corrout_valid   : out std_logic;
+        corrout_seq     : out std_logic_vector(C_W_BPMSEQ-1 downto 0);
+        corrout         : out signed_array(0 to C_N_MM_PSC-1)(C_W_COR-1 downto 0)
+    );
+end entity corr_ll;
+
+
+architecture rtl of corr_ll is
+
+    constant C_DELAY    : natural := 4;
+    type arr_slv is array (natural range <>) of std_logic_vector;
+    signal delay_valid  : std_logic_vector(C_DELAY-1 downto 0);
+    signal delay_seq    : arr_slv(0 to C_DELAY-1)(C_W_BPMSEQ-1 downto 0);
+
+
+begin
+
+    ----------------------
+    -- OUTPUT CONNEXION --
+    ----------------------
+    corrout_valid   <= delay_valid(delay_valid'left);
+    corrout_seq     <= delay_seq(C_DELAY-1);
+
+    --------------------
+    -- DELAY REGISTER --
+    --------------------
+    p_delay:process(clk,rst_n)
+    begin
+        if rst_n = '0' then
+            delay_valid    <= (others => '0');
+            delay_seq      <= (others => (others => '0'));
+        elsif rising_edge(clk) then
+            delay_valid(0) <= matmult_valid;
+            delay_valid(delay_valid'left downto 1) <= delay_valid(delay_valid'left-1 downto 0);
+
+            delay_seq(0)   <= matmult_seq;
+            for I in 1 to C_DELAY-1 loop
+                delay_seq(I) <= delay_seq(I-1);
+            end loop;
+        end if;
+    end process;
+
+    --------------------
+    -- CORRECTOR LINE --
+    --------------------
+    gen_corr:for I in 0 to C_N_MM_PSC-1 generate
+
+        signal mult_a       : signed(C_W_MM+C_W_COR_COEF-1 downto 0);
+        signal mult_b       : signed(C_W_MM+C_W_COR_COEF-1 downto 0);
+        signal mult_d       : signed(C_W_COR+C_W_COR_COEF-1 downto 0);
+        signal mult_ic      : signed(C_W_COR_SUMSAT+C_W_COR_COEF-1 downto 0);
+        signal sum_abd      : signed(C_W_COR_SUMSAT-1 downto 0);
+        signal rnd_abicd    : signed(C_W_COR_SUMSAT+C_W_COR_COEF-C_N_COR_RND-1 downto 0);
+        signal reg_dout     : signed(C_W_COR-1 downto 0);
+        signal reg_din      : signed(C_W_MM-1 downto 0);
+        signal rz_mult_a    : signed(C_W_COR_SUMSAT-1 downto 0);
+        signal rz_mult_b    : signed(C_W_COR_SUMSAT-1 downto 0);
+        signal rz_mult_d    : signed(C_W_COR_SUMSAT-1 downto 0);
+
+    begin
+
+        p_main:process(clk, rst_n)
+        begin
+            if rst_n = '0' then
+                mult_a          <= (others => '0');
+                mult_b          <= (others => '0');
+                mult_ic         <= (others => '0');
+                mult_d          <= (others => '0');
+                sum_abd         <= (others => '0');
+                reg_din         <= (others => '0');
+                reg_dout        <= (others => '0');
+            elsif rising_edge(clk) then
+
+                if reset_corr = '1' then
+                    reg_din <= (others => '0');
+                else
+                    if matmult_valid = '1' then
+                        reg_din <= matmult(I);
+                    end if;
+                end if;
+
+                mult_a  <= matmult(I) * coef_a;
+                mult_b  <= reg_din * coef_b;
+                mult_d  <= reg_dout * coef_d;
+
+
+
+                sum_abd <= f_sum_sat(f_sum_sat(rz_mult_a, rz_mult_b), rz_mult_d);
+
+                mult_ic <= sum_abd * coef_ic;
+
+                if reset_corr = '1' then
+                    reg_dout    <= (others => '0');
+                else
+                    if delay_valid(2) = '1' and enable_corr = '1' then
+                        reg_dout        <= f_resize_sat(rnd_abicd, C_W_COR);
+                    end if;
+                end if;
+
+            end if;
+        end process;
+
+        -- resize
+        rz_mult_a   <= resize(mult_a, C_W_COR_SUMSAT);
+        rz_mult_b   <= resize(mult_b, C_W_COR_SUMSAT);
+        rz_mult_d   <= resize(mult_d, C_W_COR_SUMSAT);
+
+        -- round then sat
+        rnd_abicd       <= f_resize_lsb(mult_ic, rnd_abicd'length) when mult_ic(C_N_COR_RND-1) = '0' else
+                           f_sum_sat(f_resize_lsb(mult_ic, rnd_abicd'length), to_signed(1, rnd_abicd'length));
+
+        -- mapping
+        corrout(I) <= reg_dout;
+
+    end generate gen_corr;
+
+end architecture;
+
+
+
+
diff --git a/hdl/data_serializer.vhd b/hdl/data_serializer.vhd
index 6e5c762..3fa9d7c 100644
--- a/hdl/data_serializer.vhd
+++ b/hdl/data_serializer.vhd
@@ -6,10 +6,10 @@ library desy;
 use desy.ram_tdp;
 
 library desyrdl;
-use desyrdl.pkg_corr_matrixpi.t_mem_PSCIDTABLE_out;
-use desyrdl.pkg_corr_matrixpi.t_mem_PSCIDTABLE_in;
+use desyrdl.pkg_corr_matrix.t_mem_PSCIDTABLE_out;
+use desyrdl.pkg_corr_matrix.t_mem_PSCIDTABLE_in;
 
-use work.pkg_corr_matrixpi.all;
+use work.pkg_corr_matrix.all;
 
 entity data_serializer is
     port(
diff --git a/hdl/matrix_mul.vhd b/hdl/matrix_mul.vhd
index a792301..4c890fb 100644
--- a/hdl/matrix_mul.vhd
+++ b/hdl/matrix_mul.vhd
@@ -7,10 +7,10 @@ use desy.ram_tdp;
 use desy.math_signed.all;
 
 library desyrdl;
-use desyrdl.pkg_corr_matrixpi.t_mem_MATRIXCOEF_2d_out;
-use desyrdl.pkg_corr_matrixpi.t_mem_MATRIXCOEF_2d_in;
+use desyrdl.pkg_corr_matrix.t_mem_MATRIXCOEF_2d_out;
+use desyrdl.pkg_corr_matrix.t_mem_MATRIXCOEF_2d_in;
 
-use work.pkg_corr_matrixpi.all;
+use work.pkg_corr_matrix.all;
 
 entity matrix_mul is
     port(
diff --git a/hdl/orbit_error.vhd b/hdl/orbit_error.vhd
index bd6f795..4c10a7d 100644
--- a/hdl/orbit_error.vhd
+++ b/hdl/orbit_error.vhd
@@ -3,16 +3,16 @@ use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
 
 library desyrdl;
-use desyrdl.pkg_corr_matrixpi.t_mem_REFORBITX_out;
-use desyrdl.pkg_corr_matrixpi.t_mem_REFORBITX_in;
-use desyrdl.pkg_corr_matrixpi.t_mem_REFORBITY_out;
-use desyrdl.pkg_corr_matrixpi.t_mem_REFORBITY_in;
+use desyrdl.pkg_corr_matrix.t_mem_REFORBITX_out;
+use desyrdl.pkg_corr_matrix.t_mem_REFORBITX_in;
+use desyrdl.pkg_corr_matrix.t_mem_REFORBITY_out;
+use desyrdl.pkg_corr_matrix.t_mem_REFORBITY_in;
 
 library desy;
 use desy.ram_tdp;
 use desy.math_signed.all;
 
-use work.pkg_corr_matrixpi.all;
+use work.pkg_corr_matrix.all;
 
 entity orbit_error is
     port(
diff --git a/hdl/pkg_corrmatrixpi.vhd b/hdl/pkg_corrmatrix.vhd
similarity index 69%
rename from hdl/pkg_corrmatrixpi.vhd
rename to hdl/pkg_corrmatrix.vhd
index 04c789d..cda9122 100644
--- a/hdl/pkg_corrmatrixpi.vhd
+++ b/hdl/pkg_corrmatrix.vhd
@@ -5,7 +5,7 @@ use ieee.math_real.ceil;
 use ieee.numeric_std.all;
 
 
-package pkg_corr_matrixpi is
+package pkg_corr_matrix is
 
 
     --------------------------
@@ -37,20 +37,11 @@ package pkg_corr_matrixpi is
     constant C_W_MM             : natural := 32; --C_W_MM_ACCU-C_N_MM_SAT-C_N_MM_RND
 
     -- PI corrector
-    constant C_W_COR_KP         : natural := 17;
-    constant C_W_COR_KI         : natural := 17;
-    constant C_W_COR_ACCU       : natural := 40; --C_W_MM+ ?to choose?
+    constant C_W_COR_COEF       : natural := 17;
+    constant C_W_COR_SUMSAT     : natural := 36;
+    constant C_N_COR_RND        : natural := 20;
 
-    constant C_W_COR_MP         : natural := 50; --C_W_MM+C_W_COR_KP+1
-    constant C_W_COR_MI         : natural := 58; --C_W_COR_ACCU+C_W_COR_KI+1
-
-    constant C_N_COR_MIRND      : natural := 8;
-    constant C_W_COR_SUM        : natural := 50; -- max(C_W_COR_MP,C_W_COR_MI-C_N_COR_MIRND)
-
-    constant C_N_COR_SAT        : natural := 0;
-    constant C_N_COR_RND        : natural := 34;
-
-    constant C_W_COR            : natural := 16; -- C_W_COR_SUM-C_N_COR_SAT-C_N_COR_RND
+    constant C_W_COR            : natural := 16;
 
     -- Serializer
     constant C_W_SER_CNT        : natural := 7; -- natural(ceil(log2(real(C_N_MM_PSC))));
diff --git a/hdl/top_corr_matrixpi.vhd b/hdl/top_corr_matrix.vhd
similarity index 88%
rename from hdl/top_corr_matrixpi.vhd
rename to hdl/top_corr_matrix.vhd
index c65a30a..7444311 100644
--- a/hdl/top_corr_matrixpi.vhd
+++ b/hdl/top_corr_matrix.vhd
@@ -3,19 +3,19 @@ use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
 
 library desyrdl;
-use desyrdl.pkg_corr_matrixpi.all;
+use desyrdl.pkg_corr_matrix.all;
 
-use work.pkg_corr_matrixpi_version.all;
-use work.pkg_corr_matrixpi.all;
+use work.pkg_corr_matrix_version.all;
+use work.pkg_corr_matrix.all;
 
-entity top_corr_matrixpi is
+entity top_corr_matrix is
     port(
         clk            : in std_logic;
         rst_n          : in std_logic;
 
         -- AXI-MM interface
-        s_axi_m2s      : in t_corr_matrixpi_m2s;
-        s_axi_s2m      : out t_corr_matrixpi_s2m;
+        s_axi_m2s      : in t_corr_matrix_m2s;
+        s_axi_s2m      : out t_corr_matrix_s2m;
 
         -- AXIS input
         s_axis_tdata   : in std_logic_vector(2*C_W_BPMPOS+C_W_BPMID-1 downto 0);
@@ -29,15 +29,15 @@ entity top_corr_matrixpi is
     );
 end entity;
 
-architecture struct of top_corr_matrixpi is
+architecture struct of top_corr_matrix is
 
     ------------------------
     -- SIGNAL DECLARATION --
     ------------------------
     signal rst          : std_logic;
 
-    signal mm_l2a       : t_addrmap_corr_matrixpi_in;
-    signal mm_a2l       : t_addrmap_corr_matrixpi_out;
+    signal mm_l2a       : t_addrmap_corr_matrix_in;
+    signal mm_a2l       : t_addrmap_corr_matrix_out;
 
     -- unpacked input
     signal bpm_x        : signed(C_W_BPMPOS-1 downto 0);
@@ -73,7 +73,7 @@ begin
     ----------------------
     -- AXI-MM INTERFACE --
     ----------------------
-    inst_aximm: entity desyrdl.corr_matrixpi
+    inst_aximm: entity desyrdl.corr_matrix
     port map(
         pi_clock => clk,
         pi_reset => rst,
@@ -152,9 +152,9 @@ begin
     );
 
     ------------------
-    -- PI CORRECTOR --
+    -- LL CORRECTOR --
     ------------------
-    inst_corr_pi: entity work.corr_pi
+    inst_corr: entity work.corr_ll
     port map(
         clk             => clk,
         rst_n           => rst_n,
@@ -165,11 +165,12 @@ begin
         matmult_seq     => matmult_seq,
 
         -- Corr coefs
-        corr_kp         => mm_a2l.CORR_KP.data.data,
-        corr_ki         => mm_a2l.CORR_KI.data.data,
+        coef_a          => signed(mm_a2l.CORR_KA.data.data),
+        coef_b          => signed(mm_a2l.CORR_KB.data.data),
+        coef_ic         => signed(mm_a2l.CORR_KIC.data.data),
+        coef_d          => signed(mm_a2l.CORR_KD.data.data),
 
-        reset_accu      => mm_a2l.CONTROL.RST_ACC.data(0),
-        enable_accu     => mm_a2l.CONTROL.ENABLE_ACCU.data(0),
+        reset_corr      => mm_a2l.CONTROL.RST_CORR.data(0),
         enable_corr     => mm_a2l.CONTROL.ENABLE_CORR.data(0),
 
         -- Corr output
diff --git a/rdl/corr_matrixpi.rdl b/rdl/corr_matrix.rdl
similarity index 68%
rename from rdl/corr_matrixpi.rdl
rename to rdl/corr_matrix.rdl
index 989d0d1..0feacce 100644
--- a/rdl/corr_matrixpi.rdl
+++ b/rdl/corr_matrix.rdl
@@ -1,4 +1,4 @@
-`include "corr_matrixpi.vh" //automatically created and added by fwk with conf variables such a C_VERSION ...
+`include "corr_matrix.vh" //automatically created and added by fwk with conf variables such a C_VERSION ...
 
 /* default values of defined variables */
 `ifndef C_ID
@@ -8,7 +8,7 @@
 `define C_VERSION 0x00000000
 `endif
 
-addrmap corr_matrixpi {
+addrmap corr_matrix {
     name = "PI corrector with matrix multiplier";
     desyrdl_interface = "AXI4L";
 
@@ -30,19 +30,28 @@ addrmap corr_matrixpi {
     reg {
         desc="Global control of the corrector.";
         field {sw = rw; hw = r;} ENABLE_CORR;
-        field {sw = rw; hw = r;} RST_ACC;
-        field {sw = rw; hw = r;} ENABLE_ACCU;
+        field {sw = rw; hw = r;} RST_CORR;
     } CONTROL;
 
     reg {
-        desc="Correction proportionnal coefficient.";
-        field {sw = rw; hw = r;} data[`C_W_COR_KP];
-    } CORR_KP;
+        desc="Correction coefficient A.";
+        field {sw = rw; hw = r;} data[`C_W_COR_COEF];
+    } CORR_KA;
 
     reg {
-        desc="Correction intergral coefficient.";
-        field {sw = rw; hw = r;} data[`C_W_COR_KI];
-    } CORR_KI;
+        desc="Correction coefficient B.";
+        field {sw = rw; hw = r;} data[`C_W_COR_COEF];
+    } CORR_KB;
+
+    reg {
+        desc="Correction coefficient (inverse) C.";
+        field {sw = rw; hw = r;} data[`C_W_COR_COEF];
+    } CORR_KIC;
+
+    reg {
+        desc="Correction coefficient D.";
+        field {sw = rw; hw = r;} data[`C_W_COR_COEF];
+    } CORR_KD;
 
     reg {
         desc="Number of ID to count for matrix multiplication.";
diff --git a/sim/TestCtrl_e.vhd b/sim/TestCtrl_e.vhd
index aefe157..e781f0e 100644
--- a/sim/TestCtrl_e.vhd
+++ b/sim/TestCtrl_e.vhd
@@ -14,10 +14,10 @@ library osvvm_AXI4 ;
 
 -- DESYRDL interface package
 library desyrdl;
-    use desyrdl.pkg_corr_matrixpi.all;
+    use desyrdl.pkg_corr_matrix.all;
 
 
-use work.pkg_corr_matrixpi.all;
+use work.pkg_corr_matrix.all;
 
 
 entity TestCtrl is
diff --git a/sim/bpmdata.txt b/sim/bpmdata.txt
index 063b387..e6a63eb 100644
--- a/sim/bpmdata.txt
+++ b/sim/bpmdata.txt
@@ -1,200 +1,200 @@
-294077 110959 -103942 -248978 900617 994154 709662 56258 523391 -424485 171600 -403169 295912 -296827 -6609 -986670 408302 870973 875824 -800392 551325 -487657 -746324 959654 479605 -402647 -120903 26269 -729581 596857 -167494 -728206 537688 -751368 -72460 -570439 -117601 294609 932397 -506957 -118160 891820 496835 1093020 354672 -478634 802764 -648956 142665 -66228 529116 777370 -201614 900314 721069 -850260 -97731 615107 930702 -746060 -975446 -154213 61646 372908 177149 65003 551022 -690024 -676106 -911799 -741133 748792 -383079 -679619 -35523 211588 -955624 -144154 -921818 44249 -743205 410677 -375520 -172546 -946624 -864769 -544996 -477828 -267568 -387396 56714 -539347 -800927 548819 26429 516921 -256627 739605 283653 -190732 509562 -888986 -1047331 -813468 -429360 -285931 -105486 -39146 646817 -157499 -736427 -720994 -514780 96086 28155 576020 466412 465203 865772 -1056805 -764271 -557279 83463 767597 -261872 364431 790253 -545798 349443 -650064 344539 -417839 -1010686 -666229 -1044657 492914 -373914 5728 -821092 -118970 805571 -406867 -311406 -430324 -550055 900631 -454253 284923 -697033 355186 -857567 -520400 583009 -599884 -21819 66710 -874837 -790859 -460368 -566064 -329406 -239004 -507538 523027 -945043 81225 675343 584 -345083 55355 -265848 886822 918353 -763782 695209 -655309 569595 721448 -399165 407750 331171 63710 833187 978040 -397131 595645 804620 -847626 109826 901275 -695182 -822152 -468755 154682 277060 729412 -623101 -1057182 -684275 -1554 401913 200558 -10870 35602 -56708 -581654 257231 -193581 55502 -609691 794642 -486581 290669 939820 -544412 916630 -122375 -915190 241780 311934 297352 317006 -588759 975632 -499418 721592 -12122 -107088 84168 575789 528467 -745150 696463 20517 -487855 395404 1029342 331444 668162 449170 742210 299385 821415 132310 
-300723 158270 -82432 -208179 906372 1022963 693367 -22850 502329 -368484 171496 -557720 257375 -290723 317 -995942 369287 811022 872142 -631414 519953 -474791 -739128 940588 496155 -339704 -158871 -4693 -657343 612661 -96573 -807071 542076 -764647 -27612 -699552 -154955 325649 922359 -554875 -103253 804747 537234 961793 357990 -511879 803641 -551301 139749 -26215 540667 629200 -335045 900622 676409 -845771 -217635 622331 1002273 -771993 -773102 -208855 115397 398751 162296 130592 531022 -649252 -638766 -911171 -598899 862073 -356207 -694575 -9599 193854 -917038 -145109 -911100 -7612 -725546 429037 -298226 -133274 -928204 -729686 -551989 -460977 -267087 -451601 80851 -652272 -832826 446801 28356 440803 -405552 706034 270277 -195252 568040 -864611 -953591 -810657 -426386 -403705 -68233 11204 677778 -233536 -733367 -705956 -632409 101091 -73446 599470 473060 475983 827333 -1006220 -841683 -682712 146559 792805 -227867 342995 641653 -591588 186912 -781995 434171 -575968 -990305 -657195 -865984 376955 -411629 65181 -823455 -78085 780130 -480294 -233784 -414538 -556878 930728 -460690 268603 -703904 454606 -792486 -510031 478668 -621028 -76028 135730 -902528 -782613 -465491 -486864 -343012 -245705 -514216 491035 -868275 8424 608057 69300 -260389 246900 -301740 738767 893068 -709497 671360 -696445 650958 698432 -249830 428990 371556 46890 808615 924618 -346882 588577 806764 -878963 63448 857459 -706139 -821487 -454302 123354 259815 715201 -570031 -961864 -621223 -108867 404448 239234 -62112 -45233 -60874 -618963 95627 -201642 114762 -620009 820460 -513909 243580 914605 -453797 1003421 31762 -1003324 145054 401564 266882 338365 -583756 931987 -486455 781000 -101986 -61515 108833 573070 621946 -736264 669548 -41271 -509274 353935 1075854 354802 666928 402288 654316 308545 738055 153087 
-295497 119413 -65725 -125777 901815 1042894 699847 -39283 488324 -393896 222376 -629673 308700 -310172 -6150 -964580 425381 835978 877743 -513058 505614 -462795 -761377 853637 530028 -394580 -228963 -5413 -594192 596429 -56543 -832691 502988 -773896 -44456 -750188 -152856 274103 926974 -584852 -134409 661033 572377 859162 516075 -509134 741050 -457692 135380 -33856 541736 589147 -463670 866621 661216 -835322 -296302 632845 1048595 -761117 -592538 -304505 126390 405580 112954 192563 510937 -648681 -602596 -889765 -613175 978825 -316626 -672344 -36274 155178 -865000 -241434 -898147 -10923 -704826 370392 -263711 -39137 -930735 -618553 -526969 -484665 -244848 -495120 53931 -788281 -896590 368386 112018 460045 -423068 677634 239907 -196804 551128 -864713 -861337 -795240 -429682 -496660 -91957 33174 711190 -289126 -729560 -688697 -728048 84664 -87792 588253 501119 488905 850769 -949679 -880862 -801231 184228 838168 -204458 383979 513834 -615534 152189 -922484 499128 -657038 -998752 -697654 -823407 392887 -484046 7002 -818602 -162581 753655 -464174 -104919 -419803 -405451 949927 -448663 278470 -690259 566582 -694788 -479806 476426 -621898 -102199 124461 -953229 -804637 -492299 -339935 -354776 -241462 -529017 447577 -829675 -150189 656494 89872 -237969 386572 -285321 641732 896524 -578896 692499 -676812 639388 688659 -250890 448545 369439 25663 824132 863432 -292504 524243 736405 -882689 -5614 910589 -693850 -826193 -453478 103441 211407 652569 -526304 -880085 -613164 -76222 409726 287660 -104312 -122228 -62017 -609408 -1185 -153102 141682 -670186 754121 -511352 174708 851062 -356261 1011833 106673 -1030937 81594 431055 245983 170269 -582284 831902 -496200 805822 -91423 -66073 138015 555717 534650 -694989 607666 1109 -551448 296708 985860 414507 663303 383112 604390 405902 665058 46399 
-296355 73612 -66322 -130709 906272 1015473 650700 -2465 487317 -462702 257561 -556146 311101 -275966 -24421 -950027 382520 784325 876973 -575623 488562 -456331 -769909 741125 499397 -479360 -298384 13002 -582346 532181 -73142 -778477 491716 -748133 -99895 -746575 -175346 165810 950181 -575231 -83937 639035 584629 762203 569369 -471022 749745 -395459 137883 -43157 527835 694170 -290325 824851 622249 -840766 -285918 628638 987242 -769862 -686307 -393898 181286 404827 42198 174376 490760 -608739 -573016 -898534 -754938 1022677 -264786 -663812 -21510 125772 -834247 -331156 -883297 -24249 -669480 362472 -256901 70117 -940246 -621724 -539492 -452985 -214203 -529270 46566 -785933 -922055 354831 176611 493397 -274799 675357 253365 -187409 606814 -856944 -887565 -768333 -425052 -457705 -19578 -5207 698855 -340502 -776404 -653142 -774529 91165 -87400 592706 540121 495661 837208 -888128 -844113 -779837 228705 878619 -190399 363733 550906 -599550 239205 -985437 461400 -578328 -959914 -714565 -973660 529857 -469968 -56371 -821004 -257801 788544 -389738 -54866 -421524 -212456 964543 -503982 269972 -611996 606694 -725643 -501077 553157 -642925 -147871 33812 -932979 -863016 -491449 -239433 -357347 -246189 -562070 405965 -851076 -181273 710467 83949 -351550 301805 -225491 697060 886993 -502606 710488 -669448 676135 667717 -447849 469074 337194 20365 846209 801469 -255597 485635 681582 -888296 -67670 1047122 -681277 -859535 -458934 69054 146750 563134 -424602 -814674 -566965 34463 407195 357228 -17276 -178055 -114533 -612118 57072 -190430 242598 -683900 668390 -479924 130255 744568 -313435 967587 38706 -1021540 93294 409396 188819 99005 -631004 732527 -505487 771907 5216 -70579 161763 559981 414508 -665280 533468 8107 -614528 238078 853377 443625 663833 482559 548845 510776 639893 70602 
-292165 55986 -77980 -191543 910128 995363 650116 77540 494516 -574488 255761 -357519 387982 -238280 -44358 -925770 372446 842281 876806 -739144 500403 -459055 -759651 680683 497187 -536538 -345095 29544 -629108 466688 -134835 -736082 490022 -773171 -151943 -658439 -195135 63227 934409 -529450 -100700 752269 641844 761638 457676 -399476 748007 -396017 131904 -49188 529642 853020 -108439 808847 557240 -829406 -190957 638314 916573 -750637 -899670 -367638 194569 428481 -28932 89868 471506 -585382 -556067 -904627 -922437 941059 -209957 -655061 8877 121170 -859455 -272499 -874214 30747 -622545 374113 -333598 107911 -956320 -759023 -530090 -469660 -173180 -471227 18505 -626205 -871404 404312 200981 474677 -171203 682482 254379 -174928 603781 -894842 -998165 -713989 -431470 -356388 -47513 -28493 660768 -373058 -756387 -610075 -750883 94007 -27853 571203 584182 495398 899292 -831650 -746612 -649386 280932 904640 -185219 380331 674452 -553618 405702 -951002 390218 -466055 -991053 -742124 -1133122 644432 -388286 -93449 -815051 -360433 772847 -330799 -133138 -419179 -287219 962171 -475427 264266 -609233 554943 -837300 -496976 677283 -656161 -165724 -45705 -907980 -944035 -505099 -244091 -355629 -242160 -572601 369027 -919422 -69097 808842 103831 -433985 93651 -160509 840919 881129 -486025 784381 -655276 698804 641261 -596004 489616 314120 7420 877541 758234 -259685 444384 697775 -905578 -95704 1121156 -658168 -842084 -500770 47373 100390 510899 -359388 -843935 -532043 183528 410657 380957 80734 -181765 -75956 -555420 186858 -160322 304729 -712331 606261 -466312 84626 795558 -329177 835010 -88640 -915695 179549 326875 128196 165379 -592999 850578 -486474 768030 118222 -47891 189141 565511 356326 -629309 482152 51988 -661660 204729 785636 411184 667144 507102 554400 542896 672334 92353 
-290001 114372 -90083 -238162 896878 980483 646487 151923 506781 -648154 215627 -392150 374955 -191154 -75833 -902135 392417 812123 876525 -798459 525584 -451196 -767565 702443 495586 -540213 -374842 20360 -703605 445068 -204799 -724787 515952 -781090 -150226 -563700 -214203 43803 962365 -485457 -62039 881134 685639 872804 405105 -320134 738250 -482034 131548 -87941 544309 945281 -109521 833400 566848 -833036 -71523 643965 862271 -720049 -1002670 -288280 191703 436210 -80840 58850 452970 -548856 -554508 -877957 -1019817 815865 -161231 -646249 25021 150438 -898117 -175417 -858846 47881 -625168 350363 -362115 87070 -951707 -884592 -535918 -428543 -165904 -373918 -16059 -530295 -819242 467473 121166 487620 -243688 688555 241372 -183670 607895 -870619 -1011769 -705347 -433369 -276470 -64347 -36248 602201 -331120 -785571 -593620 -664409 98444 42452 585798 630820 486633 925575 -788564 -684979 -546416 315473 917956 -185306 365797 835802 -496855 479273 -827437 298938 -355509 -1004587 -778552 -1093483 630972 -341085 -116162 -807826 -350545 758413 -313777 -265063 -413192 -468127 946379 -519430 248585 -579392 439218 -910086 -537258 806619 -673259 -192977 -49938 -870990 -1029797 -495316 -363733 -351140 -240285 -596223 352411 -999997 102033 892183 84184 -419848 14045 -133273 931503 867254 -586989 798859 -621123 729233 665933 -547166 471808 330441 -1515 840154 744816 -317669 436051 776932 -897653 -78231 990943 -669749 -826190 -528703 22006 88985 524185 -315765 -942184 -489420 216852 409311 367815 139726 -137275 -41802 -495804 292709 -189955 343786 -773951 672296 -466803 100214 886976 -415563 740327 -196985 -863564 281041 280520 90155 330949 -569071 969729 -464873 720286 110271 -28029 213687 565938 434074 -669936 467350 71104 -638344 220828 865416 350762 676377 446091 516810 476723 734683 137934 
-276441 155402 -97469 -198409 898817 958586 646220 212571 519525 -665291 169309 -550720 356339 -157817 -52795 -863398 394511 834534 875525 -640452 559552 -466817 -757218 778777 517679 -478471 -381436 35634 -762847 459934 -237776 -773610 511178 -767265 -109429 -552681 -227821 107555 948998 -478625 -115831 868782 725097 1031317 355152 -245129 768751 -578943 126271 -100931 539124 889109 -228167 864155 546930 -793055 -4996 640822 799045 -689878 -831407 -198568 158232 418960 -99461 124202 436630 -517488 -568326 -916745 -883183 746333 -119758 -641330 7209 190325 -952198 -133904 -856403 8622 -644552 341057 -348025 5226 -936187 -928445 -525342 -425787 -165700 -404950 -99071 -614153 -808224 524509 29523 503963 -397754 711953 245683 -166757 632156 -899037 -950084 -675533 -419486 -328794 -49765 6397 547010 -262553 -796027 -568328 -574172 92375 85390 582726 665900 497636 939641 -782911 -680046 -577721 330969 905943 -198390 351801 797545 -452311 416375 -641007 274837 -328709 -965981 -845761 -926707 543780 -301825 -97542 -812014 -295738 763892 -369029 -313086 -428598 -595184 921436 -555674 227752 -553219 349875 -870965 -566393 864377 -666754 -195834 29352 -896985 -1110483 -497733 -497196 -340813 -240236 -575257 347400 -1056320 123544 906087 59339 -287368 141254 -158848 886866 878982 -695199 790242 -629525 737010 687341 -378004 496321 362315 -9306 864719 808450 -368380 416433 818338 -883084 -22442 841622 -647802 -817445 -518525 -259 111906 646555 -299285 -1041704 -509918 178039 406373 327946 107311 -45055 -79686 -442686 351719 -117779 361137 -779975 790992 -505003 113593 944332 -520941 662413 -78513 -823256 363649 307726 60236 344490 -560655 939823 -475095 773953 41321 -5469 239094 588120 589264 -740262 508588 49650 -617865 277947 988581 331457 673152 383569 557984 362267 813524 125894 
-293094 106130 -91440 -130488 911067 961081 656578 207370 507570 -600971 170896 -643761 357654 -125321 -103329 -855799 406635 800516 873887 -515991 598544 -469139 -772051 868456 516825 -383084 -375375 36245 -769559 521748 -214060 -857131 527312 -775482 -51558 -619870 -247130 212134 947571 -509807 -101875 734996 765525 1097230 461187 -197404 802460 -657997 124291 -120476 540796 723269 -393035 903021 583640 -813859 -29984 642164 770636 -690272 -619833 -146721 127970 424721 -78350 196583 422433 -514570 -596243 -921691 -728568 789029 -90786 -644334 30407 214369 -958499 -225264 -845566 -14471 -670225 353194 -324105 -99537 -920599 -831644 -534437 -432714 -183154 -487324 -122217 -767119 -857943 629400 7982 466737 -435253 755405 242407 -162188 639311 -843380 -830429 -669126 -438907 -436895 -46682 30496 480214 -170550 -683184 -542777 -443255 91160 60052 581883 683834 492282 955608 -776966 -758391 -715435 325970 854830 -219895 329937 642108 -421736 256036 -666527 321326 -439281 -998461 -863941 -807108 395774 -255289 -54537 -791385 -166211 764242 -451633 -227911 -452552 -484468 892912 -550832 226552 -549682 341581 -756099 -511839 801500 -628758 -200627 122607 -909173 -1151751 -509793 -578344 -336123 -244351 -556179 360560 -1060375 -9629 848611 6917 -278870 325951 -222331 737267 861963 -740440 697708 -627014 701752 695134 -223340 504526 368801 -10741 814364 880726 -419751 417504 767167 -859124 47426 870170 -636480 -827242 -515230 -15683 174380 723529 -326001 -1126614 -488298 79999 412004 254265 35774 17671 -87493 -366032 258984 -138253 342094 -842628 809347 -512867 162084 890617 -582901 628709 54606 -845632 413305 376266 18920 176949 -577540 846902 -493188 751267 -95328 -12104 262228 633457 607244 -718948 579172 15297 -550196 346275 1091946 370527 674833 373736 604266 293643 877611 75040 
-293844 112513 -70046 -124604 910317 980577 665762 132680 508686 -491072 218045 -530238 316184 -45236 -79639 -842950 386263 841508 875008 -536102 645388 -490729 -714593 956684 554163 -359811 -342617 41293 -718131 578534 -147994 -827766 524604 -756973 -29781 -735519 -257443 304412 917753 -558655 -85952 639514 762630 1057907 556034 -160645 776453 -676059 112732 -119297 543697 594870 -391065 912046 598246 -774566 -133461 645775 818938 -676239 -632508 -211865 108516 438970 -24745 169100 410729 -493464 -632697 -891389 -581493 923850 -82165 -627685 34538 215413 -932058 -294717 -838223 -8153 -710924 335211 -229507 -170255 -936206 -704730 -528592 -429243 -202726 -545865 -206901 -803241 -915806 622514 84381 441262 -312976 797744 247395 -173058 640135 -884235 -876938 -674364 -434699 -510584 -40099 33963 455982 -114838 -718333 -554758 -362126 107119 23814 558034 682466 487007 965717 -817035 -850093 -811952 310105 814228 -247953 328099 504733 -434784 165002 -732457 424434 -563711 -1015144 -845652 -954560 387275 -256138 28625 -788848 -117292 825178 -460323 -100640 -442059 -253314 861201 -585989 216961 -575096 425288 -743909 -530643 709961 -610745 -197705 141314 -949648 -1156274 -502726 -535286 -332474 -237930 -548148 392856 -1005121 -129288 755319 -11523 -312744 364586 -283079 642407 859865 -728716 670641 -635393 677538 724423 -260190 505155 335693 -3491 796740 959837 -394546 426847 692163 -836632 101824 971495 -627733 -853411 -482544 -36019 231153 741572 -359044 -1140337 -544326 -77552 408045 213663 -37457 127297 -89851 -347454 101846 -145470 274542 -870459 734700 -503177 222989 799717 -548721 715837 85230 -930215 437534 424080 -24315 75555 -585862 789785 -499550 790206 -99985 -6523 282907 647569 493064 -720822 661171 -9229 -524939 372624 1003097 423843 677721 422448 646404 322455 894586 57095 
-290201 81548 -105192 -187722 912910 972397 617377 53168 510613 -402764 263560 -409902 311491 -16305 -128778 -826607 428691 833591 877732 -724903 688456 -505018 -758272 941371 554822 -416746 -286314 65726 -642910 624514 -80601 -763935 505814 -755218 -68625 -762920 -278950 321635 941402 -585655 -122224 683152 760924 925113 526170 -163002 778391 -627279 121025 -117073 555099 608583 -175795 873757 608868 -804884 -248966 647444 879967 -631897 -846927 -324707 62845 380695 47446 96217 402039 -501609 -669137 -910490 -625957 999039 -83109 -625879 3446 182946 -883316 -309822 -835401 -34757 -740114 382545 -258608 -150859 -957081 -604332 -545631 -449497 -241794 -447813 -206323 -637570 -912565 558405 163041 476437 -172235 840446 279309 -177116 647403 -885743 -992118 -744349 -430725 -447311 -23794 -9006 436848 -109268 -789715 -577110 -419704 85846 -44232 542932 655566 471028 959693 -865431 -881419 -758340 278017 767655 -276157 341644 524348 -475425 194579 -878756 488147 -658594 -1009169 -777534 -1119347 498005 -299832 41989 -786622 -141550 743097 -439266 -58673 -441527 -203267 832506 -586712 220849 -633415 542443 -705548 -500177 570594 -606549 -155174 69590 -929844 -1122272 -518551 -409373 -326128 -236754 -541158 433673 -922673 -186242 693270 -12194 -403775 177616 -303182 692253 859113 -620465 675616 -648862 706082 713595 -443451 503908 313694 1341 855845 974682 -344773 425061 684600 -809099 118028 1065024 -644038 -862853 -466561 -46580 266218 665156 -425832 -1089174 -589034 -71288 402863 221864 -62775 176755 -63860 -327640 -1923 -165044 223985 -912919 651811 -482914 309026 766289 -468894 747020 -14094 -998503 383390 413083 -23762 175725 -573682 804558 -497069 751106 -42563 9971 304706 671149 361470 -665776 713972 25618 -493431 383826 844882 436194 677242 477644 741610 425989 853856 134180 
-290761 93085 -92620 -234083 917017 1003164 640391 -17285 502120 -372944 261328 -384765 301839 31215 -140750 -832888 367757 811631 871580 -826220 732460 -519429 -767247 889812 534832 -478582 -222476 58945 -588091 607316 -55526 -722863 515923 -785104 -125995 -701913 -290180 255636 919935 -572200 -151482 824853 754260 805457 391676 -209662 725304 -530749 108838 -116582 556925 749615 -100556 812881 705970 -813385 -303106 652200 978219 -592185 -1008224 -395264 23562 364805 116978 64421 396469 -507146 -701708 -908103 -763704 1006831 -112342 -650766 -516 143659 -835910 -218019 -831827 35283 -742856 429308 -342622 -54248 -962141 -654107 -546681 -452485 -265035 -362395 -262146 -532303 -861057 490942 193577 485429 -201719 890701 306432 -195925 610860 -858479 -1042110 -775478 -437743 -333540 -7984 -37187 434247 -141375 -779353 -594059 -450499 90056 -52325 529863 617962 461228 931783 -922886 -836570 -612478 226463 768694 -317831 330289 655498 -531305 345132 -990371 485256 -635411 -981718 -742829 -1108293 625159 -327599 48714 -783528 -197036 773240 -400780 -144993 -469283 -342292 813401 -632766 195705 -672746 604423 -795176 -495257 490066 -587684 -129195 -17428 -890977 -1055401 -514102 -270982 -295187 -235644 -508131 477534 -853845 -53683 658929 -65370 -447895 29815 -267719 825210 863189 -522811 700120 -707668 658502 724274 -584987 508324 330548 7247 875952 958390 -298787 462521 757674 -796290 87733 1043478 -637499 -867018 -453306 -53824 267976 588573 -523000 -1007667 -611832 3013 404523 271479 -39332 124252 -43723 -347336 44532 -151608 134201 -911611 632211 -472061 323560 835287 -366969 902635 -124164 -1027801 269694 341475 -6343 338456 -586327 961476 -509530 780841 93020 -7232 318577 681438 340171 -633390 659421 15580 -495468 349887 765733 395860 674348 482885 800612 525117 763546 115123 
-294357 125421 -94564 -203183 922115 1016444 688755 -35907 493571 -410068 208534 -497379 309955 63110 -180654 -829281 390442 839641 877926 -670915 767562 -539101 -742897 799579 543750 -576384 -149717 44483 -582486 542109 -85643 -752697 555573 -741896 -164886 -593826 -294947 142654 950739 -529496 -108186 890928 703346 714760 367724 -270756 764774 -441381 114483 -92439 546111 894471 -234736 803833 714776 -759367 -263920 643893 1010234 -548170 -856314 -366104 8123 373599 164488 121877 394330 -505422 -725076 -899741 -936388 896524 -149265 -657719 -592 123999 -841035 -128610 -835264 -11367 -699083 438395 -388003 30239 -944092 -777860 -552161 -459090 -264925 -380421 -251938 -599339 -809503 411773 134621 453528 -354025 943654 331861 -199013 636310 -879806 -962539 -788993 -436259 -261554 -22869 -27330 476553 -209504 -788606 -631239 -605240 84424 -87581 523202 570696 445695 912978 -983631 -756053 -540068 177547 772645 -354298 274101 811653 -584834 446987 -964272 415505 -481473 -990595 -659905 -949984 645406 -379427 6734 -783373 -316801 774743 -298494 -269513 -419777 -531841 807591 -595569 212024 -695590 576935 -898323 -474689 483456 -592684 -82138 -58128 -874465 -967476 -520406 -230557 -291789 -234419 -508536 513911 -829876 84167 642170 -91294 -367658 73366 -201608 929219 866132 -489004 769459 -681262 626234 685894 -544632 522465 363449 24390 870886 891520 -245560 508335 808084 -755344 25793 886637 -655946 -828886 -446920 -51783 225840 504096 -570671 -886243 -656890 168389 406570 329965 50278 93696 -74737 -394202 190942 -129557 65595 -926770 689265 -478310 404118 968879 -324856 967701 -159914 -995479 170635 292341 40598 333778 -567771 969017 -499092 766781 128226 -7792 333397 679615 528894 -663239 618386 57670 -521020 296047 866821 343553 674675 403961 867868 539874 704832 162196 
-283815 142167 -102227 -130992 921443 1041667 714960 -4504 492896 -495835 172840 -621092 314099 46033 -162085 -831411 414600 813137 879531 -528451 798557 -550857 -768766 711079 538776 -526860 -98224 37623 -640586 488104 -154842 -836258 523199 -751212 -144869 -541539 -302614 55630 935608 -487800 -123070 807634 644416 770685 476021 -343739 745547 -397461 110019 -56959 553407 935592 -386688 820710 726275 -764243 -154826 659123 1011216 -563977 -634067 -252629 26561 350677 177121 187832 395380 -514840 -731702 -909074 -972806 768857 -201555 -664779 8847 124498 -865806 -137405 -842121 -7452 -665023 431583 -355270 107131 -927970 -902458 -568844 -486436 -267823 -460583 -221320 -737930 -814272 349837 37132 452046 -446748 981751 346523 -194528 598227 -845163 -880295 -817717 -441365 -322136 -31406 16651 538983 -294805 -707368 -674672 -682111 104917 -54557 494875 521944 432587 872973 -1040661 -692889 -609767 125080 811700 -386733 276736 842979 -609152 445866 -873933 325606 -336900 -963781 -662617 -819872 543182 -449167 -56055 -772317 -340507 783598 -331003 -311707 -409796 -574272 814371 -666727 200198 -728990 478379 -875658 -472089 565142 -619604 -55288 -6946 -882730 -877945 -518649 -304804 -284026 -233072 -569306 538673 -864601 89860 675760 -86406 -240834 257556 -145510 884495 878876 -547218 826767 -652832 569461 661959 -367492 514382 373521 41450 859758 815439 -256659 517693 789872 -743932 -42622 816423 -643560 -809948 -473701 -58309 164842 534047 -635353 -840520 -719961 233174 403212 385339 137299 23874 -85840 -468552 275742 -133870 49779 -922052 800271 -492286 451889 878202 -326504 1003368 -3039 -951095 99038 317774 69926 185876 -576184 863143 -505282 757762 49600 3624 340359 721528 633290 -719621 574055 73215 -580455 229557 1009191 335981 673782 388086 893246 454807 658967 103412 
-295305 104784 -74440 -133726 926659 1039407 725848 76840 493600 -600960 183921 -612652 382754 45587 -150739 -865699 392137 854225 876676 -560932 813640 -573375 -789705 679684 527504 -471932 -45948 26927 -714295 451351 -217992 -830639 532134 -771191 -77720 -579228 -292315 49511 928510 -478844 -159018 671913 598671 938873 559982 -420484 811087 -414144 115952 -54211 555661 834799 -415656 871228 743288 -773339 -40441 645366 967579 -557155 -599564 -178784 31072 341533 150311 174044 399982 -559650 -723123 -916157 -892503 739029 -252244 -671191 -29228 154267 -927931 -248646 -835601 -42907 -624181 434475 -291959 108273 -933624 -905050 -577050 -446362 -224347 -527257 -205322 -800448 -874989 377095 6559 446206 -338600 1011037 371566 -186568 546658 -883100 -870080 -821608 -439926 -466326 -14811 40213 600689 -348080 -695630 -692391 -764166 92377 13217 483152 487286 415097 794819 -1075730 -669806 -747944 83655 859061 -417549 302093 661714 -604905 342698 -676186 280355 -312998 -1001619 -639152 -935530 412765 -469381 -140568 -774588 -281222 750339 -377688 -225261 -428728 -306136 831327 -675594 210272 -728581 365909 -808698 -518925 699961 -630161 157 89318 -929690 -815255 -494171 -444668 -290631 -229131 -555283 550340 -943125 -33971 775251 -75858 -254966 383551 -135143 736836 885992 -669389 784570 -629372 575585 638946 -228142 527730 340011 54712 817734 759844 -308143 546522 710724 -705361 -89152 924549 -659609 -820564 -497116 -57650 110784 569898 -649214 -806660 -736202 192370 396419 384332 112595 -68407 -58754 -507433 369561 -110122 14059 -907784 814271 -517724 476833 799758 -412888 1004506 101788 -857660 71053 370332 116738 71315 -574601 776528 -480657 745666 -29390 3219 342172 705123 598175 -739551 509355 54092 -614088 189758 1053597 383530 679134 417331 905090 342698 637879 79692 
-298204 62787 -116834 -199891 912578 1024888 751418 165386 488698 -660750 231460 -437671 407734 11608 -157941 -878141 385939 886561 878385 -699596 805863 -599919 -756057 729077 555978 -399593 -31169 10053 -770376 459762 -237398 -783896 506690 -778949 -41749 -671388 -298405 125068 922154 -511067 -126573 642274 551491 1049988 537253 -481021 774579 -501159 101260 -55208 553841 681754 -260562 899294 709669 -747267 -2472 647318 907874 -582840 -782066 -163835 68941 312117 92743 95123 407409 -560740 -701575 -922869 -718391 828502 -305538 -680530 -15837 189133 -955753 -344097 -848169 -24303 -622446 431791 -256856 15447 -949228 -817358 -581793 -463030 -181415 -505964 -170979 -673919 -923409 408119 48495 498914 -192893 1037742 381699 -173400 559869 -878853 -995876 -837766 -449671 -525681 -37316 9409 659236 -377468 -761675 -708825 -762748 110185 71124 489551 466093 400760 835331 -1106382 -753110 -808528 50868 897771 -442234 257419 515144 -568941 187904 -645224 300154 -406536 -974847 -689078 -1097550 366724 -444562 -98048 -750681 -168710 763012 -428498 -95183 -440532 -179922 858154 -671548 187028 -692523 332858 -723709 -516244 802602 -647910 21390 144568 -938979 -777639 -526368 -563051 -276015 -229569 -546481 541326 -1019409 -156010 876403 -71392 -347179 286426 -178387 647925 895974 -745224 734203 -603238 496727 638243 -280725 526774 317417 71815 797599 755307 -377200 574657 680528 -716723 -92204 1044596 -678819 -841013 -522984 -48421 90311 674684 -649023 -908649 -778425 81457 399496 332606 79900 -164105 -75601 -571098 277353 -99690 56008 -906827 730151 -504335 426668 739270 -528178 899985 26840 -818128 137348 418703 196481 163443 -596412 803146 -469224 742238 -58442 -11458 346245 708596 411396 -713267 469044 16128 -627448 217168 1007244 433352 678793 465621 920288 293381 699142 87796 
-309043 83201 -110018 -229854 912078 1002118 790289 213025 499191 -642764 261216 -389744 376530 -7692 -167878 -891451 393632 868451 878746 -784293 795602 -609292 -768514 803426 592720 -382019 -11591 -10585 -767360 513114 -200136 -733303 528187 -764932 -37506 -757750 -265607 235701 956166 -560391 -81878 747006 555838 1123969 448974 -499615 780344 -602313 101138 -13609 553401 585376 -95808 898787 688878 -779189 -54469 645238 831629 -566662 -989002 -222524 103071 315466 19765 67011 418327 -593309 -667642 -877941 -602668 954315 -345895 -671861 -11155 217686 -953623 -250526 -859376 -17851 -633398 394999 -269738 -90512 -957137 -679412 -597309 -460845 -163365 -366408 -117400 -548072 -908838 538754 119766 462276 -180337 1040168 374778 -170945 534759 -899777 -1040405 -805932 -445414 -451834 810 -21976 707455 -368620 -770127 -721219 -691562 91011 110504 451176 467923 386706 811193 -1107168 -837454 -730285 30484 909960 -460130 272770 525817 -512641 190494 -691446 384635 -594677 -977925 -727670 -1139592 478048 -417558 -112518 -755417 -93563 762775 -493370 -55937 -429301 -255899 889812 -673910 183028 -671947 397005 -723397 -542526 842574 -667767 28843 105022 -916637 -791172 -521270 -554258 -277460 -228894 -599160 517000 -1063003 -165074 916672 -51039 -446164 77738 -245614 687765 894166 -741052 669188 -597494 499327 645714 -477407 508151 328366 83358 806418 787218 -412448 587707 735060 -722383 -51043 1093271 -701582 -855242 -516739 -52047 106827 731414 -608676 -1021978 -818297 -9924 397851 250131 -25964 -210773 -73134 -599904 113410 -93149 69355 -866367 639992 -483276 406486 751939 -582941 805690 -80893 -841881 215562 415557 236712 326976 -556613 935031 -463111 751867 22901 -27635 342614 698288 347077 -656182 488539 -21377 -637162 270264 860316 429919 675084 450545 891183 335324 790287 106194 
-285294 123784 -78837 -202392 907481 994712 827575 198605 501904 -583714 258548 -494162 357719 -55903 -162032 -925188 344170 918143 874110 -718452 765871 -626647 -772745 906240 564167 -396232 -35255 -2875 -708448 573565 -129520 -717626 496667 -788275 -73905 -750836 -271240 319476 922351 -585431 -121739 872330 477098 988958 333060 -511552 760803 -662708 100375 -44510 547815 642249 -118038 876736 650359 -773441 -169802 642340 772052 -579629 -920238 -324411 128949 295332 -47738 114055 432167 -626152 -628826 -913199 -586658 1027152 -379039 -692794 -25798 211555 -905811 -166035 -863050 39908 -670518 381683 -355730 -144242 -950474 -601663 -593366 -526248 -168559 -384225 -79373 -593066 -846668 629615 195169 471259 -333679 1036263 400886 -162456 517252 -861535 -973583 -768336 -444980 -305156 30345 -44425 710771 -267820 -778614 -695994 -616965 99747 77458 432594 487646 366544 864680 -1067509 -874230 -589992 30529 903949 -465711 293380 660973 -457509 288831 -824475 475099 -704907 -984324 -822652 -961924 615010 -341528 -47771 -751131 -116583 727021 -444484 -148296 -436837 -493876 919515 -632138 183699 -647848 512471 -823259 -547012 799198 -673373 68319 9512 -893082 -827397 -533987 -450728 -272875 -225471 -589899 478040 -1050343 -73528 921082 -2310 -423388 21161 -295071 820771 903969 -655337 673349 -630599 463188 692710 -584988 506454 361989 79940 844596 861135 -410990 665448 806508 -721971 16285 938724 -708718 -849838 -501755 -34444 151858 731830 -558664 -1100617 -848023 -74341 397958 212777 -80365 -163598 -67196 -614014 1566 -110425 141888 -849876 628602 -460497 319485 856824 -559213 674891 -160946 -928065 314250 342329 262806 336848 -569698 972829 -466486 770303 55830 -51183 337111 683252 454127 -655678 545178 6996 -614176 348185 767669 377179 670104 374593 844997 449577 842429 111503 
-297633 151491 -112298 -136297 911757 949584 810517 139051 514016 -471609 214499 -590509 328811 -104964 -158328 -960493 383279 879415 875746 -532530 730655 -625577 -762708 941891 544729 -492468 -67820 14743 -627296 623025 -69391 -793256 530781 -766688 -135255 -660299 -257151 313868 925854 -572728 -140292 874712 469749 820135 407133 -462901 738999 -675908 102163 -57084 553285 798969 -290773 829344 616732 -733925 -272342 635921 782459 -619805 -701080 -385315 169926 305423 -90639 186133 447917 -690890 -594686 -892031 -749037 954268 -392270 -683493 28508 183702 -851215 -144766 -882907 23757 -714917 344049 -380873 -158117 -934831 -677730 -607536 -527105 -173516 -467147 -19008 -718972 -801844 663689 142547 428557 -442103 1024790 366600 -169838 518859 -877661 -866277 -773770 -435505 -277387 -3185 -13300 695186 -205580 -728861 -687582 -512494 101152 48631 421077 525423 361906 892326 -1027150 -846032 -543139 38431 882320 -468545 257587 812258 -425142 406350 -967627 498224 -632662 -1014617 -820967 -827961 656556 -293399 41753 -762379 -195798 768393 -396902 -275391 -439426 -553091 945287 -644169 170960 -620021 599863 -881334 -506767 687204 -671758 39392 -58903 -884983 -905038 -508380 -305620 -283010 -225226 -555215 435019 -984788 74999 831355 15381 -348809 169379 -296038 926704 927003 -548867 741266 -673534 485382 705403 -522983 498092 372146 72450 869296 933042 -361777 690757 810464 -729222 81276 839797 -694029 -841892 -478636 -22507 219355 643623 -470344 -1148580 -865838 -23425 398852 207517 -21423 -122313 -60513 -601127 28615 -91120 233384 -809150 698047 -473479 263877 936667 -446315 633121 -78840 -978974 408859 299349 248447 177723 -578850 890523 -491828 766716 162776 -50710 324624 665024 586342 -665229 631469 -1953 -578432 391961 856020 333721 673326 371735 760177 536334 868061 71609 
-286034 121098 -113105 -133428 906327 952899 810826 53315 518587 -381366 170865 -607050 292236 -201506 -118640 -966671 381852 918447 874390 -513208 683791 -642184 -740530 921496 538201 -525624 -119022 43618 -581844 600946 -58345 -857921 518712 -751355 -167512 -563731 -235513 232184 956899 -527751 -78114 736011 422683 765802 562157 -406325 778145 -609332 95295 -79150 546561 923467 -464517 809677 605400 -741091 -302326 625185 840924 -656064 -592022 -347803 191901 281429 -97141 188234 465525 -681372 -567078 -900062 -922084 860351 -382205 -677498 22483 144985 -825959 -200401 -891640 2838 -736261 365299 -304097 -83017 -927020 -798361 -615143 -520876 -209425 -534284 6790 -801890 -826650 628490 58928 448248 -361267 1012772 343210 -173178 509070 -874521 -881888 -735336 -445437 -344997 -11408 32463 665155 -152296 -698520 -654760 -398392 96094 -57702 406187 568414 355045 913300 -963480 -782631 -641840 71383 829952 -453050 233517 775160 -429246 463912 -979027 446146 -457972 -981574 -798346 -884829 572077 -270534 75226 -746401 -261391 766441 -340518 -305322 -425851 -436597 960997 -668592 184869 -599989 593325 -884101 -541358 563873 -634604 31772 -30590 -896286 -993217 -514627 -233197 -306658 -226418 -524096 393881 -899241 114766 730446 52116 -248939 353600 -247523 886561 930113 -492454 784500 -699504 532297 718746 -345189 483372 338968 69316 866470 963762 -293688 739482 733472 -756255 116826 923420 -704950 -820762 -446379 8992 260902 600688 -398575 -1104117 -849163 134050 397053 262912 63576 -40518 -102870 -571202 134879 -91837 252984 -765576 794922 -498277 153760 909600 -373534 679275 79446 -1037218 456376 292137 266704 87139 -569098 784697 -498573 764833 34208 -69958 313294 646092 646792 -702748 659972 42624 -527448 374078 988844 344874 668112 457090 699130 531038 865447 60386 
-291670 78485 -87405 -192226 915551 953906 830675 -22619 526729 -376700 174815 -452687 283849 -223598 -138945 -992537 350897 924585 875818 -648896 633743 -640710 -758562 856714 553089 -543573 -176708 37471 -590768 562611 -101435 -843572 521224 -774441 -116691 -540323 -220027 121092 921200 -488616 -113270 639759 486649 747909 558688 -336900 762226 -508483 111177 -88769 554300 917024 -318914 815189 567387 -736577 -235041 631583 912434 -689124 -766320 -271349 193076 288207 -65066 95258 484757 -705252 -553960 -889715 -988159 747356 -362069 -681180 36565 117857 -858962 -308778 -903554 -53496 -726622 360279 -277197 2279 -939429 -907380 -609020 -486000 -239231 -481439 42081 -668792 -884074 522396 22003 465271 -217047 965795 323257 -179038 522498 -895169 -969091 -682628 -440059 -454422 -2406 29133 591007 -117520 -759319 -600538 -382231 126686 -85758 386618 619642 344406 938451 -902322 -679507 -781564 135226 807940 -437780 234892 659545 -464530 384526 -841321 351717 -333216 -979176 -850246 -1085154 433611 -243866 13198 -732317 -356319 755114 -335361 -217274 -477867 -193310 963407 -628836 197909 -568084 503677 -847851 -522036 477968 -637742 -17730 62236 -925505 -1085300 -518550 -266644 -308365 -221281 -552526 366445 -841704 -25208 666408 50520 -265025 360370 -179182 739728 935773 -523062 805872 -718040 571324 709125 -204993 474217 314023 41776 851606 962194 -255887 761585 683134 -772736 107216 1033741 -700267 -836631 -456267 29370 273416 520231 -322323 -1035061 -831186 226262 401865 318357 135213 63749 -45537 -519169 276901 -115030 319462 -725049 804550 -521764 115837 800813 -283097 764306 99519 -1004642 401926 346450 254690 166491 -557725 757593 -493303 755708 -63138 -103813 298397 635791 490920 -757544 693684 81001 -487478 339781 1066247 399970 664912 487256 629543 435443 797126 79342 
-287858 75139 -102622 -238144 905617 975413 837686 -44285 522781 -428570 219346 -381463 260774 -281015 -128172 -992514 392115 915646 883384 -801497 596342 -629424 -744403 753501 533161 -467856 -243160 52913 -652893 492032 -172202 -750938 520157 -774794 -68638 -621473 -198145 45045 949926 -479680 -106817 688337 472765 871151 450433 -265572 784729 -425487 99727 -101232 540286 784024 -128021 859674 536578 -729364 -116480 614556 972336 -727770 -960553 -160082 176436 273997 -3622 62006 504754 -667667 -557665 -910376 -877062 764707 -323114 -669604 32510 131756 -904137 -289754 -918710 8955 -699544 350300 -233735 86994 -955082 -907121 -599589 -526305 -263736 -407226 69509 -548063 -931945 469156 19703 507859 -163098 922946 331953 -190446 490781 -942579 -1031215 -709844 -446717 -508576 -13256 -5444 539899 -123234 -759192 -596849 -482277 99136 -76991 341968 654143 346270 936650 -848418 -684026 -805023 186096 762255 -412716 204545 535595 -517870 276739 -698395 289689 -292549 -987867 -820305 -1147546 375027 -288940 -24227 -732986 -323994 754909 -371278 -94450 -436690 -221336 954173 -639703 196928 -563644 390474 -729442 -476541 498167 -581390 -25627 140667 -934751 -1135528 -512095 -401829 -308632 -224350 -511739 346566 -831840 -130044 602509 85997 -356744 159424 -136240 645196 951431 -630447 792373 -655219 575238 684431 -277007 485094 329178 35271 806990 911666 -264430 775301 713526 -806004 56040 1088074 -702766 -812126 -453751 43494 247512 534253 -305414 -919925 -783633 228730 400204 378078 125392 119648 -58382 -443374 336071 -86025 373646 -712700 717452 -500211 104295 742546 -323186 814817 16861 -944293 330028 412027 188200 340702 -587653 911460 -508944 722762 -77255 -137770 273724 587152 403665 -723161 628913 64123 -473395 278040 1001853 440721 669925 443750 582711 327823 741017 97257 
-297658 123854 -135788 -194043 895101 978835 807083 4291 510720 -528022 264472 -447688 319101 -273337 -79837 -1002593 410332 921805 876551 -705106 549840 -631318 -770151 695049 542956 -393452 -302658 45562 -727760 447956 -227687 -721382 492679 -753808 -31560 -723637 -183097 55771 967180 -510957 -74582 831771 516403 1014142 319792 -198561 801382 -394172 105044 -111550 539710 630376 -113094 916509 551597 -712748 -22460 620446 1030824 -735763 -948998 -166205 170537 286914 69412 119431 524964 -714314 -574698 -893494 -715754 883118 -274230 -658059 51849 157760 -933948 -198251 -932447 34291 -659922 360797 -320162 119461 -956182 -791748 -599243 -581489 -264176 -366174 73380 -580930 -885247 364048 123324 471408 -295214 877855 282146 -190293 510644 -893216 -971103 -673243 -435097 -431416 -54961 -37657 477103 -188106 -776746 -568896 -585676 99997 -14250 346426 679549 347318 978899 -800061 -744827 -694215 223481 764671 -376616 211211 526860 -579049 137763 -622997 293720 -415336 -1002561 -752096 -1000656 431409 -319690 -95235 -735576 -231882 762338 -390968 -64631 -455570 -382581 931369 -638269 191816 -594707 334502 -689508 -503563 575377 -590902 -69608 126300 -899869 -1159081 -508230 -527646 -330876 -223389 -521341 353004 -882848 -191007 652360 85170 -469236 19303 -144926 699236 955940 -726214 737551 -625941 580031 683931 -504251 459365 362428 16598 809992 824576 -309165 777855 796712 -832383 -13644 984326 -669748 -864161 -468222 79462 185673 598026 -321051 -838835 -707659 124192 400023 385597 65068 164445 -67689 -393221 277068 -81711 392624 -671880 638205 -478405 84365 796784 -425871 946861 -125490 -878230 220274 442502 175466 326594 -557113 999628 -494775 757291 -27376 -102389 254298 583934 415432 -672663 567206 19233 -525972 215731 853227 419154 677141 381983 560157 291639 672884 149687 
-288755 164019 -125310 -123658 886942 1014966 828137 81717 503666 -629181 250653 -610317 356926 -292823 -75398 -987107 378841 968609 879304 -542726 518689 -615083 -745769 698604 562681 -374187 -345940 65543 -772332 454385 -232736 -726077 549442 -785714 -41972 -779094 -160553 148345 928868 -559474 -128008 896459 559763 1081392 404066 -177793 771986 -427862 108472 -107928 542048 587171 -259420 901064 635624 -710715 -8937 616192 1025241 -743296 -754100 -251260 116512 301157 134456 175283 544934 -678086 -604723 -888576 -595106 990872 -217262 -638431 43976 194435 -965520 -138776 -940564 48654 -637910 372795 -381520 41228 -937820 -673514 -593284 -563699 -252348 -449033 65627 -705663 -821632 367651 186408 475555 -432254 830833 268748 -196736 540261 -846980 -871772 -709379 -448332 -282505 -8282 -28683 442875 -259592 -738597 -560534 -686676 106077 35075 324039 684302 349378 986331 -776332 -831173 -559585 276231 794508 -341370 192454 641794 -609639 224820 -662240 362476 -577100 -1017965 -659002 -840552 589545 -369570 -112467 -728929 -153758 743178 -436110 -156571 -405963 -578265 903698 -575384 212766 -633172 365662 -795831 -489049 711186 -596999 -115942 51148 -882994 -1146371 -511983 -577451 -332407 -216978 -514655 372849 -965043 -53641 725818 82546 -380496 73189 -199933 838499 934654 -734494 683455 -607508 623156 670922 -578976 439318 373576 10004 848245 767927 -361785 828084 821291 -857002 -72387 854892 -680614 -850503 -504919 95565 119821 685332 -342444 -840575 -668860 -19980 398588 350576 -22231 141489 -78724 -353750 135555 -108702 312358 -629054 619395 -461680 101192 892905 -540454 992059 -148728 -816529 115150 369695 108689 188857 -537845 918546 -476504 754899 67687 -171649 232977 586120 511786 -633029 509400 -27520 -557802 201826 772651 363127 678957 389147 518176 355708 634192 116709 
-284971 107464 -66354 -127303 894900 1014007 797064 165348 504258 -669330 213397 -632744 365858 -298014 -64669 -977346 370622 978130 877804 -490010 498962 -605245 -809050 765332 561933 -404633 -377680 22333 -762172 493392 -183981 -793589 526782 -769505 -106697 -725138 -134157 258457 930107 -585454 -91904 810773 615497 1054125 559360 -166038 755570 -519046 101907 -106758 542303 680219 -418616 853540 647707 -722093 -83376 606644 960723 -765398 -605013 -350350 79078 301596 172493 177434 564082 -643635 -642660 -904207 -624514 993490 -164412 -636504 34653 218749 -920427 -168858 -944240 23960 -618082 379001 -365463 -57611 -923719 -625455 -579251 -557256 -221294 -505297 23572 -779678 -804100 383938 189999 452719 -395763 791497 276933 -193324 532659 -883262 -836375 -716601 -445667 -292137 -32152 6690 424287 -325609 -728754 -552735 -756827 99079 86188 310100 666898 357797 918469 -771431 -877215 -555890 312669 840879 -302117 199038 803351 -607530 402067 -814755 456261 -660742 -976011 -642098 -839815 641955 -442182 -118275 -708004 -91310 765098 -440368 -277221 -420384 -529170 872630 -605794 221379 -651405 479849 -893537 -503779 807259 -616750 -158419 -42484 -877063 -1089222 -518132 -498807 -343361 -219384 -568497 406047 -1037528 88493 832498 53528 -306934 276200 -266475 935053 953103 -695537 598459 -633433 687881 664440 -512729 428561 345745 -7040 871292 752218 -408354 788534 760982 -877664 -96867 825358 -665924 -842904 -507388 136295 86490 746925 -404226 -862747 -612213 -89394 400432 275844 -57393 64845 -107326 -344454 6191 -81085 285724 -617867 716437 -473448 141232 882435 -579837 1011877 -32938 -847353 64850 312047 20723 66707 -556356 791609 -481407 771457 114826 -190397 205359 539641 599924 -643308 455694 -23714 -613136 221020 838635 333405 673668 414130 537526 468777 670380 92304 
-301287 65364 -81307 -194082 891806 1013588 808006 207955 491973 -626228 169569 -497163 383246 -318731 -39301 -1001838 399456 977581 872499 -662752 489419 -587923 -777188 858418 576146 -484518 -384452 41506 -694212 550398 -111309 -825583 518785 -740986 -159379 -618744 -125511 320710 938481 -572321 -107467 675637 626916 912360 528735 -197784 745828 -613919 110383 -95996 532455 855059 -412069 819865 660148 -665450 -204277 605673 862303 -795595 -715978 -395126 59097 308850 172743 100220 582028 -626313 -678427 -872608 -789069 931784 -129266 -629385 677 203294 -885366 -251491 -962472 13824 -645068 426937 -326734 -155938 -931591 -687320 -577181 -586562 -194022 -531774 -15552 -729480 -843003 489534 74954 490075 -236485 754915 261251 -183025 570780 -873478 -979279 -751804 -449649 -365889 -445 9692 445456 -356393 -728647 -574819 -804587 136350 99790 296179 631561 369138 889379 -807734 -858796 -675441 320120 882082 -272172 165580 827860 -580059 470633 -967222 505405 -636962 -988269 -677665 -1030152 613135 -456204 -100910 -714052 -148177 737508 -359076 -306348 -422721 -316505 843830 -567522 207150 -680365 576609 -898800 -532134 856823 -628796 -175955 -57817 -898067 -1010066 -505154 -357527 -348201 -217109 -579223 445841 -1066656 115008 910735 -2334 -236785 367088 -302324 884488 934002 -559139 690125 -634067 663006 660930 -324008 417417 309967 -13963 872634 796499 -411503 762320 685601 -901832 -73278 968179 -631915 -843361 -518475 157010 91349 712504 -450516 -968927 -564090 -44933 407094 230975 -28150 -2689 -66970 -349608 50763 -103301 177365 -602755 802560 -497741 192216 855536 -565482 937921 93306 -906892 116885 297884 27276 172238 -574694 750444 -468878 774343 78665 -214522 179489 557172 571766 -710186 469915 24316 -629241 286529 975946 354036 673682 479368 568495 542596 735892 105981 
-294222 86347 -55340 -241883 886130 1022798 784330 196433 499047 -540713 176757 -386527 373533 -246140 -19436 -956552 390038 1003302 876148 -765290 496451 -572318 -758042 938251 570925 -564805 -368333 29445 -620449 606210 -61171 -774770 502139 -752205 -161618 -545546 -110021 298915 932917 -526279 -140929 625927 691401 798406 463290 -252989 794021 -677131 106847 -74696 520008 934899 -230059 820115 701607 -703524 -291048 601289 814221 -748738 -953310 -336409 5644 317592 135690 61701 597851 -591604 -709004 -889170 -927848 800225 -94863 -621648 -7052 177539 -827716 -303169 -968995 -40242 -664264 420868 -225616 -149009 -945436 -822265 -559246 -602191 -162899 -382548 -78676 -549857 -904212 582547 2231 479084 -166290 713855 249178 -161070 599437 -864719 -1062447 -797024 -457183 -488354 -19648 4452 496102 -348012 -755233 -600139 -711702 130607 58655 283887 584008 378057 872904 -851715 -773833 -794325 332410 904665 -239985 159829 701257 -519550 410399 -1010926 452899 -477296 -1011626 -693847 -1139085 460809 -445350 -4043 -711602 -237655 741753 -343441 -215723 -416469 -189288 819921 -532431 219041 -697799 606553 -805550 -524139 779311 -656351 -205215 20823 -952847 -913161 -497844 -248669 -346500 -218120 -614233 490685 -1035483 -13203 907990 -8316 -334760 267323 -283610 738984 943846 -523042 745128 -651822 696548 663620 -217484 391286 327350 -14035 846230 834024 -363709 751423 690080 -891776 -15400 1101658 -658681 -818374 -500144 182041 135800 621060 -535593 -1055588 -510505 74286 406189 203721 56725 -85177 -58424 -372423 124267 -95001 83675 -594153 799677 -516187 273492 727993 -452020 845883 93678 -993589 194624 343847 -38484 342484 -535472 902292 -482843 802215 -48447 -197453 155552 546164 417183 -741445 551717 59172 -648652 357085 1065633 418341 671518 478393 613210 518457 807017 79402 
-302666 134153 -79263 -200954 873470 1011543 755275 135912 499144 -442181 227557 -451111 301108 -203466 13270 -914148 419389 1057893 871979 -728901 523484 -548497 -805835 955392 514538 -533758 -324479 5099 -579199 614051 -64134 -732579 507555 -752404 -100603 -585404 -106105 212340 928994 -483426 -121403 747894 723188 721332 334111 -334881 790868 -670369 97796 -41845 529264 891535 -68302 816347 734959 -675257 -291476 601560 760245 -779064 -982190 -222768 11060 337088 71515 107341 611177 -569937 -728870 -888907 -977507 751036 -78052 -635534 -17619 140826 -841248 -255654 -979886 -22198 -711998 411185 -204365 -108323 -961364 -910327 -562109 -607171 -165323 -347238 -112398 -566171 -921444 643180 16781 499194 -275636 690731 231286 -161826 596995 -879090 -969783 -797898 -446711 -512373 -70722 -30398 548735 -284261 -793958 -647493 -629454 114589 -21839 285859 538701 390555 856277 -907456 -684545 -789099 306073 904009 -211070 139986 534811 -471614 251062 -906672 373563 -321864 -997680 -762340 -1018114 370299 -402877 42265 -711231 -326400 770283 -329297 -86829 -434905 -239609 808877 -530728 225519 -720663 537866 -708422 -531238 660404 -652904 -188543 112737 -934867 -839239 -499735 -243018 -361188 -204749 -569736 528002 -963578 -172390 876114 -21806 -392437 74125 -224134 642376 944574 -521840 834299 -700239 727606 694985 -314368 362653 363876 -6918 823758 895881 -299469 723542 766255 -885962 54555 1059650 -643454 -838001 -480141 199124 200692 527736 -594992 -1133856 -477045 222673 408144 241093 127459 -159952 -60454 -446742 244951 -96575 33033 -617611 703442 -498450 351933 803986 -332440 755527 -62134 -1030577 295059 403559 -1388 335654 -583522 983472 -470544 778398 -106553 -240716 126700 573115 371111 -731717 601664 78271 -602299 393478 1011816 437320 670037 373653 686926 413375 843852 131953 
-292430 166682 -64339 -129398 876152 986119 752317 47861 512117 -383632 253788 -606424 303813 -152753 -18639 -923869 441748 1017086 873767 -605050 555026 -528826 -796163 895264 532919 -450360 -275686 -1720 -601871 561812 -118532 -721841 534816 -745698 -36157 -659150 -95966 99797 969567 -481389 -102053 870570 751843 765890 363678 -413133 784413 -594825 102365 -22524 535521 730593 -142895 855517 761433 -693724 -202496 594613 813006 -762947 -788326 -181795 28640 366440 -2349 190910 621989 -526519 -731048 -900586 -884719 794512 -83556 -639688 -9465 118031 -883739 -142207 -982185 29984 -726942 395414 -344902 9006 -948644 -875480 -557934 -595855 -181365 -430279 -155475 -706984 -869075 626376 143224 490106 -412115 684106 237251 -163952 641031 -845763 -862683 -818067 -452926 -402432 -11900 -38854 609312 -242139 -756586 -666438 -506331 106458 -49819 260237 502026 410774 797172 -967911 -683839 -657465 259308 887441 -192458 131224 517999 -434004 169216 -768177 290285 -338011 -996514 -797661 -846942 416562 -357673 51229 -710204 -320301 742167 -392543 -65357 -457428 -507926 809688 -517391 228987 -674380 420326 -702997 -551044 541099 -669188 -195970 146770 -902841 -790598 -476416 -349978 -350977 -210486 -561012 545503 -881423 -174680 781939 -65635 -439044 24777 -160207 687356 935774 -591203 818895 -661938 704972 706585 -520026 367030 376635 6431 788856 954455 -261140 729427 815070 -886489 105905 874250 -645165 -832785 -445167 233796 247965 499580 -623271 -1137704 -502924 275555 410185 295824 123431 -198455 -82370 -499750 364683 -106212 65724 -613026 627939 -477910 394403 874233 -276239 646965 -156236 -1033364 382335 424536 -2528 178380 -565018 921098 -501498 740366 -22449 -276021 101576 576783 443445 -670306 649663 42151 -587015 378997 855733 407555 665623 391433 768024 314749 869760 59434 
-299268 105106 -115691 -129377 869591 984169 702890 -20074 518617 -379908 253701 -617984 261702 -85641 -1690 -877220 369701 1045725 874367 -521637 584871 -509087 -768193 795725 523979 -389630 -213618 -1272 -664583 506358 -190973 -776360 534330 -737197 -38550 -762040 -96165 42412 949737 -515214 -138886 870740 757053 943087 496639 -473053 799497 -488071 107032 -55201 531935 598303 -339400 898481 713317 -690004 -83731 598379 862707 -725606 -599052 -185147 49319 379386 -63797 182315 630272 -543360 -718810 -902721 -718726 921519 -105282 -657842 -25752 130600 -939072 -146842 -974660 57443 -729569 399025 -375741 83831 -929590 -766835 -535985 -619249 -211603 -487067 -206233 -800764 -819047 586904 172439 464711 -421233 681139 273082 -169600 626947 -862588 -878027 -826178 -454249 -271829 -47394 -14003 669950 -147551 -717308 -694140 -369385 103510 -97676 255541 470929 422489 842158 -1027221 -740564 -550499 236105 851840 -183484 139650 633521 -423153 186653 -627759 274572 -415679 -1001305 -840819 -811717 559543 -284399 15124 -702100 -258547 738527 -474937 -156298 -446156 -602211 821491 -479746 232714 -665407 339249 -776705 -485560 481423 -670797 -182435 83695 -883037 -789359 -482472 -481575 -363976 -214285 -537669 551010 -833519 -48028 705362 -84313 -365105 185692 -132302 821139 918866 -721199 704123 -680962 693546 731875 -591343 337040 343708 21459 819134 967520 -256722 700074 788265 -879830 117293 858384 -661751 -872483 -442095 247800 270881 549932 -685659 -1075800 -489069 151022 405574 355925 65261 -178428 -63652 -546947 304524 -131589 -8549 -627396 636966 -463587 477778 919318 -347261 649643 -107754 -948425 425653 374748 38986 71675 -570987 769894 -506995 738692 63936 -269915 73517 612694 553074 -637851 668688 -31039 -499908 341073 748981 352359 674547 428452 818288 297601 853892 51567 
-299079 74936 -93456 -197568 867787 973723 681371 -35426 519356 -447296 211086 -486780 295929 -40727 29910 -849104 405939 1071291 874068 -606096 637278 -498464 -776888 709762 502161 -376837 -146294 -17497 -739371 451216 -235541 -827917 519031 -771028 -76857 -745053 -102363 68250 928958 -559758 -140854 739882 770666 1077606 567396 -503073 754966 -415172 110830 -38827 527258 604806 -425094 912942 677240 -664950 -6666 599214 945738 -692726 -669560 -283735 74119 404422 -96882 101653 634596 -496038 -693449 -872718 -610809 1007183 -144036 -665314 -13557 159489 -965929 -246370 -981148 37636 -707444 379344 -359118 115857 -926384 -643015 -526508 -653362 -238323 -519052 -232675 -762244 -795529 472014 202584 435613 -273484 687496 267257 -177454 647108 -867394 -959402 -810459 -455138 -267599 -46669 20115 691904 -120023 -719338 -724042 -388808 103911 -67609 269957 464624 441223 832356 -1069887 -832244 -575361 183016 807088 -183024 119762 784682 -450623 338196 -644822 324932 -571777 -1003827 -826085 -998302 666599 -267990 -37728 -688304 -152383 712006 -467263 -279573 -460211 -442845 845672 -489083 256090 -630372 346629 -859105 -487982 495101 -655500 -168554 -15757 -892110 -818927 -479545 -572598 -351813 -208481 -475563 532570 -843092 80315 632679 -101302 -270625 372827 -159529 927376 898625 -764773 670722 -654076 694789 710288 -489412 342786 319092 33635 868369 921360 -293310 643329 708133 -859602 82336 910408 -674179 -858486 -456994 263036 249244 652312 -625079 -982816 -496766 41514 408270 374312 -4192 -103883 -79057 -600102 147651 -76083 93565 -670630 715825 -474922 451033 873579 -429012 687279 23367 -886371 425558 312287 79217 165714 -566134 749141 -499404 727901 105668 -305388 56502 646396 636125 -661717 671017 4968 -493164 269781 836932 334328 670392 514526 874986 372607 765311 100290 
-290932 92741 -101349 -236428 868827 936997 671486 506 524652 -560062 173180 -367626 326315 -9929 20148 -836191 406433 1076481 872679 -767481 687194 -478684 -755284 683713 531731 -436589 -88153 -5185 -775268 434423 -225250 -810952 506522 -783731 -133649 -663129 -89631 164318 953720 -587991 -117512 631582 756576 1124021 487905 -502460 707710 -397594 109731 -67816 525297 741373 -332082 856288 664674 -691330 -21435 598310 987906 -638111 -908656 -354374 124841 390602 -91334 61956 635971 -491337 -657627 -875864 -629491 996144 -191668 -670098 19092 195480 -932930 -316639 -981950 -18641 -662051 369808 -254460 52815 -939702 -620593 -516216 -675669 -271122 -426336 -253714 -575202 -854225 412204 109399 481258 -160774 716272 276764 -190474 632120 -938556 -1024401 -760410 -462030 -390971 -82186 30174 706887 -119977 -762335 -735396 -415912 117162 -24127 253287 478035 450414 881658 -1091221 -882341 -705153 128105 766219 -190122 96135 835682 -500748 471886 -789491 425539 -681195 -989192 -840782 -1150080 606830 -253655 -105883 -693405 -109760 741711 -413752 -307547 -448090 -209222 875835 -473188 279014 -622467 441665 -884819 -482952 581581 -626433 -110321 -67652 -934613 -878257 -474312 -536809 -334010 -206223 -489536 504914 -904386 105262 619111 -93728 -274535 346943 -223171 879369 888694 -697153 657623 -642084 681653 683110 -312571 325331 325172 46243 867766 848657 -361527 609246 678063 -843838 18108 1069903 -657584 -846697 -477736 277355 197391 730911 -578191 -844227 -541843 -51524 407874 358056 -58135 -15162 -41314 -630676 40068 -107691 124651 -707220 804136 -503373 441674 850261 -512985 811468 116750 -818292 365017 296916 144953 335475 -565604 843268 -487651 762677 79795 -316106 36206 661223 513870 -705917 600829 -18890 -487621 208812 984329 372779 668458 475410 914142 488468 699100 100575 
-310148 103384 -99627 -203467 862424 985507 669693 80419 509984 -639325 179039 -407148 369653 46014 11984 -835900 434586 1048860 870686 -782422 728670 -467960 -806495 713465 529525 -497147 -41735 14807 -753186 483762 -165773 -762426 517389 -746639 -157671 -552697 -104639 272854 926107 -567796 -132657 684306 731883 989074 344291 -470405 757616 -443246 111277 -82867 538678 899649 -122790 828687 642272 -654929 -120159 598600 1029112 -630389 -1005308 -401489 156594 419563 -49066 109246 634134 -491438 -619729 -861137 -777859 889247 -249353 -668426 16060 212543 -898184 -277194 -968946 13012 -635629 353257 -206265 -35255 -958049 -712093 -527862 -650118 -263095 -352997 -260154 -527164 -914510 354584 17190 492798 -249602 749803 290156 -196942 608857 -888807 -962931 -748553 -458324 -465189 -54218 -6931 686313 -172897 -822244 -693750 -526984 94079 71978 236866 510420 462785 919784 -1105776 -862041 -806972 82095 761057 -215726 106354 711860 -565392 459239 -912568 487795 -615093 -968810 -770419 -1075646 483830 -292095 -145131 -683407 -135888 692148 -333241 -210792 -464873 -210966 907735 -440775 273458 -580979 553021 -788507 -496666 710937 -597548 -84284 -14215 -945127 -964449 -459881 -405981 -350105 -210947 -541645 468588 -989119 -25344 669702 -59629 -363109 143651 -284020 738941 896420 -612010 700919 -607194 644877 661661 -205351 309099 359812 52136 860271 790304 -408428 569870 747903 -812226 -49649 1059938 -672541 -811714 -503833 303192 138899 773637 -535918 -839288 -585701 -72632 409112 300783 -67756 79589 -70189 -602018 -7449 -111961 173198 -738560 794587 -512212 392519 734519 -575808 894803 32766 -840233 263707 345018 161239 338103 -543145 956641 -486328 749626 -29164 -335600 17826 677426 365136 -731368 513776 36417 -507684 201044 1060525 428809 674641 371149 918450 544134 648408 146068 
-294490 164365 -101131 -133170 865221 974533 646832 160033 506817 -663585 226464 -561131 380940 62100 12671 -808421 376791 1057510 877579 -620718 767941 -462992 -786456 821266 506267 -564164 -22182 28247 -679901 548006 -94008 -717234 497335 -768511 -144739 -566832 -112218 327348 906217 -523106 -107753 814330 690950 858225 343033 -414072 767651 -543899 112608 -99132 537235 936838 -55702 797208 607957 -655255 -238213 595182 980244 -609969 -817839 -319379 174360 424129 18060 178681 628432 -526559 -586381 -875923 -926965 775039 -302287 -683127 13951 203856 -849681 -158764 -967977 5193 -624159 299939 -276199 -111769 -954181 -863812 -533263 -671575 -261327 -452711 -232289 -674923 -908921 367442 4969 490561 -399894 796762 349940 -195613 614520 -861028 -879898 -707710 -467528 -471213 -68197 -37514 640476 -229194 -779658 -691102 -651236 108922 106153 237895 556698 482264 968569 -1084046 -784590 -765780 58573 783214 -239301 41838 543776 -601937 343999 -990653 484358 -469762 -980008 -781921 -862848 357580 -343794 -132787 -674432 -219988 744753 -310273 -89242 -436077 -393021 935956 -428380 265375 -552961 607102 -736046 -515242 824183 -611067 -55018 78506 -942538 -1048529 -443798 -275164 -313116 -204490 -490684 422370 -1049301 -133752 784542 -66828 -443533 10115 -301862 641040 867996 -497661 741114 -621864 606981 632430 -338378 298872 375204 62709 835976 733142 -403807 515230 815676 -784766 -91314 943190 -684790 -814083 -510718 304563 88913 660786 -462158 -855981 -630288 28798 409489 242086 25428 131785 -61833 -553230 122867 -106929 230162 -792500 703762 -500952 333294 773152 -539118 985247 -75763 -898641 164005 410939 210810 192102 -583532 955227 -484316 794666 -70680 -352824 5281 714041 358769 -741051 464695 73562 -547226 242777 1016480 435853 669938 380313 885034 502432 638500 102643 
-293379 129103 -69045 -127066 869638 978641 640948 206954 495139 -607501 264611 -614631 382444 57695 24943 -823809 391653 1086120 867242 -488710 792780 -458861 -794459 920989 494374 -539487 -17506 50667 -609272 601670 -55890 -754412 529538 -745748 -83868 -612676 -130639 291695 950726 -482471 -94428 887773 629478 715271 459140 -347215 798750 -637443 115919 -116036 545128 841333 -296798 818909 545574 -680529 -301788 604793 921273 -597630 -633175 -207955 192107 418103 90984 187744 619494 -499872 -562755 -875079 -970622 735661 -341127 -698259 40942 168185 -824752 -138976 -962732 -2603 -636731 333051 -403318 -162425 -934701 -921507 -518846 -676168 -229225 -480789 -178001 -789427 -863782 402204 81657 468517 -426158 836890 339592 -184930 598177 -856293 -897569 -711997 -455083 -373048 -84421 -43636 588664 -317527 -745126 -676427 -770309 122302 136530 281454 603238 492439 956925 -1036289 -688558 -622817 14337 820484 -274934 81184 512611 -617672 213497 -927288 392231 -324175 -1009095 -681780 -798951 395626 -414387 -38923 -666681 -314985 752371 -340065 -63826 -415614 -611509 955512 -405022 263755 -599469 560760 -695226 -552473 839151 -604625 -17238 150270 -903721 -1111529 -452880 -232675 -304695 -200263 -538088 383084 -1064490 -199007 883776 11480 -400177 98607 -266620 686386 878918 -494244 806666 -612374 586612 646097 -512105 278877 348460 72642 799688 771159 -371356 505694 797815 -750476 -89008 834527 -703204 -834517 -528638 307529 87376 575977 -373362 -934632 -703704 197780 405563 200263 92905 143017 -71059 -501312 249804 -113031 330646 -814312 620468 -471813 266883 930222 -477539 1005356 -156205 -970052 96575 422854 272403 80318 -550379 815556 -484381 719030 -58948 -345302 -6747 701546 482645 -683880 465428 37203 -615209 295881 852625 393613 665270 425102 856139 393735 689010 70998 
-289772 112199 -101953 -197663 865629 1026760 668658 201532 497331 -512358 254748 -551404 329010 53868 24494 -832049 370991 1080919 871287 -587697 804160 -452783 -776945 967850 494691 -434724 -37251 53689 -580131 614110 -75003 -804259 506488 -768043 -38469 -716504 -150071 190390 936354 -482167 -117735 805404 565847 768696 567504 -269115 799277 -682075 112975 -116143 552055 676597 -415387 866166 596701 -695633 -272007 606913 835067 -553922 -608256 -156382 168118 429467 149347 113856 608234 -553028 -554215 -835464 -889627 834000 -371459 -685114 15005 137129 -864344 -178301 -947896 21053 -673262 341166 -393741 -102514 -923606 -869373 -537953 -666094 -190184 -496616 -152287 -744058 -796017 536739 156911 477537 -303515 877510 346258 -179995 589483 -891534 -974100 -683293 -454499 -292345 -90480 5733 518904 -366019 -678819 -637021 -807711 102688 46209 266384 644655 497840 953751 -985719 -670350 -538911 42951 857629 -305598 79152 621438 -588772 188672 -774569 313605 -304395 -995442 -672655 -968093 533122 -475541 -2608 -663190 -346263 749819 -417335 -164513 -421561 -523114 962648 -362229 259945 -577598 456131 -761568 -536115 788659 -601811 19598 118680 -886371 -1152285 -434964 -296179 -295630 -196405 -577798 358110 -1017341 -45034 903269 -7768 -308253 311086 -200765 809892 858265 -563182 820178 -654617 566723 658112 -611948 277601 311628 65294 794627 839319 -304607 452254 729430 -728822 -44585 866158 -711702 -858209 -501731 306940 124027 525033 -335415 -1060780 -714878 234619 408149 215655 121753 162084 -92515 -450873 339330 -145219 346875 -854141 630205 -465080 220827 930271 -372996 972093 -65003 -1043591 85167 399463 277479 168654 -584393 756089 -488446 741630 77433 -359794 -13093 729348 609960 -643215 502293 31011 -638119 370863 772265 342903 671688 489969 813329 303010 778550 69346 
-290416 49677 -101466 -241762 867295 1044675 627919 137590 480170 -414047 207186 -382529 343862 12721 22912 -854150 383542 1084841 871564 -733059 807488 -459643 -788864 928704 478339 -378925 -82415 24492 -608720 577257 -137145 -830487 519990 -742168 -42189 -766637 -159104 81470 955353 -517964 -120277 674725 549358 876405 477839 -200992 775925 -654923 128154 -102375 547137 582577 -369257 916464 589250 -672323 -167132 613022 772190 -549353 -868659 -186734 151351 436236 176916 57849 593857 -587938 -560663 -842974 -713091 951087 -390051 -668994 34223 119984 -916630 -265872 -939142 22664 -699565 351103 -318039 -17834 -933251 -741353 -540743 -681123 -169971 -413009 -99087 -597673 -812559 597019 176610 465888 -173124 933760 378146 -172005 576435 -933940 -1014034 -674219 -449198 -286212 -88954 29599 458656 -382374 -744728 -594063 -749628 84166 -35231 287649 673760 500694 937478 -924932 -736605 -602915 37011 899891 -339950 39104 793687 -540500 284527 -644815 275201 -415948 -970391 -687551 -1118691 646307 -509189 21354 -658023 -275730 777862 -450152 -282660 -429801 -292845 958262 -422242 297124 -622572 356932 -834072 -527245 665618 -631661 33855 17330 -896487 -1154034 -432527 -444085 -301919 -193275 -584774 348641 -938950 102740 858169 44596 -260753 392475 -144496 927716 857344 -697517 779820 -697975 550653 705580 -494039 259818 325120 73876 851154 887759 -256351 451295 683293 -727053 24146 1034166 -709553 -864673 -480882 313315 179034 566695 -302081 -1137816 -794105 193003 404711 279180 113844 94727 -57703 -387781 303089 -137888 328940 -882158 734607 -473830 169037 861543 -300339 900579 52127 -1023498 116775 327626 237104 318441 -570657 850828 -489487 740551 158811 -345576 -14785 731372 607332 -649782 568870 -5683 -658037 399073 820508 334558 669359 482203 757817 305324 842145 70451 
-288034 99379 -97944 -200469 867345 1030719 635093 48377 485545 -367683 173781 -394955 265857 -20898 -35036 -868018 378968 1073170 868225 -769367 795352 -469595 -813925 849189 473186 -379645 -145994 47396 -680707 514663 -206172 -782788 534721 -744284 -92272 -710649 -183613 41931 924080 -562773 -145149 640068 491567 1011892 390454 -165494 738426 -563612 123775 -106884 542377 644136 -181959 906197 609467 -671102 -52679 601728 771678 -550828 -983907 -290133 116512 424646 165246 98439 577145 -595328 -582688 -862066 -602167 1024120 -385451 -668667 38411 133536 -961272 -318675 -919147 5827 -733070 393832 -222801 72330 -954165 -617174 -548896 -695787 -158314 -342298 -22173 -512090 -870236 621767 115187 496296 -222430 969145 382355 -158113 574634 -896194 -979776 -691440 -456267 -411544 -113230 5534 432971 -327766 -737008 -557780 -657594 129279 -37491 284887 685837 505640 944718 -861582 -825693 -742168 74090 912223 -378751 62179 831910 -483179 364714 -651099 309231 -595805 -1018287 -678705 -1106575 631313 -440326 42075 -664691 -194882 759238 -445523 -301803 -454351 -211584 942956 -395787 303367 -651849 339858 -881825 -524846 534550 -659133 40733 -54436 -920112 -1114303 -443805 -552884 -289591 -194732 -581434 354496 -862510 100815 829432 30490 -313019 254902 -135962 892707 857129 -754016 696563 -657457 503021 718819 -291126 245529 358685 63023 847772 950558 -260665 455094 713579 -712240 86980 1082971 -704855 -857005 -451136 302076 241514 581534 -320753 -1143039 -826011 74883 400608 348849 173 -842 -57023 -333863 176284 -148266 355950 -894159 820289 -506551 117825 807109 -324404 791500 91292 -961297 218216 284028 229364 343688 -542529 954438 -489612 767801 105765 -371019 -18080 685596 474552 -704581 649609 -35533 -625049 385063 993335 384061 663726 366045 679645 392889 876273 132873 
-292387 125661 -107799 -137159 872034 1027189 632284 -9895 481554 -401280 181753 -539035 301109 -39482 -35479 -879137 401359 1106271 872184 -638324 766247 -477257 -813860 745181 487448 -420027 -192980 34237 -748652 459073 -237648 -732601 511323 -781649 -153341 -616517 -185866 84823 955081 -585144 -117406 747067 486203 1087312 334343 -172218 758693 -470468 125817 -102264 567549 786127 -69248 861869 635831 -684175 -863 621110 824235 -569478 -856812 -381922 100089 389543 118413 172797 559168 -627660 -614917 -890756 -634138 969147 -360966 -652321 -10208 170819 -958761 -233514 -911227 -31763 -724847 391957 -262356 125728 -958679 -632634 -555554 -661499 -173818 -383905 22362 -668037 -931552 598198 42268 499296 -377846 1004602 386074 -156884 519508 -898270 -894591 -740226 -471930 -512438 -90538 -27950 427539 -251055 -817773 -552893 -519780 87128 -92730 281243 676905 496333 876584 -809357 -872184 -812893 108948 907316 -413403 36761 722380 -439434 484129 -740822 400651 -698999 -985180 -740728 -925580 511866 -411680 15874 -643214 -80287 764850 -397892 -201808 -439068 -305235 916677 -357729 326153 -699189 409628 -817383 -529186 481882 -664880 32683 -40583 -942877 -1040786 -409964 -564904 -279121 -197163 -553134 379631 -831533 -23982 717290 90458 -377340 52466 -179149 745180 863456 -730315 619734 -673622 484126 710476 -224117 233129 373985 34769 869878 973994 -299617 386090 796412 -714879 118020 1004287 -700568 -832341 -442729 285938 270623 645497 -341690 -1120496 -836125 -35192 399200 377577 -69165 -111121 -77312 -341649 56558 -145203 263762 -936664 783754 -513302 85243 763420 -436331 680728 -21884 -861805 355600 315337 228352 189451 -546105 1017750 -489079 805978 -861 -387361 -10139 711724 364243 -736480 669180 -23455 -568158 334026 1068160 434433 671626 350484 617632 505071 873170 122697 
-302898 127041 -100828 -137071 876441 1019615 683322 -40495 486187 -483281 229064 -642980 258390 -111920 -39990 -923368 362806 1082130 866796 -504986 735582 -496762 -774056 680652 494882 -516041 -265086 15587 -773846 441143 -213262 -721853 518259 -739064 -163694 -546904 -223876 186724 963824 -566941 -147189 880780 444763 1075914 455231 -202735 766930 -397725 122651 -88107 549534 920008 -146049 815830 722325 -682061 -45337 614824 887149 -581276 -656085 -395320 62618 390894 49253 178966 539816 -620860 -651545 -859854 -775405 834796 -325207 -645736 -36035 205980 -922657 -140518 -901254 -41722 -712514 428699 -297109 76841 -940097 -754964 -568213 -662069 -199240 -505587 46342 -758118 -896927 519386 38409 444463 -440128 1034350 353702 -172468 517196 -889466 -860438 -747431 -472309 -478334 -50464 -43612 456756 -175893 -706222 -566167 -419495 96703 -38239 310017 645018 488453 832319 -777966 -856432 -732757 173853 880099 -438243 33195 549557 -424854 402716 -905005 473596 -604643 -978878 -782522 -821464 406609 -335703 -68418 -661190 -104521 728075 -346694 -79349 -498681 -493956 884722 -393399 306410 -722463 524833 -752068 -491901 497825 -663089 8240 49566 -931931 -954209 -412786 -460479 -290832 -197569 -532829 417941 -854805 -159504 643477 77898 -459804 28587 -246552 647121 858771 -641303 630971 -627880 494260 689571 -368377 228045 347623 32701 845722 951735 -362049 409229 815714 -729098 103014 899550 -676614 -812921 -470228 280542 263754 724030 -397709 -1023064 -852591 -92064 398296 377315 -73979 -157247 -116528 -347340 -10012 -148644 227906 -925052 683435 -503801 111562 875002 -534416 628179 -149608 -829022 406378 389957 129040 79003 -534811 842111 -496796 766328 -111485 -354156 -3551 679062 406060 -730903 689954 7824 -529182 263118 992909 430997 671708 453938 548067 547069 806580 61401 
-293765 138930 -82407 -197184 865826 984043 688082 -3708 492941 -586740 261245 -551773 294472 -167446 -51272 -959759 379654 1073640 871963 -564371 688119 -511690 -786358 689954 458443 -541748 -327952 685 -737215 472944 -146906 -795355 509574 -773151 -119129 -572801 -239577 287472 952153 -519696 -123367 877300 476605 903793 559987 -258947 795873 -397281 142481 -62021 556461 916396 -422373 808787 694289 -671434 -155896 615009 943710 -601169 -606439 -301362 37909 369396 -22942 113754 519890 -664823 -686272 -865768 -932665 751590 -277613 -649986 2355 216217 -863536 -162824 -891563 38872 -658130 400096 -384252 -14620 -930205 -872201 -591397 -656725 -234009 -501201 72473 -758684 -842856 402852 52524 440078 -336547 1045189 353351 -185985 500446 -858490 -977353 -823257 -462511 -345053 -51708 -16340 508006 -95891 -709036 -565205 -369539 98385 25869 312400 601510 479300 832248 -775118 -788498 -596548 212481 830901 -456622 65851 515119 -443173 240772 -984755 479809 -494010 -1004095 -821602 -919221 400690 -288440 -116989 -655156 -208547 720403 -290344 -65343 -425363 -599160 851046 -381540 303611 -720086 601588 -696522 -493328 597231 -644302 -14771 131811 -878758 -876765 -385900 -314984 -289119 -190111 -521759 460777 -924563 -175647 608515 103298 -404547 208535 -296043 684714 864021 -523540 731046 -604023 511133 680640 -544503 238154 316715 3595 833373 863494 -407546 406960 757215 -734841 48485 821797 -655526 -849746 -478437 266170 219245 737775 -497070 -888281 -844266 25572 398653 329424 1110 -184269 -99546 -401140 69141 -142757 143599 -926388 622631 -472205 130443 939500 -588005 658594 -131742 -833550 420208 428058 116165 173956 -535439 753245 -500904 746153 -74748 -343789 7934 644860 542310 -694378 632124 42684 -487907 211574 860974 377019 669194 489767 547997 486086 733175 60388 
-304895 74830 -54622 -244009 871442 945003 701671 79366 508776 -653715 253518 -409519 322049 -228836 -104442 -967730 414087 1091888 863003 -749336 637055 -522993 -755129 767289 462973 -508289 -354884 18904 -668615 531906 -80881 -830471 520891 -782142 -57091 -662394 -248499 329772 956686 -482346 -119633 737014 438649 776296 546624 -330570 828920 -456112 141690 -25096 560926 795844 -444328 809047 754086 -682365 -264650 624758 1008422 -643795 -803694 -217657 -5975 365881 -77314 61229 499566 -699031 -714082 -886188 -998221 772841 -222162 -629499 -3318 195754 -841589 -230605 -876339 26560 -639387 439340 -342614 -92365 -932833 -926291 -589254 -690343 -256059 -461231 84776 -574088 -804296 338471 172478 500059 -195657 1044764 357408 -188020 499761 -893699 -1047195 -845709 -470216 -265905 -66212 14120 556421 -122007 -738710 -589914 -392027 106082 48132 323325 554243 466415 850257 -794785 -685485 -538055 289246 785199 -467806 57021 622225 -489733 174219 -938894 428687 -316085 -997792 -807302 -1103740 487920 -250691 -119149 -639867 -275586 742268 -348471 -172416 -437847 -402524 828784 -380396 301599 -702777 582553 -754737 -498565 722436 -630486 -49663 141400 -869689 -809286 -386525 -224736 -291484 -192668 -556608 499196 -1006450 -58376 656896 47990 -311150 372676 -296038 823780 873576 -490047 777758 -605013 557434 636244 -589423 218284 322278 1587 801890 794683 -413216 436121 685029 -747982 -20475 981402 -666937 -851254 -492263 240860 155994 644440 -564471 -826873 -829377 141008 397997 251812 87709 -164644 -36036 -441782 243962 -187371 69483 -926097 636941 -458320 188088 898835 -547441 748630 8973 -886822 394613 402543 67625 334017 -538353 797365 -508341 777013 31841 -345917 25162 631028 641208 -643700 576723 103820 -475368 206811 788156 332601 666438 471394 537737 372759 686469 131472 
-299014 85149 -116223 -199908 865592 971600 724856 163279 518852 -662272 201219 -381953 385608 -265099 -93491 -980588 432539 1064052 867406 -791718 597579 -546339 -775288 853807 445548 -435601 -379162 -2938 -602273 589973 -55638 -825938 508389 -771847 -23007 -750662 -263635 274258 915654 -480959 -108608 638804 508862 745050 366916 -406134 791318 -567910 132596 -37195 568455 637094 -279903 876689 760862 -692657 -304665 619960 1036402 -674207 -968228 -159453 19454 337386 -98917 96295 479995 -689697 -729679 -868343 -875081 880921 -173677 -632104 -29169 168862 -844831 -318785 -864955 23368 -616811 401361 -345892 -147170 -952826 -842479 -599946 -628760 -268583 -349509 59936 -521111 -835843 340614 203163 536948 -182828 1031579 320447 -196962 505435 -871558 -995276 -828550 -468612 -350067 -69013 31340 628927 -164781 -786970 -627040 -464729 90185 95153 343181 509063 459340 823043 -830389 -681163 -632836 300253 767720 -467925 19586 791646 -545914 223805 -761175 339016 -300658 -996466 -836939 -1143397 618963 -257466 -89969 -638263 -331225 750007 -425433 -292334 -435867 -206284 811257 -396027 314733 -692155 489079 -869838 -516278 828488 -625767 -60526 58229 -872484 -771405 -385623 -265985 -297520 -188471 -527208 532055 -1060154 91715 753685 16790 -234643 336425 -245781 930112 887941 -543404 818584 -647028 559870 638221 -442207 203984 354104 -8561 830908 753357 -374168 464700 701037 -779407 -77723 1092455 -661577 -852195 -522414 214488 101820 567579 -616046 -836320 -779118 244491 395561 208476 137839 -93601 -46226 -505056 321354 -208979 37681 -905240 739754 -475205 244817 783554 -446931 851029 98833 -973958 321076 342961 3201 332533 -560150 940912 -487753 745459 132096 -319963 41546 607538 560687 -630925 484719 94603 -500647 238770 821212 345865 680194 396379 541911 294691 637103 123868 
-290509 99507 -103419 -128835 880224 942738 734529 206776 519528 -583673 169659 -548606 386615 -294147 -131955 -988839 440525 1051571 861624 -699184 554196 -573065 -770743 937298 478487 -390909 -378553 -1239 -581106 620652 -86311 -745775 518784 -751815 -56105 -757809 -286994 170267 919060 -517301 -136834 684476 560233 763310 311447 -472219 783040 -653688 134293 -33267 554134 582696 -127777 893898 698123 -685808 -245680 634550 992423 -722258 -898277 -191398 31090 320683 -81718 170336 461036 -703681 -729972 -851092 -693885 987398 -132103 -628962 -13450 135723 -882108 -250249 -858559 -28218 -637846 385698 -206332 -113547 -961682 -700757 -589640 -673818 -240051 -413858 28830 -643089 -898725 403749 153098 480033 -340015 1006695 318233 -201906 509064 -836065 -858863 -816574 -479443 -409782 -23779 -2764 673255 -208702 -800168 -652707 -594317 79803 73861 369627 478716 439068 816695 -885016 -719001 -772722 311855 771899 -459921 15485 825286 -593468 347740 -655260 279648 -404785 -1004187 -789242 -961859 660425 -305730 -25695 -631869 -344647 759657 -465406 -303623 -426253 -203268 808600 -466733 335196 -640479 377256 -889508 -529085 832300 -599944 -115481 -38787 -930853 -800855 -354059 -388609 -310546 -187493 -549105 547267 -1057742 105437 854398 -30596 -330566 121458 -177951 879146 909914 -650079 777337 -662927 569399 652645 -280325 213388 370048 -24860 848399 746039 -315803 505640 774059 -798044 -95747 1053106 -636636 -855689 -535567 201645 82701 477156 -671157 -905022 -725169 174324 396190 207480 113636 17889 -59342 -556993 287864 -175546 -8877 -867894 822464 -498876 354259 754406 -365727 957056 61721 -1030611 214434 291980 -14483 187484 -547364 972818 -491527 715462 93833 -339418 62422 569401 404951 -687201 474782 25283 -556233 298030 986664 397606 674432 392977 585058 317907 670555 121340 
-311791 133386 -109594 -117660 870723 980303 761546 197617 507914 -482020 180522 -626238 367503 -335086 -152074 -1003575 408412 1071566 866350 -530557 523060 -584437 -795717 959984 445214 -356675 -360003 -3824 -617539 600891 -156262 -702191 499437 -757579 -115164 -662330 -297695 71911 956131 -563422 -83200 811747 576947 922203 438154 -498778 719381 -677382 136533 -39700 551484 676684 -105773 914605 706880 -727422 -131250 638211 883095 -738964 -697462 -297460 67597 316534 -30695 189178 443589 -672935 -712937 -878957 -561425 1008396 -97350 -638508 -4002 126846 -938387 -183523 -853597 -23284 -660523 394230 -244546 -34052 -950127 -612576 -607918 -599570 -216513 -471056 14399 -774917 -926529 503767 66581 431083 -436956 977395 318711 -183430 533794 -829135 -885943 -790239 -466557 -508296 -35340 -44423 709817 -285609 -751670 -687313 -735401 94140 -6272 361626 464817 424933 907609 -951174 -834176 -810033 328007 797706 -441030 -5268 742950 -615482 465787 -636582 288681 -553626 -990341 -744896 -808706 539236 -350256 23378 -636435 -230531 725938 -425042 -197091 -448435 -411459 816116 -414134 317054 -613006 329842 -877058 -516274 768588 -601256 -128296 -54242 -948219 -847214 -353291 -520303 -307130 -186801 -567943 550124 -998852 -32081 900119 -55605 -430671 14946 -135897 743985 898016 -749670 717534 -665235 615737 688515 -229746 215289 350485 -12540 879239 809787 -269306 525107 819128 -829111 -68681 944203 -672275 -828469 -489038 170037 112017 568300 -656060 -990514 -653338 115571 392733 256699 25638 120685 -119325 -615493 208796 -182562 857 -852216 785225 -525883 389749 787923 -305797 1021973 -95518 -1028324 123078 294567 -5255 74193 -555723 911250 -473166 752000 -2413 -292844 88182 553275 355336 -719727 497346 -4724 -620800 371132 1063646 439260 677404 425217 664514 415903 735950 67383 
-290974 88438 -114705 -189542 892968 999140 796613 145450 504103 -391949 226703 -588107 323297 -291849 -148213 -1005424 356971 993579 866920 -549108 499807 -608296 -784007 904438 453292 -447111 -312624 25240 -691482 538191 -219709 -753485 515424 -777594 -155767 -576668 -289886 49124 925256 -586683 -115161 897897 603374 1019009 564720 -506482 735842 -636932 152413 -78326 548409 842909 -289629 851276 631450 -698574 -28187 636246 818166 -767553 -603528 -399794 104659 288301 40436 119469 428325 -671462 -683879 -882855 -624048 923056 -82867 -640517 12409 141785 -967044 -166120 -841452 652 -715690 360143 -334732 41525 -931146 -644043 -600842 -630267 -181562 -546802 -44752 -758094 -887344 569347 20757 442452 -372826 941872 281161 -181328 534553 -891631 -961894 -775104 -477360 -464570 -48667 -45276 715215 -364529 -715609 -724232 -777474 91097 -56194 403618 474706 409591 918434 -1012285 -871352 -704164 321461 847119 -414843 21191 573455 -597533 422934 -725710 383866 -653161 -994091 -744572 -912261 410040 -418032 52529 -627585 -150033 760797 -373405 -79376 -415785 -608576 835351 -459448 316350 -588390 381614 -739126 -540636 654658 -608067 -178706 15196 -914993 -925059 -356744 -573757 -322238 -182535 -543385 527870 -915507 -138189 937640 -86829 -457325 118893 -146944 656751 920929 -760204 653185 -695611 646193 702611 -384767 213913 317351 2475 864194 877517 -261933 576845 772259 -861834 -7349 885886 -663361 -836616 -461037 145782 161352 629877 -614374 -1086809 -638463 -56642 391865 317177 -54532 156124 -74798 -623365 73321 -203807 39455 -800886 683137 -503664 423742 909530 -342146 995632 -168696 -960966 87315 379008 -46215 166631 -542949 778264 -482223 759189 -85484 -283883 110029 559847 469280 -738384 554973 -30781 -662450 397200 1001772 419170 677356 504611 725187 520993 819659 77054 
-285182 51228 -76564 -231536 891303 1021346 812962 58527 497014 -367668 261516 -427946 283381 -307213 -146285 -1013927 418009 1030642 866573 -707687 494214 -613793 -785801 793914 429049 -540388 -251992 30696 -755618 465887 -237036 -801027 522519 -780655 -145531 -551907 -305485 101519 923579 -566636 -119099 818240 682571 1093768 539641 -479176 766727 -549737 147102 -87205 557350 939346 -428830 813833 651521 -678793 -4781 645866 756597 -774100 -736979 -369686 133782 280767 111248 68474 415538 -659155 -647365 -851282 -781621 798166 -86625 -653468 24875 179152 -932564 -205583 -839433 47951 -741981 359573 -374469 124605 -928474 -761734 -599341 -630024 -160048 -480927 -105834 -617593 -822746 642636 94633 467638 -197342 889129 286179 -165558 543068 -898125 -1024503 -721021 -471340 -310113 -37938 -1392 679172 -368809 -763171 -729500 -772338 102583 -100606 405373 499071 393857 942060 -1056209 -867666 -571852 276649 889654 -383789 3237 483793 -546862 291286 -882349 472366 -623574 -987482 -666831 -1077456 381846 -447700 14250 -629931 -104479 763643 -298831 -70138 -455915 -496246 864228 -495955 302218 -576862 493469 -695873 -526318 531886 -646570 -201432 106745 -883854 -1015553 -347502 -504649 -328701 -180404 -568646 492919 -846774 -158540 857785 -69789 -339661 327959 -200806 687480 914348 -640576 659168 -671054 685412 703046 -557011 220919 315595 5493 841355 948480 -286073 645657 698927 -858143 61577 929526 -636439 -834013 -434839 122374 224676 681124 -572521 -1152512 -574644 -101035 398769 370721 -60881 168511 -82924 -617220 9613 -233207 154222 -765703 617762 -468447 467238 918800 -443659 913334 -73579 -875683 97549 423679 3481 311807 -514582 816513 -475908 813899 -78471 -255109 140900 548481 574335 -693675 625914 25920 -659523 375638 852503 360249 673480 462244 802809 542228 883194 106152 
-292212 94461 -83695 -198543 891032 1031574 802795 -16076 482171 -419146 252188 -380560 259472 -260413 -180256 -979432 370643 1036589 863259 -819978 494894 -618517 -748270 709819 432303 -552015 -192106 34563 -773370 433321 -198379 -829072 527847 -784810 -90412 -613569 -296746 211135 929897 -520418 -86456 673056 714151 1011317 400892 -427586 782343 -447889 145270 -118780 549705 886541 -334699 802018 598822 -715345 -73042 652369 791010 -806774 -970523 -282711 146835 276941 161544 95824 405576 -629646 -609831 -903532 -926394 740630 -103831 -652742 36707 206191 -895957 -303822 -834404 33829 -720427 346538 -322703 93092 -940601 -884052 -584215 -613974 -161793 -382595 -176096 -538927 -800429 639799 111045 483123 -177068 839775 283032 -163241 584539 -896573 -1003719 -691089 -473795 -242240 -29138 30401 639211 -349701 -805649 -729622 -665838 90698 -69055 441393 541038 379172 967714 -1095703 -802654 -552007 248321 917202 -349855 -8523 600622 -494395 187803 -990343 497337 -471352 -1010132 -646840 -1147687 483042 -461157 -9067 -626722 -144373 717607 -299841 -175654 -434788 -286911 895862 -464661 312030 -560874 587536 -697589 -519547 474443 -648269 -217231 148823 -861170 -1092312 -314948 -364159 -338070 -182839 -574887 450377 -831528 -34807 762930 -95115 -264662 389049 -268007 830544 920705 -546442 710627 -646738 708208 726409 -602619 226816 355521 24127 814558 982128 -348166 634072 672339 -878080 108747 1057859 -674454 -829887 -454787 98006 265428 737610 -496085 -1112655 -543767 -40441 396622 385193 -24643 131708 -69211 -581107 53909 -196629 213321 -711033 657232 -460629 459447 820418 -531815 805245 102579 -830299 162076 407950 85850 339547 -554512 911615 -492534 796771 -9934 -256426 165688 538175 577319 -642499 691479 66959 -629594 317251 797006 328798 682278 404271 857524 465226 895849 132631 
-306764 140113 -58455 -130656 887818 1035737 832412 -33564 503160 -507685 204482 -493126 324891 -236094 -165673 -973407 391484 1042369 867912 -710585 518789 -629000 -799730 686030 455888 -500938 -128376 70716 -728138 457823 -127693 -802591 495101 -781035 -39229 -712597 -294108 306291 915427 -481325 -112791 635442 745464 877127 333920 -352479 796739 -386983 159818 -112454 542024 733036 -176281 817296 606005 -712707 -192337 643518 831221 -790798 -931998 -187315 179796 283323 177198 170892 398431 -620815 -578200 -875903 -993433 813917 -140340 -681579 41716 215365 -850710 -300479 -833200 42548 -692903 334714 -262356 16050 -957265 -910657 -592713 -607810 -169948 -405422 -213687 -585310 -846665 546852 200338 500119 -315391 789799 255280 -164290 593426 -868662 -917833 -683511 -475246 -306736 -6725 10654 566344 -287166 -756310 -701065 -560548 100293 -39106 444420 588666 365605 954854 -1095455 -686222 -661884 172456 913998 -312682 -26199 738705 -446086 174540 -1004485 453356 -336983 -998490 -656962 -995730 608875 -450198 -63232 -619668 -233802 730936 -355997 -290757 -444165 -193257 925229 -527761 310802 -582433 601129 -858377 -470260 508439 -647405 -197617 85315 -914134 -1139617 -310300 -247430 -333453 -176323 -563317 409877 -870727 95982 677731 -117814 -280456 223472 -302125 919609 950715 -477979 773324 -638110 706081 700209 -447339 223480 375993 35052 810264 915698 -406237 678359 750468 -891698 115487 1075945 -703237 -880674 -466228 70124 267698 661778 -421143 -1048461 -492249 72549 401830 346267 87044 46715 -84262 -512413 205414 -240644 277727 -685420 747953 -480704 435910 733839 -588715 745553 97855 -826244 289719 348012 113309 187900 -523529 976950 -494959 793907 124714 -231946 190714 583716 492124 -634446 675115 99396 -586650 258196 824573 359038 678433 375884 905635 352820 858479 95859 
-291830 162888 -101065 -119727 897127 1048802 802850 -4281 500807 -608607 166172 -617225 307328 -172913 -183335 -952335 484422 959278 863195 -525161 550330 -637844 -771075 718239 498128 -434744 -72412 37179 -654921 512614 -69163 -719377 529414 -752801 -28733 -767224 -267168 321847 917266 -480635 -109289 744474 775433 763743 419546 -275365 780160 -405859 150769 -91318 536981 602193 -86651 869021 559386 -711035 -284970 656636 919302 -755777 -743817 -157367 203887 279358 154277 186938 394532 -564855 -559028 -898611 -893825 928276 -190914 -681915 30309 193245 -832898 -227361 -832786 13929 -672825 368449 -231280 -103864 -952582 -833202 -579475 -574596 -212850 -479691 -238844 -766422 -906241 481691 163128 479672 -434599 749039 247776 -165769 589572 -860157 -858174 -694731 -471497 -472783 -17912 -7206 520554 -214354 -740172 -663772 -463256 104643 65580 475432 636612 357245 956915 -1094516 -674041 -790346 130547 887162 -274666 -13870 833749 -427350 309418 -842094 365106 -291159 -996125 -735263 -816878 672623 -382750 -120274 -627437 -339819 757045 -405458 -303802 -458457 -324608 950803 -523912 304007 -591097 520652 -883896 -490662 605391 -672564 -183549 -3091 -922260 -1154584 -296428 -236397 -366063 -176386 -541597 371168 -950090 105997 627227 -58036 -380590 28329 -283454 884135 936643 -532173 815941 -612297 694270 682467 -252750 233996 355024 48707 835002 890162 -417530 754441 819985 -909950 76591 937154 -691937 -882249 -506123 43363 228798 583853 -373497 -938918 -494059 220627 403194 288700 184294 -62072 -66335 -437625 365385 -193354 335207 -663248 824979 -500203 373752 739037 -558225 667222 -27197 -905462 372442 300503 187703 78983 -565193 875938 -495152 784860 119049 -197878 215558 619526 358605 -669406 632115 71945 -550288 202859 987179 417387 669738 450544 914616 290535 786133 103380 
-283830 100405 -111059 -198762 892798 1015766 845589 72178 493816 -656247 186369 -595976 345228 -101953 -165629 -923705 376205 975905 859408 -518833 582192 -638774 -793317 817270 522897 -365797 -27183 30998 -591516 564845 -58143 -714512 535193 -785375 -76982 -731602 -266400 256406 923443 -518789 -111543 882903 796039 727053 544827 -208608 764514 -484713 154355 -129878 543593 608073 -230123 906446 583944 -706506 -296676 638118 970509 -761455 -592443 -212916 171782 280649 99308 125984 394362 -519070 -553047 -874790 -686816 1005036 -244394 -683983 16280 156995 -854158 -141848 -845382 -3258 -634450 383220 -312004 -147855 -937318 -684146 -580772 -597504 -248891 -511473 -247611 -778821 -921125 354559 59723 434233 -399986 717929 221875 -179184 622777 -918476 -925629 -686498 -482642 -529681 31451 -45300 458649 -141393 -708843 -642161 -408629 88948 71124 494356 667527 342366 901356 -1058871 -720630 -789948 104062 855808 -246121 -13480 764374 -437703 459480 -717307 284284 -432601 -1014014 -770783 -828224 574547 -345589 -118086 -616336 -312614 707080 -470891 -191701 -441617 -532638 963369 -568175 309150 -657754 404580 -873748 -489729 734819 -663797 -144822 -61497 -946323 -1127864 -313220 -334352 -348280 -171651 -513172 352006 -1027712 -22671 638809 -54235 -471198 47213 -222466 744826 947931 -630783 813900 -654373 712037 683625 -245998 246944 320683 66612 845846 820112 -374732 782539 794896 -891104 10218 861401 -702639 -851662 -517715 20863 165979 504749 -326972 -862270 -481235 223983 405415 233662 132208 -140472 -71826 -389666 290585 -213252 384580 -628730 782727 -517959 302554 848511 -448850 646430 -139013 -961160 431064 294765 216648 164146 -567793 778796 -487355 732314 22376 -164695 242909 603261 398600 -717828 570843 19822 -480153 203487 1061937 440420 665245 474032 901792 327847 694991 64739 
-287098 93393 -96509 -234869 902056 969538 819626 158968 495647 -644231 227433 -425580 374758 -61609 -168807 -890643 416855 961559 859111 -705304 628114 -624339 -797635 906329 497031 -372889 -20715 23023 -581320 618809 -102902 -771127 524097 -768309 -140449 -604536 -248208 146570 946306 -565783 -103820 875004 740686 861383 559845 -172138 774413 -588292 159543 -90347 543201 734252 -402079 892523 597177 -771245 -214801 657614 1024741 -750003 -722035 -321565 128279 293771 26836 63127 397696 -512426 -564034 -878908 -607869 1005018 -293921 -690877 14264 126971 -921973 -140413 -844651 -23240 -614212 389952 -383301 -132450 -924636 -605938 -580617 -575520 -260523 -497582 -255750 -672053 -867769 345620 37682 478639 -231343 690568 239789 -193220 621743 -896057 -1043233 -687410 -484195 -425991 -19249 -30695 428290 -87274 -704131 -581641 -379204 113572 92634 489527 685169 341626 893357 -1005610 -810510 -667418 46239 794675 -214982 1756 578598 -477294 438933 -649024 290675 -575377 -1018256 -808973 -1051867 448745 -267948 -110229 -605441 -261072 769245 -452426 -77708 -442591 -550173 963179 -555640 298544 -678669 331031 -768878 -496763 832017 -644195 -102678 -24683 -909837 -1080140 -291768 -472911 -358009 -173492 -498815 345807 -1065181 -136421 700086 -32160 -450677 234985 -158763 643420 954901 -725442 717682 -667009 707568 642339 -395328 259162 321730 72344 873349 764522 -325030 780610 712515 -876973 -55428 897033 -706063 -813394 -514525 66 110684 492394 -313297 -811017 -479569 158041 406438 199735 53093 -180589 -65379 -348294 231024 -221681 340785 -618209 685815 -499324 225810 947412 -349542 707161 -181535 -1029984 443093 360932 232823 326597 -543737 737215 -497761 742617 -67871 -148987 265310 608064 532359 -741670 491641 -3885 -499331 255836 998420 405525 675819 492608 881112 435858 646821 89179 
-297918 63476 -90465 -196561 913554 950169 795890 208983 509872 -563756 263728 -340683 394059 -9104 -160417 -873448 366582 904670 862581 -759476 675494 -617562 -789132 956586 494466 -445746 -17265 18358 -631673 599971 -174196 -812224 511346 -759723 -167362 -540659 -240457 54192 983926 -585741 -118736 738406 730055 973048 455242 -157824 789283 -666600 147055 -68498 547120 889079 -420531 870953 652724 -748437 -96070 639309 997163 -701700 -928850 -397067 117876 281015 -42049 94784 404023 -484492 -588612 -870009 -635015 866245 -341511 -680901 -13203 125909 -964962 -249317 -857769 54549 -633440 410772 -386291 -56706 -930618 -667263 -561442 -570908 -255592 -377884 -235670 -535287 -808665 382289 38784 502875 -175535 669328 273114 -202754 643115 -855987 -993937 -759422 -480072 -283010 5126 12178 437989 -109092 -741222 -576512 -486890 108944 32507 507064 678544 342202 855379 -934315 -871781 -553843 34617 769463 -197184 -19799 478471 -539721 364902 -709533 349603 -664758 -980127 -870216 -1165501 376245 -266610 -18658 -608386 -122895 737306 -387677 -68500 -414752 -355963 948860 -581064 295403 -715453 361223 -719928 -490146 849924 -634932 -73812 76991 -877556 -986008 -284009 -565262 -358901 -173516 -557718 361886 -1044237 -171592 809202 25466 -279145 384351 -133305 682895 953938 -744935 651902 -692964 689642 674168 -566756 265282 351363 74079 845785 760346 -259249 809541 677089 -837728 -92726 1040350 -717227 -825407 -522347 -29343 89037 621461 -321450 -882492 -524759 -11485 410293 230587 -20245 -179942 -63572 -322164 69999 -223416 313613 -583728 616761 -475869 163573 895602 -322681 802205 1891 -1038601 355309 417470 270172 333909 -548696 859723 -488053 728382 -59401 -151256 286676 638714 613390 -706673 483521 -2906 -499755 319799 849754 352832 675183 388639 855412 530006 654140 141888 
-296360 97448 -101041 -138991 907309 972894 771720 206380 514607 -457761 254158 -493739 364393 32750 -136196 -856598 394376 920208 862743 -728221 719511 -604366 -798295 929883 511520 -517761 -53578 9530 -710232 546192 -228659 -808163 535195 -756165 -135257 -568752 -215012 48304 953781 -566190 -84729 647050 698840 1110320 390271 -197382 839775 -681227 147873 -53704 544631 939562 -241015 819551 672237 -755861 -10226 640361 937669 -685394 -955783 -379489 79119 324641 -88338 166558 413145 -496473 -624281 -891977 -769693 753608 -368749 -659457 -27203 149925 -966377 -311293 -864600 48211 -672915 402397 -311568 40187 -949538 -803444 -548622 -550773 -248251 -384391 -206368 -585630 -798215 432207 114696 506077 -289125 670295 301948 -191754 627599 -867587 -857855 -779485 -498890 -254191 -13969 23586 471555 -208532 -793921 -558018 -595728 87205 -35413 540542 656954 345189 841183 -879065 -856807 -569901 22621 766520 -187916 -35448 569578 -585240 206869 -845554 448783 -603293 -968908 -840131 -1049059 443245 -255822 34979 -610412 -51633 753927 -346976 -182654 -429823 -214408 926924 -599040 285990 -747893 459575 -744927 -545750 771560 -601706 -48755 141463 -866648 -920796 -261375 -538280 -352873 -170864 -523640 389248 -977214 -53894 890424 71357 -244645 301856 -160488 826886 953433 -686428 649794 -678432 651742 643484 -568715 285978 375477 81937 840496 789592 -250978 803387 727033 -825830 -86384 1074246 -693590 -853016 -486603 -35207 98534 675882 -370771 -960976 -563318 -93954 408849 291539 -61326 -139218 -98818 -335769 15854 -241546 286361 -606933 654525 -455426 115457 788854 -326687 937642 89181 -961463 243736 416473 275669 197600 -560011 976284 -489946 777054 -9815 -113661 303899 693525 567305 -655362 483702 13997 -568145 369574 774461 330066 661696 389391 786895 536669 685862 121858 
-289243 123695 -78876 -130221 904227 968275 788741 137242 524795 -381106 205136 -612517 316616 28760 -137657 -833697 358136 945142 855959 -533954 766078 -592282 -800122 857258 546964 -571596 -84295 4330 -764449 482800 -231480 -768712 527387 -787221 -78870 -659177 -189522 120729 933964 -518160 -108069 675562 652098 1073990 390397 -245350 811432 -619966 151488 -29238 524412 843042 -71702 802501 705354 -755983 -15689 644710 864741 -637403 -779103 -250142 48664 316515 -98008 194471 425851 -474485 -662037 -890402 -925112 779606 -385720 -665445 -35617 180108 -920020 -269572 -875938 -3160 -707853 417651 -255648 97389 -960756 -896888 -535925 -511759 -226330 -454430 -148842 -747275 -865225 507535 157984 482046 -427348 689335 304011 -175873 621304 -851520 -861875 -764116 -482008 -347002 -32762 12196 511025 -267160 -771657 -561781 -708597 98805 -49755 538716 617638 345950 821971 -823436 -802575 -704823 32133 787209 -182616 -21617 751657 -611116 150298 -983172 488078 -462325 -998878 -814541 -844597 605477 -323356 52557 -607784 -104575 776019 -332478 -296189 -447217 -251725 895922 -613614 271167 -728732 569136 -856820 -527934 636901 -619279 -948 122806 -910878 -843004 -270221 -423555 -331474 -161831 -546259 426115 -893187 105131 913042 71765 -324225 108308 -224222 921994 938883 -576295 714699 -675185 605886 697370 -422417 277193 357429 68298 798207 860053 -290320 814609 802340 -808049 -37830 1047464 -716108 -846422 -451662 -47000 146382 753783 -447268 -1070745 -617156 -87295 405851 357983 -17035 -43877 -63121 -393875 83386 -251645 148999 -600186 757095 -474134 84079 734868 -442073 1004773 38240 -891237 159024 354321 241884 84043 -525991 931167 -470323 765290 89179 -99189 321031 704241 413602 -631314 529698 44852 -594699 399643 824349 373215 677465 429877 694984 446664 775541 85868 
-295199 144609 -72845 -179238 918906 987897 752604 55605 514069 -377502 166087 -612059 326813 34242 -119211 -832277 389550 890819 862267 -460425 787754 -574746 -801856 743076 541233 -499537 -145008 -3497 -768532 449061 -182378 -717439 496652 -745211 -32043 -750895 -171295 229698 948745 -482890 -110916 817801 570659 946768 528213 -326391 790123 -526714 156740 -30987 530256 680617 -153252 815841 759351 -774653 -106295 649261 782392 -597841 -608999 -197823 16847 360278 -69418 133189 440746 -510780 -696607 -920175 -975274 861652 -382919 -654400 -19812 209005 -848220 -160676 -884557 6887 -732279 419137 -251756 101755 -949749 -898448 -545571 -508181 -193085 -503388 -87912 -785048 -922992 640233 191524 463897 -414930 708660 305406 -177463 625550 -847161 -923593 -812638 -483430 -468204 -6488 -29295 580254 -338595 -743974 -561136 -778802 111906 -75487 541026 572492 354788 843386 -795173 -705620 -808100 53089 821952 -189395 -23144 829937 -605811 293324 -1009179 472334 -316657 -988588 -781293 -833224 668476 -348609 33710 -606842 -240232 740147 -373064 -297924 -408461 -451473 864255 -635145 266239 -680319 603798 -897548 -510204 518757 -604398 24033 31222 -927264 -792402 -250989 -280489 -324503 -164515 -579078 475461 -838228 110790 916195 92262 -400825 8565 -285390 886063 928589 -479556 771755 -664420 587906 719797 -234335 292782 323851 43979 841517 946101 -344023 810402 809062 -769105 31766 850289 -693390 -856206 -449494 -61124 203381 707871 -514506 -1137231 -659037 79560 407900 386289 41726 36500 -86046 -444044 160453 -262420 113019 -620374 818584 -504238 91783 819223 -522795 1014128 -45754 -831352 87918 290450 225472 168098 -582681 770989 -477211 751090 96321 -84374 332922 723102 346153 -662423 602583 101728 -621658 371062 964223 430121 677881 452033 645748 337570 849402 114342 
-298366 121489 -63962 -235164 925049 994894 708803 -13205 497152 -438771 182012 -472632 295311 43355 -83639 -824227 401211 921066 856193 -654223 805406 -557693 -814004 680780 555454 -393790 -209061 15610 -716267 460955 -109803 -754290 525690 -769638 -49132 -752393 -149800 316406 936024 -483177 -131071 895962 563682 792830 586984 -395987 769959 -432139 155291 -36091 534343 588823 -334146 868760 722355 -775008 -225359 638318 769371 -562284 -674832 -154135 4048 372780 -10207 65252 457792 -516500 -719441 -894741 -867587 965316 -371357 -651931 -22822 209530 -835615 -111667 -896816 -3492 -733935 400891 -306650 28858 -928599 -800319 -532406 -507813 -166622 -471491 -54764 -682685 -903538 642900 93980 465440 -254255 747374 348078 -161882 623894 -916270 -991515 -858596 -486495 -496589 19191 -44415 630872 -375924 -722339 -592404 -760202 104744 -34844 554704 525274 369165 850073 -776170 -685828 -771101 112476 868766 -207791 -16361 765036 -566482 425403 -861718 388522 -283821 -977934 -715736 -991889 581769 -410912 -47938 -594267 -281735 772290 -441612 -188496 -405861 -599120 835137 -637462 259604 -662843 547044 -874618 -485645 478846 -605851 38345 -48724 -930508 -782796 -257499 -225848 -311890 -166789 -579236 510688 -836803 -19179 802860 55513 -460104 143703 -301828 752344 918623 -488000 828104 -651364 531435 746142 -254724 316849 314686 21564 830213 986351 -404463 787666 735025 -742737 92275 878782 -686930 -858659 -451529 -70039 263377 638784 -592321 -1149138 -720945 192689 409102 365822 142384 122979 -62166 -499904 294326 -258779 52274 -642333 772251 -515955 139483 900870 -577580 936444 -159470 -827484 70525 297933 172402 333736 -544023 731162 -479741 737514 19555 -43452 339546 736670 439895 -723064 689328 39123 -643426 311204 1074248 435134 670160 448482 562585 290213 899506 108378 
-296985 98321 -86835 -199826 915513 1019460 707530 -33471 504109 -549867 232770 -348162 272730 38008 -77051 -826565 364103 894334 856509 -805276 811132 -533700 -780044 703360 544788 -373593 -273456 16932 -640987 508486 -60769 -819981 496737 -758754 -98414 -660373 -136965 322368 930675 -519721 -107670 816843 533947 737470 464044 -472708 741079 -396352 141246 -66884 521733 625910 -410988 894903 725544 -763766 -297268 627779 811942 -603856 -912314 -222784 30709 381166 62414 82333 476115 -548539 -731438 -897989 -697261 1032344 -329836 -640600 -18793 190297 -842341 -193140 -912614 -23300 -709105 384032 -335675 -61553 -926684 -666925 -529053 -520594 -156662 -371050 -16046 -551923 -852034 568048 25958 493444 -168462 781095 378533 -161965 596441 -887769 -1000731 -798663 -499335 -375052 -18976 -3583 691354 -372331 -726342 -624943 -702990 115971 14895 575981 486225 377919 886673 -780413 -720904 -629664 142120 899988 -235629 -7600 616669 -508138 482220 -745614 313433 -417369 -1009041 -657522 -1149630 456645 -470720 -73716 -601749 -361921 771204 -456873 -70828 -426501 -482760 817190 -632572 251417 -627517 435122 -764292 -509776 517755 -625572 52555 -46984 -910998 -822826 -248508 -297710 -291918 -158217 -567196 537539 -890826 -194973 704740 59661 -387284 321579 -266310 642097 915067 -585651 794949 -607634 505981 693792 -425056 334587 353056 24569 871118 957194 -414714 747603 678751 -729837 117905 964746 -683201 -821973 -467731 -58678 269989 544089 -629426 -1076478 -772425 239504 410039 297959 176297 147107 -29298 -554794 317065 -270241 15447 -676890 675708 -496640 188416 924064 -559718 838194 -115021 -902871 145712 358886 115246 351021 -540972 861460 -492400 714216 -47917 -39764 344691 724533 582932 -747433 684625 48247 -645146 248772 1005031 391366 681421 399571 548442 348847 880877 112057 
-296461 103062 -114852 -136718 917530 1018441 689751 2632 496970 -624755 263679 -468002 309469 -5933 -55680 -841067 380207 870848 854205 -746175 800812 -518738 -792527 771474 551966 -387172 -331228 21094 -585885 571621 -65283 -836038 529889 -777943 -144631 -580845 -122700 241381 930703 -566086 -127375 679363 480431 754608 350116 -506565 780448 -419234 156545 -54519 531649 794038 -349396 908946 726399 -769357 -281317 622815 873016 -550141 -998353 -332709 50862 379331 129294 161313 495741 -562795 -726930 -909149 -606637 940285 -281397 -642318 37909 153113 -898520 -311374 -924911 54855 -664721 389641 -366810 -127973 -946199 -603922 -520528 -479808 -177819 -362283 29542 -567523 -797441 502913 17431 474998 -248743 828331 340737 -161475 567843 -861197 -895359 -772560 -499819 -278781 -5803 28627 702633 -293699 -794680 -653234 -598938 110199 63534 585650 467916 394373 909709 -812805 -831225 -538448 225581 911159 -267231 5372 481522 -456332 418571 -659166 260347 -576914 -967620 -644525 -1072665 368211 -464391 -127471 -586912 -293349 717795 -466759 -71855 -441695 -259702 807665 -659506 265427 -576792 345203 -717492 -469536 617199 -635748 33436 37694 -882942 -880582 -232410 -426091 -289350 -160534 -580000 550052 -973138 -174387 621128 50655 -260211 367476 -199200 688217 917082 -708745 736813 -627737 501745 683345 -561345 366209 375498 3751 886610 907336 -382279 697824 702993 -717508 98713 1080168 -668344 -821327 -511543 -64617 242358 535162 -648706 -982798 -798326 186306 411494 239821 55489 164344 -73576 -605811 235424 -293093 40643 -707604 617792 -475100 232393 881311 -421433 786739 47884 -973852 245129 410972 54392 193304 -534668 1004525 -504033 744553 -111747 -38527 346676 730096 628134 -720001 660307 -6078 -597305 206958 854169 342590 676654 378246 541607 458634 811954 140606 
-297133 158565 -99822 -130741 920958 1018399 649576 78320 493546 -663806 247078 -599181 282996 -30521 -33214 -853045 424388 839257 853935 -570625 770646 -500106 -790037 869897 527937 -466014 -366491 51941 -587313 611130 -119884 -796244 509594 -760975 -166892 -532177 -106882 126392 949651 -582821 -124816 640493 456997 899602 384762 -502581 791864 -515202 143396 -79421 527068 925522 -138904 853201 669250 -790745 -179999 615823 950650 -566368 -829198 -397793 103854 422678 170580 196279 516172 -596193 -706379 -898999 -627509 820133 -229299 -630612 14829 123799 -950823 -286359 -931593 20923 -638735 335360 -262017 -154584 -960964 -674974 -518385 -476168 -203881 -446632 71944 -751765 -820750 386725 95506 468144 -409094 869522 393112 -184682 569818 -858474 -900888 -779794 -497006 -295006 -32749 17271 711231 -228747 -814741 -686290 -491037 104535 85802 566110 467143 412161 968869 -873830 -878622 -597502 264064 906832 -297065 -6832 583401 -428345 245483 -661253 326095 -666230 -979006 -660435 -876863 444602 -448209 -133342 -586667 -197097 756218 -362770 -184300 -460901 -189051 812082 -695683 247935 -568707 343379 -702092 -513454 752694 -643047 24274 120663 -875453 -970272 -212088 -551965 -300976 -159810 -523569 543210 -1043102 -39764 634450 20724 -262172 203816 -144413 828155 898885 -762569 648846 -625445 492779 674535 -558863 365253 355591 -7817 845192 829650 -323239 711026 779509 -703318 42414 1061698 -638666 -804380 -525810 -45375 195688 517843 -652247 -877624 -839266 28654 409608 212082 -15473 117827 -96233 -629968 103666 -259382 38900 -737394 658180 -463424 292616 758013 -357307 697022 97293 -1037386 326451 424477 15667 72048 -544043 939694 -493540 727786 -20241 -13258 341413 686580 474869 -665456 572121 -34207 -560151 203934 791175 335350 662946 440563 531395 538720 736826 57806 
-304832 129360 -107075 -192307 899660 1014597 681861 157708 495215 -624506 206406 -631854 388015 -92047 -24167 -889795 407692 857603 852566 -503572 736663 -492803 -767795 942568 550775 -527712 -391348 48215 -648708 614106 -192488 -748139 511941 -775382 -107772 -597513 -86638 48201 922478 -562122 -121111 751112 462514 1071346 502244 -485678 794098 -608698 162987 -101318 529021 927060 -67012 819582 621806 -794293 -64015 609822 1013947 -565232 -610469 -351559 110155 397577 174254 136909 536324 -598669 -675478 -908782 -803525 740396 -178972 -633418 46447 127413 -975499 -208272 -945369 -9539 -616342 369951 -209663 -69146 -954306 -823458 -533380 -462388 -237827 -514912 63624 -825724 -880859 343350 166763 460827 -429641 926510 360347 -184406 546377 -865003 -942006 -704485 -488399 -347725 -58550 -6328 666788 -172589 -788434 -727375 -394815 102220 79983 590425 489745 427265 940450 -925694 -878087 -732529 306990 866835 -335372 3235 744384 -432397 152164 -822131 407307 -608415 -983788 -697495 -836107 576485 -413426 -52554 -580123 -98468 751085 -338622 -299467 -461855 -327176 827775 -633755 250844 -550804 430471 -826105 -507721 834285 -668377 5788 138072 -899317 -1061818 -200677 -570549 -290983 -159787 -533819 518058 -1066882 113401 668211 -17567 -390798 40219 -136113 924794 896591 -721843 638582 -653415 482794 636311 -381107 375959 320946 -5279 810151 776755 -276296 622070 820010 -712571 -28462 914098 -648688 -834497 -526650 -42423 121848 614371 -598107 -797992 -858589 -73266 402826 226340 -74205 43741 -125294 -604740 3979 -231653 108787 -778820 761649 -479943 359622 794130 -300153 620399 1737 -1042330 400097 357902 -1852 165290 -557837 802811 -504874 734797 88370 -9773 335635 688045 371893 -622723 531784 45393 -491844 271954 844182 386080 664067 490807 563487 524575 667008 74423 
-292461 90466 -87004 -237870 916125 1035852 654823 206598 508938 -534444 170940 -512546 359722 -150625 13055 -911256 385908 866770 849066 -628375 696878 -475676 -761288 953008 530711 -536493 -375734 59648 -720585 559678 -236168 -718307 491852 -779270 -57587 -704430 -82784 52234 925921 -516071 -107723 869382 501001 1101734 543360 -425422 804410 -667365 152571 -106457 543041 792740 -254114 805491 579964 -789715 -2289 603955 1015510 -592892 -640344 -273193 151998 390630 140651 62912 555741 -673333 -637125 -870357 -935336 777557 -131974 -636466 23886 143801 -931571 -131092 -960242 -7777 -641216 330151 -306968 15347 -936540 -925137 -533951 -436019 -261403 -522817 44968 -705578 -914648 347903 176024 477962 -293881 961910 360936 -199145 525578 -919432 -1050623 -680566 -493226 -493088 -17939 -41209 614842 -126374 -737118 -705265 -392615 116545 8856 587600 526386 446403 982044 -984805 -800974 -811822 314381 820975 -374580 7205 853570 -468372 221419 -943648 482719 -449827 -1023049 -742451 -977153 658400 -316356 10536 -591463 -120889 750709 -330199 -297436 -475220 -552018 853406 -660752 214877 -554364 541576 -858351 -495851 836767 -648492 -40089 71657 -929405 -1118898 -189656 -469692 -270512 -155905 -496613 482815 -1030301 104181 784042 -46997 -437794 54321 -180141 886902 881165 -602808 710771 -701437 501499 663509 -229344 386236 319951 -6122 832750 752872 -264376 619283 772896 -718543 -81399 849478 -637356 -869415 -502309 -33253 95582 717518 -541271 -832314 -834541 -70511 402954 270804 -86294 -47468 -98066 -567312 60826 -271042 166842 -829405 812432 -507298 407634 851769 -323848 689523 -123800 -967928 429848 304616 -18152 320046 -552507 750172 -485629 803627 127640 14839 324801 651087 402363 -657917 495267 59814 -494994 321258 974422 435026 670180 504686 613027 427658 628934 99742 
-292807 80203 -65867 -198368 912224 1004046 655599 196102 506562 -432661 184981 -354794 355180 -223771 -31757 -936524 397317 834142 855217 -772919 649191 -459646 -791315 918734 562507 -506252 -346369 33821 -767721 498814 -224112 -787309 496961 -788460 -33942 -761490 -85572 137446 930537 -477785 -140520 870491 469953 1022717 478522 -354684 760294 -673244 146198 -111269 547561 636117 -432773 819302 554882 -830757 -35949 609793 1000155 -583235 -862351 -160840 178559 429573 78179 84231 574099 -648563 -601990 -905389 -991112 898091 -97265 -651627 37712 186464 -884059 -162557 -960727 -19708 -664609 335315 -344512 107692 -922702 -894648 -545316 -448202 -259886 -437642 31411 -560152 -904333 401509 121355 457696 -172081 998578 379653 -196722 512135 -887266 -978325 -685836 -497994 -497678 -76243 -37145 563402 -130558 -748020 -712332 -424106 96845 -33195 592410 573035 460730 888057 -1043732 -720378 -743452 335392 783938 -404119 -16636 762832 -521744 370923 -985088 479773 -347412 -1011468 -793985 -1136776 617770 -283485 27341 -592731 -181155 735577 -362709 -184123 -444831 -542221 882187 -633185 225459 -594403 606209 -895439 -519008 760386 -644872 -65988 -40063 -929720 -1153295 -199986 -331008 -302829 -152786 -532614 439065 -953958 -54346 838241 -90321 -417219 247076 -247742 747446 868549 -517216 750802 -656172 567860 675290 -274790 428551 348401 -14800 839453 785634 -282438 573044 688200 -733605 -94977 911699 -645423 -836423 -477511 -15507 92313 740803 -461673 -917552 -804559 36269 403972 339661 37181 -136686 -108653 -546432 189049 -247201 267951 -855412 757761 -504735 462496 961258 -436207 798427 -152588 -893854 390383 298687 625 332575 -571342 816539 -478166 737532 76610 11525 312692 606448 539992 -713104 470080 61599 -505879 383964 1073684 432692 672780 425317 678188 323014 677163 165696 
-293165 88951 -74047 -131365 912561 1000480 674236 135594 528126 -376780 232345 -414683 350755 -253207 -8626 -931959 405571 812279 845824 -773929 600485 -456110 -779283 803505 571048 -383125 -301564 20410 -761793 456609 -164347 -864053 510925 -762245 -63107 -725544 -91195 252908 929482 -482651 -148098 745437 530538 883636 343727 -290743 747680 -605317 143701 -129653 536354 574881 -413448 872471 569356 -819638 -142973 594883 926728 -626259 -996390 -158016 189519 412972 4454 156564 591110 -685503 -573065 -914826 -867941 1009383 -82689 -660831 36261 212225 -842151 -255713 -974595 24976 -711347 368100 -374569 122217 -932386 -753250 -557547 -450310 -255002 -379263 -5257 -555861 -848340 531760 19405 515992 -234336 1031813 358411 -194043 497641 -864657 -896562 -683878 -502743 -372826 -42547 5559 508450 -173224 -774066 -705848 -549569 114742 -94834 585758 618869 472962 919257 -1084853 -673268 -602166 327676 772280 -432058 39907 583573 -577696 451913 -912929 433183 -298063 -990774 -818009 -1085424 472291 -237361 50689 -585563 -302386 737459 -436901 -73294 -427614 -386864 915612 -646021 215657 -644419 570845 -788338 -543949 624013 -635936 -125455 -56965 -897954 -1147788 -186384 -235404 -286032 -154725 -516049 394965 -875238 -178619 929273 -71057 -333171 380218 -296319 650675 864067 -491085 830748 -677693 547088 669868 -433493 431796 377235 -3922 873648 854641 -345203 514731 679280 -762294 -63548 1067660 -652170 -830388 -460098 -2769 131102 686397 -389130 -1027876 -793107 149211 401105 391827 122054 -173939 -58470 -449928 303348 -240183 315008 -880348 656041 -504804 453562 903228 -541111 883505 -44254 -831429 287935 334699 11455 182430 -524356 958716 -468316 716068 -73914 4869 292559 586500 596769 -742964 498212 34713 -543991 389085 1021269 378272 668858 374459 763165 294027 734473 169707 
-285282 136773 -73045 -120254 929381 952017 692959 54118 524615 -388215 263861 -582417 306149 -270521 19606 -959204 406423 837996 846817 -616300 561203 -456453 -762957 723139 553469 -342216 -245900 37230 -703895 441544 -93656 -819676 524472 -782473 -122596 -622648 -95641 319171 954494 -523173 -128477 635397 571614 761316 356656 -218166 748007 -509051 141855 -103814 542378 679878 -189938 912731 563163 -801699 -255217 596823 839667 -652258 -894112 -262329 196081 437577 -58969 200190 605590 -693637 -555627 -894692 -711302 1007779 -81285 -659309 -13869 214343 -828732 -308186 -975074 64741 -732311 364855 -322587 45401 -957687 -643648 -556588 -407290 -226741 -422798 -50652 -724202 -800279 576151 17010 491498 -378087 1048737 323983 -176133 517766 -860954 -867332 -720694 -502265 -265593 -20841 23266 461613 -262203 -773811 -663893 -666957 120280 -78602 566660 659454 482002 847852 -1101009 -705785 -540916 300764 778919 -456557 24806 497891 -608543 433032 -770643 321817 -448416 -1008914 -848030 -928973 373781 -240743 11606 -573743 -301995 766788 -439142 -72672 -425131 -184790 942143 -623664 211233 -676788 463711 -700652 -536142 509894 -621949 -165840 -3169 -869795 -1111079 -166817 -246922 -285191 -154146 -614179 366124 -831184 -171230 902302 -118563 -215837 291223 -295524 685485 874032 -560663 829043 -627238 612592 696086 -588292 446326 358125 22473 885066 923977 -389473 473078 760734 -781553 -150 1098714 -682562 -815680 -447364 27343 190802 571362 -344078 -1123330 -752558 245989 396907 372380 135989 -178863 -74370 -404950 333583 -261396 385398 -911454 626054 -473022 427664 828125 -565841 965065 82809 -812037 190000 419498 29526 82213 -532191 936723 -476775 717857 -95544 15823 273999 591787 610252 -716052 581194 8500 -608352 364650 870837 332656 673397 405660 811152 366225 821691 113494 
-296303 127785 -99323 -191356 916544 954744 605572 -17160 515898 -462247 250263 -603298 250748 -299475 23631 -1000456 422593 808765 850790 -501558 526656 -453967 -763528 678804 578477 -389910 -177876 10724 -629460 494692 -55731 -745125 490929 -751224 -161900 -555527 -108158 309946 945894 -568579 -126884 676296 646760 739716 499950 -171173 782062 -416823 132693 -85624 544842 840883 -97326 895393 596498 -838436 -305103 603854 783112 -672528 -629745 -357029 148711 395921 -94957 144829 617876 -694142 -554093 -877044 -590867 910863 -100324 -679240 31502 178432 -888456 -278032 -978186 63759 -732787 412647 -258805 -74789 -957891 -613344 -571155 -427430 -197686 -506973 -98112 -820704 -836594 647409 91702 459905 -439795 1048914 303438 -176001 523550 -884125 -941887 -716729 -503549 -315046 -28578 15327 432991 -318875 -769639 -634757 -788524 126318 -43810 576514 680067 491685 876773 -1094447 -790844 -623895 240971 801427 -468609 -7380 536550 -606042 272865 -653996 271893 -586180 -995201 -848165 -837873 425029 -306058 -49589 -572351 -289111 748982 -447254 -190460 -400890 -241857 957656 -619285 215991 -704691 362785 -738808 -547838 471325 -603528 -179714 96811 -886189 -1033171 -172736 -369776 -299727 -156303 -573509 348002 -845368 -11511 841848 -110028 -300422 95667 -245093 822235 854726 -657349 735989 -627869 628485 713436 -561116 459888 322984 33854 866296 947929 -422463 466586 822176 -804050 68129 948893 -660207 -818702 -461064 56581 245767 549429 -303801 -1139749 -746698 242412 393800 313786 85024 -103633 -97616 -354077 257037 -233103 355780 -928611 670621 -455389 370454 756047 -556353 1009649 88707 -890374 114877 418006 125361 162642 -529141 865883 -480387 742855 -47248 9445 253905 542129 467798 -678541 657319 -21840 -632429 306769 768381 348894 669952 479553 883007 478806 884834 91509 
-300216 92104 -72030 -236824 906436 963366 672852 -38416 510625 -570263 197548 -484087 283738 -304527 9183 -992926 405741 837525 843370 -565552 500979 -462705 -766794 717772 595801 -485141 -118320 -5421 -578680 566476 -74730 -711840 506608 -773239 -140127 -559047 -108845 216733 948652 -583822 -74793 806966 681528 816109 551158 -166764 813862 -384238 147406 -41762 553971 939220 -161883 845726 659095 -815746 -255449 597907 775630 -712046 -633969 -387954 134383 397082 -93441 75220 626794 -677907 -569372 -883964 -630009 785950 -137175 -663391 18643 150563 -915638 -153485 -980504 -9171 -710757 388047 -239943 -111245 -939745 -707117 -571258 -439893 -174517 -522555 -149169 -713704 -899963 652075 155408 413062 -329336 1031260 288773 -162076 517325 -902236 -999806 -769146 -509177 -383948 -65751 -17918 426537 -353380 -719367 -631635 -807727 89640 10384 581041 684895 497954 797081 -1069486 -866807 -764715 187931 843756 -467798 34214 731987 -576596 163201 -632646 300907 -655394 -976622 -784925 -944374 529527 -366672 -96967 -580569 -261663 750106 -375122 -300371 -432957 -444962 964592 -594484 203627 -692217 333549 -773194 -536535 533386 -588789 -184594 143748 -912525 -944053 -149166 -516830 -305379 -156628 -578216 348363 -913117 110194 735563 -82828 -376403 19357 -176965 923176 864812 -757565 673349 -597767 635222 695388 -357912 489868 318644 49998 838063 972379 -385481 397448 791561 -836752 112259 829270 -694056 -808268 -471466 77073 275692 493263 -314840 -1124096 -649042 83063 396395 262353 -32994 -49368 -59884 -324054 102847 -243051 352339 -915179 785120 -476670 319246 781670 -457211 964748 -42970 -960178 81301 378001 171902 321574 -521653 736225 -477969 749880 72535 -2814 227640 528795 371526 -652945 692120 -4092 -657602 230543 826762 401792 669330 490909 906598 541946 887024 79519 
-291135 79466 -101736 -205432 908419 974801 672214 512 504108 -642473 169118 -377116 291432 -296015 25123 -1011019 438269 822002 846266 -769438 492284 -482133 -795789 809754 584798 -557163 -62612 -7616 -589651 608070 -138614 -748549 536756 -779880 -80757 -645932 -122203 110086 955722 -562087 -146952 896479 705279 978325 498474 -194546 815389 -437949 142268 -38455 545239 893314 -368327 815401 690804 -808873 -143214 589147 827560 -756761 -808208 -349724 90768 397101 -54236 72853 632985 -683209 -596826 -910684 -778907 744864 -182894 -676851 -17128 127293 -953975 -128760 -985475 -6229 -655482 410112 -314925 -149891 -924853 -841994 -586542 -445986 -154461 -438828 -222188 -598640 -922719 561982 197850 473139 -183547 1014044 294272 -171378 520402 -880899 -1015230 -771354 -507713 -506282 -62071 -37141 467914 -362135 -679257 -574161 -719341 88083 79840 570702 663746 502242 818515 -1014028 -866567 -806925 169840 889290 -464075 31607 842542 -524314 173968 -820651 380223 -595070 -1006811 -694899 -1102003 645058 -428201 -132952 -578544 -134792 757351 -321404 -290364 -436056 -572973 956475 -581374 201876 -738061 393606 -881486 -512648 649742 -597917 -201645 101538 -964523 -853026 -163498 -573155 -303549 -157625 -558024 368893 -995421 83075 663721 -65196 -438768 155122 -135701 879079 874858 -740413 617647 -624771 674054 700175 -219357 468740 342574 49521 801663 920471 -331355 401211 712341 -855883 113463 874219 -682390 -824015 -517926 101354 251143 556343 -348301 -1002929 -650705 -68988 394510 209318 -71057 44259 -35857 -352935 26444 -210352 256567 -897602 820875 -499428 248312 887144 -350662 901818 -144848 -1014432 116588 308643 205692 323620 -564135 811691 -494268 719453 101336 646 204937 539984 397871 -659189 658447 -25091 -646100 211961 968755 438545 671099 416663 914557 512331 845844 132967 
-300808 90697 -99911 -122967 907068 1008373 674332 79886 492559 -657122 184951 -390161 293139 -278277 20353 -1005112 373281 820877 845499 -773699 493951 -491099 -848942 896342 570955 -529386 -29975 22423 -657859 609734 -207182 -801377 488507 -735373 -28948 -740643 -146110 46457 954925 -517452 -111941 823686 732937 1124928 373976 -250741 747590 -531260 142985 -29977 548098 737476 -423526 792066 724174 -859416 -36135 593450 871682 -754628 -981838 -220470 59785 383871 11235 159949 635890 -646222 -633954 -895683 -965664 802249 -232347 -691122 -22350 127997 -953431 -223060 -970635 -15908 -639115 436436 -374915 -100806 -930470 -924435 -598433 -434740 -178522 -367342 -245350 -547262 -885945 405522 143895 453510 -196965 982841 263372 -168714 542062 -883632 -876945 -793521 -503912 -471898 -70724 -11250 521340 -331952 -738095 -551972 -647816 106789 87506 532201 631948 498318 825825 -962844 -804067 -710330 105029 908887 -443323 49351 775171 -467774 326088 -937650 476360 -454781 -1022327 -663858 -1130175 635277 -451997 -109273 -579353 -83202 731726 -338234 -175192 -454865 -409934 936449 -588847 203866 -716378 515090 -867425 -497926 769500 -629512 -171794 2397 -927782 -799033 -164333 -517695 -333070 -151262 -573268 404006 -1055889 -31001 612040 -10687 -425765 331605 -146064 744207 868201 -648193 700563 -662296 719875 659594 -285840 488912 372690 77681 795914 855057 -274153 424361 674497 -887420 70173 1045941 -697807 -863388 -531545 134813 206962 666538 -391129 -902215 -554278 -87219 402289 208651 -56096 127726 -55816 -389193 31839 -207735 260190 -920075 737062 -507495 168838 942893 -295234 790828 -132366 -1023572 190713 285224 265052 201189 -526911 931168 -489753 784497 61394 -41829 174578 561565 571579 -708117 601235 85098 -579165 221689 1068590 417958 665365 369555 917428 406578 802567 117394 
-299921 91718 -88944 -126981 904566 1031326 702467 159305 487948 -604213 230489 -550749 335115 -218130 4413 -990091 400880 823367 842698 -674106 515040 -509101 -774680 963313 554543 -479424 -14413 13274 -727591 577281 -237907 -832557 489230 -754524 -32805 -762384 -171371 69519 944473 -480065 -139467 674095 775796 1085355 359213 -318206 773351 -622768 127110 -37876 567018 600265 -316657 805247 730441 -837998 -1921 599305 978667 -794140 -910541 -156915 45730 351047 84677 190130 635196 -612416 -670697 -898758 -969097 958896 -286707 -668997 -40896 156604 -905985 -311883 -960559 -6088 -626749 405060 -369235 -1528 -949090 -877586 -588045 -402510 -207920 -395441 -217517 -668064 -816630 388653 51105 486408 -350074 946473 251781 -176192 571504 -887533 -854803 -819378 -506924 -355004 -65382 22134 593235 -256702 -765860 -559418 -495040 94136 58633 527654 585278 501281 843744 -897455 -706574 -571839 38384 911410 -420943 40182 639018 -430134 422428 -1003879 493662 -329551 -976994 -647413 -970502 510419 -449894 -43297 -578682 -147192 775941 -418594 -70356 -463743 -273480 909295 -544935 176346 -682769 599446 -823571 -497289 828501 -655655 -179715 -61959 -881203 -782774 -134011 -377031 -329673 -150423 -536723 441603 -1062179 -171726 621047 23540 -311984 366017 -201770 644518 875558 -536815 714398 -683173 725032 654587 -457809 495195 362078 78577 835577 785036 -254278 437401 734317 -890515 2689 1084148 -700625 -814954 -516309 159947 142454 719345 -486295 -827992 -506155 5442 395621 249453 9418 162563 -67046 -448805 153097 -233695 131459 -901893 654349 -495428 135355 878557 -329110 689949 -6536 -984156 298488 342312 293139 86557 -547413 990726 -510082 772466 -42470 -37227 152168 597672 596070 -743950 533203 55918 -513266 265634 1000239 361605 672082 453161 863467 309391 694510 96055 
-291717 158997 -116321 -191898 904814 1050818 725468 209842 480423 -508735 265568 -625120 355253 -176313 31081 -986907 405433 829266 843112 -489081 539919 -525502 -781753 925453 547830 -384662 -28746 21282 -775034 508140 -211533 -787505 511661 -771803 -92819 -683959 -182820 158972 966732 -485043 -110445 633858 760907 959412 465444 -395854 744590 -680687 130255 -30049 540647 596149 -129792 882518 759582 -860012 -62938 590630 1023484 -770694 -677091 -176135 23227 347452 145208 155351 630763 -590135 -703386 -895670 -856899 1016197 -337397 -669002 -14596 193808 -852166 -306933 -962288 30006 -630138 398365 -272733 87505 -961541 -743543 -596575 -444593 -238545 -518046 -249868 -781444 -804000 360589 -19970 462429 -450226 901784 255300 -193325 578072 -862816 -947410 -846664 -515909 -265759 -72945 5122 653412 -166297 -777018 -560225 -406867 87658 -18819 515986 539767 489503 900089 -835771 -670605 -547938 43698 884807 -393308 67919 487900 -425701 484698 -910666 432795 -308007 -991967 -650785 -831607 372919 -441991 12803 -581633 -222917 787978 -440137 -80964 -474327 -203949 876888 -520205 208010 -620833 593175 -712462 -527794 832282 -653629 -149601 -35559 -881574 -798537 -142304 -253773 -336581 -149353 -493526 486115 -1010523 -156812 702612 62822 -256302 186895 -267868 684799 884533 -476819 789162 -694795 726338 664381 -613332 505596 326277 89265 873197 740213 -275932 432365 811968 -898023 -60909 993564 -693720 -806503 -501983 178819 95895 740265 -553013 -828391 -483072 119183 393174 318745 105869 160209 -75569 -504039 268400 -229323 88831 -874270 605841 -468298 111235 774162 -422153 638836 108320 -899207 380895 413645 288611 170696 -539481 871728 -497622 749023 -114812 -41640 120658 550763 536325 -730378 485404 52698 -495227 337502 877179 331009 666404 479621 805716 300819 641407 57132 
-296854 91465 -71716 -234130 899092 1053946 769582 198647 477729 -408712 243388 -552479 409940 -112217 -39371 -951812 365101 853631 835429 -572068 578358 -539430 -778533 829031 576937 -355740 -54544 19633 -755702 462649 -144977 -725562 487229 -790555 -144937 -572019 -199767 278358 947987 -525221 -105586 746938 755102 811154 563556 -458991 745764 -664081 130741 -52460 555467 729124 -115359 904745 743663 -835178 -177350 598608 1035354 -772149 -605350 -263092 15860 340465 175871 70345 623806 -574697 -724228 -896779 -687953 997403 -368084 -661136 26753 211899 -824941 -210699 -948516 22429 -661057 363618 -249158 105320 -954223 -632496 -607727 -437661 -263924 -527317 -229875 -692530 -848670 343922 43219 421224 -350312 848123 267136 -204534 618104 -881253 -1008927 -799422 -505649 -311728 -53141 -19481 684566 -93612 -746647 -587313 -396968 99460 -63664 515584 496980 476628 929423 -797830 -715947 -662199 21994 847031 -362087 78249 571144 -454788 357083 -789129 352655 -415027 -999521 -693310 -904397 398037 -378614 44568 -574535 -298649 763586 -448521 -192749 -417115 -359223 846787 -528628 190951 -599579 499409 -682523 -515747 749697 -651263 -120639 55164 -895354 -847792 -143797 -229153 -344910 -144395 -525393 520584 -930296 -14074 808673 93607 -283212 17481 -301823 832433 901133 -525803 804879 -660360 704590 643636 -520425 510178 314508 68299 870705 779880 -336036 451141 807235 -909785 -94473 870070 -722784 -819603 -467930 215113 89694 648749 -592348 -898852 -504910 250737 396711 376300 167184 119143 -53082 -545846 350632 -212552 -14779 -819260 678162 -463073 102714 731493 -530719 665627 56463 -836100 424301 434320 260394 324995 -541261 777934 -491496 728373 -47452 -58561 100423 613207 373108 -675140 478282 10140 -451214 397480 780063 360523 674827 450529 734970 383452 652898 103272 
-295663 79496 -103795 -196850 890107 979286 752136 139433 508853 -371379 191084 -384804 347069 -72543 -52946 -924916 414700 845647 836486 -768577 619307 -564828 -806543 752493 558229 -388541 -101594 28484 -687847 443699 -79225 -742510 529610 -765508 -167236 -547985 -225610 329457 953001 -569536 -123703 863431 730275 744623 501826 -501778 765817 -584174 124094 -89759 551491 885910 -253443 904807 689553 -849849 -281811 600201 974254 -759119 -778005 -367498 43559 326078 167994 75091 613412 -543874 -731113 -896805 -598045 878545 -387039 -646032 4447 206411 -842678 -133068 -944004 -20987 -712252 369534 -288920 66348 -931603 -622264 -598600 -463537 -280343 -420844 -203118 -578546 -909975 491820 135799 472788 -191981 801072 233231 -194622 622593 -916092 -1007712 -809389 -514619 -410196 -54544 -34552 718561 -125317 -720440 -618837 -428626 90528 -83383 480401 473691 466381 975542 -771087 -811016 -790846 34075 796915 -321271 76820 710287 -503268 208275 -661925 280414 -575628 -963253 -712717 -1084260 517082 -325850 43535 -572595 -333909 744803 -434663 -303305 -457008 -579539 822136 -490971 172171 -559662 387039 -785904 -564896 609921 -675460 -84269 132183 -946873 -936488 -132872 -318590 -355220 -148878 -537070 545986 -856322 110770 883708 93300 -430136 69746 -282956 925184 907713 -643400 734862 -682617 706300 693342 -351967 509259 347418 61151 855848 832598 -401297 453802 736093 -899648 -83152 814074 -693750 -822025 -446104 233337 121933 579028 -640198 -991379 -502919 249352 397728 379792 112159 -9018 -53820 -602301 259566 -225954 1070 -795394 780461 -483772 105206 812453 -570209 743931 -106769 -821833 413291 388803 224264 352404 -494947 786047 -494133 727334 17135 -84520 72713 642241 360264 -625031 516457 -30372 -489521 395915 811961 414212 684723 414092 680329 495764 706375 136399 
-307207 98413 -127025 -133760 885310 1009027 815328 50179 513361 -397963 169951 -389450 309835 -46564 -56294 -906397 413110 803056 831372 -781410 669528 -574664 -795463 688234 544619 -483434 -161192 65844 -617114 474662 -55288 -794056 499034 -746050 -125351 -592777 -247492 299925 948559 -585820 -111892 874014 686411 771440 383996 -520388 827007 -480758 114103 -101621 546642 947896 -463529 880870 655470 -857329 -298511 600503 894128 -734955 -978890 -382792 72570 306027 123895 155654 600435 -498635 -722919 -910026 -628186 748675 -387620 -637120 25858 176026 -907369 -195601 -931351 -46935 -739600 365396 -392316 -30581 -924545 -752858 -587061 -470289 -249344 -335824 -206400 -541822 -920932 568794 180982 468598 -180232 765305 240556 -195027 614896 -881501 -896664 -760153 -519316 -530989 -90584 -40588 709469 -160508 -707717 -646444 -504183 85798 -83149 473545 464010 455754 951512 -775503 -862332 -799121 69343 772423 -281749 102058 847848 -562371 135587 -632918 282497 -640410 -982867 -804597 -1154079 640156 -269431 6055 -573909 -251634 721909 -371626 -290938 -436695 -526879 809373 -495826 193863 -560755 332749 -826052 -522564 503065 -648350 -58471 127963 -940942 -1011556 -136053 -460159 -346760 -140356 -510199 551384 -828577 96710 898372 72505 -444962 273332 -221099 891461 920867 -731641 668731 -613679 653402 724327 -199428 533537 375161 45566 802093 914137 -422054 520688 681470 -871331 -31713 961057 -691140 -839252 -432519 251963 187041 500353 -654276 -1079778 -483443 126688 404624 334933 13897 -60775 -57849 -589697 143524 -217156 24291 -768039 818100 -498551 149927 919247 -547387 842861 -169959 -881609 318824 324505 219892 197429 -557492 909964 -471640 787408 136606 -102140 50780 676010 492098 -645379 568122 -38891 -539999 358151 984318 442037 678181 379978 623572 546655 763090 134389 
-288789 124086 -126601 -127715 878395 997389 788179 -20210 517964 -491325 185093 -523017 288539 23996 -63714 -889379 400416 901098 839138 -694630 718925 -599638 -788221 691249 555380 -536265 -226182 31081 -580661 534677 -88332 -837062 465952 -757734 -60779 -706986 -265316 192724 948470 -561008 -105394 748176 631807 898764 359226 -489131 782511 -408828 115294 -118591 541226 847389 -360475 809270 630325 -854523 -226139 613987 815391 -735210 -955279 -321963 108844 308300 55905 196111 584957 -513140 -698134 -901337 -793001 762693 -369601 -633707 51371 139020 -939148 -273307 -918464 -20723 -734039 336356 -368089 -144577 -938267 -857787 -580983 -483597 -220138 -386918 -116640 -675283 -865025 611951 162152 515774 -306997 725846 273414 -184571 657201 -855712 -864820 -731494 -526036 -451558 -64579 -1800 662379 -209479 -790807 -683880 -645561 69150 -13786 454038 477790 436382 924970 -807760 -856022 -675304 97428 776902 -250865 109828 776817 -605523 233636 -764325 361873 -640027 -986138 -824865 -994654 644818 -276002 -50959 -568055 -187931 758547 -311934 -173046 -448608 -323041 808176 -481832 193959 -546966 372431 -855407 -532864 486926 -629987 -26 37605 -922298 -1095470 -143427 -565022 -361955 -145832 -561865 539189 -860387 -24349 853722 51347 -371410 380199 -158895 752108 939001 -756937 660729 -624332 645670 722783 -268690 526782 362626 33253 801363 950903 -383339 539819 710932 -861378 38929 1111787 -677163 -871815 -453012 266834 235222 562203 -636263 -1156797 -559677 -18583 404736 281520 -72874 -132048 -84112 -615319 30121 -203115 102567 -713900 738419 -513466 221835 910436 -454337 941208 -77586 -942624 239520 282430 112957 67961 -538369 981830 -484215 779792 90349 -115586 33160 648581 595518 -714989 641304 22105 -591199 291233 1048714 403971 672261 429101 578871 496716 841580 130469 
-299682 154300 -92662 -178240 889671 967604 835494 -41778 515016 -600362 232564 -648529 278602 41728 -102446 -880024 399333 888813 834275 -509147 759344 -611346 -753835 755727 545147 -521372 -297626 47389 -603410 599462 -157873 -818523 529225 -765228 -26085 -768869 -274712 86589 941306 -515093 -132640 641400 609847 1029918 440797 -436316 728327 -399809 117560 -137985 554148 688501 -174915 804539 543158 -828901 -108817 606909 783653 -696977 -732457 -217151 148135 283637 -16610 155534 567270 -498034 -665066 -909055 -959822 867997 -337299 -633093 28108 124612 -962124 -310214 -902683 11436 -710392 313842 -330242 -171874 -960187 -919217 -584762 -479331 -193332 -514777 -54802 -811837 -813865 631869 70944 477159 -439344 701079 287916 -186823 629191 -910451 -912527 -706086 -530583 -323589 -75298 30532 613082 -305736 -762935 -730380 -741806 87214 54375 429298 513352 419988 947418 -849030 -825999 -550728 164597 776068 -226051 120571 613962 -616463 392237 -911035 454200 -450571 -1015697 -848815 -830801 544127 -256238 -122560 -561621 -79810 765862 -351338 -65212 -420097 -188197 820195 -419746 199607 -583905 483476 -806344 -510064 522361 -617235 29725 -49736 -871402 -1144516 -123177 -553364 -358794 -140233 -563511 506297 -935153 -179788 775066 43141 -284571 285262 -132854 639864 932421 -687229 683787 -618198 617526 705755 -481702 511022 326276 13602 831073 960806 -337404 550745 791397 -827893 97028 1049900 -686859 -840259 -494622 281327 269632 612423 -573184 -1110808 -596282 -113142 404686 224618 -48607 -207291 -119918 -577469 24809 -198778 186028 -656609 645840 -499063 271261 822118 -371922 985598 74890 -1018766 135845 321431 58467 170197 -576623 887232 -468669 779198 -16483 -166462 17090 673717 602681 -736203 679255 67983 -618834 218057 1023895 348948 666319 474343 543194 384309 901203 51849 
-297094 125387 -99498 -236406 883364 966052 825838 -2093 523127 -652121 266221 -572691 308239 42092 -100144 -844131 372031 904083 839486 -546184 786773 -622351 -771389 871390 532088 -469312 -347634 42977 -669361 621232 -220632 -780796 524702 -768392 -49927 -729351 -283459 44740 979671 -477959 -134519 670587 583484 1115373 572213 -354222 744505 -456637 117499 -131356 557829 588969 -96625 816992 595314 -854275 -17447 610115 784348 -647938 -584063 -160737 180332 276665 -73590 74417 548389 -505854 -628065 -910596 -984130 983664 -289299 -624733 33518 133240 -935729 -257174 -896881 21749 -667203 345884 -283762 -99821 -955527 -857852 -566680 -503860 -161258 -524752 -36736 -707184 -817750 600142 -9367 457629 -394141 683806 320407 -167104 614042 -895272 -1064578 -688797 -520843 -243033 -70787 11290 540595 -354675 -739451 -742613 -799926 93957 92367 416418 557701 402747 923909 -908362 -738220 -566640 207234 825044 -200082 157612 497384 -591478 455046 -976562 504243 -322065 -1006001 -831707 -857994 415948 -308343 -105392 -573066 -89683 740870 -397325 -89574 -406126 -274125 842864 -432082 195830 -649202 582878 -737719 -503206 650816 -597997 42805 -58015 -883615 -1158876 -132562 -433238 -347994 -146607 -598022 468800 -1014781 -166650 675185 8013 -254993 81726 -161707 676018 943579 -556205 744674 -673400 582658 694721 -590905 513151 315398 4796 857001 924984 -276067 610159 816279 -796889 118578 910859 -658340 -825940 -512679 289365 261629 711002 -489547 -1061085 -659780 -54356 400999 206985 17894 -168304 -63535 -529255 134351 -172261 237365 -642691 616981 -468427 311606 711248 -313007 1007686 75712 -1030007 111958 387100 51241 321170 -525272 770930 -471304 749581 -53701 -174399 2819 724942 447623 -728680 685969 57299 -634317 194259 874553 331580 688163 478093 535026 299865 870521 96915 
-290782 56959 -79033 -209642 882937 944284 845524 86184 491814 -663206 246141 -413345 304738 52650 -121001 -818790 387573 882090 833599 -698489 806134 -633099 -783734 946579 506185 -365015 -374668 32615 -737474 588194 -236662 -713488 520679 -759634 -105540 -629922 -288336 77240 946176 -482716 -134332 809176 519841 1015510 547578 -288452 756465 -553744 110206 -121625 546160 628498 -200867 873187 589746 -832992 -12389 627311 830458 -634825 -748325 -199813 185811 295447 -98837 69911 528579 -506462 -592724 -944818 -866029 1025534 -234126 -635530 5066 160964 -880041 -150023 -878738 -1828 -632439 345931 -234939 -12022 -936570 -710968 -576556 -502927 -147944 -448214 33416 -571890 -870542 516112 24003 449109 -224201 672536 284584 -170211 617530 -916887 -1036254 -683412 -519004 -297495 -42671 -20831 486889 -354099 -707063 -721378 -768472 119928 88932 384505 603079 386977 858482 -966194 -676752 -696156 246401 870930 -187487 162378 525348 -536908 391793 -952514 474009 -305473 -1002820 -794389 -1049052 379019 -375283 -95740 -575754 -197484 773488 -449670 -200462 -416020 -481650 872324 -372281 203171 -634352 601927 -693158 -515698 777906 -592178 50503 23114 -900173 -1137177 -138418 -292016 -330356 -147540 -551484 421902 -1061573 -30885 652594 -5448 -379409 20834 -226570 819931 950064 -480266 820835 -666578 565291 667771 -516222 518575 340665 -2522 875608 872844 -262895 665848 754263 -765119 94305 828570 -635965 -826106 -528825 312212 223901 738776 -446954 -928911 -677316 102118 408975 229114 60820 -129935 -53897 -460191 243945 -176175 298029 -612428 698280 -453027 416005 809973 -305812 968306 -19675 -1001007 110097 438794 -39510 338013 -514352 781101 -476779 746210 -68265 -182731 -6673 717152 338841 -683352 622483 69973 -629486 214505 792247 373803 675098 418400 549822 305895 816517 120041 
-293397 85283 -91390 -133036 872765 940064 779011 164807 494807 -581081 194985 -372552 360484 32817 -139470 -817722 359888 904961 834588 -814280 811663 -638165 -761845 949285 481522 -361048 -381174 5635 -776868 521961 -197884 -746175 518062 -789329 -155954 -547660 -297821 178913 938777 -525770 -141190 897036 500647 885081 390720 -217029 753487 -645505 111614 -66660 525842 778635 -381687 911367 634154 -845166 -95143 619264 925651 -590220 -947885 -263891 200243 282169 -85159 144752 508442 -520396 -567691 -909714 -689827 935043 -183138 -645048 5733 198666 -839348 -142480 -865251 -11003 -622387 381740 -291677 85907 -929324 -621527 -555258 -518961 -167925 -361980 66296 -552058 -916737 420015 98283 503125 -156423 690390 329072 -162627 615577 -866431 -895367 -700503 -522748 -439013 -62399 -37186 448746 -356340 -706616 -694965 -673001 100250 10907 381874 645516 378815 839520 -1021729 -699152 -805073 298321 911427 -182164 142446 707678 -480293 274023 -814987 371501 -395884 -986306 -726536 -1158840 484682 -424100 -16339 -573314 -308671 755629 -426298 -307522 -435660 -587090 905173 -396503 196487 -674629 531283 -753924 -502511 843916 -616765 39285 117581 -940494 -1069951 -134419 -225848 -328317 -149062 -551877 384064 -1053513 102304 621312 -79196 -456146 170034 -285180 928824 948066 -502240 822880 -696709 516520 651342 -330094 503134 374027 -6688 871629 805032 -271429 686675 684892 -746758 33958 923141 -620091 -826695 -521852 319379 161657 695441 -393576 -853937 -720182 230705 411775 295775 134793 -26954 -71665 -409533 359419 -159180 374125 -609178 781214 -479355 451940 887857 -438616 826994 -155273 -930143 157901 409189 -18391 195035 -504880 905363 -506318 734890 55587 -201631 -16578 713174 391357 -647827 568938 19047 -594051 264470 833083 428506 678418 353738 588975 405520 722357 93215 
-296774 132424 -68726 -139013 870382 987852 801532 210558 490499 -475166 166003 -504498 363918 17599 -143245 -838791 358925 918610 829257 -710300 798826 -634502 -726795 886537 494591 -434418 -368791 5295 -742587 472820 -125721 -784459 514221 -796776 -149936 -569638 -291956 286942 923676 -571430 -117511 816960 495848 725728 373667 -184508 838993 -673054 118228 -76530 548228 922918 -405536 897260 614536 -820161 -214929 627904 991229 -557012 -963183 -373394 187011 273714 -36658 193094 488462 -542564 -553730 -917450 -611990 813038 -137319 -638167 14770 218203 -822278 -225030 -857519 -29841 -628583 416301 -392761 119795 -929740 -636020 -543663 -507145 -199162 -375579 78956 -704859 -906279 345333 162213 508008 -282440 702849 344125 -178392 588237 -827965 -848123 -719212 -526078 -515698 -57557 -7910 436325 -263896 -774954 -666509 -513080 94530 -44177 360941 676798 365319 838382 -1077231 -773138 -775211 313058 923175 -192265 192392 823658 -431264 198179 -660832 284573 -618847 -1004006 -687622 -1029016 608089 -442567 19600 -570677 -326454 754213 -409142 -287057 -467783 -481491 931837 -423708 224361 -712255 417472 -848952 -494560 828124 -649828 20610 139688 -959424 -988134 -129175 -275011 -316852 -144320 -516311 360404 -990017 102957 676307 -65200 -428185 352156 -301984 891285 955733 -623469 769974 -716005 486498 660880 -207986 495827 364466 -8433 831876 764474 -329940 733239 688490 -734539 -34777 1014710 -637285 -841715 -506580 316612 106336 641812 -321740 -832972 -820748 259394 410887 368480 128736 54787 -62705 -339908 256508 -184997 379184 -619304 814620 -496780 455660 950337 -528756 744621 -129971 -827929 245579 333351 -20539 87609 -529266 956535 -493562 762713 122366 -223104 -16021 709075 565187 -645902 483870 -29893 -523116 347146 959072 436858 668782 426492 619196 512597 666294 108476 
-283020 167741 -74735 -183541 874093 1002767 795568 197159 494798 -392698 189559 -625878 379575 -40242 -170412 -819422 413136 939027 829861 -503199 773445 -634506 -796494 780055 521405 -502969 -335359 -8700 -676807 445368 -68747 -832096 508481 -783258 -101840 -653029 -299269 324073 941814 -585883 -93441 678866 443344 747639 431542 -162875 794675 -651991 99223 -31164 518196 926092 -253795 885211 687763 -827216 -296943 635370 1022715 -567044 -785159 -388068 132322 302292 33435 145092 469141 -578402 -557687 -907035 -629126 748078 -102135 -660049 -14672 204293 -891404 -318441 -843120 -15949 -663554 443464 -391818 64368 -952791 -759314 -540319 -516098 -237215 -465187 25947 -815389 -851520 374091 182199 472432 -419935 734542 333897 -176830 579243 -875967 -949886 -732746 -530521 -428317 -37918 18519 439894 -204440 -796048 -631364 -457100 109588 -48315 360343 689166 358147 864161 -1103469 -877691 -636834 319658 899486 -204723 162464 791512 -430540 208328 -639031 278266 -671258 -1017705 -640826 -851684 652334 -474714 47645 -578532 -283859 766561 -386897 -168927 -441546 -227711 953964 -372451 225956 -754764 335808 -925489 -530846 744804 -648612 -13905 73583 -912696 -900630 -134480 -414968 -299847 -145161 -528920 344980 -906514 -45801 792158 -104970 -372385 348601 -265051 745390 956738 -718511 673394 -695921 476208 665610 -309394 489535 327578 -1407 802450 755009 -390889 754712 771596 -720136 -84809 1102986 -659478 -882691 -469886 308230 82722 522291 -289159 -868747 -821596 149872 409663 391470 7751 141360 -121778 -340670 165097 -126259 353730 -613074 725353 -522172 413756 871341 -576580 674422 -748 -829551 349640 293843 -17594 162619 -579112 923291 -489500 740344 86533 -236885 -14534 688638 615138 -701262 479189 -13907 -511933 381971 1062742 391386 672577 473653 701072 543876 636777 117461 
-289027 120448 -98446 -238387 873269 1023832 837141 139030 500841 -366806 237541 -569278 359510 -80009 -135680 -864334 390396 935488 826289 -525503 739564 -623392 -784406 698792 499650 -564188 -290968 8191 -607534 463319 -58629 -779450 533131 -764360 -39173 -736163 -283274 279897 926837 -558514 -144573 632214 462919 821278 569630 -181335 738312 -559884 97917 -18533 533866 801372 -94476 826154 689327 -844859 -286137 616964 1010833 -554521 -613746 -320412 113760 325984 105224 74914 450822 -609811 -576127 -920399 -802634 788255 -85010 -679662 -33050 169243 -933242 -271470 -850278 33335 -705716 426085 -324040 -41621 -963134 -880126 -531792 -518504 -264623 -529546 72942 -726298 -811888 447521 88182 465951 -410306 767815 402432 -203557 544247 -883113 -1015726 -794376 -531095 -325366 -24346 43722 466921 -135188 -736716 -592608 -407538 112565 -93510 318863 677037 348401 849493 -1101716 -891082 -545643 325213 873714 -226426 200679 658374 -444774 341717 -696881 346319 -625949 -963276 -653138 -831107 569964 -410877 32244 -574530 -212099 812417 -312615 -62859 -440879 -227155 964903 -424864 237651 -697854 352482 -827254 -496950 588138 -678920 -52464 -17944 -891153 -816984 -139326 -537452 -301573 -146889 -542793 354384 -846240 -181581 890519 -118366 -262204 153211 -197733 651795 949913 -762513 664833 -662189 490260 674348 -508075 483270 316259 -174 807922 815648 -412235 794026 819024 -707907 -94003 985010 -654127 -859436 -444448 304759 111165 512648 -319697 -959655 -863986 43041 407882 355042 -45353 174719 -85810 -337630 38464 -143282 303772 -622041 640792 -490256 386805 752368 -546521 655928 111389 -869720 416665 309283 30345 314624 -539583 780782 -482309 771662 -9143 -297274 -12942 680613 541921 -739162 494426 29969 -479482 380291 1029733 337647 675929 485392 791669 477188 675325 87283 
-295862 103595 -113342 -200736 872190 1028774 747849 53957 489976 -417208 264839 -442584 319898 -149464 -139461 -888155 448742 969429 828139 -661658 704757 -611763 -793404 671955 466515 -523847 -218560 12896 -578958 531432 -105079 -760884 523227 -800673 -22252 -755640 -268570 172237 919186 -513768 -137996 746577 499552 996129 532510 -232846 753839 -460129 105637 -32346 539123 639016 -93340 796378 729510 -823204 -193315 639698 938317 -556960 -696378 -207917 86213 342033 157991 68137 434611 -636690 -606475 -932850 -944839 913763 -79831 -682978 -14572 136201 -968831 -180658 -835961 46458 -725326 427816 -231340 -110270 -949985 -903472 -538495 -554116 -275676 -500114 21194 -610199 -819647 521559 16584 439284 -244503 812777 357999 -201008 528116 -918413 -993043 -802775 -529973 -278964 -36977 195 543056 -112085 -678051 -578306 -421414 109860 -30692 302478 646012 336069 868670 -1078294 -817711 -587925 300191 815347 -257070 210020 488885 -491200 469566 -877544 419651 -478047 -998925 -666795 -1028524 417420 -388322 -35961 -585850 -146289 755108 -354044 -83624 -455453 -358939 959296 -402209 235175 -664827 452501 -777477 -520736 490919 -683418 -80126 -58887 -871951 -786259 -132475 -570665 -292045 -146543 -522447 378044 -831288 -168914 933459 -92717 -320102 22184 -143412 677799 934781 -702092 631971 -645838 529226 729893 -579786 484080 341890 22206 854952 873507 -396250 833351 784232 -711938 -57798 843797 -661334 -860751 -434418 295043 159795 589985 -348723 -1059130 -827957 -88013 407227 292171 -48746 133560 -45493 -379041 27018 -124931 220291 -637039 613553 -466413 342494 748404 -465696 704751 62130 -948811 433804 382443 80337 324550 -550461 734830 -488775 776819 -141757 -300852 -2569 667739 402136 -726145 549497 32543 -505674 346523 887741 338706 677098 427890 838619 363174 743077 100219 
-289225 84668 -116033 -127779 862173 1021110 744483 -13524 482285 -521016 238400 -401821 273249 -191944 -187947 -892778 377056 965044 825284 -803113 650287 -603306 -787807 707909 494310 -459530 -160607 21208 -609637 589210 -175953 -732039 528258 -748978 -71594 -697899 -259502 72634 929004 -479024 -109029 876951 528283 1063378 431447 -312917 786220 -391745 107697 -41288 528410 584537 -287385 831556 742514 -820858 -73300 639404 870907 -580733 -905780 -152467 54427 345758 177472 142498 420490 -642925 -641998 -903429 -964405 1016516 -101754 -691122 -17198 123930 -941619 -129690 -830309 21871 -736262 395493 -231483 -173551 -929227 -816335 -532167 -556733 -256879 -385493 -23730 -527442 -877347 624732 15217 484141 -164469 861250 346348 -198907 503069 -871952 -901513 -819813 -535825 -348108 -14188 -38002 588872 -141019 -728632 -567783 -505838 99072 41295 309268 601144 340600 876855 -1042751 -710705 -726223 244846 778177 -294924 209194 532117 -549740 439599 -967568 486817 -328904 -979858 -754247 -1149553 377048 -315309 -88190 -569281 -61873 766401 -387739 -203938 -421474 -534981 945191 -393818 255409 -641442 557992 -666852 -521812 469337 -660750 -128772 -9231 -906743 -776893 -130222 -483276 -287439 -138291 -556719 411171 -878974 -25737 883309 -59561 -401168 88045 -135723 819677 940027 -592052 700582 -599565 545472 712154 -498393 445639 371237 30494 862944 951814 -337754 792725 707331 -721051 7477 882372 -688843 -811319 -455213 275099 222460 695084 -399003 -1136630 -871549 -82393 412119 241407 12685 69902 -76604 -436657 120098 -135524 178240 -647132 716059 -455907 249692 866429 -345292 788779 -65525 -1010019 376057 446103 148375 196442 -529001 878479 -492216 766050 -55967 -310126 9038 616407 370993 -696565 613142 75694 -556898 281447 775074 387721 677085 396315 905368 294947 810776 166284 
-292678 89106 -90742 -134143 867169 1017948 703699 -43047 505341 -625766 195270 -480489 260900 -252022 -197123 -891892 412314 986566 824182 -713276 609571 -580169 -777771 823347 453691 -380332 -103443 20174 -678940 610337 -230061 -802698 520928 -755658 -128020 -568561 -252481 43644 940136 -484320 -124325 869556 573935 1067764 330471 -385979 746623 -403867 105861 -55534 526962 671037 -448181 841214 731801 -810138 -5060 644133 787658 -579718 -973807 -192366 17166 332659 157994 198824 409418 -663115 -681261 -887782 -858994 997524 -131780 -679131 7085 138075 -891438 -186513 -825812 -23271 -710084 400445 -334742 -125595 -929016 -695153 -527547 -558536 -227822 -392150 -93124 -659105 -922992 621120 84451 509671 -247077 915805 379677 -188692 514710 -883769 -866155 -840927 -540520 -450066 -1592 -33037 650023 -185700 -763107 -565166 -605735 95000 62943 300770 554193 344889 937860 -981960 -668855 -810933 219670 761377 -328582 210810 691071 -594158 301593 -965769 483298 -332652 -1006612 -783483 -1078744 446177 -260044 -105255 -576617 -145115 760517 -464787 -308763 -433945 -517751 919724 -367607 263581 -623584 609795 -771229 -539482 541969 -656813 -156784 89364 -957162 -825948 -120219 -340212 -288813 -143372 -566302 456825 -957390 91573 817792 -55008 -448007 279036 -180719 925713 930018 -492712 815353 -647389 550147 722481 -312447 447958 362649 43820 848654 977741 -284204 819477 675850 -739570 74321 983487 -715321 -803791 -492757 245893 265686 756326 -506510 -1132878 -825966 65790 406510 197378 112354 -8689 -95313 -509136 215693 -149457 72421 -667100 787240 -478773 196781 937884 -280009 887111 -200755 -1030060 287833 410937 215440 76347 -547736 974282 -481389 761096 244 -317234 25355 603220 476150 -631555 669473 73070 -590098 221335 828214 433126 668217 423470 912781 318313 870809 84551 
-300758 102945 -85890 -188930 859402 994046 704254 3196 512265 -668669 160365 -619833 288787 -277043 -156372 -944243 413633 977216 824789 -573118 565940 -564609 -753154 919783 472648 -350830 -51851 36139 -752315 595061 -230977 -848943 541482 -761504 -164894 -538667 -229254 98781 925557 -528871 -108976 752661 600856 996120 397701 -454325 777427 -476331 107555 -78802 530072 836105 -328505 910910 736348 -816996 -27554 652367 777438 -616845 -830154 -299880 39385 367574 104954 162376 401027 -671504 -710307 -907915 -718456 899012 -180642 -675196 13261 170376 -859524 -297593 -833749 -57163 -665736 374761 -381304 -40360 -944675 -611689 -516694 -570583 -190158 -443347 -155851 -780213 -889385 614230 162520 477419 -417765 953714 349453 -181419 496100 -871898 -920107 -804700 -530572 -494236 20390 -4225 700139 -280106 -772724 -545350 -711638 126516 118384 289805 508407 353295 952955 -922616 -704960 -750210 162069 773083 -363154 215459 814732 -612556 180752 -817903 394927 -434622 -998412 -818643 -873699 596223 -279252 -86895 -573271 -256545 773844 -453702 -281919 -413849 -341296 887729 -428821 265199 -572591 559918 -852039 -508412 660917 -605307 -200413 146692 -949737 -893972 -126890 -237125 -282019 -145804 -583269 499168 -1031757 81038 725021 -2155 -438754 381504 -248666 883850 912479 -479997 836154 -671986 591212 708858 -237933 444485 328542 58329 832395 941611 -246481 803514 736228 -766709 114372 1095800 -668698 -842846 -516821 239432 272778 703771 -548845 -1089785 -790429 193234 403381 216276 134759 -119902 -75501 -558004 316314 -134895 32893 -712493 808053 -505934 164854 948555 -338845 974503 -95015 -981153 183214 335956 272516 152802 -574498 967721 -469989 772147 120072 -337398 46980 571399 611701 -636992 690434 15278 -616180 195629 974094 427293 670486 451201 930955 424615 891841 82283 
-299906 130968 -63407 -229237 872119 987962 662466 84378 519053 -632077 184600 -654767 328834 -303373 -167513 -975353 430191 1048161 827498 -475590 528098 -541566 -773688 962825 470327 -427343 -28528 40714 -769854 540638 -180565 -806272 503099 -741299 -140569 -597917 -212525 204482 959966 -572485 -157542 637829 661058 801800 551517 -498987 805676 -579871 93717 -108536 527782 938381 -177977 891585 689213 -793058 -131267 650175 806693 -645684 -627268 -374553 26978 368387 33543 74812 395797 -688877 -727983 -899673 -584328 764709 -232658 -672848 -8928 201722 -834722 -302675 -831039 -22493 -632847 352865 -371489 59597 -963379 -665256 -531679 -570041 -168687 -526769 -194150 -770531 -838324 534250 199697 461020 -431512 996403 319884 -172563 511625 -899927 -1006124 -787152 -529679 -407354 2590 34157 710021 -341892 -795810 -611174 -763532 103009 65711 250494 476094 362557 957572 -860063 -782579 -603831 117432 809016 -393005 241282 810134 -596831 179194 -705358 306183 -590907 -963738 -826675 -818669 657708 -272451 -65901 -583788 -342515 756706 -407827 -160540 -422460 -202276 857213 -426145 265756 -528483 446824 -906989 -509519 790056 -573920 -207097 107269 -905597 -987039 -139233 -253151 -274839 -139227 -561455 530625 -1065038 -52442 629089 39293 -301943 261892 -296870 753783 906009 -581940 764916 -678567 609996 671516 -320388 415059 311276 65541 797246 879827 -273562 762733 806832 -790738 110330 1033043 -685623 -883831 -521201 208010 238479 684247 -632449 -985349 -715131 265180 405379 281021 130147 -165934 -111689 -606267 285756 -113086 8063 -745767 718342 -512819 115287 822141 -433523 1009048 73083 -910429 110155 301492 277752 331168 -535950 833119 -503172 733227 112844 -341977 68847 555201 624435 -686326 655276 -4161 -624468 227376 1070815 376179 665650 522399 903176 526005 854568 58822 
-291660 115514 -89572 -208061 862757 976835 685343 161492 519306 -549444 235087 -491128 364991 -295837 -133719 -973839 410007 1038833 815465 -669383 504219 -522099 -770248 929384 471140 -524654 -9953 40376 -738285 482287 -108192 -793912 510973 -763533 -78988 -690350 -185694 301771 914871 -585671 -124042 667720 677757 748046 549842 -516512 789396 -658503 98404 -92040 535964 896061 -63430 863226 659542 -784407 -246449 638941 860316 -692645 -637363 -366943 61847 410863 -36204 74989 394171 -699582 -730301 -918258 -626905 761501 -284428 -670731 31327 213126 -874672 -243474 -845700 26394 -615872 320774 -271107 102697 -953060 -779188 -536661 -600745 -162442 -491014 -224307 -643339 -798927 439508 129862 479964 -307366 1025321 285891 -168616 511470 -884050 -1020682 -747614 -544333 -290065 -9076 8005 698841 -365292 -748550 -620335 -752506 104360 10847 260353 464443 373303 935749 -813398 -859932 -538196 64828 849180 -422930 247740 636168 -550872 279764 -609936 270131 -668579 -1011912 -807353 -988667 587270 -324777 -32444 -599968 -337775 736654 -341146 -60285 -426160 -280774 830892 -455660 268873 -567713 351744 -850686 -494521 844979 -608363 -199365 9003 -873843 -1059511 -139008 -363174 -302594 -139609 -553131 546946 -1041122 -166874 603978 67627 -254476 62566 -295101 643230 903228 -683619 742297 -700136 660015 656139 -511886 403213 343580 76149 801923 830726 -324368 749341 810898 -795076 64113 863214 -696119 -882657 -509578 197461 171444 598037 -648826 -871687 -653841 176460 400529 343981 27210 -185870 -62146 -625484 154825 -139648 -6893 -802351 626204 -498682 84976 747201 -541290 981142 104291 -852142 79694 299221 269878 347445 -554481 793576 -482272 746741 14442 -349094 88870 509035 460698 -730589 582893 2959 -616122 289007 1020767 331252 675027 422794 857452 537952 788068 118190 
-311108 83917 -87685 -133806 875721 971348 620427 210898 501808 -446400 264125 -362512 359620 -306710 -113041 -1017999 411234 999587 816436 -767296 490139 -510356 -795999 832204 481154 -567832 -32650 38215 -662395 439875 -60228 -718513 526957 -783566 -21385 -755913 -173687 322349 940649 -555191 -117213 813652 729880 771717 436567 -485104 765120 -678936 96695 -127912 531598 740984 -196096 825655 619306 -789332 -302487 652950 973827 -706172 -858877 -282646 104048 414515 -84509 134933 395809 -639302 -717256 -915300 -808641 835687 -327973 -655338 29840 199595 -922460 -149024 -856827 8242 -630134 321564 -230420 90989 -933426 -894071 -555916 -601657 -167195 -385681 -230729 -551243 -842199 354789 52252 486831 -160588 1040131 292692 -165009 514329 -888842 -874100 -735361 -542828 -258637 4829 -13802 656096 -345460 -705087 -640349 -684695 83215 -54595 281189 473246 390673 918698 -787058 -883856 -613963 43393 882521 -448505 225708 530076 -492360 421730 -683301 319201 -626501 -1019400 -796564 -1129725 452907 -356222 25000 -591604 -204635 736384 -316814 -84633 -427155 -507223 812868 -455691 282162 -604120 336498 -730456 -503022 823538 -631023 -204835 -55013 -874992 -1122496 -140085 -503846 -302649 -139354 -554720 545346 -968278 -149915 631676 81569 -285939 28301 -243615 694145 885357 -759218 667457 -680604 682034 642637 -602374 396946 369506 72472 830343 772883 -376319 695616 720282 -829914 -5000 841965 -712694 -822848 -489429 167446 122613 517018 -641802 -828503 -624611 68279 403316 381026 -47868 -170378 -55769 -604601 65667 -121997 25557 -833998 623862 -472318 95217 791820 -581294 884110 22247 -815936 148448 364446 230919 199707 -539452 855377 -499831 754807 -68591 -353744 119005 546864 366354 -737165 520429 2652 -611290 358558 881188 347458 678233 365934 799353 457431 701303 145473 
-302909 85636 -116223 -120023 873539 939917 655419 199406 501776 -381758 239231 -449078 426372 -282334 -100372 -1028361 437458 1051592 816764 -755672 491276 -494605 -798124 749124 498647 -515769 -62391 30401 -597052 456361 -66245 -759204 535541 -767754 -32942 -726289 -148941 257219 940682 -509544 -136655 891497 748467 887589 387278 -449938 748062 -631360 112052 -124625 533724 605681 -398829 799163 557642 -773654 -263825 651411 1010702 -731520 -996453 -187107 112661 418676 -98716 201025 400881 -666694 -690709 -877090 -968829 941193 -362227 -659653 42986 169670 -950904 -142808 -862609 -10277 -666000 320895 -283371 20124 -921130 -896096 -550137 -630663 -195207 -337953 -256658 -651427 -897981 331463 7941 478439 -228534 1046881 282134 -167525 524038 -910131 -840569 -724486 -552130 -391737 -9390 -47633 597790 -309417 -765793 -673256 -576115 106918 -71757 257448 500698 402751 929998 -768432 -819778 -758320 18774 922951 -462974 264841 521167 -444918 496922 -867980 403393 -466332 -1004484 -696062 -1079465 366122 -404069 41680 -591553 -144281 752125 -363736 -214626 -454956 -570218 806035 -484763 300665 -618511 419729 -712857 -518938 710992 -611463 -174691 -40122 -911388 -1158141 -154686 -573234 -303559 -140517 -530671 529903 -887724 -13872 752247 80001 -414379 182547 -176296 819594 884730 -733857 640259 -675546 712649 668348 -480959 377092 366250 64207 855754 762922 -421124 680789 673341 -868532 -66929 940424 -710329 -827236 -448820 135622 86603 562410 -619654 -842485 -571311 -67341 402975 356533 -88612 -64950 -103773 -573864 20539 -102000 107929 -865910 719414 -469133 126557 891651 -569627 760022 -114125 -870779 186983 427115 258494 97582 -583091 969849 -497595 803062 -79517 -370681 142206 567628 424336 -695089 464662 73900 -540885 398360 761781 400688 672390 403539 712579 344674 652650 119705 
-295487 163270 -91682 -192371 871341 976288 625034 136223 495725 -391267 197689 -611492 366464 -234646 -102257 -983934 419353 1065812 813774 -586993 510859 -478998 -780078 681585 446751 -447190 -119065 14217 -579458 516012 -122311 -812456 541228 -756403 -92255 -642320 -121761 148998 948928 -476110 -117879 830752 772356 1055109 398830 -376238 751781 -540007 105852 -102789 549057 599418 -396123 814634 540152 -782793 -155691 636590 1011379 -750246 -853016 -160735 171585 422022 -73742 160510 408969 -653837 -656352 -903201 -972549 998162 -383276 -633952 24665 133376 -963052 -234736 -869463 -21166 -712809 355782 -336623 -79872 -936968 -799918 -565383 -643052 -229997 -420450 -244359 -796219 -928169 390364 78857 476497 -377646 1035042 249924 -180975 556308 -855067 -916478 -694674 -542162 -477188 14654 -17668 539441 -216401 -767816 -694547 -459274 108568 -68848 262741 542516 417349 856303 -791265 -729582 -810139 42318 907231 -468318 259482 664721 -424415 360365 -979686 468409 -325152 -968493 -668338 -884219 433497 -452734 17983 -590031 -107485 779027 -404021 -306711 -450425 -416486 815463 -501291 313901 -636559 531194 -749775 -519008 585592 -620723 -149369 48856 -919511 -1143563 -146063 -524603 -337145 -141028 -498202 495785 -834372 83693 835580 62251 -441348 360055 -135409 923839 876357 -642839 727054 -612954 730177 667811 -286045 363058 331619 50088 857438 803619 -390992 626922 717394 -868675 -95338 1059878 -677592 -810734 -444661 111185 99114 635961 -543297 -915163 -536087 -77582 396801 325661 -19136 -1375 -122192 -535876 95524 -111934 197791 -888487 792754 -479997 207865 964033 -475469 692048 -140258 -954273 313639 423488 176569 153641 -532620 970636 -485157 784436 21101 -367962 167604 576816 490915 -655482 499540 40802 -497641 383536 822661 438418 670368 490991 640780 290702 639417 80679 
-307649 147322 -99284 -241498 878152 997386 659196 54955 485913 -448968 173103 -642646 289177 -188445 -77924 -987478 407422 1062291 817769 -550147 534022 -461271 -740316 699221 475713 -371290 -185048 13645 -623946 589261 -193399 -837760 532224 -794578 -147747 -558760 -123733 62312 940931 -486560 -129720 678049 735012 1092138 504283 -288584 764814 -441272 111368 -71799 546535 717495 -242738 865089 548358 -775504 -43978 639312 994360 -769922 -628859 -221545 176937 403378 -16882 89654 420113 -607025 -617457 -908572 -853583 974713 -388384 -627213 13458 121177 -911340 -308902 -882009 -28689 -732899 350832 -394555 -143988 -956053 -667935 -571168 -662048 -262733 -519002 -204172 -783496 -871206 459976 153188 474440 -429191 1020367 252529 -192843 584992 -919708 -1042390 -682934 -538709 -490483 -26715 12136 463394 -141080 -758609 -733967 -370587 122403 -29140 251903 588521 435687 819305 -838614 -671684 -720281 61491 877839 -464327 259418 800399 -438287 253444 -988075 487566 -340704 -965700 -632349 -818933 579365 -444643 -51995 -593089 -132285 783347 -498719 -278540 -447065 -217798 833482 -502029 316164 -679696 602883 -806299 -522384 486651 -651900 -130623 134766 -947641 -1099400 -153441 -385366 -320522 -154007 -505308 456138 -839657 82211 886606 51267 -329913 337842 -146692 888580 859369 -522337 807713 -599466 710984 705150 -230041 354651 312215 51315 857512 856876 -349403 578168 797802 -875801 -78612 1038211 -703502 -823047 -437870 86866 148494 725742 -483417 -1036983 -513180 49483 400085 256217 38351 102722 -70272 -464115 243321 -114418 248086 -912339 799938 -509179 258401 874170 -355122 620900 -4298 -1026152 407200 352914 125766 315421 -560319 837713 -491141 771662 107284 -359545 196265 590993 607830 -656084 536626 70036 -481324 338884 943360 418059 672078 484970 579426 334591 691677 82576 
-290240 89292 -58158 -208416 881786 1049351 645621 -17442 479128 -547942 197374 -473502 308179 -122995 -64613 -979334 399194 1083284 816112 -629910 578898 -453396 -742977 776734 462536 -376364 -258722 -18257 -696177 623236 -235617 -791010 521079 -754197 -158596 -559138 -102036 45611 958923 -527315 -144490 641779 761638 1025131 574120 -229109 813691 -391789 93087 -64373 544878 880638 -91308 899735 564217 -765165 44 647446 904968 -791336 -617824 -324329 194684 425579 55600 71125 433907 -584697 -585489 -891739 -695240 848868 -370052 -641382 -23324 139083 -871140 -275049 -894185 22639 -745451 406031 -311920 -164388 -958912 -614344 -575107 -693069 -270175 -511575 -170402 -615184 -813747 549246 202030 458514 -321448 990544 220330 -199127 606058 -869697 -1030860 -700618 -540828 -381084 -21860 20076 456142 -116391 -759247 -715756 -394113 92318 48960 255596 632144 449311 799782 -887900 -713730 -580279 98007 835795 -451534 318863 805266 -479503 161965 -893330 415349 -417539 -1021674 -672978 -935949 650631 -412574 -96019 -601480 -227795 781565 -450916 -158141 -456502 -203684 859528 -513747 284616 -715571 579726 -913695 -537950 480576 -671789 -83665 128872 -914469 -1021916 -155652 -269148 -321834 -146406 -528632 413843 -897545 -66720 920159 -354 -258660 133653 -203058 751496 854529 -473817 820940 -642579 713735 721770 -326393 341514 337407 24202 827874 911450 -284027 572844 817576 -898876 -23911 915924 -660119 -837942 -472411 62443 204338 744056 -393001 -1118409 -518147 152812 400132 208417 130754 142871 -65604 -407560 347258 -63475 368180 -908731 717997 -507715 335225 761945 -284611 709613 106452 -1021872 423721 288986 70861 339051 -575868 747791 -480078 716308 112361 -345700 218546 613056 552866 -672174 601357 42559 -489982 268094 1064085 359480 667476 427718 561550 446536 758892 109486 
-298915 76440 -83687 -124324 883129 1063692 643463 -37470 482616 -634648 238711 -372594 272460 -79138 -41893 -966352 336538 1087745 817883 -756372 622955 -454952 -786009 881339 445438 -430642 -301731 4361 -762949 607880 -223431 -753279 550046 -783683 -112832 -641857 -107227 116140 941201 -573441 -154242 741435 688605 896045 468923 -188374 807972 -414709 103358 -51516 539192 941610 -131219 908111 647658 -750937 -52086 632320 837576 -786822 -842017 -399631 171417 418826 123771 135719 449891 -543909 -562029 -865763 -594895 768568 -339879 -628421 -23246 176157 -830236 -198040 -909212 42944 -714989 420232 -271877 -72462 -941575 -679938 -582916 -636321 -256829 -407927 -134299 -522675 -808719 641669 150311 486006 -186633 945098 219925 -197451 607691 -868354 -892455 -697803 -545176 -285106 -8186 -4819 428813 -129899 -697438 -702918 -447589 97487 79065 275980 668756 466757 804046 -951827 -775236 -547429 118158 799132 -429327 306725 678554 -535915 240686 -770519 325288 -580855 -956287 -663440 -1121223 605345 -359263 -134435 -593303 -336384 771649 -397958 -64839 -438102 -414915 892679 -558549 314867 -712185 481541 -853856 -503539 546880 -666031 -43184 55156 -889997 -936252 -171677 -229897 -319919 -145866 -538152 374524 -982366 -186361 877129 13241 -251460 9018 -269358 642415 861331 -546451 792915 -640402 698021 723381 -549106 319598 371078 18634 814508 968403 -255901 542667 750314 -889914 46785 837749 -645632 -860974 -515161 40675 247440 702438 -353532 -1145340 -465954 206529 396378 220306 167643 163311 -47485 -361329 281526 -76380 342580 -932731 621249 -493116 401309 763000 -315903 734253 71330 -1006936 404488 288848 47752 204882 -541657 822292 -487683 719650 33224 -317818 245926 653071 414662 -731922 670659 -17452 -511480 220453 1028195 330580 666264 389404 532958 534289 837270 148788 
-294221 71111 -63476 -122564 889725 1029064 695684 8082 508049 -662421 262110 -423694 293063 -40796 -21680 -949736 340665 1049451 811657 -794811 668148 -458703 -793768 937788 452290 -532042 -352301 -12829 -769353 558549 -162688 -723292 502028 -782124 -50391 -719590 -88246 230709 929853 -584298 -129586 870029 654863 763779 367359 -174962 796994 -496461 113272 -40625 544958 858630 -327839 869653 663082 -738074 -166552 637682 773795 -785921 -986026 -370979 154951 373631 167955 192820 467669 -517244 -552942 -870934 -650018 771174 -296863 -633395 -1048 207786 -848855 -133358 -924957 53457 -671166 416356 -229652 48494 -924889 -813644 -593902 -676150 -224544 -332518 -81176 -613740 -854753 624553 63645 476331 -207847 907159 233234 -191943 616305 -882584 -877937 -716987 -550450 -283747 -19254 -26363 448222 -171212 -694754 -671696 -564900 107513 94815 275344 681319 475563 867199 -1014017 -846436 -654285 179430 778767 -399107 320416 520892 -586461 378309 -654759 276956 -692232 -994162 -752511 -1142418 480695 -313697 -129568 -611073 -319257 786542 -341768 -88730 -456760 -580102 922445 -582321 317536 -726208 371436 -742985 -515456 674601 -654939 806 -39525 -890996 -850294 -180045 -309773 -350687 -140253 -557634 354253 -1047943 -166229 754651 -16658 -381525 102324 -302891 682802 855450 -662971 709659 -692800 680178 713223 -586244 309162 367304 -9166 821884 957476 -273860 494778 678521 -896728 100910 917246 -634339 -857366 -529086 15976 272699 582945 -310971 -1099217 -501879 190332 390761 246673 45728 140997 -41775 -350682 181322 -92270 349603 -896595 624612 -469195 439076 850410 -442333 842164 -47529 -904515 320534 368663 4866 72612 -568985 944606 -475142 758999 -71432 -336835 267850 672365 355705 -742523 675940 -24315 -570510 200893 881814 358577 664386 424295 526658 529592 884281 106258 
-298236 146039 -109596 -193122 892472 1022056 635837 80415 514905 -617839 243158 -568557 313847 10461 11221 -911316 383455 1073318 805039 -604246 713828 -458740 -765053 952237 493927 -556447 -375296 939 -723768 476325 -92506 -769207 515794 -769781 -14252 -759702 -80667 314699 936718 -557715 -145393 879599 637275 702698 387373 -181224 771276 -598788 110352 -49485 550440 687819 -441980 820902 660474 -760932 -269853 616506 784751 -770542 -929709 -261926 131214 384370 175416 167309 486902 -504620 -560811 -869468 -781144 865240 -243017 -636860 -41006 216402 -893752 -205337 -925442 37650 -643693 408276 -302107 111036 -923812 -913489 -599304 -668492 -190458 -433360 -14342 -762980 -918298 531660 23032 509094 -338736 857025 242599 -177894 614418 -833862 -921496 -774798 -555048 -367770 -9622 -49723 488094 -236444 -781209 -654080 -702842 99555 23566 269287 678528 487296 858423 -1060200 -900313 -782286 247349 760883 -364514 297903 531814 -617183 476019 -672595 288100 -623489 -993397 -773711 -963642 375792 -237099 -54431 -610885 -257873 757412 -325640 -216461 -413641 -506615 945791 -593795 318959 -683390 328314 -686970 -505010 792346 -616896 12494 -57569 -922811 -790553 -172257 -456378 -358640 -143865 -577132 347908 -1063843 -9267 696190 -68209 -447151 300918 -281682 817912 859764 -754693 672231 -695177 634770 664959 -450417 295087 333505 -9364 830189 918791 -325905 471040 693453 -853518 118144 1048354 -645587 -814860 -512623 -9585 244966 556511 -307838 -1014119 -526704 115116 395314 326923 -55737 61096 -75126 -357592 51782 -75906 302241 -886551 718284 -461678 445856 924775 -535475 939663 -157422 -842718 217527 421238 -60735 156269 -553541 1006931 -484753 769784 -109174 -321292 289284 701382 432641 -697620 665911 -1613 -632138 244151 764971 415323 660915 474996 564257 436716 871595 83733 
-282267 114953 -72775 -239550 889636 1005266 669129 163133 521501 -521746 192729 -632078 347020 62360 -9820 -895375 396160 1098668 810670 -487860 752454 -473217 -754370 893328 468841 -511391 -381917 31176 -645989 449999 -55361 -835746 541632 -773846 -54688 -690438 -95002 324923 916522 -509260 -134407 742538 548399 828617 499703 -228759 728428 -671914 109205 -42884 552732 583475 -323784 792867 718011 -749259 -302630 609088 824227 -729089 -678786 -159868 72128 373893 145394 91993 507050 -527825 -583275 -891223 -930297 997964 -189716 -658839 -7253 199176 -942732 -293648 -940161 -26288 -612328 410876 -392468 90683 -950487 -899264 -609365 -647008 -172116 -493940 17786 -811332 -921944 461685 71352 480416 -436774 809278 283357 -171169 648098 -843895 -1014186 -841112 -553814 -505217 -43675 -6083 541394 -319194 -804825 -607310 -767962 100114 -37565 290483 655878 492338 944683 -1094482 -831131 -798234 276759 796285 -330743 307471 658196 -604488 423721 -793186 381753 -466036 -975989 -820306 -834829 404231 -260736 2772 -621531 -207713 770661 -342903 -310404 -415018 -287220 962171 -623303 342076 -651450 386992 -740120 -476888 848038 -615361 35793 17922 -919817 -781309 -181917 -557734 -348198 -148335 -561023 363632 -1024362 115889 611602 -66202 -403663 373071 -220649 921768 886434 -739122 649278 -698922 611852 655233 -297434 268304 318916 -12761 874028 841374 -380245 453710 771956 -840714 88535 1091022 -636753 -825019 -516609 -21311 192617 565882 -345818 -915727 -560123 -44221 398369 362471 -55757 -21930 -70206 -373106 -10059 -86725 250577 -907198 807997 -484151 446506 955808 -568276 990692 -117059 -835363 132321 432395 -15345 307777 -551039 889341 -501399 764015 -9203 -322586 308205 711990 580796 -646456 608889 75183 -637333 292032 831487 440090 672813 495376 604874 329855 817069 122802 
-293412 101646 -84683 -196739 888823 1013254 752391 209850 526720 -426402 168217 -477576 365141 68715 12319 -867155 383413 1115174 805133 -575626 783110 -487713 -757258 788722 510013 -427685 -360754 30889 -589211 451763 -76570 -805995 497750 -775231 -117809 -597467 -84347 242754 939088 -478032 -137928 631885 533007 937315 563798 -292208 745368 -674456 120235 -70843 545072 627802 -97215 814424 747012 -712395 -239229 613392 904213 -710903 -603596 -178971 42889 378736 84599 67222 527424 -520609 -616614 -863609 -987372 1018254 -142419 -673225 9924 160805 -960838 -316868 -953358 -31256 -628776 404146 -345211 5374 -956420 -782916 -599528 -657213 -165451 -530325 51758 -633688 -856165 408531 136898 438783 -345444 769159 300315 -162220 637827 -897485 -981460 -820048 -558297 -501534 -28949 27026 611035 -363480 -757072 -588330 -802454 101514 -88581 306113 616419 500353 907471 -1099561 -744417 -683338 320521 827053 -293798 351749 821651 -561760 276638 -944620 462541 -334465 -991030 -869344 -909083 542798 -270570 38005 -610577 -79946 744214 -425948 -278327 -379980 -177579 964707 -610187 322486 -631156 497382 -808183 -480627 803582 -600384 38550 117078 -929910 -798649 -185124 -558575 -335583 -146096 -565455 388173 -946497 76525 621183 -126730 -307905 228098 -157074 894682 873164 -656113 701543 -646144 577534 637486 -232931 265565 332462 -17384 880946 772748 -421324 416835 820022 -833819 27115 1009011 -641380 -827457 -498389 -34114 131748 542700 -388485 -838054 -632967 -110703 399177 369625 -44964 -125289 -36018 -425629 56144 -73654 143870 -853938 786396 -506173 389752 837873 -543303 982287 38223 -872979 99611 371569 9776 338634 -574722 772049 -508982 759381 68724 -302606 318369 731078 595893 -653333 535620 51719 -632735 360703 962386 405130 672576 447912 682863 292740 745101 112058 
-291545 63424 -86893 -139696 891680 977297 728859 197461 512262 -374258 191473 -392011 369064 42221 -1069 -873456 384956 1091567 806360 -762933 803043 -492528 -735099 712851 470351 -364117 -323484 20969 -587062 499412 -141021 -771977 506983 -753827 -159387 -549462 -102627 136967 934902 -486153 -85152 665369 505831 1075096 505704 -369564 771524 -614363 126071 -94382 544254 775717 -112631 855346 748337 -705506 -119925 607705 978288 -660343 -782409 -251344 19071 348836 11733 127593 547162 -515304 -655030 -851311 -845178 927224 -105590 -669414 18061 128617 -950383 -226656 -958489 13606 -657956 393162 -302797 -67748 -946451 -649712 -601687 -688176 -179948 -417086 77368 -547097 -806435 348353 190257 450774 -187122 722013 301405 -174924 616633 -878191 -925882 -804088 -563759 -375874 -12376 19174 661668 -366144 -741737 -553140 -706988 90808 -64888 301808 572773 500797 967105 -1089398 -682719 -556496 324627 885464 -256163 331196 813686 -503015 200363 -999970 506112 -296463 -1004859 -831655 -1103052 652333 -296037 43979 -616323 -104022 792825 -476338 -151879 -429629 -295023 951751 -626316 324841 -596233 590911 -923683 -524567 700068 -610999 34217 136587 -881021 -853115 -191146 -441055 -339540 -144153 -521207 428356 -869246 -64786 699179 -88373 -262354 48251 -133142 759262 891320 -549214 777902 -653849 560403 655502 -373905 252145 368726 -4181 840247 758129 -392595 462277 767759 -808599 -41776 859086 -657852 -864544 -460619 -51220 100626 635311 -451703 -830940 -643277 -46145 398694 322851 74443 -163671 -57115 -496594 194594 -113861 103933 -803344 700705 -517340 370296 760493 -453425 919829 86932 -950324 103327 303168 59475 196034 -605431 769937 -523963 771841 113277 -273976 332426 716755 525408 -665071 468631 76955 -594915 390783 1082699 349941 668629 353395 730710 353322 657001 156852 
-302264 84880 -87771 -126343 904896 957442 768096 138791 503395 -394391 239803 -432377 378166 47613 14347 -824737 397944 1085976 806690 -770300 808225 -520770 -732144 686197 481376 -361397 -279076 55076 -631419 575506 -208764 -716036 508647 -763237 -157773 -586925 -120068 54691 952438 -529851 -169003 806431 473237 1106704 361766 -450529 833709 -512600 121990 -93786 557027 924053 -268242 904806 758998 -705136 -21780 604409 1013258 -627352 -956084 -341986 15967 328821 -54049 194986 566472 -505280 -690325 -870328 -679027 812852 -85449 -676307 7346 129334 -888909 -157111 -969032 22919 -705706 371379 -229357 -149518 -929136 -622995 -614107 -677361 -199520 -383345 45378 -605082 -805994 383611 149734 445567 -180894 699272 336746 -176778 621084 -884167 -840783 -830128 -555341 -265269 -1754 -7398 704953 -328135 -724578 -575936 -602105 119401 -53138 333733 523013 499504 941218 -1052669 -684941 -558334 338023 905469 -232291 345836 690669 -452628 200566 -895569 451442 -397937 -998731 -780777 -1153731 631440 -374296 1919 -620595 -170951 797109 -443175 -61751 -438965 -493351 931567 -671027 303189 -566693 594976 -866623 -528089 588056 -595410 18133 90026 -869012 -936302 -198312 -301471 -338551 -147731 -524029 471184 -830880 -189463 779025 -88216 -322413 32518 -161160 655888 898695 -492254 844925 -632986 535171 656544 -530498 240810 367443 73 810572 787259 -347205 410900 695524 -764925 -88396 854884 -657918 -854042 -446583 -55249 89077 738281 -518399 -878657 -726663 97656 405012 277899 119443 -188696 -25067 -539997 333740 -112298 75110 -791520 628878 -490762 280309 800708 -333816 792301 27075 -1004509 172966 296724 144796 83701 -579700 922039 -497706 720332 64097 -281093 340120 719846 354349 -728873 467675 59509 -543027 389792 1037209 331079 662759 406587 802443 467249 647077 88289 
-286431 129916 -35730 -193410 886039 972152 758919 53451 495039 -473419 267078 -569894 358709 34647 51496 -823029 418572 1089318 800360 -648991 803321 -538434 -777495 727568 535881 -446894 -204603 43010 -711631 611722 -238159 -769501 523974 -747319 -93031 -683867 -138892 53486 930749 -574296 -132055 893784 421016 959905 344025 -487324 813831 -432739 115638 -117040 553336 930891 -397098 918282 689440 -706141 -6614 602283 1007684 -615642 -939985 -385524 21163 302883 -93200 168635 583621 -522781 -717408 -872873 -606591 744895 -78575 -688088 17458 148479 -841661 -152653 -967537 2460 -728249 374268 -196539 -150410 -927628 -701800 -600792 -668290 -224699 -388011 41257 -733677 -871628 427310 55909 478458 -315664 683112 329013 -181068 616612 -875139 -885495 -813427 -561840 -304663 -32485 -41152 705944 -258476 -761567 -557022 -483032 113976 21421 366041 488481 495749 945417 -1000662 -760115 -687043 311750 917462 -205082 369985 531521 -426272 303331 -780158 355898 -565998 -997539 -746371 -968506 515895 -414469 -37116 -618613 -313126 809306 -398229 -92512 -437359 -595832 901766 -672389 312095 -545833 512418 -787489 -469618 479193 -617496 -16181 -6206 -900214 -1032525 -202904 -233126 -336923 -146253 -516227 511145 -851178 -146192 870196 -58018 -421105 219772 -227903 678382 907930 -521133 819163 -648186 503206 694264 -592771 235738 335322 17870 803621 833032 -281918 423932 682360 -745786 -91475 992238 -673765 -850379 -450530 -59566 123537 719153 -579870 -985851 -773645 212479 404183 227068 146014 -148669 -76102 -600582 328269 -97492 -8486 -730822 635836 -465719 211760 838285 -312161 708697 -96772 -1038862 254219 354517 149754 147533 -600160 983652 -494608 753753 -37537 -255715 344542 714060 363463 -755853 524607 7077 -494167 329672 849761 373736 668992 441993 841446 542081 656389 89609 
-304492 142837 -73346 -232703 902148 969567 795771 -15202 492092 -580673 243077 -621279 295014 -45649 32765 -832360 414515 1042391 795215 -505738 776204 -547589 -739041 814357 493083 -521434 -150040 36181 -764672 607252 -210276 -817192 513792 -741843 -38118 -744110 -154229 138256 942426 -585406 -121616 826235 448185 811639 456402 -500791 793774 -390467 124131 -112280 547384 807942 -356923 866599 680168 -690449 -83340 590089 952117 -550409 -733620 -370826 42080 298370 -95097 96398 599429 -549287 -730150 -853296 -643087 803562 -93941 -672873 34359 180957 -840246 -242482 -980289 -6453 -730015 345278 -308163 -74444 -942888 -842765 -582714 -679930 -257767 -519622 5165 -780529 -919684 525608 11940 500880 -445725 679362 376363 -189376 576252 -890926 -1008370 -760728 -567520 -405510 -36271 -32475 689612 -164999 -743970 -580121 -426226 87432 57088 372857 468480 479787 911778 -931069 -854644 -798641 275947 897139 -192494 346513 503354 -427546 431582 -617241 281882 -643670 -1004559 -668677 -826101 403216 -483007 -121354 -631595 -355674 768670 -317492 -219144 -454714 -390768 870583 -625883 287569 -583142 398885 -677433 -529134 472501 -626958 -48008 -64283 -949341 -1104692 -215765 -262907 -329900 -148038 -516516 540658 -920109 20567 873014 -31670 -450234 375881 -285565 823714 916144 -623311 725826 -661421 465464 731090 -436540 235174 313860 35796 840620 897728 -260780 440860 750462 -730589 -51560 1076295 -685121 -799421 -469587 -66463 187527 630835 -659770 -1093696 -817970 233654 404358 203870 87812 -52069 -100876 -612874 198096 -98326 61208 -704122 726929 -467391 155602 949327 -334993 664239 -165594 -1001393 368366 413763 205070 315632 -586504 904872 -487930 752135 -111829 -238049 344442 704142 515885 -707381 587521 -25535 -456106 259636 771400 425490 672903 482122 895923 518370 716864 84725 
-294258 114192 -75607 -200811 900140 980272 807064 -40584 472530 -650025 192328 -534344 269975 -108872 38754 -832835 418390 1032897 796630 -552620 748393 -572233 -752679 914472 528252 -549029 -81560 19966 -765671 561480 -143517 -814300 526924 -745670 -37944 -737283 -157460 252006 931418 -557047 -136206 686169 499758 735772 564321 -505820 742458 -432066 130779 -116168 543294 647336 -152146 828062 618769 -689191 -201836 603783 871861 -577941 -600182 -253452 76972 312155 -59198 63052 612858 -591281 -728591 -880299 -812544 919674 -129821 -678234 34898 208361 -861094 -290882 -984033 -36263 -711249 357721 -353641 31767 -956965 -915263 -572756 -656773 -268858 -534649 -20054 -673921 -908353 620503 37768 489854 -387828 675568 408922 -192710 585835 -899069 -1026770 -725440 -562357 -520455 -37702 13198 653699 -126374 -744869 -610767 -425755 68785 103688 374631 467947 478435 909687 -881470 -881836 -782593 213081 858661 -184232 350173 638884 -470887 440475 -678465 281555 -604967 -991219 -637579 -849703 402589 -442446 -124220 -633086 -309641 785668 -314087 -309754 -456650 -211271 840784 -658418 303917 -606964 334906 -722801 -529630 558056 -656369 -97912 -17234 -940860 -1154380 -226841 -403308 -324999 -152391 -523177 547270 -1001786 87642 894466 23170 -373859 327328 -302113 919616 920510 -738234 653073 -650875 516686 714727 -268054 224021 331203 64149 876465 942135 -272037 473182 820856 -709760 15388 1054048 -694884 -812102 -505357 -60168 239461 564736 -655240 -1150428 -846118 119461 408756 240412 -51689 44880 -59728 -607825 112327 -126925 29585 -685867 802009 -485651 97846 917556 -430805 656462 -46436 -926956 414703 429019 231688 339680 -592529 782309 -470152 747532 -21738 -215202 339601 658331 604192 -676241 650786 1093 -488697 217798 817026 434378 666445 454726 887533 413780 812383 93067 
-301439 65980 -81673 -135754 911781 992830 852991 1534 496806 -669628 162948 -386266 301163 -116993 17208 -821651 400186 1067664 796598 -750294 701090 -589606 -771626 972430 516017 -505018 -38741 10572 -713272 496326 -78292 -772634 524670 -756388 -77884 -651228 -185775 318316 929518 -505899 -101061 634562 488243 788647 529237 -449704 759200 -521025 128075 -106933 537789 578588 -58792 800802 548071 -727238 -289768 610834 815017 -551686 -715378 -163977 108971 298732 4239 125403 623320 -605140 -712953 -868727 -932756 1014300 -174699 -682908 9835 214954 -912408 -262334 -983238 -4864 -675608 368598 -359433 123036 -953836 -875340 -565550 -659824 -260311 -452472 -71853 -532682 -839167 634184 112473 457751 -219337 705970 384990 -190549 548352 -883920 -921633 -686974 -561207 -459026 -44295 34068 589998 -124712 -730294 -634135 -450926 76173 49235 386049 489004 458171 874678 -828661 -834980 -646939 179127 810205 -187460 376023 806658 -525070 350485 -779815 340419 -456761 -988156 -654389 -1052622 517278 -411227 -81992 -627782 -213992 752487 -368712 -271908 -406722 -220066 818553 -631244 293498 -654034 362659 -858345 -507620 682074 -666327 -132299 79172 -918123 -1146506 -232584 -541682 -307477 -153166 -571057 540202 -1058597 71673 819228 16508 -267276 127903 -264301 892272 934980 -766854 648719 -694925 516000 689244 -246842 223488 368288 63043 886811 969360 -316313 501736 798828 -713605 80580 903223 -694781 -811156 -523227 -39175 274230 488934 -625185 -1109429 -864616 -38211 411037 318070 -76558 118838 -32175 -584283 10519 -100850 94229 -630545 799055 -505540 77069 778263 -524709 733393 60688 -857960 434135 386854 290500 203417 -603914 739513 -458356 778496 92514 -170461 334424 651110 583826 -632184 693574 46219 -504263 200034 947976 388184 680198 412028 902695 314644 863892 120266 
-296569 99780 -112331 -125710 909202 1043942 856554 83159 493909 -600111 195100 -402332 281757 -204786 11495 -850702 375180 1041444 797907 -836279 663150 -609492 -738998 915937 530304 -423425 -28614 -9773 -637624 440614 -54839 -711506 508443 -777773 -140522 -553157 -207955 314588 952551 -476199 -141098 735988 594429 867277 376111 -386132 737530 -617161 130432 -95088 550243 665283 -191465 815266 565288 -688186 -292468 600031 762491 -561424 -950172 -177740 148581 288515 78215 195281 630972 -613478 -683272 -875565 -950684 1004241 -228033 -659525 5400 188269 -959038 -157103 -974131 -4090 -634223 345659 -268370 99748 -940905 -741671 -570387 -651986 -231627 -389728 -114492 -586550 -798109 649076 201696 421189 -184720 727791 364992 -191103 541038 -855454 -858749 -659440 -562207 -316114 -51279 15638 525029 -167900 -730711 -669478 -556597 87909 20416 399683 522789 442699 821822 -789043 -735346 -545067 123469 777562 -199079 386840 821985 -577128 182985 -944717 439655 -352686 -1007021 -667580 -1141347 650287 -377227 -16116 -628119 -116829 765950 -447575 -146349 -426548 -439704 808740 -650478 298814 -706529 465058 -877153 -507679 786875 -652822 -171160 140844 -886901 -1122741 -230596 -567943 -300236 -147640 -568520 521557 -1058976 -74785 714612 96211 -273956 11304 -197309 763222 954069 -700672 686289 -687513 536354 671425 -386840 208527 368687 74336 849961 900107 -378119 525092 707972 -702413 115778 823976 -703425 -841676 -531193 -40262 257642 519139 -574685 -1043347 -849372 -72002 403347 358353 -65592 162175 -72173 -514929 69001 -89332 178390 -612767 679370 -512918 126675 757072 -600201 839981 70331 -821121 366323 307408 295139 76452 -569225 899674 -472084 741175 124585 -169624 321371 633384 441464 -667951 687144 67682 -584170 237293 1043332 339643 675739 380582 875818 293758 898487 128119 
-291617 110540 -126348 -195837 914635 1030247 824942 162833 503446 -489428 238884 -531703 380334 -242793 -36938 -862749 383483 1023029 796632 -657746 606139 -620021 -762531 838994 528750 -361341 -14791 9102 -586291 453951 -89776 -734061 505315 -773804 -171970 -550802 -217105 222484 932213 -490231 -113931 862928 621160 1082339 344168 -311857 775201 -674343 131985 -73032 539189 836591 -403690 865077 578601 -693584 -204992 598077 788894 -546894 -959998 -245039 175707 278519 140729 174868 635045 -670134 -645659 -879415 -850702 890065 -276895 -627887 -15106 157844 -961248 -145117 -964075 14874 -619681 383788 -196162 40615 -924663 -625964 -547205 -632673 -202623 -417436 -192008 -707462 -829651 519678 171269 485514 -293243 759242 349411 -189443 520927 -852206 -925372 -685835 -576126 -269852 -76363 -44325 472691 -196893 -731711 -703908 -636332 96744 -69535 430096 574629 434559 811975 -771066 -694680 -584214 88551 761380 -220801 366671 717873 -615743 167952 -983317 499299 -320480 -990078 -722555 -1008718 661217 -306278 9047 -642955 -118774 778645 -459809 -62126 -412942 -588344 809228 -648003 295729 -689567 576733 -870065 -476241 851277 -655972 -178060 119500 -852900 -1061116 -251221 -494182 -295329 -151784 -575123 480749 -1005258 -157911 648973 65834 -341865 119006 -143250 641470 947870 -568358 758380 -674137 539666 664112 -569728 217090 338734 69112 836369 850945 -423575 565753 676422 -732398 107151 925106 -724466 -850416 -504448 -28587 211651 610109 -511574 -946192 -823179 -48531 402853 391083 41705 178227 -75449 -463255 209890 -81635 253692 -621290 614615 -489218 121925 792102 -551595 909178 -15873 -858919 278768 278430 253701 144118 -589303 981698 -484889 753123 23547 -144714 310842 596962 323156 -729620 617065 69931 -612582 292252 1020779 336414 677888 478257 798421 373439 859657 88488 
-298701 151033 -90883 -233682 917412 1043211 817960 212297 504812 -409315 264266 -625222 360125 -266357 -41057 -902979 397799 1050048 798059 -510951 571111 -623759 -758846 754790 557880 -365724 -44046 12333 -590028 486237 -159515 -765424 513566 -791533 -122730 -623608 -247996 111700 912372 -532251 -149303 873032 611085 1117127 427816 -236410 806772 -661152 137302 -46039 536956 942590 -425432 885266 610206 -686794 -85386 583667 846371 -581098 -763859 -336318 196964 258277 174357 92470 635822 -658357 -609175 -861178 -689915 749033 -324480 -651347 -3060 126740 -919339 -207950 -965851 20228 -632186 391262 -261486 -68226 -931018 -620640 -545092 -638463 -175144 -480649 -223067 -784926 -894767 386505 88593 489383 -419163 812855 379044 -161816 518808 -898750 -981747 -666168 -573193 -309960 -72454 -40166 437882 -274237 -785423 -712196 -749680 95597 -94011 451793 619969 413837 815728 -780277 -685508 -721355 43190 776426 -248080 374243 541157 -609991 292894 -934131 468101 -452661 -966654 -752221 -818221 517542 -283932 54188 -644756 -187362 798535 -438068 -96284 -434972 -501264 824588 -619301 280303 -729000 604339 -793099 -511108 810192 -630341 -205575 26356 -885639 -970247 -253109 -339312 -284009 -158344 -554590 440145 -921924 -148174 614100 75465 -449152 311360 -137907 673778 966836 -501876 824193 -648206 568954 633606 -571822 222382 312281 63860 789890 777663 -405549 609362 729249 -730005 57239 1063591 -711217 -852688 -470577 -3953 146038 703294 -451943 -859748 -784780 89577 408071 356167 101642 114252 -66766 -407837 300026 -124413 285784 -599100 651824 -474998 175161 892894 -428330 1020065 -169552 -954501 170546 328094 257640 327310 -594935 915881 -495438 754404 -37706 -88590 289260 590748 430324 -742698 555669 17112 -655355 369251 880429 391125 676338 502469 761804 486167 787211 75970 
-288462 117593 -87499 -203119 918468 1006426 808595 198219 501499 -366863 236824 -512281 358395 -312750 -59501 -936716 388437 994986 796846 -551275 530522 -635660 -729671 666712 554059 -486554 -72750 -5553 -648685 556866 -222085 -827675 516156 -767963 -68974 -729922 -258066 46331 942902 -574427 -119631 746762 712669 1069931 544357 -185241 780368 -597019 139990 -25632 525756 903132 -302648 920845 583235 -689183 -8094 591242 938217 -621148 -596423 -395182 180045 293247 170062 61345 633591 -696907 -578028 -862464 -598180 750435 -363621 -641490 -41665 123584 -867334 -288136 -952213 -14174 -656241 426404 -349592 -138382 -955888 -723892 -533085 -617224 -160489 -552597 -235814 -690605 -931403 344336 29918 471205 -396326 859956 355779 -168504 506970 -899384 -1037458 -730015 -567410 -438174 -89385 -17421 434430 -336329 -781929 -704954 -793807 99665 -82092 469492 656090 397614 854337 -816780 -759573 -813475 46824 818550 -281169 377772 497662 -570687 420457 -801476 378121 -566050 -994456 -816252 -863142 406267 -258983 41406 -641816 -275343 775148 -350293 -222480 -414010 -247607 848858 -610805 279808 -726270 547971 -695457 -518376 705952 -608996 -196863 -49731 -918066 -888775 -273178 -239925 -278500 -156412 -557713 399652 -852326 -9752 655227 54737 -449985 372871 -181358 822862 950732 -490995 818859 -604213 629097 666476 -401632 219543 331821 73784 815243 771404 -345201 676118 797915 -758104 -12463 1095984 -669419 -823345 -462084 11672 95330 735157 -387340 -812839 -741732 178341 403219 302694 151752 33800 -104169 -368821 326047 -169527 351961 -628316 737320 -463429 243435 913474 -346028 964548 -139413 -1014370 97249 403307 199349 362251 -589941 798947 -508366 733764 -106002 -91483 275726 566822 580695 -716076 513789 7981 -658811 390769 763887 434155 676035 445592 683859 546150 694180 100216 
-288317 109149 -43024 -134852 919189 995481 790690 137271 522715 -410115 189511 -369429 376408 -306798 -64463 -951802 401652 997022 786802 -716966 504590 -635682 -766956 695020 585475 -522344 -134328 22087 -721592 614155 -237600 -816426 501055 -788025 -29411 -756442 -284415 66287 932024 -584370 -126741 644419 728237 934297 524235 -165025 751815 -491281 142928 -15395 534847 754575 -102647 870406 648219 -667849 -19583 602365 986332 -618719 -682127 -339161 162615 281389 129303 118789 627221 -701842 -558002 -858883 -643159 826432 -381390 -638642 -10036 147555 -822136 -301664 -946795 -33243 -695832 419717 -373767 -144542 -960714 -855327 -528142 -601049 -165096 -460649 -264398 -562356 -900966 339775 27221 448402 -251342 902665 332780 -162072 500198 -900477 -946658 -728851 -579330 -493782 -90804 20613 452907 -364402 -716581 -710055 -739252 112501 -18643 488451 678823 390503 873167 -869739 -850516 -756515 34746 866699 -319252 380211 626805 -520301 466990 -656523 301090 -671279 -1001245 -835879 -1016823 382725 -292362 -4632 -648734 -317904 767320 -323379 -311507 -420067 -181313 878657 -611974 271366 -680285 429656 -683534 -533303 568259 -621193 -191768 -49760 -942870 -825409 -272029 -234608 -280483 -158540 -550377 368874 -829594 111769 762336 59081 -346185 237143 -250017 928907 943799 -585480 747609 -616461 641594 672371 -238082 228066 367572 49524 852381 782025 -294230 691662 809634 -784973 -71695 916631 -678273 -808474 -445021 35986 87516 740970 -318832 -852636 -711429 270095 405812 239685 78391 -45258 -76582 -342125 252288 -117217 381795 -596046 799620 -482670 307789 834625 -302600 977509 16156 -1035916 86281 432764 137025 204827 -582354 738871 -492457 747764 -46384 -81024 249286 556882 620417 -664842 455062 -1229 -600841 378525 822484 429517 680446 403788 631727 504381 654015 137813 
-286573 76978 -78487 -119852 906143 970042 796699 52434 519153 -511570 161659 -394152 342290 -281411 -65539 -990357 409764 979651 794665 -803338 492122 -633552 -772556 767606 537356 -546918 -195000 42487 -772023 620105 -196018 -739978 511571 -763282 -51603 -705944 -285986 156934 938339 -554719 -145730 661793 767304 770409 399588 -183757 723141 -414546 137873 -52884 528253 611796 -97659 823256 687637 -687062 -117359 599337 1025936 -666021 -927334 -234672 138160 319617 63311 183619 618142 -695094 -554264 -876755 -802287 964314 -384675 -640991 -34454 181703 -847552 -207781 -929189 14221 -737218 457928 -331595 -94528 -945108 -918396 -528821 -606572 -195888 -364490 -265849 -583299 -829062 427216 101630 441830 -158279 952383 309386 -175776 508654 -867914 -822636 -774232 -583108 -450156 -28818 14691 503492 -330792 -706888 -698108 -653882 89279 57466 500287 684189 373415 922272 -933747 -874482 -615057 31834 896568 -354759 337294 789163 -466847 399289 -649898 282073 -606772 -1000931 -820773 -1128467 499034 -325117 -95517 -662773 -338661 826992 -323639 -271493 -406473 -302887 910569 -565417 254276 -658627 345265 -814978 -545548 480796 -603811 -176328 36263 -912230 -783641 -286518 -349985 -268894 -160215 -527633 348555 -864787 101867 844075 31903 -224302 47341 -297093 900802 943312 -714476 661149 -613949 702989 670723 -256192 224018 371154 32051 890238 811483 -266796 730538 739340 -810036 -96194 830154 -670977 -826934 -462386 51557 108283 620188 -311663 -944469 -641205 124402 401934 204011 8609 -108802 -40725 -332432 85166 -141698 365538 -633219 793271 -505095 362469 740805 -344408 885731 101292 -987126 113236 385831 92738 73609 -597995 854892 -502150 789726 81951 -53558 226150 572043 539074 -635620 495066 8999 -556074 314814 958667 373242 663433 411840 566373 394674 649315 132436 
-302368 132049 -75968 -185769 922534 956924 772789 -18855 503999 -610423 191219 -515857 316610 -291129 -64782 -1000172 392242 909602 793388 -674895 492066 -625096 -712411 862564 547495 -474222 -265278 57859 -761141 580318 -124307 -709379 511807 -770862 -102350 -593105 -290357 268813 901860 -501554 -126886 809326 773832 703618 339837 -234021 749966 -392501 144118 -54697 515252 592446 -289475 808092 735153 -687377 -235927 601063 979387 -699629 -992118 -160218 109275 319945 -10274 177294 606714 -698304 -565400 -869514 -942775 1009717 -377571 -640772 -14740 208460 -893132 -135664 -929055 39041 -738938 397289 -255709 23352 -927053 -857324 -528404 -579919 -233067 -389363 -225776 -720153 -794575 482211 169877 478737 -251793 990033 282954 -185527 540785 -872507 -924980 -815452 -581488 -345633 -55781 -5308 565733 -258562 -714632 -672066 -491396 84719 82688 522136 663839 359413 915643 -991481 -803685 -540178 103308 919179 -389874 382249 806015 -435209 251198 -717023 318890 -446856 -981886 -814430 -1048616 617081 -375050 -125586 -662265 -252665 785290 -403256 -140255 -471111 -504951 938475 -569213 266483 -623383 344334 -880313 -522858 491819 -625098 -160372 128642 -878385 -790989 -307466 -495173 -281965 -160472 -531264 349552 -943189 -99111 898450 12248 -277270 55491 -294521 752568 938391 -747099 619377 -628945 739947 716863 -416341 236615 337483 33632 857789 886794 -262610 753691 681764 -839017 -74188 880723 -660020 -827327 -470040 78334 172452 577498 -311212 -1046613 -611730 24268 400157 225806 -53374 -162181 -98510 -377011 26394 -172611 316145 -642647 679583 -513928 417850 731770 -419738 783804 49936 -935917 223874 322300 30205 146261 -622965 996802 -500546 777852 90094 -28487 198954 575233 379948 -649212 561450 20663 -506595 257509 1073540 331467 676164 426584 551172 303978 699248 101252 
-286751 142548 -117981 -231628 910271 952823 748557 -34811 489990 -664636 235576 -662712 276377 -262062 -114912 -1005048 391898 911912 788652 -543253 506477 -613360 -732164 941384 527490 -408485 -316975 52577 -700467 511475 -67810 -743555 522055 -761726 -155861 -542891 -300984 329409 935385 -476943 -119029 889401 768102 826307 399827 -287279 784650 -442481 135319 -86293 533229 723146 -440663 808654 718322 -673335 -302120 599892 931522 -720072 -818996 -185345 51611 351177 -69440 92642 591567 -649752 -589760 -864957 -979032 962056 -343329 -642032 -16844 215812 -939023 -153842 -904525 38965 -707975 406386 -207875 110589 -925870 -718529 -521216 -589624 -252336 -442551 -186905 -798653 -843064 602313 197554 468008 -415615 1020050 276375 -187342 539092 -854264 -1028535 -830272 -583059 -271929 -68533 -45004 625454 -206950 -759674 -621493 -391238 110597 94746 521946 630290 351601 955531 -1045171 -755866 -611893 123761 909763 -419048 372660 696173 -425394 162126 -895515 416485 -305392 -999274 -732851 -860346 670554 -455703 -123982 -665499 -148959 814485 -446879 -61382 -461521 -559316 955529 -568262 247687 -562261 437227 -881435 -510688 571949 -641221 -108146 139880 -872206 -829070 -312762 -573752 -297523 -161543 -508366 366496 -1021021 -190076 922254 -10225 -423504 236848 -242227 640093 928514 -679981 690766 -667768 721158 729429 -567519 219895 316831 9650 839744 944518 -317653 781582 699044 -858716 -16434 1054777 -632794 -835386 -519822 108623 227755 502476 -355289 -1140170 -553182 -85317 402751 279595 -78574 -183469 -114812 -417885 48226 -173697 238188 -704141 611837 -491180 459595 907293 -536050 669827 -95104 -842274 328821 278276 -21910 329752 -590969 943116 -493453 757103 76349 -27685 172263 560985 359446 -709188 619323 63145 -467584 203304 1014419 348883 672830 469830 512964 301113 778242 54764 
-289400 96672 -112014 -214592 917240 944362 713821 4094 498421 -646991 260506 -574024 293260 -198692 -158567 -999180 385669 931313 789097 -525877 532879 -602122 -755011 953806 589689 -373841 -366866 46528 -623135 459327 -59519 -803022 496923 -764237 -148522 -584522 -295665 295892 950108 -485775 -86016 836790 732014 967019 552929 -367264 827936 -538286 154610 -102520 536824 878778 -368579 869432 754879 -681937 -273993 602098 854306 -775109 -613720 -272757 18962 358989 -98011 61011 575143 -626310 -625388 -889017 -859221 833204 -299535 -653022 32205 187254 -957765 -254230 -901164 3046 -669747 384972 -306242 103879 -947674 -636325 -523845 -535481 -266815 -531331 -149038 -707758 -908384 641720 143326 437538 -438005 1039623 280849 -194268 549103 -886927 -997174 -824992 -573644 -342077 -58696 -38997 668006 -122728 -759011 -591972 -380359 106047 64038 518991 583671 346113 951644 -1087075 -687309 -751514 175141 880073 -443978 356750 551939 -458428 246561 -976485 485242 -309880 -990583 -717667 -835560 551679 -463037 -66634 -664845 -89470 787327 -461947 -103101 -460569 -398860 965560 -561141 246453 -565916 548535 -800006 -510839 699574 -653696 -58427 62876 -897835 -897637 -321908 -541723 -322795 -160873 -518104 400780 -1064450 -170441 828096 -68500 -433199 371848 -175250 680958 921080 -593112 764050 -705866 733036 733766 -580335 250824 330045 8621 833515 978299 -381925 813847 785241 -871631 53508 1095907 -641213 -850091 -524247 135619 273293 555507 -397262 -1132751 -495421 -73009 399639 350338 38750 -143505 -74701 -497437 191200 -150044 136971 -724540 650217 -469573 454257 942994 -590890 661229 -154291 -814855 404652 331344 -30739 341368 -609410 839390 -473241 780938 481 -25216 146857 578246 517122 -734216 684210 50888 -492141 200175 874822 402210 681472 455867 536450 393738 836565 94721 
-291476 79387 -74568 -133344 915368 990575 724584 79013 483756 -564361 237821 -420517 316500 -149266 -172738 -1011215 367416 921193 783895 -684246 567841 -585792 -721651 891776 573103 -382696 -385923 53301 -581960 438153 -106308 -841018 507371 -774150 -101997 -682430 -287321 198252 951822 -534982 -115834 681419 690599 1107573 538635 -431677 818242 -634193 149323 -101530 530130 943517 -175676 897777 749913 -683089 -170840 613505 774776 -775059 -655385 -376552 22700 380353 -88247 107687 556989 -589826 -663118 -885935 -675640 742230 -247172 -651267 30000 147032 -921526 -315540 -889803 27001 -635486 368839 -368323 65126 -954679 -637570 -549506 -559196 -256555 -476798 -85455 -573367 -915463 639990 34352 466738 -279698 1043607 224701 -197664 568268 -889794 -894541 -820584 -584077 -447259 -54047 13423 691445 -105237 -751418 -561190 -424004 78094 -25843 552599 541820 348539 955536 -1097394 -696600 -811711 213628 838005 -463463 360416 513653 -512198 365218 -903602 482961 -383987 -967307 -670836 -971363 425091 -452305 -7112 -678301 -156746 834252 -430513 -234196 -419347 -190265 955436 -502127 235642 -547638 610452 -709374 -504586 796071 -663117 -33238 -33946 -931790 -992414 -336802 -404446 -307959 -156698 -560735 439986 -1049432 21692 746494 -113754 -388650 305709 -135709 808804 911358 -517976 830001 -684617 727630 668061 -397569 247352 361770 387 821068 949090 -406165 783615 815375 -884629 105422 1022811 -643582 -859850 -527357 158514 264606 645166 -488022 -1081150 -503351 23733 398349 389550 115759 -47579 -61519 -540298 274276 -164282 59952 -771005 747199 -467319 418991 858446 -542651 671413 -71661 -888883 433257 406419 456 194609 -601019 759977 -499204 747024 -87529 -8886 119621 593029 611078 -729897 678580 66180 -513239 243884 763460 439843 683937 390490 566314 503387 897233 150308 
-292060 75378 -122613 -122429 915196 994003 694970 161338 483263 -469422 186977 -365421 327978 -66805 -135260 -975699 371882 923537 783915 -773781 616173 -564310 -722363 797021 543259 -474239 -369287 19883 -593493 478647 -178149 -792598 517994 -775132 -46352 -765993 -277165 99112 947376 -577261 -137072 635077 656779 1099244 414832 -492106 786666 -676853 143830 -125058 532541 863074 -79804 908965 702128 -658039 -54727 622717 756929 -798279 -870175 -375795 27495 371235 -42178 179756 537338 -572648 -698834 -867694 -584743 765935 -196947 -670507 42497 126424 -878503 -255052 -879226 19685 -617133 343297 -377932 -42141 -957923 -741923 -534504 -525300 -230833 -388102 -29364 -574283 -873692 517681 16749 490037 -180770 1039177 242692 -178655 589798 -868350 -889384 -775643 -580239 -504729 -37867 31454 710442 -146504 -716862 -567877 -522541 96796 -63897 567710 500997 340817 939783 -1090245 -750887 -717481 259051 781029 -463987 373879 628816 -568068 486385 -838563 410192 -571297 -983222 -635012 -1150556 387785 -422633 22272 -685836 -217844 814956 -378584 -313545 -439571 -268205 940686 -504838 238286 -567045 568463 -725283 -513898 857583 -667105 -6869 -61660 -917899 -1066380 -345353 -271501 -324263 -157147 -561373 485172 -983183 120507 677508 -82504 -304197 106447 -147334 908510 901001 -488602 842178 -635580 681549 674201 -243382 277690 372939 -1313 835841 884151 -405314 791856 766455 -881919 116597 890274 -643771 -835189 -502428 191186 231195 727007 -549970 -985636 -481795 134289 398133 384432 164065 56648 -58915 -586178 332852 -172068 40843 -785494 821434 -491196 356351 789629 -474520 755155 50790 -956808 405473 440566 4009 91883 -568595 795582 -478996 761787 -47862 -7047 95738 603719 591115 -685626 643158 13666 -568673 317141 798082 415904 683194 395506 654824 544024 880646 151019 
-306312 119557 -84620 -181247 904671 1019821 683564 216947 489589 -382164 164592 -492907 355989 -5709 -179475 -987016 346150 897611 776347 -713408 666104 -552113 -746423 691982 527545 -524977 -349449 4202 -658654 538970 -230407 -724731 531942 -807685 -21978 -742464 -269109 42120 939945 -582137 -121185 731573 623139 973161 333673 -507433 736880 -652923 152184 -120458 540283 694879 -237569 866660 645931 -663133 -2473 621776 817643 -785977 -989153 -333023 34541 412985 26703 177135 517034 -549394 -723511 -882166 -643467 872841 -144652 -666511 47896 124519 -842668 -166944 -862383 5387 -637468 348992 -335853 -130782 -929406 -885753 -555117 -504059 -202415 -354922 -12566 -659631 -810116 489069 72576 481101 -222844 1034646 254732 -183088 615541 -883328 -899031 -758378 -593526 -413893 -31182 12107 674332 -203821 -754474 -569303 -630413 122056 -73616 570711 470623 346416 881007 -1073356 -838304 -583783 318657 767150 -462569 370536 783259 -603549 448762 -671807 321636 -648372 -994893 -683776 -1085527 463142 -373915 52384 -676429 -325066 803452 -295798 -261851 -428527 -451130 914382 -496764 223022 -593499 458226 -795118 -509385 786670 -656823 22280 -380 -907822 -1130732 -329971 -229987 -321742 -161854 -575227 518962 -899220 75746 613708 -124389 -267790 15833 -203847 900356 888979 -549000 758080 -620724 643458 650005 -262099 296343 340984 -15588 845938 809285 -356766 779898 685429 -888193 83280 861512 -653872 -819805 -461274 200888 157489 750121 -608063 -884710 -478725 266250 394253 313576 112499 120860 -70127 -618241 270763 -156725 29751 -832115 776237 -506938 296303 757639 -339217 863280 103460 -1009318 309248 408577 54594 150403 -600384 957264 -492197 732608 37666 4777 70693 666255 451111 -651365 572081 1178 -624515 375264 962669 358732 676474 471863 685181 485546 815646 132847 
-304304 120250 -77388 -233443 914935 1046789 662218 199143 498121 -373296 195901 -643412 367771 17624 -179988 -932053 379879 869229 780190 -528609 706487 -534760 -773296 671310 545111 -540645 -306487 -11544 -731802 604309 -231261 -745061 514690 -772662 -58052 -650646 -255805 78465 928772 -553452 -141868 868085 591086 831296 403827 -496419 725042 -568083 152631 -112271 531322 596399 -408632 814169 580476 -679030 -43060 626598 879470 -776816 -848176 -218732 62660 393668 98833 108959 497072 -487518 -733211 -890673 -787052 997495 -106102 -673927 48975 154968 -831702 -142650 -855402 29634 -664143 322432 -204204 -138255 -923671 -912773 -552791 -519852 -170197 -455819 16017 -794812 -805539 355185 178813 466285 -386815 993808 238962 -165742 619714 -850371 -1014324 -717722 -592180 -318273 -34167 -32997 641616 -288822 -758370 -568108 -717914 103499 -54857 584487 465047 354468 867721 -1015387 -864444 -544238 323957 765248 -453352 378229 828607 -610924 308687 -633545 272872 -625731 -1018313 -741341 -862608 607078 -318718 16463 -682948 -363043 827110 -298344 -137717 -411177 -581792 881480 -468298 204018 -638090 360509 -885356 -513973 680742 -654586 30194 93941 -865575 -1159686 -360978 -302901 -341132 -167738 -605996 545309 -841213 -82302 641372 -88826 -346918 139641 -270421 765423 878076 -700320 708357 -592181 630301 642933 -437836 307007 314676 -10164 884684 761276 -290046 778129 689335 -876047 19025 997999 -647909 -808991 -459920 238955 106158 651894 -649557 -814365 -531420 220216 404308 258478 26868 146865 -72907 -623773 96044 -171052 41887 -882914 677875 -521040 243010 826230 -315856 976030 17352 -1030092 193391 321412 82069 328667 -574338 985878 -500051 776009 134849 10688 51618 696329 340390 -664222 511739 -1601 -627523 384797 1054107 331959 683834 506918 752077 375152 761380 59154 
-301038 123520 -88129 -205867 898709 1021053 632593 140838 514440 -434212 244226 -603177 366327 50988 -169405 -921277 379026 874450 779106 -477074 750252 -514762 -697611 718951 566793 -458122 -260419 -5664 -772862 607902 -179005 -768232 519068 -770377 -132903 -569336 -230724 174493 909351 -501964 -119449 879909 525276 743324 537345 -455904 779143 -459555 150205 -79237 544535 622562 -445060 795608 590352 -682972 -153680 622402 934989 -764654 -644641 -166244 84914 416501 154249 66904 477381 -524970 -726053 -895406 -947107 1009679 -83767 -687225 18190 191320 -862297 -224602 -847123 5829 -701846 339428 -256545 -100515 -935272 -830904 -574076 -497280 -163920 -541708 40044 -729016 -866462 341661 198451 454723 -433903 954421 239111 -174434 613362 -922779 -1010038 -678890 -588809 -287663 -17294 -46804 577116 -351185 -743667 -577751 -807906 101295 -18685 604083 479624 360132 848854 -954488 -841087 -646637 330791 793878 -434904 361246 722189 -589286 185207 -700449 290725 -494992 -1019604 -760207 -809856 661054 -249931 -28491 -697830 -270808 828659 -370257 -54644 -426130 -511647 849757 -434569 202289 -668799 338943 -930478 -520266 555255 -644581 30262 144233 -894316 -1146242 -373386 -444041 -360731 -173442 -558604 552470 -832289 -188369 693659 -57298 -428381 329665 -301921 643693 872565 -751070 670079 -612542 604603 654913 -576190 308117 328716 11625 847290 770679 -257020 741292 757877 -863868 -48511 1068909 -665331 -846427 -447139 252987 86125 578730 -649315 -826798 -543156 90578 396505 211402 -63525 149519 -52514 -582344 26201 -210713 84431 -897759 604628 -487413 177599 891141 -318558 1012592 -157346 -1004357 125153 297569 147405 346870 -601641 862197 -484824 776590 84258 12509 30161 736309 377393 -710750 473892 49915 -635134 381655 1027275 359059 670492 448583 840536 297704 683177 57382 
-301451 116548 -107246 -134282 901317 1038359 653383 47541 526657 -528160 265381 -440773 345963 60911 -182530 -890803 381413 877305 775779 -650634 783984 -494541 -738969 812905 537445 -397195 -190037 -17304 -753966 603936 -106806 -827639 483687 -746016 -161284 -553008 -231305 283804 948094 -480091 -136911 748696 499301 753279 528560 -384569 808472 -401109 157431 -70748 541001 777389 -224893 809131 587762 -683855 -263324 633480 1015104 -726447 -609105 -178957 131723 435381 177183 116811 458725 -476335 -705486 -887020 -994045 919103 -78922 -677278 -17012 213435 -914321 -309803 -839519 18465 -719682 385977 -334656 -11452 -956131 -707233 -574133 -489552 -169915 -473470 70728 -557151 -915980 409887 120876 423649 -317788 918679 284910 -167992 655494 -929151 -970437 -695423 -582185 -391238 -2288 -13729 509538 -350331 -781566 -629371 -763164 98754 34257 574917 513261 373248 801949 -900095 -764300 -778418 314465 840049 -406811 327964 552043 -530521 169840 -888473 386058 -328499 -963068 -820554 -961134 585041 -252183 -70585 -699902 -154661 790720 -470269 -106977 -434860 -292646 826413 -425129 209048 -710169 404241 -808242 -536848 476082 -611814 22588 101450 -930365 -1085427 -375937 -557482 -358013 -171122 -556849 539012 -883313 -135462 814362 -34966 -440706 358749 -280536 670480 867812 -731417 685782 -643530 557257 691339 -555555 320878 367519 21019 812375 811033 -265483 718545 822287 -850367 -90679 1006296 -656111 -856043 -469129 271734 102651 501397 -610308 -925735 -599650 -61587 397883 217411 -64328 100715 -69664 -527789 74104 -183556 137387 -909932 668897 -464399 108953 906806 -434966 972661 -137440 -921649 94388 320999 158947 196636 -601893 753535 -485517 790046 7426 -4027 14698 712673 518130 -746811 483750 86230 -592665 317602 879139 418152 674164 421705 889836 313380 655378 104986 
-301599 68782 -140632 -137984 898402 979791 671541 -16123 517896 -622264 233476 -357149 295186 57342 -159647 -880141 397043 838591 776648 -805059 798714 -483328 -734360 915579 558050 -362377 -127684 17198 -684719 522522 -59460 -836395 514649 -742954 -141714 -628838 -211656 327999 931835 -492593 -119425 642082 478436 907139 452628 -313416 807880 -395804 163952 -45486 543464 923613 -62045 870218 568703 -678388 -304513 639839 1019094 -700526 -840444 -307922 170145 413816 161413 181715 441588 -472000 -673835 -868982 -860794 788632 -93089 -670423 7782 210090 -970913 -287808 -840046 -14777 -738674 386394 -381418 67479 -956913 -616910 -586761 -504122 -187272 -412177 68972 -535952 -914199 458801 34418 437123 -175756 868028 308819 -165621 626163 -897695 -858369 -696166 -600222 -460339 -48709 27643 449390 -379858 -732569 -671729 -673731 108918 75290 590408 554474 395914 832472 -847142 -674225 -806231 270476 884694 -372331 353610 476703 -477677 312112 -978787 477723 -289874 -1003143 -800120 -1112059 442506 -284503 -123726 -712265 -46355 858720 -469634 -239268 -486676 -195986 811155 -427125 197038 -709473 520545 -721174 -522676 483203 -594201 17797 -1494 -947512 -1012098 -379610 -562836 -360451 -174560 -546061 508236 -965858 -15365 933746 9616 -376427 195643 -220338 806926 861337 -636352 762988 -654378 532830 720789 -371230 349797 371392 39770 807101 866635 -311796 675584 787926 -830578 -89680 898740 -699947 -850612 -492562 283921 158248 526326 -585776 -1018252 -669025 -71990 398033 265359 1386 9927 -64281 -466770 134048 -228301 209869 -927546 757759 -463005 71630 812276 -540215 893071 -50104 -862905 103894 386140 237313 87088 -643096 813751 -492872 765272 -65352 13031 2084 726080 602684 -730676 541001 92622 -576955 236924 774779 442363 674638 416723 899519 413945 655163 105147 
-290175 91550 -100880 -181729 892823 970916 635795 -37559 516180 -661264 184818 -479113 242114 51056 -188164 -846344 406537 826524 778440 -739696 808940 -466572 -757208 957484 534586 -393185 -72899 35410 -613403 459215 -65951 -758401 530258 -741598 -81436 -717290 -176487 284773 904972 -535129 -158661 675135 481639 1038988 355315 -238604 744148 -460965 160306 -31937 553166 928548 -151128 901059 575331 -692890 -248012 635669 974344 -686586 -984520 -360437 178632 437523 111157 179862 426700 -495050 -636216 -881519 -681582 749299 -120961 -671955 -29394 182579 -959025 -198077 -836625 -34077 -710300 414668 -302778 95002 -942225 -648710 -592716 -493092 -219761 -408026 7115 -702049 -858594 536306 -4334 473022 -182123 821700 320065 -183659 626939 -858129 -915522 -706648 -602553 -511198 -26624 13737 427481 -309789 -689753 -691125 -545230 110030 87476 593784 605703 405305 858390 -803390 -686148 -693770 242492 910894 -343652 334498 604754 -439173 445090 -958367 498555 -423177 -1002958 -847369 -1085584 356305 -323030 -129077 -707703 -83004 792727 -438990 -312471 -463420 -324054 807213 -391444 206799 -704082 599964 -722835 -523820 577333 -596157 -20608 -64027 -926066 -924128 -385978 -454307 -358950 -173357 -549896 468719 -1039736 113648 904496 39911 -256938 30959 -157351 924118 860572 -534119 807801 -681345 532651 730300 -231779 369423 344366 58648 811485 934256 -372262 636704 704288 -795523 -44564 821647 -695023 -845250 -513237 301917 217831 658265 -495557 -1112984 -719157 5161 397980 318572 98166 -59112 -75841 -403687 292621 -225201 306381 -915655 821454 -483544 91641 747041 -578092 794978 95885 -840882 172211 428286 279497 170599 -609205 941102 -492951 776726 -104324 -10482 -10748 684646 541266 -674304 610619 70835 -515510 199187 805763 405170 664386 447836 911201 519581 721626 114027 
-303747 143730 -93234 -242026 895924 958053 657178 4805 491724 -626528 165951 -609178 278818 29751 -147973 -835997 426921 834579 769925 -573471 801372 -459017 -729373 928932 547365 -462714 -33122 8810 -576824 440699 -122970 -729415 533429 -808343 -31530 -776079 -158151 177420 945222 -578255 -108520 800155 494401 1088867 398975 -195845 749023 -559024 153188 -26289 551010 804349 -344050 900169 605397 -687908 -131494 648937 892659 -686624 -900785 -360968 184944 418021 40870 108319 414095 -499031 -600769 -878675 -585345 815409 -164826 -674725 -10809 146038 -901647 -154684 -835644 -10516 -677317 420251 -286359 78611 -929488 -782149 -611202 -420870 -258616 -440877 -8045 -775453 -805278 627926 51859 514916 -357543 772344 352749 -195259 603092 -896134 -995228 -712175 -600797 -377250 1380 -2171 433791 -242474 -732496 -714500 -461952 94686 47315 582351 648206 419228 887630 -774825 -755738 -562044 184555 923521 -305421 330061 769785 -427532 432122 -839913 435803 -585478 -981731 -783660 -945689 443553 -375511 -69081 -713316 -195293 825483 -335370 -264870 -472267 -578071 816595 -385323 212823 -678356 592128 -751386 -556720 714986 -630887 -50615 -21253 -911930 -841613 -420944 -315933 -352402 -177059 -551368 430237 -1066034 82585 868499 74444 -270402 50105 -132545 893962 873505 -497171 830107 -682647 482546 740757 -268689 374467 316735 73089 826839 970955 -409115 613703 676786 -762795 23289 907587 -691402 -824014 -534556 296997 262912 670359 -418612 -1144875 -744196 132323 400828 373986 154740 -132146 -85715 -375357 348057 -205112 333449 -922199 777374 -509019 119304 744794 -550558 685592 87115 -829191 277065 409713 272590 324997 -655131 950637 -472782 765157 63125 -16380 -16506 707056 386759 -630324 672456 21218 -497280 211168 964797 346741 672652 493304 884934 541034 808360 72143 
-302700 155873 -82003 -205523 893313 968843 638386 80041 498846 -538435 195177 -649087 339452 -19215 -126755 -830916 417465 851394 768914 -480233 776934 -454425 -754930 843609 551281 -559800 -13017 41439 -604414 465842 -195261 -739803 523178 -796155 -30113 -725403 -142948 73780 924492 -582572 -142391 893128 472716 1036697 505332 -164811 752251 -653435 154931 -25273 543086 643965 -434217 878902 677401 -703190 -31466 639791 819159 -612179 -658207 -322524 176935 403018 -30226 55086 404381 -533987 -571977 -907287 -632867 943585 -218034 -646432 -47546 126009 -860556 -172203 -836223 54187 -641599 392801 -226660 -18036 -937447 -908908 -601262 -453190 -281540 -517788 -46295 -724070 -811814 630546 170184 492238 -439345 730991 348464 -208087 605099 -867646 -1023026 -743603 -594697 -311664 20915 -49224 459957 -156431 -802376 -711237 -377473 111054 -12876 576958 676534 444073 914943 -778528 -829747 -557261 136625 898072 -266660 304071 840955 -451297 351058 -694036 344802 -659316 -1005237 -735490 -821338 565400 -420662 25136 -713943 -299636 783826 -297764 -135162 -437457 -563696 836295 -412777 187132 -658281 497986 -891637 -514743 809503 -632059 -87872 61901 -867713 -796524 -405090 -231355 -345600 -176619 -539608 387170 -1034349 -64243 777726 91585 -355027 243945 -163450 753851 864194 -538194 763561 -681158 496400 687174 -453991 394436 324943 69090 847510 929088 -409096 593983 743114 -730946 86549 1065881 -687964 -810267 -520620 308747 273168 718095 -367312 -1110767 -797860 245578 399442 373690 101233 -191238 -75659 -348082 287811 -232776 382229 -910404 671662 -517302 157464 853450 -451663 657180 -59088 -932513 381645 341323 269475 351513 -623295 866855 -473745 768263 113166 -23889 -17349 678132 367243 -642666 687702 -25475 -483365 261872 1067138 332669 682882 442776 877157 468132 878727 62586 
-293761 96184 -63084 -131610 884400 995209 685319 159802 492794 -448890 241128 -477385 336984 -42173 -98101 -817519 384831 839224 768508 -628942 755456 -462520 -724026 734240 555309 -537241 -17578 41250 -670849 530001 -236095 -805136 473312 -764772 -84645 -608256 -130942 40251 934547 -550764 -121102 829289 467501 878406 569647 -174678 780398 -678362 158930 -48494 543376 578161 -328551 815114 694739 -704424 -3673 645585 777831 -601228 -600580 -202321 130055 395049 -81730 109763 398027 -555958 -557103 -888904 -827326 1020688 -271722 -645945 -3935 127968 -841274 -252457 -839308 15742 -622450 421781 -238381 -118667 -951236 -917008 -595886 -464497 -260865 -480628 -113864 -584499 -875790 615674 176177 483941 -342166 712196 379629 -185755 563787 -907974 -949713 -785710 -597391 -299963 -8716 -39498 511478 -121667 -793289 -710128 -399207 105585 -63845 554236 685257 451005 979689 -804943 -869277 -677872 96386 854032 -229425 309639 728192 -496622 212990 -624686 272009 -618503 -1010202 -694218 -906846 644689 -461176 47445 -728892 -356109 838725 -354959 -55069 -445537 -376015 866383 -391543 197409 -637157 382926 -902284 -487539 842835 -645057 -122728 136324 -879599 -784188 -437604 -263240 -341745 -182178 -549815 364531 -960878 -165424 710506 66375 -456818 386314 -227463 645370 857813 -649946 707295 -636626 506533 675646 -594833 395530 364583 82838 864146 895774 -354222 530426 809405 -738197 117451 1068359 -696927 -830571 -482219 307385 237668 717560 -318946 -1025566 -826602 237068 402310 339263 38397 -187612 -38049 -324404 121919 -220898 331568 -866775 601277 -487837 230123 959235 -366273 683575 -150959 -1004835 435272 289003 251075 203422 -636197 733927 -492999 794684 68260 -45764 -14381 667000 439003 -699437 636980 33163 -512365 333322 1011465 373909 673816 378536 792452 355837 895565 114753 
-284465 74970 -70715 -128697 891029 957823 700516 216087 491266 -372768 267436 -381299 373342 -139973 -105308 -836626 381137 801451 768008 -746393 705668 -460487 -732165 688924 526088 -473410 -36889 30359 -744670 583978 -222505 -851093 513851 -794571 -155618 -535978 -102834 100848 930796 -503009 -144243 691388 537171 737432 486348 -216182 751549 -638222 157272 -64023 556144 658493 -156177 797218 734932 -693911 -71805 639641 790692 -573136 -768135 -151764 108880 389339 -99359 177583 394789 -569236 -555530 -917547 -953674 990289 -322041 -623695 21661 162136 -855408 -294505 -853726 19427 -623668 442065 -353089 -156059 -961083 -797334 -599752 -448195 -232745 -398102 -177860 -550929 -928650 508636 140558 437512 -182627 677185 365680 -176089 560955 -866626 -819175 -795084 -602161 -397732 2921 -6608 560965 -103687 -731027 -670015 -490046 154244 -82647 561343 672859 469457 986846 -855108 -854220 -797794 52924 810991 -208846 320415 559552 -550236 193043 -687489 280539 -492837 -1024664 -668443 -1101282 593082 -466916 34501 -727547 -331082 814170 -394187 -105941 -423782 -231429 897754 -387624 198624 -569919 330125 -809642 -502983 786919 -657089 -154847 123575 -931225 -811896 -425001 -391825 -318369 -184244 -553264 347155 -881746 -156965 604118 90301 -439498 303317 -286841 668841 855491 -760434 661288 -618068 528056 652854 -539042 429121 374945 70743 840035 835859 -299140 514509 802992 -720158 103505 940218 -678976 -860603 -467822 307915 179074 639912 -316984 -921771 -835545 108735 399498 256621 -72209 -100649 -35014 -366140 -6145 -241575 326127 -843763 666665 -464663 301083 876410 -317028 712110 -136394 -1042922 431187 301241 229127 90135 -620684 793267 -478971 778747 8753 -51663 -9611 678573 596832 -735924 586819 38341 -573394 379156 900718 426000 666248 407679 731866 290674 849405 157555 
-288306 79309 -110882 -191904 879169 1001185 725897 195478 484744 -387615 229299 -425811 404270 -210073 -79206 -834415 378371 817465 771683 -749941 663090 -462828 -707177 692868 497946 -415959 -81500 55061 -774374 630564 -160365 -790637 530430 -749949 -156080 -555640 -104972 200723 940172 -477090 -169774 630936 612288 728125 347395 -296565 797665 -550388 153265 -100532 563927 833192 -47740 830866 723746 -742101 -191161 645389 840946 -565446 -969813 -202617 75570 350896 -77467 189733 394728 -597200 -571016 -898644 -957703 877136 -357837 -635620 25846 200420 -911353 -253426 -861539 18513 -653358 390173 -379684 -114986 -946396 -679949 -589799 -463658 -195912 -381124 -212373 -668547 -896676 405181 69176 489605 -187033 679167 367311 -184259 552508 -876586 -878215 -803747 -606338 -495316 -6848 31141 634661 -163375 -719853 -652077 -634130 115856 -52510 569330 640083 481824 951869 -909719 -749468 -783985 37635 772426 -192332 291857 481482 -598222 251252 -873419 357957 -333700 -982506 -648044 -1145252 473895 -413191 -4483 -742945 -187278 842102 -500097 -240128 -422813 -258975 928821 -377394 187828 -570593 375981 -727692 -518993 671537 -690137 -192560 32355 -948393 -861005 -431766 -523438 -321717 -179765 -553649 352141 -832579 -1576 629415 49832 -313440 78732 -301793 821966 894496 -739872 672545 -601979 526550 659837 -363537 427920 342985 59179 814894 755976 -258531 472345 730966 -720462 50185 839536 -677226 -867254 -448575 312241 118040 583338 -317228 -816997 -874482 -4384 405991 214866 -72267 -25397 -76101 -425081 50246 -255263 224015 -801782 764029 -465173 351296 784304 -356185 813459 13539 -1001630 352011 366058 134990 150400 -582373 912086 -485695 788033 -65157 -95205 -1233 607104 620173 -740945 521394 91871 -613253 386183 789874 437218 665658 464269 665811 330505 772071 116396 
-284818 110239 -75881 -235737 875221 998049 727577 134862 491482 -447798 190069 -589713 376664 -219513 -67093 -839009 419282 797695 769412 -631431 616601 -473845 -715036 762709 511918 -354794 -157237 35055 -747435 599442 -89525 -724799 503617 -761560 -125248 -666280 -85673 302026 914472 -492184 -136173 727622 641772 794295 351721 -357613 816289 -453514 152291 -111422 553249 943906 -259545 865964 728815 -722287 -285882 645921 936596 -551152 -927059 -293061 37690 345776 -23208 105301 398125 -630726 -598487 -935655 -813084 763473 -382310 -630884 -8417 212462 -956983 -136425 -866965 -19678 -702540 391414 -317974 -34467 -930971 -619867 -587000 -449636 -167032 -453365 -238254 -803370 -842632 311586 1448 490491 -319414 672104 391740 -171582 507745 -866785 -1046129 -821696 -607947 -484448 34184 26294 678081 -255202 -704472 -619727 -675227 106087 45328 547301 598991 491889 952205 -976448 -689365 -658562 46387 755932 -187540 258045 592354 -616038 397993 -964911 449238 -311516 -983820 -667446 -992886 368246 -359551 -45989 -737541 -113900 837035 -455657 -314537 -447552 -463234 951833 -407774 177240 -564403 490059 -739437 -501176 543948 -651065 -194708 -42997 -926382 -942526 -443873 -569914 -304766 -183633 -575188 378547 -844539 122641 704873 19477 -249164 962 -263517 927677 892516 -673121 760435 -654480 586941 655936 -249063 458953 317847 49785 813003 753352 -261092 437890 675557 -707221 -19737 895014 -662807 -870385 -432982 286111 99199 517806 -354036 -805433 -836119 -98711 404297 205259 -16721 70352 -99559 -489523 144092 -239434 168088 -784287 829933 -484672 423956 759078 -458623 892613 115572 -940244 242165 422245 85422 319289 -609837 966036 -487672 775681 -81001 -110971 12744 575968 505830 -701408 489709 48558 -662391 370473 803859 387731 667326 493530 592584 434515 692251 86189 
-299184 150840 -98703 -199903 876798 1024768 779880 51477 496454 -558382 170182 -627220 338279 -298559 -55304 -874071 416003 803485 760242 -498398 573424 -494059 -733758 874366 506077 -399530 -209126 19939 -671578 542888 -54712 -733598 530761 -772311 -49923 -749983 -76420 325981 913410 -538366 -96681 862271 676759 973230 480046 -431267 783395 -389703 143667 -109551 551697 903629 -385393 919375 723482 -716889 -295884 660098 990558 -538447 -731879 -378424 13988 333992 48862 68248 404858 -677068 -635160 -905532 -683292 745069 -386987 -643338 18300 206154 -958882 -161063 -881024 17199 -729633 358886 -220034 63023 -924938 -674181 -577125 -424960 -167297 -499059 -220427 -751670 -802358 337929 21766 486295 -445136 697407 364867 -157670 503102 -897176 -982929 -814761 -608632 -397541 11647 -20359 706919 -337565 -758022 -587554 -769124 92893 75849 537184 551826 499770 908445 -1031652 -677942 -545793 46314 777061 -181543 279118 758555 -590397 488437 -1012871 493439 -387825 -996703 -700278 -828138 417336 -313276 -121175 -750459 -104111 841613 -406102 -256297 -416422 -596885 963403 -399076 195743 -556472 585011 -749802 -540453 480180 -638653 -183263 -55859 -887417 -1035925 -456382 -502113 -315189 -182732 -560376 414568 -905227 94985 757734 3795 -305146 164113 -196011 897943 911183 -551595 808327 -642940 608317 682626 -295867 456470 323539 28761 831466 791970 -300947 444376 711907 -724517 -76828 1042958 -671225 -816000 -465575 274475 89383 576166 -419259 -874099 -797903 -49880 405591 237710 69372 119146 -81294 -542255 265882 -204906 90830 -725430 756412 -511653 464648 816010 -534136 1008344 13507 -845609 166026 429075 31281 334079 -635824 874245 -492457 728550 33996 -143864 28699 564308 372029 -638236 466433 48187 -634205 289937 932943 337832 669641 478181 551798 528516 661873 69503 
-291273 102569 -102851 -132089 870943 1033134 781824 -20318 514803 -647273 201207 -488344 303816 -302407 -14073 -894221 459466 806254 759664 -583256 530851 -512851 -718892 958653 493964 -500400 -281465 30811 -603647 478646 -77238 -788852 510507 -777783 -28758 -749600 -83361 268369 932225 -578422 -163118 886999 719997 1064941 584317 -473488 724014 -399601 153132 -103735 551944 756455 -400371 917535 696493 -735822 -216577 653475 1005358 -572052 -584542 -395996 23450 305243 118199 104050 414904 -685769 -672384 -904524 -586220 855236 -377866 -646019 20151 173563 -922425 -242529 -897596 15556 -734975 374448 -229214 99733 -943163 -805989 -568266 -474867 -162507 -569109 -245539 -593278 -818088 448095 115709 438564 -369715 732555 359251 -157373 497458 -890950 -931010 -791757 -598189 -286342 -37823 -40517 696163 -377399 -799657 -562705 -767356 99222 104533 501817 510241 503711 921975 -1076139 -757785 -578815 79557 812034 -196135 251336 824383 -545899 417019 -878448 465229 -590230 -976094 -764431 -862116 549354 -255407 -137271 -743821 -180056 830324 -360524 -130219 -419428 -481744 960681 -447717 204381 -587261 602275 -885645 -525121 501399 -621985 -204177 36087 -863920 -1108460 -459079 -362656 -286546 -187036 -526312 452919 -988832 -99341 859532 -60334 -416248 345508 -142274 765445 918357 -479732 815690 -675415 638233 727183 -473594 464403 360619 17683 860895 868995 -354438 400436 797047 -739254 -95960 1110160 -650672 -795675 -501929 252755 139254 651606 -517117 -971376 -750336 106803 410049 306764 118497 174880 -20049 -589179 333704 -276637 37259 -708890 669219 -512683 477035 936857 -581371 1013019 -148997 -825048 100761 360278 -11805 203363 -647144 765731 -498060 750929 113789 -173861 49736 555969 395756 -647470 508009 -9237 -620469 219890 1059742 337257 671670 377437 529790 535585 653064 97472 
-297996 86730 -90217 -115270 863364 1024650 794907 -39341 515327 -670691 245172 -373611 281234 -315875 3271 -936999 376420 817449 755291 -747168 510027 -521236 -729767 953699 482083 -539455 -330865 8095 -580728 442776 -142105 -843930 503449 -756210 -48871 -664991 -90442 153612 941410 -583003 -122955 758966 745221 1104861 504365 -506099 712881 -485277 146156 -107742 560986 608662 -214393 868113 664473 -753239 -98555 635359 1006276 -611888 -740666 -295281 25927 304998 164842 179971 427395 -693637 -704718 -886636 -665001 966369 -344186 -660449 26850 140159 -872513 -303474 -898074 17718 -708953 346767 -321462 78450 -959381 -911280 -572678 -428772 -191579 -435891 -230613 -560404 -892078 515524 181449 437675 -204608 755714 323905 -162414 507807 -880064 -855945 -759697 -612717 -280024 -18282 -15367 658259 -366141 -746695 -549243 -734230 111697 68057 513897 480038 501056 905965 -1094450 -834983 -712645 124580 866013 -214616 240461 741027 -486954 223452 -709046 364617 -655198 -973274 -801160 -1061215 651351 -244705 -95379 -750910 -287865 844344 -301135 -57429 -423427 -292860 948333 -433641 212247 -620205 527027 -927488 -508187 595124 -600985 -177197 117939 -896956 -1152106 -466752 -241698 -286505 -195451 -541752 493763 -1052650 -184489 912757 -63375 -434296 366750 -137911 651995 919531 -503067 789112 -677500 704473 712439 -604049 473960 376641 -9141 867356 928402 -409214 425204 818132 -760057 -69328 993825 -640177 -826397 -521804 224556 205989 721088 -592701 -1060357 -738868 233643 408898 361792 112973 156493 -71147 -620899 248299 -230868 42395 -645321 607298 -491794 438556 963019 -534971 943380 -135333 -850178 107578 296787 12758 87497 -602174 780331 -509587 773413 121008 -153402 68427 534972 516860 -696573 589541 -16520 -586261 201847 1028555 388595 672430 401371 519338 449810 710206 110649 
-308355 119106 -66638 -188016 876357 1011150 811731 4212 513323 -619289 264727 -421629 283871 -275660 -15537 -933300 384140 832580 767490 -783446 491236 -548480 -737714 900780 516313 -563950 -371388 -18092 -611146 457155 -209773 -825128 510478 -790481 -100231 -569637 -107461 59726 943943 -548444 -118250 645344 800311 971474 381470 -499417 772921 -588850 136291 -99713 544816 598102 -84775 814981 621724 -744145 -13216 631313 957120 -621222 -940067 -192702 55112 288542 176596 194173 442666 -682950 -726696 -919758 -827829 1022733 -304581 -665555 15962 120059 -847200 -279086 -915942 8200 -676481 334695 -358540 -4839 -957791 -910786 -557560 -454506 -220681 -371148 -171993 -661403 -921793 599910 164715 473338 -169803 809561 352966 -182558 500114 -858372 -919476 -727518 -617589 -389399 -14029 1108 628988 -325071 -708493 -564614 -572127 88594 8283 492895 464408 492672 838678 -1104605 -872546 -807769 156013 899557 -245735 244256 589068 -444985 192091 -627084 293757 -610378 -1006370 -802874 -1143379 630734 -249311 -45965 -743182 -350076 814260 -343860 -112439 -456472 -160762 924748 -411366 213477 -668386 407827 -843215 -532891 719489 -598523 -134629 143971 -934743 -1151855 -467233 -238033 -288362 -191184 -510269 532141 -1064025 -140873 903105 -87668 -404297 179460 -183339 674060 918957 -596798 706417 -659710 700651 690442 -532323 494793 347701 -173 877729 980851 -408145 442586 753686 -781065 -8911 875336 -638318 -852943 -519169 214504 253654 746063 -618343 -1127837 -674975 207310 410591 381393 54077 85578 -95484 -609884 166840 -256761 -3187 -627104 663241 -463185 397267 857296 -470800 852791 -64486 -927558 125229 300368 -5298 145795 -638340 862346 -482833 780666 17223 -181131 94723 573361 618492 -726820 657316 -12692 -482148 219386 881307 437695 674451 425463 548911 337367 768753 95983 
-297007 100305 -101127 -241918 869637 1014496 816129 80728 531002 -514787 233540 -608601 298934 -254732 35162 -963103 404175 819654 760276 -647180 497059 -563029 -720623 792764 497328 -447350 -384558 -5761 -687033 513634 -238693 -767228 522790 -745200 -158111 -544353 -108714 44964 960149 -497815 -140190 666666 770949 819845 387567 -461123 810894 -662876 135832 -76529 538725 710913 -176569 811844 584888 -752776 -15375 632049 868628 -637700 -960037 -159531 76754 281373 149341 123059 459934 -663009 -732151 -874977 -942294 928473 -252234 -675419 14188 133976 -829175 -180522 -923316 -26855 -647241 385350 -330191 -92548 -935779 -772967 -543780 -430215 -258102 -424808 -151366 -788541 -884422 657623 85431 479058 -292480 859396 307466 -181350 509623 -877691 -1020099 -701337 -618221 -483149 22408 25219 561518 -234897 -700929 -569355 -453919 113122 -58493 472583 474585 492964 804350 -1074180 -847490 -760516 218073 915953 -275827 219163 490679 -424957 217113 -679452 274553 -441062 -980540 -857294 -1014467 510815 -321102 15802 -761807 -327508 794205 -447293 -241992 -467596 -357890 895037 -442058 198854 -683449 339243 -691433 -491651 831986 -618293 -109549 74021 -938251 -1121291 -486844 -333664 -278310 -188827 -503541 548161 -1016468 -3365 806345 -96709 -292102 25058 -250700 797506 940106 -712274 659064 -650336 709613 683095 -325029 516405 313290 -6648 829890 976542 -364187 471239 683111 -812207 60427 847081 -646568 -852676 -506145 186834 274321 700845 -649422 -1130876 -588979 144622 407115 361278 -34204 2074 -89492 -580123 44820 -295540 49620 -612694 776325 -465175 325700 761327 -334919 748745 69000 -1009393 228156 356613 -13325 309552 -626191 980459 -479085 799622 -70736 -226269 115300 535816 556275 -733557 682652 39053 -474215 265443 765798 431352 676289 516053 608973 287247 837925 84551 
-303347 140186 -91491 -199397 865989 962224 835894 166637 512301 -415042 189252 -636217 342679 -257438 -4576 -976216 375321 842230 757105 -470277 502764 -579051 -736220 703103 483155 -372134 -381861 20194 -757059 564431 -209790 -706169 523798 -781478 -156243 -621784 -117751 114151 937419 -474864 -146059 799211 744475 746431 480818 -407100 802787 -678827 144819 -64415 540723 874589 -371501 816140 554508 -751365 -104469 616914 796608 -662044 -768749 -212688 124043 282227 90943 59863 478535 -677223 -721546 -909336 -949044 821070 -199599 -678877 -12672 161459 -885129 -132150 -942003 -2185 -625705 397826 -272634 -146015 -920738 -659752 -547164 -477799 -271039 -509227 -119423 -752162 -832247 613229 13723 503306 -426211 894506 280024 -198271 541006 -878289 -1029577 -704441 -621995 -465172 -20225 -9211 498748 -161673 -762293 -602386 -403552 92026 -51622 460022 501317 484914 868986 -1044331 -762083 -621317 266205 906548 -310308 215612 557954 -441492 348023 -779499 322543 -324838 -997976 -828662 -832156 383219 -400793 51698 -762956 -234628 810962 -473579 -314949 -487657 -552887 863301 -465925 220205 -704354 355319 -744726 -495317 848003 -601157 -82664 -20056 -919852 -1055712 -495553 -478440 -279039 -197584 -554884 548544 -938398 111594 724301 -89002 -280929 67391 -297728 924094 958204 -763163 639860 -638227 723268 685219 -216934 517689 321964 -16867 802473 912687 -304303 449136 692347 -844988 108860 1009995 -632350 -859330 -503286 164847 251225 582647 -641489 -1066603 -558896 -37923 409040 293054 -110016 -90391 -83112 -531073 10862 -271986 93149 -624316 813675 -490455 266357 765450 -291496 658900 98000 -1040393 328563 424000 19760 352724 -608687 961242 -457959 762483 -43182 -229807 146416 524051 420406 -698022 670358 50344 -491838 318721 799137 373744 657448 467416 642803 343598 885360 89093 
-299828 110948 -76851 -136578 872735 973840 827032 211622 496169 -369021 166585 -519915 366317 -203997 44963 -1019678 400687 823803 751843 -575231 530578 -597038 -713870 683078 482408 -363541 -346106 2451 -771449 619321 -141887 -752099 486806 -812330 -96332 -719418 -144705 221222 934287 -491054 -85978 901889 720139 754074 585884 -318881 797830 -622443 133660 -47538 540542 945977 -428219 864586 558463 -778355 -224976 624734 783190 -711515 -600324 -320611 153564 290460 18110 88336 498522 -651061 -697648 -910923 -828991 739283 -152187 -677985 6054 200263 -942679 -186818 -952876 -3905 -622218 420730 -185075 -132501 -932546 -621412 -529150 -463920 -262228 -525601 -45756 -639268 -799816 580375 25207 482597 -397062 939599 244985 -193379 541283 -868395 -952436 -683210 -611197 -350752 -49031 -46748 451913 -136762 -762694 -643076 -411369 100717 -61869 443881 540072 466289 846930 -977290 -688609 -538454 285262 868933 -344975 205505 734417 -480653 455775 -929184 424808 -301354 -1002518 -756143 -836398 371045 -469538 35394 -776038 -162589 830844 -467579 -252900 -442037 -546635 835545 -483128 219694 -726849 453851 -733292 -484483 785203 -673249 -25722 -62506 -870250 -965260 -489181 -566262 -273127 -193209 -571195 528290 -861773 62687 641443 -60952 -324729 271193 -294389 904013 958043 -711551 738559 -608862 694650 656981 -313316 509994 355965 -1196 818830 836022 -257454 488307 776184 -877981 115490 1093908 -652395 -836625 -468687 141189 190465 505647 -597382 -940984 -524664 -84730 404275 248245 -38767 -135276 -98505 -469647 112065 -225234 178780 -613452 746716 -516039 203252 838493 -334722 625692 -45692 -1018107 398220 427181 109190 195536 -620522 817175 -452777 755778 16460 -251814 168655 598974 351128 -648243 609487 51868 -504919 382765 937041 334410 662301 406539 714262 457299 877506 113163 
-290663 66983 -100862 -119976 867440 982156 836130 196669 492496 -396143 193230 -377344 354123 -157192 9300 -1014527 386850 854557 748336 -757024 564476 -612380 -742057 727060 461055 -429608 -303283 30531 -734077 605380 -77135 -800706 554693 -772553 -47058 -760331 -151385 310712 945958 -539694 -151646 831027 709737 856871 537166 -247781 748974 -530500 138018 -33657 536203 861001 -293959 911186 583279 -782967 -297265 619144 786181 -736424 -690094 -397291 173474 279438 -48737 175595 518545 -618090 -663898 -929864 -668548 781273 -111933 -693884 -21680 218240 -957797 -283537 -953414 32031 -652156 428573 -251010 -47994 -953794 -699564 -531091 -466348 -230517 -437461 -15748 -546258 -843900 442479 107157 449123 -248833 982620 253990 -184275 550018 -895153 -824365 -693329 -616918 -274204 -51913 -40112 431026 -132401 -755487 -678965 -451354 90229 -6500 432467 591052 451802 905665 -922109 -681811 -604933 328088 822414 -384708 241050 857177 -542694 456385 -993544 494358 -409055 -1016616 -722505 -1022485 529078 -455640 -28629 -770998 -87189 822713 -394203 -122265 -454023 -329424 816105 -511075 222403 -673923 560594 -831071 -500585 654533 -652484 -6043 -7825 -880503 -878870 -510706 -541151 -293413 -192562 -546912 499074 -830333 -75889 622274 -41434 -440842 376059 -242351 762472 955759 -585489 793742 -603009 683467 668872 -482477 517642 371493 21762 844952 790476 -265151 531012 822109 -868847 77692 1076999 -664977 -801944 -445356 107209 139461 518423 -534858 -854592 -485584 -49011 404181 201520 55673 -200027 -54671 -433905 222203 -242310 273117 -598363 653050 -511626 125939 962400 -440579 708258 -163544 -942672 428868 362423 128447 86945 -621139 770340 -468159 746496 94743 -290511 199592 608876 412916 -654519 557285 50815 -554217 396222 1066865 348884 676305 382199 790192 538528 801629 115630 
-302018 75576 -112867 -181195 860913 954342 804122 138998 496983 -488941 247884 -416252 396139 -90161 1497 -988362 401437 851136 755044 -766555 606448 -631629 -717760 829052 494805 -512549 -236340 41270 -661175 552362 -55634 -820610 502544 -774477 -32637 -713368 -170654 319495 958942 -580210 -148581 695459 654764 1048998 412403 -191230 744139 -431032 126015 -50875 520354 701376 -76343 898804 621582 -786590 -280968 612459 862702 -770197 -924776 -366237 184946 283813 -91173 188890 538985 -582449 -627229 -920689 -571427 887213 -85446 -668561 -32087 201778 -947865 -285384 -968160 28971 -690726 405013 -314977 50608 -956958 -845906 -519882 -465124 -202978 -379537 39693 -621875 -905457 382565 188468 454530 -162905 1011394 249146 -192707 578232 -868850 -901306 -751659 -612726 -305480 -24309 4618 429562 -151233 -753550 -707035 -587417 86129 30992 398714 632412 441334 904153 -849458 -746759 -745545 323039 788943 -415363 171175 722569 -594873 298078 -937835 473870 -573698 -1006738 -665531 -1154267 643023 -453211 -103911 -783226 -159879 803032 -309799 -55486 -430997 -222473 807068 -544421 246150 -671458 611617 -905702 -478133 525744 -662958 25398 88849 -889216 -805050 -508108 -420545 -305194 -197475 -563443 455947 -856235 -168377 640308 7490 -449783 266447 -174796 645243 957391 -491022 797983 -651117 697206 657849 -599605 523440 350658 12327 871999 752907 -292619 580653 782555 -901067 11235 908210 -664757 -806245 -453321 83730 94952 607555 -480624 -807219 -470598 61867 404730 233089 121581 -151533 -86100 -360702 330911 -276385 328219 -637050 607787 -491849 93184 857229 -551137 787521 -124239 -861485 417739 292823 213964 158463 -628382 864080 -491156 738452 127659 -290000 225117 646375 563006 -675681 489813 2228 -616083 359067 1024624 404146 670328 408674 863600 525321 724157 141964 
-298377 106796 -98501 -238033 867054 972434 793414 46392 488886 -585423 265436 -582324 325369 -59838 21248 -980829 412201 904603 753250 -671299 656545 -633792 -698706 923262 483083 -554818 -178765 66891 -591086 495229 -91119 -780112 496482 -760612 -75321 -614243 -181966 252042 940726 -581464 -116015 628744 608648 1088851 375198 -165691 763196 -385450 121447 -46790 521838 585886 -123721 861185 678826 -812285 -181586 614436 933626 -758898 -981615 -288573 182892 294989 -96566 120591 558145 -560316 -591943 -894487 -620907 1006593 -79400 -674977 -5707 167805 -896910 -219473 -968331 16739 -733405 388786 -361897 110448 -942203 -927980 -526342 -458604 -172042 -437544 68486 -764751 -925428 346735 165302 453066 -255570 1034107 242648 -156577 611058 -870407 -980491 -730258 -629781 -452986 -57701 29935 471454 -227914 -674790 -719583 -693432 107432 94330 388217 667385 423531 934753 -808513 -818449 -811418 311690 765476 -437958 180339 579970 -609626 188765 -756173 397234 -643646 -1022586 -641075 -1053506 643246 -395333 -128396 -776523 -268251 851780 -306692 -121325 -429123 -262445 811703 -571326 241868 -635505 554066 -861114 -506355 468363 -672392 26704 146525 -929138 -789479 -516873 -280748 -310691 -197330 -583369 412605 -927379 -147646 715591 16576 -328545 79299 -135338 675018 954897 -492996 756596 -704366 653617 684463 -506829 535548 318869 26247 871075 781258 -357733 635972 701072 -892780 -54475 823726 -688641 -829898 -468041 60846 91231 706633 -406863 -860477 -489665 186559 405091 285585 130526 -89181 -88359 -331902 309283 -252073 335149 -619997 674703 -462741 81950 804354 -570463 877879 -12272 -823834 294700 297816 273899 331258 -613926 977630 -499805 725498 48099 -297982 248167 683003 625091 -722366 474973 -41089 -636877 283544 903816 438837 687866 459849 909416 427207 673562 87804 
-292999 131754 -60924 -199617 867809 1016576 798178 -18845 484196 -657211 236771 -640121 330734 1660 8484 -966177 368932 889956 748986 -540677 705176 -635382 -709601 967352 440048 -512632 -109307 53668 -578009 444962 -160982 -721714 532528 -782439 -134631 -543115 -218559 135035 965720 -546361 -142731 729375 524760 1040460 452849 -177731 798049 -418099 130026 -65652 537099 619490 -305958 830490 718670 -816617 -65472 616272 998088 -780984 -804851 -171360 168419 327041 -63949 53835 576497 -521940 -565623 -921906 -824388 1029640 -89913 -667878 27080 138859 -861179 -133702 -978129 -17623 -728229 417658 -313482 103957 -929047 -868675 -533439 -488819 -164199 -525418 73458 -764879 -875097 375459 104586 480962 -410433 1044824 245878 -157058 636584 -889338 -983677 -789609 -620487 -524978 -41065 17024 509867 -326846 -727062 -723388 -804463 81403 88096 365421 687216 413503 969716 -779952 -866199 -727437 293751 772271 -458127 156091 513077 -606689 188162 -626795 312548 -636911 -991177 -652305 -862260 520528 -330477 -110853 -794637 -300322 858989 -349524 -253867 -455553 -516234 830223 -566316 259567 -566844 440859 -779626 -513274 486606 -672679 47760 110475 -932512 -784623 -508312 -223473 -315610 -203316 -534836 376116 -1007443 27776 807558 35770 -242014 26534 -147704 816749 949860 -566443 729730 -672476 652204 692274 -311557 506690 322628 43503 834639 805413 -402073 654202 674485 -886416 -92943 893327 -706152 -859156 -506381 33190 126944 759198 -352468 -940316 -522000 238874 401589 354258 66487 -4611 -88397 -346597 176200 -270875 375433 -679964 784793 -467890 86570 705769 -537073 966504 100491 -835490 194862 351939 247466 349088 -621453 925465 -496310 741968 -70954 -336621 270765 690982 531908 -723619 510202 -6887 -658962 228297 779460 416832 681674 454706 923499 323836 632871 50483 
-290673 138036 -86559 -146303 874756 1006010 764628 -39408 506597 -655380 181962 -549247 288299 20002 -1019 -947299 397852 920796 747774 -504855 748592 -633195 -725080 923643 485225 -445447 -64194 50733 -625160 447485 -221847 -722362 511113 -779192 -165767 -574072 -226473 47547 968752 -497902 -118557 862645 498434 923999 576394 -218190 788482 -501898 125904 -88988 528529 767103 -462668 793047 734710 -794319 -1403 604422 1018946 -768274 -619525 -169698 121748 343855 -2308 94945 593142 -523636 -554373 -893134 -933426 910018 -120396 -668686 26592 120835 -829077 -153089 -979062 -5573 -718379 403485 -249932 -1230 -925034 -746733 -533257 -532487 -165181 -538593 54677 -668596 -807413 491153 41956 503957 -423974 1037839 241071 -171201 635267 -883199 -931105 -805921 -627455 -457165 -39556 -15932 593090 -362313 -790694 -708096 -773876 113361 43839 356344 681202 400823 995229 -776011 -863566 -590832 229134 800983 -466903 149461 583970 -564174 317628 -622675 273118 -475581 -1003691 -682732 -805250 408120 -285692 -79952 -783968 -332258 818919 -422463 -314043 -398936 -602262 854767 -617954 262440 -581830 346678 -710252 -570847 604651 -618126 34915 13514 -904617 -837468 -503843 -295649 -326642 -202931 -523048 352989 -1060226 115819 888372 55516 -329387 169104 -205355 924430 930101 -705562 654169 -712509 587883 707141 -219627 519024 354754 63838 822154 901153 -410152 712907 745118 -882113 -87169 1066107 -691719 -861237 -527573 9869 191252 721198 -314197 -1025021 -528840 157704 396983 399488 -16798 73041 -40624 -388227 37321 -248842 338153 -680729 812364 -497365 156504 776486 -449136 1022947 35872 -920104 107577 410165 273554 200496 -576692 792820 -502561 791256 -81372 -331804 289687 704433 355082 -699571 575973 20847 -637893 197958 781948 360065 691761 419747 901359 291532 666934 121408 
-307629 73055 -64965 -118909 877144 1032241 753769 -1511 509979 -592032 168974 -386915 289462 46253 -47758 -925197 370903 922886 749492 -704987 780197 -631521 -710406 831304 466285 -356884 -27292 26858 -700470 499528 -235923 -788141 499072 -773863 -129485 -665059 -248501 50378 946403 -475448 -135557 875966 472863 781444 536495 -276586 796499 -602924 115595 -116287 535392 919445 -408430 812593 744018 -806277 -34101 607068 1003366 -770524 -627355 -221333 99416 341232 71131 181915 607208 -522371 -557814 -918286 -959517 775671 -160030 -655890 25062 140971 -855731 -237570 -978164 17959 -680945 391988 -239206 -102078 -946205 -632790 -541567 -503613 -186193 -471392 46134 -513244 -807857 563357 26218 438978 -273084 1031720 259030 -177229 646294 -864082 -864103 -819998 -638166 -305914 -68336 -45026 649366 -352710 -757556 -684149 -711956 96166 2241 342961 655589 377938 945055 -786068 -766277 -540869 177299 840549 -468841 162774 740527 -502062 448562 -753731 313202 -355917 -1023741 -742036 -998883 385712 -298166 -9912 -797701 -292285 851172 -448974 -247748 -436853 -465502 885956 -602017 267050 -556731 339666 -763423 -504899 721237 -608592 18016 -49478 -871804 -918202 -521137 -430383 -338334 -209877 -514878 348648 -1057035 62395 907763 98209 -386022 346875 -271286 894505 937247 -755131 628925 -651377 581382 721489 -327831 516078 375997 52793 802051 984267 -375260 756454 815710 -864442 -38574 1097683 -715412 -866977 -544497 -7751 243005 646452 -304175 -1117321 -579070 39312 397626 364998 -72291 163447 -50209 -424233 19131 -239617 305177 -735170 736740 -510127 197346 881033 -339554 950045 -87586 -1020199 76186 419516 252230 67042 -610893 747521 -494221 752485 -26410 -344523 309913 746909 365193 -641708 643834 48774 -596291 215252 933592 332329 678380 377784 894810 361722 718586 109909 
-294195 84624 -80697 -178836 874032 1025288 699646 75341 502736 -486243 194905 -394601 299816 56085 -30414 -902834 375395 982866 745733 -802747 797413 -624902 -719945 756752 447064 -357752 -16028 21800 -760946 565383 -194702 -840986 521414 -761508 -74273 -743042 -266113 131176 965306 -495394 -160887 771342 490910 707213 398410 -351278 777293 -674994 110632 -131022 526019 934517 -204035 861339 722124 -824859 -143127 592686 927010 -737029 -876382 -326970 51385 349671 135711 187434 618990 -486879 -576766 -917978 -847115 742898 -212341 -651042 23851 169992 -907453 -302188 -979775 36559 -649276 379610 -309291 -159208 -960404 -631735 -545194 -531283 -225352 -383649 3577 -578835 -863286 648390 74751 456701 -158009 1004257 302976 -177260 642330 -889066 -866143 -827609 -641570 -255029 -69079 -32425 688832 -320608 -726116 -640974 -600935 107088 -60244 328643 615358 366880 900569 -836749 -686902 -638385 153200 891406 -460356 128936 830883 -448373 480846 -906283 405840 -296847 -1011030 -787526 -1150823 481807 -253476 33138 -804426 -193877 827451 -432063 -121521 -448748 -261905 916308 -595071 288950 -561049 419071 -823266 -516818 832466 -602525 -37013 -38278 -885259 -995383 -512132 -547808 -359273 -209322 -518526 360663 -996155 -77975 864364 99138 -470849 349491 -302778 758881 917366 -723577 684005 -599496 534170 712255 -500446 521862 349766 81167 823651 981235 -316740 750825 797946 -839581 30637 957597 -697711 -828089 -516128 -23272 274987 549277 -326730 -1145323 -627389 -67161 395486 314217 -41197 156759 -80624 -498048 119393 -218353 209069 -771313 646896 -513583 267593 903352 -309280 871480 -132474 -1022614 101545 368466 260514 159059 -634124 836755 -484537 743005 85958 -360437 323393 705122 512050 -627727 670029 99440 -526709 276896 1068287 360020 671645 436522 824015 475527 812574 161009 
-283135 97705 -113721 -236610 885989 1036716 650645 159905 525869 -399134 252803 -539095 270547 27858 -53551 -887236 413044 965609 743134 -714837 806295 -609530 -754436 692520 436630 -426443 -28937 2093 -769826 610965 -122738 -843749 519874 -780038 -36712 -745513 -279286 246046 952062 -541250 -113185 645006 468360 823758 341736 -421198 726106 -670415 115400 -128358 521667 816442 -61225 918063 725441 -804280 -254464 592581 846018 -732215 -1010952 -388989 42469 368885 172812 120135 627907 -466513 -608812 -901170 -673859 810604 -266550 -635106 6963 207535 -950694 -275691 -977229 34282 -625981 344310 -370309 -143134 -951902 -709734 -549817 -535004 -251445 -384467 -60266 -766290 -916549 641864 141771 466099 -232369 967404 316831 -205090 634667 -871971 -1009596 -800083 -623614 -370784 -65633 19473 709443 -271807 -723517 -641506 -504982 93242 -89002 317088 572131 363570 898895 -892376 -677065 -769931 107103 911161 -437738 115850 776483 -427319 379777 -984616 474883 -434024 -998045 -859377 -1097210 628688 -298384 71149 -788200 -71335 836788 -398514 -56108 -454394 -198234 942942 -590677 273466 -596830 536240 -888588 -513369 826510 -585514 -50786 58214 -905235 -1081492 -517568 -563607 -346585 -211694 -492072 381564 -915435 -175106 752517 64051 -393071 158752 -280848 639132 908018 -629067 766090 -620256 510146 686359 -594984 512788 317074 70346 842390 936855 -263358 811617 718379 -818250 91284 831046 -700859 -801505 -494609 -36789 257784 502462 -389990 -1085436 -668744 -95468 399184 256455 47381 124766 -78103 -534039 240893 -262793 102324 -813217 617556 -487001 369073 876540 -369377 796103 -70701 -1011830 178684 295734 230921 322625 -625383 931780 -473851 769907 131229 -361797 334415 738231 611773 -675368 671446 48975 -480775 343296 1030407 418753 682741 514850 767947 543135 861890 88943 
-293559 139415 -65263 -211584 883213 1005887 693136 203056 498949 -373786 263984 -649449 328102 19521 -112110 -859261 402085 972377 743207 -537912 804274 -598921 -740175 704093 471776 -510728 -58130 -13316 -721219 612029 -66309 -761061 511168 -775130 -47621 -656478 -289493 318149 901684 -579616 -178398 668348 443997 985661 452103 -473545 753195 -602365 114628 -95118 539416 645505 -227257 930261 660368 -800648 -303801 592860 780280 -708091 -868857 -350597 21899 371244 172650 66012 633491 -507444 -644905 -901968 -629533 941754 -316567 -635803 19916 218901 -963662 -175030 -962476 -222 -621278 352164 -349097 -102930 -936637 -851766 -556194 -549929 -267434 -489491 -80126 -796338 -912650 576355 198512 471809 -405109 928707 313621 -197152 633501 -857597 -1034486 -799667 -628805 -458213 -49929 21074 699004 -177034 -700434 -596032 -435002 102308 -39165 280842 521413 341963 856144 -951875 -731097 -806515 29644 915419 -411201 64527 589008 -433896 216425 -936456 481814 -569483 -1014994 -875758 -896317 658878 -329163 31840 -802938 -88655 880691 -331676 -122619 -460089 -369584 958671 -646881 293575 -642099 607341 -856886 -479276 762538 -589801 -87476 136685 -924935 -1141177 -505217 -467649 -357917 -210286 -545464 427298 -846776 -133731 715057 45154 -331314 11978 -218262 672629 898125 -540596 858425 -602991 483767 652100 -480349 486232 318495 67907 853378 862372 -245927 802365 667427 -796193 118214 903078 -699105 -839448 -454203 -42034 213446 547130 -467515 -1002361 -727873 33651 398803 204871 115004 53149 -95118 -588880 326542 -228345 39628 -827510 690850 -465465 385420 786037 -445650 670150 53742 -946074 297948 284318 134597 343711 -605301 978623 -475989 786045 88271 -380452 344554 679212 573737 -723812 654273 -9496 -487385 380822 894560 436988 676433 465198 690855 514115 884583 72613 
-307088 126484 -139304 -138776 876168 963967 656270 196681 504464 -410850 228657 -588509 390110 -8222 -94703 -858632 429551 998062 740921 -512883 783576 -579521 -700487 770189 474714 -546430 -118270 7838 -642830 569180 -60080 -720173 510702 -761846 -91244 -582646 -295647 318315 963212 -579996 -102371 799757 479827 1083799 542491 -509901 752085 -503437 118188 -91076 530732 578812 -394382 878327 618585 -801518 -259575 589333 770426 -655653 -631314 -236990 20235 424021 134304 91906 635934 -525713 -682776 -915576 -633491 1019776 -357941 -635169 18282 198202 -940781 -118407 -958736 -32781 -660023 358459 -304679 25851 -926306 -938463 -571453 -550481 -248615 -548004 -157546 -667481 -854217 467188 145156 442450 -443461 875947 323168 -193500 599817 -870485 -921743 -726472 -635626 -500920 -88888 511 663295 -120861 -785032 -581870 -406016 102863 27196 279390 486915 347182 841229 -1015337 -824395 -700260 42828 885429 -374911 72685 505270 -473654 167362 -806413 427868 -654461 -970748 -794197 -817649 550482 -440589 -44456 -814641 -189358 856594 -340563 -256743 -476223 -565512 963323 -670043 297285 -647948 581146 -780810 -504934 649651 -588681 -118588 137075 -945008 -1163149 -508171 -314562 -347863 -219594 -548278 468949 -831555 6653 611905 46253 -244060 88637 -156251 814153 896126 -496349 756847 -598675 499006 669765 -297040 481391 356242 55973 874138 795783 -289476 811558 713004 -770897 98766 1028642 -675102 -837927 -442748 -51164 147762 647587 -528466 -901009 -739505 218111 398100 224226 122829 -28591 -50870 -606418 330424 -231192 10832 -879070 806531 -461869 449442 755097 -538574 629578 121813 -872938 384801 336075 120908 213268 -596451 854508 -471394 760798 -66796 -369056 342559 701990 412993 -742254 550984 28088 -509447 395092 765045 406534 670542 410756 609578 404957 856656 103757 
-317280 84051 -83547 -116287 882015 968340 642238 138204 508494 -512679 179765 -410765 345588 -76479 -114517 -838868 409043 1006846 739894 -702685 753474 -560730 -706460 879083 486943 -541152 -159314 -14383 -590062 501124 -107294 -759997 541550 -756274 -145402 -533747 -291667 227232 950385 -543587 -149545 896861 540671 1090944 544040 -504082 780466 -419976 113576 -84282 551221 658752 -392045 828243 598316 -824410 -147100 584311 808396 -647642 -637955 -154531 34971 397813 70188 165858 634861 -552107 -711003 -924126 -803201 966698 -380209 -658400 5062 160575 -865088 -172330 -940805 -14054 -697305 347753 -242753 106575 -937614 -845458 -584577 -593675 -230552 -456718 -184237 -517121 -802911 394116 28819 429427 -292046 834233 347349 -191050 607378 -851176 -873590 -669918 -649657 -431079 -36640 -37786 605360 -112598 -775309 -563840 -457331 106900 56863 270601 463361 336365 823129 -1057025 -879686 -565295 45759 846051 -345184 101066 545041 -527197 248468 -660116 320306 -655468 -997905 -756905 -940774 430300 -433261 -76853 -814122 -269411 853829 -365814 -309145 -431615 -550461 956286 -687108 299572 -707426 475887 -712614 -532221 519394 -624936 -162456 41995 -883153 -1139917 -518066 -238251 -344451 -212410 -564557 508232 -873203 97697 611232 -19679 -328536 285746 -133556 919066 878181 -547821 698686 -671316 492810 666377 -218389 480765 376580 42795 823007 763886 -355099 808057 798281 -752733 42273 1083091 -680674 -864113 -475996 -58149 101889 709693 -598724 -810380 -803141 253310 397228 257763 83307 -103656 -77651 -639583 198349 -254134 4999 -903447 806335 -489174 461510 860510 -567691 690029 -31093 -810463 434316 416850 65341 83047 -618143 757699 -488395 759541 -120033 -350194 347517 650046 320850 -718603 496716 7761 -546705 342958 799864 346028 680272 352866 583148 310185 782406 146568 
-292857 57065 -70310 -188332 890972 955053 652300 50632 471493 -600329 175029 -348884 376047 -132209 -100439 -822923 426182 1032388 740722 -784246 714954 -535050 -751111 938208 480471 -425971 -225770 2462 -587907 447725 -179839 -824791 524005 -773942 -157706 -603579 -301021 113504 935588 -498869 -147210 839149 529684 993829 434139 -466709 790367 -387855 115521 -53338 552787 820974 -270742 801559 589587 -848445 -38606 591176 892029 -609844 -811757 -170502 69025 414119 -3685 191835 630373 -561687 -728989 -941452 -956947 854599 -390185 -652771 -39642 131845 -837221 -271615 -940807 12210 -723444 402526 -266171 97384 -953359 -740381 -589811 -549970 -206439 -363332 -223293 -588642 -821330 329205 31162 443102 -167154 780915 368208 -179318 584483 -881432 -897497 -692484 -634082 -317160 -73617 -33566 543439 -146922 -741029 -566329 -527933 130411 94016 279234 470134 343771 822115 -1096479 -844509 -552814 29399 802742 -306330 67790 710266 -576613 373001 -614047 266243 -465879 -975142 -720456 -1152371 378501 -463263 -135815 -819311 -337793 854507 -433275 -247145 -434261 -343104 934956 -654366 306774 -729171 368885 -731492 -477896 475145 -655944 -187778 -44890 -870250 -1077159 -527393 -254019 -351001 -213158 -606294 535987 -950479 54544 676492 -62040 -419616 391913 -164020 894113 868206 -677561 672724 -700260 494069 668097 -352074 456545 350997 21563 818420 761349 -403370 791899 816229 -730047 -27206 974117 -651420 -846972 -481781 -56132 88214 742103 -644725 -829928 -845094 229273 399217 344075 -30373 -171600 -63541 -595421 87271 -232494 65666 -919862 731474 -506925 426803 923833 -546226 729556 -163370 -842985 450924 430555 -339 150116 -626821 810544 -497597 746913 -49414 -354051 341763 656532 398536 -650248 470278 -5101 -606251 276271 963163 333666 671456 454590 548034 298885 706565 140365 
-299995 141801 -84368 -227130 892002 989832 615269 -16157 494472 -666705 199132 -495117 347896 -177550 -121323 -823693 403938 999094 731843 -707476 669743 -531515 -753044 957276 511888 -379840 -287196 35158 -631648 431266 -230840 -834085 493731 -780423 -107217 -717960 -278333 45449 941644 -477776 -159948 695249 614016 822321 324351 -400711 756016 -440344 102831 -20520 538155 934986 -82070 815487 562030 -842037 -1981 597027 965926 -556269 -986383 -248932 105687 425380 -64850 123814 622821 -608473 -730014 -918540 -956261 755237 -378133 -667121 -24767 125445 -844983 -309126 -919451 15226 -736006 396282 -318273 38397 -962466 -619148 -584811 -575533 -174996 -356655 -253443 -728967 -867031 341760 18416 470073 -210329 746102 356486 -171883 559154 -834999 -986748 -680641 -635091 -248185 -76783 -13074 498077 -222845 -701904 -589768 -658511 102177 56635 262738 490940 351942 866868 -1104566 -772332 -668345 87597 768218 -270676 73397 832643 -612822 480588 -747084 297911 -351598 -1031580 -658810 -1119272 458913 -456383 -109709 -840974 -296133 864531 -502739 -112859 -403945 -202346 907809 -652651 319508 -711780 334401 -820657 -495875 506889 -664417 -196798 -52864 -878538 -1000605 -514523 -381551 -353880 -213010 -556316 550523 -1026537 -85111 782435 -71482 -416571 246737 -229416 755039 871945 -738268 632986 -707367 556261 645279 -537235 446480 319653 8054 789026 803868 -418421 791659 743977 -717710 -80696 881618 -648441 -817869 -518731 -52057 119107 695080 -656378 -889197 -862066 79902 401980 381145 -92034 -182002 -79904 -527091 1278 -215506 84956 -913809 641394 -509679 377051 924843 -451783 859585 -139228 -903958 341607 389073 -20956 310107 -611188 929052 -504449 783740 90030 -343872 331003 588720 544072 -652378 512307 31892 -652606 223296 1046812 374863 674637 506645 511025 379044 668240 81423 
-304158 141007 -53326 -209134 899088 973789 646846 -37303 484487 -647692 250451 -632997 300791 -227392 -185862 -836750 382893 1026570 735857 -533273 625577 -505055 -731447 892333 470783 -376238 -335858 40802 -712250 486770 -230189 -786217 492311 -805613 -50205 -762945 -274352 62478 948221 -495542 -132273 634141 660018 718301 405019 -332299 759934 -527340 96832 -41434 541913 907657 -156259 864349 554488 -814434 -60483 603672 1016698 -567455 -915288 -348319 156410 420487 -96848 67006 612135 -641414 -715989 -897223 -846230 757749 -351709 -670855 -10015 139686 -894876 -222510 -908577 11688 -718300 411281 -377686 -59368 -939624 -630869 -597483 -635423 -166036 -484053 -238965 -814592 -930238 434108 115325 471014 -354831 703867 395672 -169631 522388 -877028 -1052988 -674298 -640333 -346103 -26378 26070 446081 -277162 -717528 -592828 -757059 106501 14576 236580 526304 355811 879855 -1083710 -707436 -795456 110030 764327 -243354 47483 788947 -606814 380210 -879363 378258 -325208 -993522 -668152 -914862 608896 -404960 -74176 -832616 -200941 845292 -453695 -61023 -396173 -269849 876722 -653173 304481 -687639 395037 -871076 -552535 624054 -668747 -200035 19235 -913814 -921696 -506733 -519076 -335425 -214103 -520959 546857 -1064652 -187962 871399 -100124 -373747 59300 -287355 651980 874905 -733797 691857 -660257 557708 727255 -607837 441443 318268 -283 841962 900826 -378346 757929 681144 -719962 -95270 829196 -633572 -803444 -518038 -45026 167861 605694 -630284 -1004571 -828181 -46619 404944 381713 -62855 -150628 -121938 -477307 74655 -185996 139312 -928550 630728 -494073 353010 796099 -341838 933281 -15594 -990335 226420 318418 -40909 339039 -608170 983230 -501593 755622 87690 -353011 322698 616349 631645 -646089 550417 67142 -663255 212277 1034298 428096 679458 453151 549149 494769 676110 85082 
-299334 135962 -91932 -132994 900978 1007683 634616 1849 492066 -568885 262817 -603823 252402 -276993 -144903 -838806 388326 999928 735832 -508744 574317 -491536 -713365 789536 472578 -427986 -372865 49299 -767413 551848 -177714 -719204 517813 -780689 -16219 -727776 -278952 151726 920015 -543293 -154683 725712 731188 735463 537491 -253920 745582 -621041 101035 -29467 527785 759688 -325898 903313 576094 -813029 -179221 602970 1006915 -571898 -656622 -400174 174465 427753 -90803 82670 598467 -641724 -690574 -892391 -682215 869927 -312272 -679953 -21846 176211 -936300 -136880 -901554 -66819 -676027 406963 -389725 -135292 -925665 -741376 -595088 -585320 -160723 -524510 -251817 -718188 -904121 539994 171063 514742 -429274 682460 375380 -169826 522523 -902186 -963189 -692525 -646265 -483564 -82724 33405 431932 -351489 -737443 -628232 -767200 116045 -39863 255381 575562 366921 903262 -1053947 -687554 -791010 146417 790210 -215723 15433 603474 -571791 284143 -1006665 461862 -419524 -985549 -660924 -808742 663637 -338358 -4554 -842930 -86970 861986 -355005 -127489 -407205 -471788 846290 -654435 325596 -672961 509491 -854828 -543723 743464 -649827 -206990 111696 -947859 -840789 -508680 -573306 -330269 -225273 -516205 523262 -1045470 -133283 887774 -82720 -244393 30844 -301050 675365 863046 -649061 766267 -648329 578835 716079 -456532 420656 353899 -1746 863637 936058 -309858 735873 695565 -723485 -64190 978552 -636231 -808545 -528948 -36443 223813 544448 -567019 -1126268 -830339 -80115 407515 332681 25286 -89315 -83135 -418212 216888 -178846 226511 -923947 698644 -465665 255103 714855 -282241 1003464 119442 -1030640 156153 294242 -26816 210531 -617438 893000 -502859 818456 49348 -325663 306672 596509 548655 -716633 637675 48397 -640346 229826 911024 435927 672707 418241 571787 546784 703866 72201 
-286148 102034 -89487 -124131 906927 1038944 648012 82663 497308 -462144 229753 -422468 285733 -285445 -177205 -881980 466221 1026841 730680 -651537 536994 -478243 -701908 700351 502392 -508230 -392433 59850 -766129 602742 -104928 -739277 502735 -809104 -57517 -631349 -257260 261254 933724 -577781 -128393 865261 720021 874066 563310 -188292 762263 -678312 104187 -54354 552930 617627 -465680 913803 637560 -866325 -278721 604411 969344 -545028 -592056 -323628 182869 424286 -47713 169348 582888 -672145 -654140 -908904 -581194 980618 -259925 -682620 -6755 208610 -963887 -145812 -892322 212 -647524 431603 -283658 -155156 -929631 -873652 -605026 -614868 -188216 -523651 -209394 -548838 -838923 587261 133722 456988 -339575 678953 366635 -169248 511814 -918666 -851879 -711920 -648148 -492908 -34728 -12449 459822 -373125 -780284 -678274 -763679 129551 -84965 268152 618027 386492 959536 -994246 -715866 -660087 221035 823398 -198418 41291 475053 -518866 171541 -981490 497826 -598680 -963951 -698612 -918373 571405 -299173 32740 -839367 -102257 856575 -328299 -255819 -427171 -574555 822043 -662478 315068 -643654 592105 -791530 -520637 838754 -659314 -169940 140655 -935189 -798457 -516045 -509547 -319453 -219740 -509469 486906 -975283 17304 901550 -106816 -257330 181206 -262579 804264 851805 -526977 823346 -632003 610804 710630 -302935 399093 377169 -19166 866400 965343 -266797 687534 779547 -727021 -1223 1103522 -660586 -838671 -494327 -20594 263827 497696 -515059 -1129329 -777389 -14019 403710 269493 109571 24111 -56225 -365368 347334 -188788 287728 -884241 804603 -465565 218617 816067 -349319 983169 39769 -1007134 78933 334870 39583 79014 -661167 762753 -463380 721795 -52186 -310305 288569 557189 380081 -751456 676725 38317 -584673 288954 798042 388101 670752 391040 613422 498459 765324 110110 
-305031 87124 -97030 -185575 907823 1029844 681895 161654 521611 -379639 182048 -367025 330715 -292234 -173892 -862954 386152 1078481 728177 -797706 514841 -466515 -710669 675658 526041 -539021 -363339 39019 -711963 618234 -59132 -794223 491354 -769839 -111013 -553794 -240851 324092 955067 -580828 -127302 880935 749005 1024108 437925 -172197 768289 -660593 106327 -72926 542659 597625 -337177 887316 676042 -862566 -298699 614551 908109 -559983 -770958 -230005 188021 401011 19679 186131 564861 -692218 -617651 -907610 -665712 1006264 -204567 -685492 10586 214102 -939135 -253791 -880445 -8764 -618483 435464 -188174 -82338 -945489 -917603 -607784 -636738 -221206 -404823 -164391 -587803 -799373 633822 60312 422150 -196122 681126 372706 -190156 481786 -886435 -875191 -754204 -642468 -387641 -9321 -31132 471610 -354647 -737009 -705488 -638364 119380 -86057 252982 661519 395182 958273 -936214 -810542 -549109 253501 873290 -188477 22711 530663 -466027 197492 -825909 443499 -642137 -1001675 -726675 -1108339 457122 -251934 48861 -844519 -150291 845515 -333985 -317734 -466221 -457779 807643 -646591 323875 -607764 596757 -713386 -523548 847242 -624666 -158718 84688 -886887 -794883 -520452 -375642 -292411 -222148 -546954 441238 -892214 130847 824199 -51904 -345877 379064 -194696 919759 849313 -499813 761758 -598982 681946 701077 -207902 396945 352230 -3683 868362 953755 -255328 663961 816824 -740749 66915 1111284 -672572 -847923 -464570 -6240 271966 571940 -436313 -1126069 -752337 133356 404794 213406 135755 90643 -62440 -326162 317946 -185311 349189 -870106 805496 -482060 135802 932629 -431844 928012 -81256 -950674 88942 393279 40200 154769 -610057 798429 -483936 701400 -112416 -304337 269255 538163 335106 -730981 685945 -27207 -522113 357481 816850 337485 668999 401171 686527 386870 851497 104502 
-297407 88161 -92123 -231177 899506 1039927 697570 212201 530740 -372013 168173 -477930 342094 -315317 -172493 -907690 402626 1045494 732208 -732173 495729 -454078 -689942 718708 522883 -525768 -337817 40900 -629052 576958 -67166 -829227 520911 -787658 -157060 -566104 -229982 296742 956493 -542652 -122512 767142 762190 1115837 338791 -170659 814002 -584315 105543 -100995 546233 713447 -130515 820187 716541 -835310 -228678 610433 804295 -586277 -971736 -183680 181311 400384 92601 128656 546132 -690444 -583627 -913199 -814095 925111 -155816 -683847 -9602 191584 -898577 -327076 -864701 26759 -634811 398292 -254691 18033 -961197 -848152 -598902 -634088 -255623 -384914 -101975 -733729 -837941 622761 19150 454314 -181122 693368 316305 -175905 512199 -870824 -991963 -791940 -645557 -294218 -44071 -29299 525132 -305233 -711061 -699399 -514995 89998 -61998 256629 683659 411945 950905 -871063 -875164 -569981 295993 901035 -184726 44832 733128 -425923 344781 -658183 353270 -656260 -992402 -776849 -1131929 367564 -249526 21369 -844126 -250345 847825 -370605 -241067 -450843 -231585 808829 -615758 328631 -558534 509280 -726338 -498917 754333 -618450 -108260 -10780 -850045 -819127 -514860 -243689 -300770 -222164 -506148 402855 -837385 50128 725375 -39059 -461859 338895 -142272 894513 866017 -526630 746163 -618782 665887 667633 -357003 370903 319391 1370 827356 879803 -293490 595180 774331 -765253 111775 921648 -637300 -855865 -450636 11793 230171 678183 -352666 -1024472 -689016 232855 408353 209064 125838 154169 -98726 -342198 171766 -175065 345791 -852983 726028 -520372 111171 911452 -547369 830077 -168443 -869623 156803 428208 132133 309222 -633336 928309 -474002 744067 -50566 -284166 246124 531430 466448 -658013 651661 5322 -502749 384473 942423 338099 664623 479996 749078 300329 896361 107426 
-302803 111048 -98947 -203004 910391 1033217 721425 198614 525072 -441260 201643 -585912 369004 -293288 -143590 -946407 390593 1060070 726489 -571866 491660 -459716 -709969 831205 518769 -418803 -288437 31625 -585355 522230 -124848 -806011 520991 -763216 -142594 -658300 -196769 202386 972273 -495712 -124293 641524 728541 1024568 407408 -203146 779623 -489386 93373 -105259 561198 872401 -88966 789415 736579 -872094 -108871 612845 782260 -636570 -940109 -181740 160236 399857 149972 64051 526295 -682232 -562639 -872391 -944550 819527 -118582 -682649 45487 155804 -847457 -279856 -856095 31027 -663389 375011 -365119 89328 -949307 -720734 -586895 -630092 -274293 -454913 -57256 -800606 -904012 525636 31574 492979 -331537 717086 306687 -190249 500849 -849484 -1035415 -819898 -646285 -270155 -54825 11240 597766 -214102 -711067 -718711 -436404 108047 50196 262377 682815 425905 946293 -822775 -854925 -706379 329629 916501 -192236 35049 812435 -429684 474037 -632205 284469 -442371 -988031 -867455 -985773 434803 -291115 -28378 -850665 -381885 870013 -439093 -109941 -435487 -216392 821622 -596833 321242 -558840 393855 -811943 -492803 628031 -584814 -62899 -68517 -890060 -873578 -497794 -232298 -288832 -226102 -511748 371426 -836765 -64143 634441 -22210 -409828 124824 -138021 755013 874969 -636404 691732 -645529 720529 666738 -546377 368645 316998 19466 790427 812121 -351274 575678 688047 -798881 112890 854839 -675154 -831313 -415346 36318 170384 718581 -332281 -930778 -649312 235897 411488 242660 315 162090 -115245 -369533 87492 -162453 409168 -810642 625657 -517576 87158 844528 -594726 690211 -114631 -827151 236502 385760 157700 342490 -633519 971007 -477604 729790 60796 -270026 220418 573007 612848 -654329 582137 40765 -511095 391092 1069630 390752 672177 450507 803807 307606 872803 101425 
-298103 150254 -81307 -138855 908305 1001424 749043 133186 503500 -542705 254083 -606209 392054 -256902 -174532 -947961 395919 1085404 730601 -522579 499355 -458957 -745518 911866 565697 -359541 -221798 23191 -587318 455421 -195703 -766654 509681 -781434 -95179 -728765 -180375 98142 949580 -475666 -127131 657359 723712 922854 508521 -277750 769295 -403559 94583 -102601 547136 942030 -232962 806207 782778 -865396 -17390 616279 778160 -638437 -719408 -252050 111790 398301 177239 85252 505899 -690544 -553499 -933196 -974899 740881 -89495 -679627 25574 125045 -829213 -183610 -847197 22924 -694442 386445 -370903 116024 -929900 -615203 -575105 -654232 -255364 -542691 -1416 -693727 -917741 429567 140049 505904 -446666 750349 310123 -201034 482407 -875140 -958960 -828980 -659717 -389895 -22602 31995 651262 -133576 -692798 -711059 -365734 98362 73065 265042 663792 436357 927103 -791913 -789877 -808598 315720 897351 -209650 13211 784181 -457763 461828 -692190 269818 -331464 -1001300 -859444 -818990 566085 -333005 -91388 -863482 -337600 878099 -468515 -52470 -486196 -418935 843086 -581514 328728 -580129 330501 -877113 -493081 522655 -580585 -28349 -10870 -923153 -951069 -513009 -332552 -286420 -222319 -564779 350557 -890328 -184374 651348 36232 -344448 11095 -184023 648186 873914 -722496 666144 -697822 710504 653305 -595815 345966 352277 17960 812427 759481 -394382 518712 678889 -819611 71018 880615 -696686 -802670 -447387 69072 117268 726432 -310476 -837821 -591956 127315 409503 321111 -52226 115495 -65959 -409854 19147 -137527 282579 -763578 618393 -491299 129078 744589 -560552 660388 45330 -844982 348144 333738 237279 188237 -645220 903949 -477755 744098 122695 -244884 195604 563232 608595 -672974 519172 63689 -496340 332251 1047448 436933 668269 413207 891047 399435 812930 102463 
-298436 127501 -70298 -119230 924948 958406 746823 55241 514749 -628029 263401 -440509 390291 -213037 -143943 -970758 415627 1050202 730418 -610408 525488 -461571 -692646 965680 517401 -369830 -156295 -572 -645576 437340 -236637 -726839 523580 -772963 -42058 -748812 -148711 42993 945699 -495224 -135716 800844 729271 775645 569529 -343030 766786 -395913 105141 -127534 554785 869793 -433259 862079 700418 -833406 -9280 627214 837317 -683634 -614829 -365365 71624 332040 164891 155968 485868 -661417 -562049 -894655 -871280 777426 -79549 -660351 38613 121460 -864591 -147592 -846077 -38754 -730344 363319 -314659 60238 -920848 -641025 -569378 -642534 -227961 -533415 36434 -544267 -881354 376832 176117 462908 -355721 791201 265482 -189834 511647 -891687 -837396 -855630 -647779 -481116 -8738 10813 693984 -127150 -788664 -701123 -412863 107878 72423 276683 628457 455623 889079 -771240 -706143 -768264 306755 871300 -237327 -2146 642524 -517027 309006 -855349 353166 -335240 -960721 -815858 -879680 671503 -387209 -110327 -860629 -212137 852714 -413090 -133465 -460204 -571717 873654 -591738 319193 -568186 367057 -843980 -515380 465544 -621390 -15164 76112 -955607 -1047877 -514923 -472894 -283514 -228421 -595908 349149 -973983 -120503 668854 73520 -244063 96451 -251550 676508 902478 -744478 672639 -670029 716595 676867 -459046 327184 376257 31962 849040 761580 -411270 486832 756165 -842837 3540 1060244 -706652 -826756 -492866 89515 91380 660131 -331276 -839635 -530377 -31439 411015 377819 -54414 44794 -75617 -466367 66092 -137717 244804 -743534 715229 -463609 133035 781501 -465619 634390 77691 -904876 416932 277292 296457 79567 -630818 791723 -491770 775830 53026 -205334 170443 621068 443725 -705063 474155 68326 -555237 262349 903501 428498 667178 392273 918242 512280 736252 159152 
-292401 99132 -77662 -173241 923242 956743 785973 -17242 493378 -671145 229330 -357611 348400 -157359 -101694 -1011844 399812 1072248 728141 -781178 560028 -472717 -711362 942123 550915 -454085 -100672 -30855 -729255 473299 -221492 -776766 529648 -786212 -34388 -669705 -139449 76936 984808 -544800 -163101 888714 623964 730262 453758 -419079 741341 -450846 110630 -117207 545514 711358 -385435 908773 737519 -830222 -91915 637110 918088 -702258 -724620 -387775 22896 335049 116823 200486 466579 -641644 -584710 -897444 -671286 914576 -84053 -649887 48413 147600 -914701 -196438 -841640 -25577 -735524 343320 -227740 -55150 -947447 -763739 -556751 -684732 -201927 -402874 43868 -570009 -811917 362126 161592 447121 -196309 839790 240136 -175747 543203 -872878 -913225 -817065 -657131 -478889 -27040 -15798 712052 -134387 -759199 -658069 -508498 92228 61633 303110 587006 474101 834005 -783384 -682061 -624826 311907 828287 -270428 18246 483951 -566715 209720 -989605 440068 -428188 -952832 -771981 -1075502 610058 -434463 -108598 -866835 -134930 866831 -361722 -262156 -442015 -533946 906135 -543220 323427 -624783 473775 -795303 -548817 522533 -636456 20824 142013 -940958 -1116255 -498193 -566094 -300295 -230688 -577087 366156 -1042668 16133 724549 87823 -334519 303599 -298033 808867 900284 -685546 758088 -726784 708696 716436 -274131 316064 354475 53175 886022 794865 -384166 479422 818750 -870755 -60240 1067873 -692125 -842689 -512539 117831 100974 539526 -377278 -862052 -511609 -131791 408216 382044 8 -32057 -41939 -536364 187051 -157034 159847 -697789 792110 -464276 198927 838952 -350631 698058 -16192 -981568 432332 314353 303130 156599 -603251 741755 -486415 788879 -21834 -206133 142870 632146 350521 -746651 497084 80460 -588634 221680 783807 371784 678416 432219 910477 545590 682699 153253 
-293350 83156 -79783 -236940 912965 938202 809934 -34424 494774 -624997 181124 -470134 348122 -113463 -112880 -998125 388604 1079556 722662 -754190 607091 -492847 -752434 849531 525762 -536542 -62923 -18681 -773339 530994 -158725 -814390 507366 -753329 -83403 -590056 -120129 175190 912777 -580887 -171082 838548 596925 794866 342476 -471382 783439 -549528 100676 -91105 555640 596503 -209387 917388 702537 -786609 -213225 628835 1000054 -723335 -951027 -317581 23537 323548 47505 143772 448830 -636250 -617350 -881274 -601606 999103 -114291 -643824 4227 183687 -952276 -290200 -840330 -13553 -713212 305973 -216241 -120984 -961792 -879652 -552478 -693163 -189613 -368293 82158 -740280 -801492 374559 75120 482128 -170501 896078 251904 -165972 593875 -846066 -993615 -766339 -663279 -376624 -17095 -36476 696448 -175644 -724358 -631056 -637222 101671 -9970 303399 536849 483810 847467 -812714 -719859 -542590 236473 782166 -306474 -368 532059 -608508 177973 -985510 492471 -575669 -1011521 -746606 -1146338 450678 -485333 -57414 -863501 -79438 880704 -314131 -309432 -411724 -308340 932973 -515378 302793 -643960 575970 -696384 -527534 626112 -671913 37534 113046 -903914 -1159225 -489096 -547169 -275184 -240186 -554608 395623 -1066250 103979 827612 79225 -388454 366115 -293285 918339 912397 -576893 809206 -644983 681976 713771 -233706 303942 322271 63565 866785 876598 -329639 446450 792908 -884279 -94792 966902 -695380 -829800 -527288 139774 158623 487148 -409337 -967144 -485786 -46890 409353 340014 92926 -114130 -93272 -603278 301988 -131966 122371 -653263 807482 -483610 267327 912366 -283766 789236 -139154 -1036620 389314 389302 281889 306485 -621329 921320 -509348 784837 -65401 -178575 117211 639270 399159 -731772 509581 28837 -647279 208423 798584 334078 674927 496763 898233 479808 658860 94423 
-291693 119240 -125068 -205124 924465 952192 802510 -3122 476793 -534519 170590 -592458 267177 -39699 -81224 -1001323 390669 1116285 726763 -624974 647405 -506420 -710906 739200 532893 -551606 -24182 8209 -757811 595889 -90027 -840520 499730 -771009 -147586 -538587 -109900 282603 958747 -579835 -174499 693390 520193 957267 357475 -512980 764343 -635423 101789 -81377 548424 621480 -84070 858369 677205 -827084 -295199 633349 1005466 -743559 -941840 -207354 8747 299739 -24262 72636 432559 -598120 -655105 -888392 -650992 995719 -159477 -642263 16648 209635 -949192 -324484 -838727 41608 -678639 335605 -336305 -147701 -955466 -927507 -549146 -639835 -159849 -432146 76049 -817584 -846505 446390 20097 499557 -301035 932681 246945 -159215 605920 -868910 -1013091 -782569 -668262 -279248 -16411 -340 645681 -264510 -705288 -601014 -730327 104053 -48788 334299 498443 496398 851984 -866533 -794863 -598487 206212 762462 -339501 4364 697758 -614526 275008 -851532 453588 -666080 -971521 -679750 -1020415 382246 -468042 6304 -875187 -150330 834407 -357974 -232258 -412358 -188332 954932 -524498 298936 -709970 604706 -716094 -533932 747449 -672141 41239 17627 -864951 -1150890 -489580 -432471 -290912 -239507 -519470 434877 -1029056 76136 907211 69142 -451380 240955 -240458 893172 914779 -497370 779003 -658379 639961 728506 -343841 291563 317920 83813 828295 934279 -269048 429156 710592 -890128 -83620 817428 -691979 -857675 -517531 167596 212204 540206 -464077 -1074642 -516939 78143 407574 287087 143211 -167987 -131084 -617434 313909 -114358 22427 -640321 718337 -516868 341929 916781 -358635 926422 -159289 -1012173 307424 438434 249290 338438 -663171 980938 -488640 727345 -25885 -146414 92675 666472 512342 -662824 603126 -9894 -639406 239346 927047 351138 669608 475372 879058 365412 675102 90487 
-288590 137230 -95819 -133605 912202 953169 851216 82619 494811 -432232 206474 -601731 285749 -8293 -108960 -1011936 403100 1045192 725373 -460905 695662 -522614 -700325 686532 553083 -507934 -12661 5832 -697344 614810 -55973 -785344 530017 -775930 -162179 -618235 -98914 326006 939387 -541532 -148066 627447 515352 1042243 467006 -508123 808707 -678685 101903 -48097 546314 762174 -159716 825587 614644 -840279 -286208 638947 1004253 -767401 -763153 -144607 20383 278578 -78156 82612 419124 -579618 -691491 -890684 -815535 890751 -209358 -631752 15013 212839 -914630 -222891 -839032 63353 -639398 373516 -355167 -94410 -932191 -820216 -534547 -663773 -173211 -513076 46132 -674710 -906363 573202 13038 485330 -426422 978312 244905 -173762 604810 -875501 -938306 -708356 -657944 -284343 3602 22321 602118 -325637 -669577 -570377 -757215 104971 -113777 348472 467498 493504 853145 -932829 -872795 -739275 142799 767795 -371661 -30266 813570 -581138 425209 -681898 379163 -616885 -1015408 -668215 -858845 432828 -376224 56242 -867968 -255461 876887 -419670 -103577 -415082 -275904 964013 -469701 284564 -730699 539301 -802165 -521137 838953 -663886 36486 -57267 -892147 -1111165 -477855 -289378 -300800 -232001 -530909 480009 -951980 -76860 892262 53227 -383793 48128 -172824 759842 935801 -497491 741151 -601466 649386 695149 -545378 271996 348796 84103 817304 983899 -256666 436733 680922 -904043 -31656 904088 -697411 -826403 -489505 190969 260803 607984 -550411 -1135672 -487413 204958 403789 227162 104969 -177213 -76333 -617901 208296 -136413 30391 -630482 624372 -509634 390800 746667 -439977 980110 -19281 -958849 191163 383899 215592 202045 -638831 900148 -495440 762862 31665 -119679 69921 712414 645317 -643777 649011 -28350 -636114 292398 1051813 403301 674981 391380 817143 292236 732758 67257 
-301703 123168 -79911 -117809 913952 997655 822976 163715 502935 -368936 251049 -469935 316670 13898 -74957 -969602 418127 1076419 715514 -624140 739486 -536702 -721048 680675 579945 -419019 -28324 22821 -620485 586461 -78456 -717164 506825 -744757 -130876 -704987 -88892 286316 961068 -493925 -140003 718805 453869 1084439 555806 -471853 764479 -648008 107715 -51677 548774 909111 -368041 818493 592126 -798111 -194798 643203 914799 -759633 -621095 -178844 69030 277737 -98951 158708 408524 -516696 -718127 -895347 -950077 781420 -259547 -621101 -42593 187573 -873527 -139250 -844808 -17410 -621982 382469 -397512 -13668 -925334 -699800 -531047 -670799 -192729 -551398 22007 -575793 -919129 609541 122813 468610 -383162 1003425 266122 -173348 605793 -870886 -860218 -713617 -665129 -413923 -2289 40229 538730 -356009 -759829 -571817 -786539 109938 -67910 339884 463327 497226 888442 -1000281 -874939 -813091 104529 798469 -406573 -6708 769319 -534511 458382 -652573 298349 -458806 -995286 -642082 -848761 553131 -339678 19791 -867680 -298293 860048 -456290 -61098 -435726 -527568 959842 -473220 300079 -719138 428206 -885548 -490043 826837 -666785 23262 -41930 -926245 -1039523 -451797 -228869 -324545 -232548 -519568 520206 -873419 -182472 861760 39126 -304298 39507 -134696 652352 934609 -611581 712992 -616959 567510 678660 -570999 266649 374044 76119 826635 959284 -278140 386850 734045 -892032 38218 999084 -670371 -805572 -471584 218336 264839 719671 -617881 -1144710 -498717 246135 404711 202672 25140 -120319 -78488 -595592 98175 -129971 14418 -607514 630643 -482159 451097 706365 -541333 1049708 97093 -871468 100548 338683 145858 85598 -615178 792345 -507173 718410 109078 -110459 49614 706428 526679 -642854 682280 -1385 -595888 359203 1031606 439663 664377 377242 740045 321255 814570 104697 
-305714 86860 -76872 -187683 908011 1019101 830590 212721 494069 -382668 261203 -393165 346110 50290 -43780 -986680 388121 1054919 729857 -759792 775808 -559972 -738271 760380 537286 -391989 -64891 37212 -579371 532968 -143298 -716556 514549 -782621 -66530 -759905 -94761 184563 931250 -473982 -143756 860902 450603 1015454 510004 -415739 731178 -559320 109196 -34334 536717 927595 -454367 806105 569598 -827285 -77420 636444 870629 -792636 -699465 -279030 91506 285977 -81146 192895 400502 -505056 -731117 -887128 -966108 725686 -312642 -639996 -30543 151703 -823972 -163913 -849586 -37478 -636887 397702 -292928 76474 -932000 -620683 -531667 -680754 -222955 -447692 -34949 -572937 -865842 588334 196629 428265 -228933 1030494 239847 -170542 631102 -872322 -889446 -679451 -656250 -490266 -29742 -8602 484341 -359943 -777614 -551286 -668498 98719 -5999 373124 481452 502404 889061 -1050566 -789521 -738692 66681 840078 -434787 2842 640566 -478591 343016 -664168 268815 -355689 -1019889 -674461 -1046513 660936 -277693 1656 -878273 -318019 859861 -480580 -133562 -455862 -575147 943580 -460105 266639 -720336 342608 -914111 -478433 759892 -643365 -19278 44001 -923352 -955093 -463687 -281246 -317994 -239599 -488354 541399 -832751 -127360 757535 20436 -249635 220550 -148611 673332 945722 -729605 666378 -632854 573878 674331 -429521 246697 356870 72560 841070 898507 -339639 422831 802237 -877655 96007 1078520 -663045 -805222 -461530 247147 239788 734929 -641787 -1070061 -571150 176331 403442 230417 -74151 -37077 -43545 -542197 39417 -117005 88639 -594308 714731 -466137 478090 792984 -590638 957306 72311 -834905 66127 282772 121614 135392 -611670 755211 -483006 763693 94931 -115300 25628 703934 411420 -711756 655758 59941 -548061 397269 894716 414536 678565 424532 678634 424237 866383 118088 
-311156 99173 -65173 -240062 911783 1053333 824097 197757 524075 -468936 227745 -469732 378169 50623 -41556 -949412 386801 1063902 721039 -785700 800839 -578787 -718572 867350 544737 -351953 -117015 66931 -597742 468404 -211498 -784183 516534 -751443 -31236 -718940 -96724 83191 942746 -498809 -150043 887144 472931 842192 395511 -344531 736110 -468656 118967 -37903 532016 821044 -257095 857277 585572 -824945 -6249 643032 785122 -781300 -907538 -379685 119056 304016 -29285 139595 395296 -486143 -728106 -884188 -846043 839953 -351988 -648345 -23915 124376 -836068 -262782 -856558 -48049 -653226 423659 -252492 104197 -953597 -678767 -522869 -654890 -253911 -337964 -86758 -696894 -814305 574069 171898 464451 -160733 1053583 267184 -191894 658495 -848834 -976885 -708273 -666481 -461437 11421 -29844 450302 -325780 -766813 -585393 -571297 99327 54112 391128 514950 495078 905889 -1084594 -692369 -595631 45236 889632 -454884 -3222 531912 -435318 240181 -813889 320821 -289405 -988074 -751619 -1161281 625463 -248496 -74262 -872545 -281253 888567 -415966 -267550 -456210 -392217 918100 -418276 260591 -684211 345641 -808950 -516725 615117 -593104 -66099 130490 -917077 -864614 -470148 -418091 -313670 -236871 -504722 548941 -847155 3487 673506 -39190 -360614 368935 -206798 804857 950316 -742995 674647 -660785 550013 659802 -274716 243976 323206 58290 866987 823837 -390754 438214 813086 -868332 118320 991705 -668051 -827209 -448615 260117 183739 697097 -645919 -961760 -627403 26950 398094 297502 -66752 11237 -112483 -484797 78657 -97849 113147 -615334 809016 -464094 439452 934022 -537069 900063 -69378 -840465 103340 303499 52382 316499 -629665 888839 -468597 783181 -43379 -61089 9949 746322 386423 -746085 629384 84086 -493964 377177 771630 359944 663063 466455 602703 524951 880498 117940 
-292155 126867 -78600 -216728 913348 1050952 802834 137212 520140 -567973 171133 -600874 385486 45381 -2119 -944366 410045 1063589 709564 -628613 810547 -593165 -728420 936681 572108 -447172 -176626 58470 -660906 437822 -237877 -835327 535734 -770986 -49750 -627084 -95203 39573 933017 -546381 -143348 766846 495034 736574 338537 -266751 773868 -401212 109998 -53388 536655 654763 -165545 899196 593005 -814696 -26890 651106 759987 -759935 -984786 -372981 147303 296157 41959 79339 394262 -477778 -711358 -892663 -647163 957373 -384362 -657772 -12292 124504 -902782 -300776 -856056 -11831 -694393 447565 -281119 77383 -959179 -797727 -520740 -659320 -270558 -387658 -136587 -779540 -811376 495122 84467 479571 -266918 1046231 303226 -205444 642513 -878595 -1021361 -700913 -673236 -353253 19690 -32653 433187 -225245 -704094 -579349 -432724 94586 90610 405977 557282 489129 958663 -1104417 -673933 -538832 32529 916919 -464637 -27062 523618 -425174 166020 -957906 417949 -451225 -988432 -805078 -1059011 481368 -271133 -85222 -878961 -177656 868178 -369043 -312691 -476857 -282686 888272 -378118 260156 -666743 438364 -739218 -473453 510227 -621322 -114771 137038 -878151 -812304 -454376 -551933 -340794 -236345 -515632 544309 -912497 126304 637795 -56178 -451176 317722 -271921 911575 943835 -723201 756743 -681227 484990 628237 -256218 241861 318870 30246 876967 769150 -409545 472556 738120 -842335 94623 876240 -669243 -860487 -471029 269811 125134 597929 -598132 -870419 -679609 -77161 398149 355724 -42323 102830 -80595 -422814 195097 -82899 189523 -626280 803157 -493941 417787 945383 -462884 772636 -141216 -889778 191641 380669 31994 347114 -676406 975573 -467447 753630 -70251 -41031 -747 693398 461402 -725445 516535 74186 -484744 341653 777165 332371 673437 504391 554648 541422 849759 62122 
-302770 156746 -78748 -130107 915807 1020452 835018 53243 531543 -651382 167658 -593764 355702 35525 -15522 -899838 388881 1044170 712116 -559620 802046 -606173 -732084 961805 579160 -535564 -244847 49749 -739461 467154 -208203 -805762 498032 -785382 -100873 -543490 -96076 87002 966831 -583751 -144708 643662 514036 752536 455922 -199050 803497 -402159 115636 -73152 532669 578503 -53637 920368 625722 -775571 -128046 656873 788707 -732077 -799710 -317836 196084 273908 112572 67253 396279 -502034 -681237 -889850 -592334 1014363 -392373 -648081 -9025 153649 -939764 -241609 -875142 24102 -726160 407301 -334378 -32566 -945330 -908462 -525070 -629179 -251984 -447317 -178540 -754860 -871096 391094 25772 475609 -418226 1030008 336976 -188751 636248 -853206 -991722 -722181 -654760 -266426 -28614 7303 443277 -175859 -718106 -609747 -407671 84930 75811 424901 605582 484011 941801 -1095251 -716655 -633238 26170 906625 -470618 -20084 675132 -452079 226955 -968036 486403 -551822 -991970 -851615 -847463 368964 -301118 -104978 -883908 -90223 831057 -307445 -227851 -449012 -244738 858518 -371412 262305 -604390 550783 -691054 -511310 466535 -606742 -147998 57660 -876818 -778035 -430727 -568310 -354715 -238440 -535035 511315 -997254 69762 646287 -87860 -434468 124603 -303020 896799 947092 -596662 789205 -699267 498361 669014 -385616 226308 352576 23522 860563 761528 -380761 504388 676794 -820284 35210 842741 -655123 -873329 -485394 293470 97979 522125 -555614 -817824 -703509 -103161 398681 388833 71855 165453 -54418 -364679 304223 -109512 276400 -648450 715125 -513434 348251 852985 -356313 672252 -130271 -988085 289840 427908 -3210 200252 -640423 953551 -475027 734951 -66561 -33006 -10243 703003 580407 -677808 457273 -7663 -493608 257017 921053 361850 666061 432617 541011 460613 807941 117195 
-295815 94728 -109077 -137293 913492 1035203 778506 -16110 511733 -665542 208664 -500093 334220 -6682 29520 -865959 425031 1046598 714864 -563129 789521 -622432 -673388 877166 572095 -548788 -305518 30974 -775177 517581 -140077 -750261 510356 -730476 -148385 -547842 -109975 195962 913033 -577324 -166212 669659 523654 847369 530771 -169708 784425 -470277 114564 -79432 516239 649990 -268532 872217 698651 -779505 -245362 648983 873600 -721536 -604082 -197478 191418 298807 161931 149907 401763 -488532 -644514 -887774 -655123 962132 -382908 -681256 -2975 189021 -963120 -143194 -890603 40885 -743321 444818 -395304 -128260 -922931 -887636 -532589 -652362 -233709 -543129 -238627 -585997 -914112 370674 22532 500647 -423583 1007236 322200 -192307 619660 -940610 -884550 -738699 -675824 -300863 -1263 27190 483052 -114494 -732014 -659158 -392823 101802 58584 453591 648074 467211 949636 -1064123 -818966 -769007 71936 875861 -456765 -8012 824243 -504526 399798 -889036 484294 -655030 -996710 -861551 -849379 396208 -354841 -81699 -892529 -114923 879472 -337413 -100969 -430243 -384274 830060 -369782 240010 -586446 608617 -811266 -513508 506817 -605512 -157211 -30863 -903766 -795830 -407698 -472603 -351721 -240438 -566690 478179 -1053057 -107374 697133 -76208 -365157 18389 -279199 755176 937672 -497082 759827 -670681 489526 707625 -576970 209991 374990 10411 828747 778807 -319226 552601 710426 -791666 -34329 950379 -648136 -845761 -503722 293529 89024 535380 -504752 -846612 -740903 56304 393858 365844 144399 156449 -31226 -320599 325465 -103549 365500 -668609 626755 -509479 308783 772938 -303484 647287 29564 -1026994 386026 412224 -38065 76025 -626066 822454 -497797 748036 41225 -40270 -14236 697717 624535 -637042 477142 -32412 -543026 206520 1053886 418033 656717 388336 527672 348408 712489 70126 
-290983 67603 -74466 -187929 906041 1008854 759520 -37663 512614 -604802 248050 -375631 310060 -48209 -8861 -850607 373026 1023088 712980 -756391 762963 -629034 -731553 794020 564976 -472285 -355370 15416 -753136 579999 -75825 -700771 507524 -781297 -153888 -660481 -131131 297199 951892 -539109 -96809 795098 558752 1046728 530888 -172797 775442 -571930 124578 -100408 519590 814763 -435546 832168 673745 -768242 -303482 644420 948765 -680486 -637909 -170779 164995 306412 177312 198043 410086 -535868 -607469 -887205 -832985 854440 -356978 -675447 16052 212207 -949824 -132332 -895981 32104 -717633 409051 -295581 -150081 -931070 -801640 -547195 -638423 -200990 -447027 -231875 -541356 -910267 356392 92250 445421 -260709 967820 345518 -176256 611781 -881644 -874449 -792964 -665994 -429058 -12584 3400 546551 -118703 -753210 -696533 -514490 91574 -44096 448320 676567 451320 936483 -1012302 -867159 -809324 90326 840454 -448421 -6956 828712 -557433 464862 -753107 406972 -629701 -976824 -831633 -1014988 527624 -394584 -18258 -882610 -179756 866079 -415434 -59258 -440662 -577461 812836 -396473 235901 -571022 567823 -894658 -523620 638416 -603450 -169587 -65761 -930975 -845179 -429519 -341673 -362017 -238814 -514818 431158 -1061868 -176413 797730 -95783 -260987 120116 -217154 644405 941074 -497386 798499 -612010 478472 712996 -568388 217682 360505 -19650 826049 842623 -270577 580175 789001 -766497 -85013 1074451 -651903 -828042 -523801 309047 139942 573253 -403254 -945754 -795112 196698 395307 292097 114049 123143 -23573 -330131 203556 -91438 366864 -674631 628427 -486640 220763 780366 -362964 688766 131825 -1021950 431780 350474 -28095 164389 -614099 755481 -504090 726064 111752 -21516 -17482 643229 513146 -661039 509528 -19797 -612205 194217 1037276 437861 678338 416590 562964 291551 657804 139566 
-297092 77263 -125344 -231194 898825 946714 753137 1520 502671 -502034 265791 -448087 296551 -100195 -7802 -861267 394800 1003710 711932 -829627 719672 -633871 -701968 710709 561059 -403750 -382603 16868 -682965 619487 -55861 -760642 499786 -780063 -99649 -743954 -123586 332230 927256 -493715 -142253 885386 619165 1113910 420967 -201307 734874 -658666 122853 -118846 531901 931686 -375701 805510 763288 -789101 -266125 646184 1009504 -643539 -890275 -196131 141663 318336 153269 141339 421759 -525799 -576703 -872438 -938587 761119 -315270 -677395 51364 209072 -865591 -212746 -911594 -12837 -676383 378023 -289633 -108454 -943940 -650521 -544124 -620531 -172024 -375805 -255825 -701176 -851486 438044 177035 470372 -171332 925723 379627 -170956 595683 -871532 -993699 -803519 -673119 -535157 -22985 -30294 612432 -167105 -747756 -708981 -561424 93936 -57609 466523 684419 435025 922475 -960792 -870080 -707097 153245 796503 -414908 -14917 656519 -599082 415857 -615559 322424 -465525 -1017041 -793246 -1165584 630165 -455962 7739 -901535 -301558 894228 -455862 -137712 -427891 -519612 807941 -371258 235426 -552675 455502 -910682 -540212 774889 -654674 -203753 7238 -922377 -927490 -415232 -231586 -354710 -240949 -549718 390486 -1011623 -124219 860926 -88208 -269085 327177 -155465 667165 930523 -563556 698571 -597301 527798 723428 -408822 223095 324952 -3735 811033 930787 -254023 620064 816565 -745238 -93872 1063452 -630796 -806547 -523613 311012 195297 623173 -362680 -1025135 -843252 233714 395983 238884 38537 11898 -52161 -376922 83578 -120727 372592 -752516 730370 -464806 165630 831024 -423880 754378 30000 -964651 410628 284641 3432 300830 -618058 856589 -503819 781454 118443 17823 -15772 639797 340091 -687197 563527 16429 -662075 234049 906602 400008 668479 449507 614425 335888 655110 84067 
-293827 130692 -103709 -201376 899827 954612 701673 77095 493272 -417147 227083 -546724 280584 -163489 33546 -824156 387896 1025170 707681 -655171 678312 -632885 -703591 679873 568942 -350163 -381509 2053 -610447 602490 -92531 -813387 521504 -783887 -41213 -758480 -158581 271032 934936 -478762 -113271 843623 638539 1053137 366079 -266694 759124 -677040 124796 -125480 535837 904264 -192895 800230 744354 -765526 -159557 632270 1038176 -598753 -988861 -288687 106679 347088 97862 81611 435560 -553030 -558450 -885356 -970694 780698 -267148 -676122 47232 180515 -833148 -305283 -920765 -15442 -646780 352141 -230860 -39357 -961941 -617711 -555313 -604093 -155346 -409326 -241867 -822702 -802568 534098 200137 467350 -250258 877004 346921 -165370 604489 -858262 -1003020 -838601 -673274 -437388 -20645 -35486 661777 -230027 -728073 -740412 -699705 119173 -63563 502568 676179 426967 892351 -893742 -810131 -572801 204545 767742 -387927 -29344 514617 -619968 279253 -639898 257208 -348366 -990571 -719670 -1081938 642899 -431035 34966 -891103 -399740 898392 -473258 -267075 -438359 -319895 815551 -398917 223433 -572364 355826 -869320 -532620 840576 -669040 -196820 99050 -915037 -1007316 -391290 -240146 -339933 -249607 -530657 360230 -929606 27328 882077 -78649 -351112 363227 -132967 815196 931545 -695906 657380 -633572 556242 707907 -257715 220518 310229 -4528 859819 968822 -280870 659819 766425 -712357 -58893 909549 -644920 -840337 -494999 309475 255057 726316 -314066 -1123130 -844805 225171 396123 205292 -53553 -65895 -77401 -429624 17375 -76645 292355 -753421 823536 -475350 102139 930149 -521341 875876 -112819 -885115 347713 303548 39124 344474 -619664 953179 -505253 743207 12428 -10191 -6894 627476 411247 -749100 626221 62527 -661509 313327 777481 346590 671266 452613 647314 445975 686410 67113 
-289436 135518 -98040 -139027 896032 955014 681695 166890 483016 -365463 179348 -661825 290779 -206964 13620 -828457 392962 1020785 710313 -496989 628796 -633831 -738595 726127 538058 -385952 -366617 9676 -576404 549861 -162967 -851023 521133 -800467 -22631 -673369 -178486 160358 950317 -499855 -143806 701968 682996 898407 440089 -347357 780907 -630750 119902 -101595 526787 768715 -62871 876978 722693 -750912 -46515 643659 993037 -583717 -854088 -384157 89835 355162 25302 68544 452130 -625082 -554204 -872670 -836654 883573 -212307 -681421 43268 139917 -833064 -283358 -942202 -2849 -616735 345177 -302122 77869 -953554 -687698 -561382 -611721 -173762 -505737 -227510 -742543 -826775 618632 124350 459679 -398176 838270 379905 -174576 577215 -856613 -946346 -834675 -674620 -334852 -48884 -12747 700754 -298954 -727706 -715788 -757525 89527 -32469 513197 643481 406712 851297 -839488 -715405 -547371 246303 771204 -353716 -15271 530266 -595957 180964 -791312 307642 -293331 -973328 -681040 -900957 515281 -425001 44925 -896725 -309196 911967 -394901 -312258 -392505 -218931 834997 -379379 219141 -586645 335030 -706793 -541002 824232 -669135 -209952 142031 -877093 -1084490 -399935 -374885 -348161 -243040 -539803 347370 -856403 124238 899112 -23805 -436828 223899 -165388 923790 917006 -754959 653858 -632189 596527 680932 -254374 227135 344734 -16902 877081 962092 -335374 684897 688199 -719013 6733 852808 -638513 -846605 -476659 301569 268477 745697 -305364 -1147376 -854442 31537 395462 218577 -58274 -156722 -116058 -483836 33169 -51613 268451 -811207 795789 -490836 82696 893644 -595061 961639 -165285 -832361 236847 362337 124239 207844 -655041 957130 -498005 763973 -79072 10090 1511 603187 517140 -737204 672945 62745 -648348 367783 782616 334724 674484 410573 719766 535596 765842 64770 
-299212 127358 -52704 -115005 900455 978641 690509 207830 495591 -402091 168276 -512105 336396 -270107 20727 -812158 405321 993588 716109 -515569 586002 -626203 -731030 822274 524975 -447763 -324053 686 -605446 477854 -222988 -782995 522476 -761473 -70260 -584004 -190812 67085 938784 -547626 -155478 634858 713700 756540 532909 -413480 761468 -541536 138552 -115994 545056 612445 -165529 886895 727912 -769920 499 633226 923585 -572473 -648102 -374227 52397 390547 -43148 144840 470110 -640999 -565891 -863751 -675937 978604 -158898 -687685 14860 122294 -874366 -214761 -944838 2794 -619417 339987 -344517 117671 -929533 -802930 -576521 -593620 -189436 -545619 -190700 -584642 -893967 619442 37245 468497 -431517 793471 343207 -165910 543865 -910683 -903327 -794730 -682234 -243217 -17888 20931 712614 -364294 -757187 -682576 -767981 93600 43980 531156 598268 388256 846508 -791892 -675410 -661144 288928 784754 -316986 -21805 673103 -548423 228416 -920549 393973 -421282 -1022158 -635730 -813244 396878 -387785 2848 -892500 -197373 834050 -318081 -227664 -406817 -306279 862108 -369238 207278 -642711 406211 -724459 -520806 727474 -655951 -184329 95868 -885265 -1131272 -402095 -506597 -324826 -248515 -508166 351236 -830530 40596 799491 791 -420326 32843 -230264 897989 903702 -742622 720582 -659659 607805 667443 -437924 215518 371814 -2559 866649 912912 -388483 728126 683088 -709676 73676 908909 -680594 -856791 -443242 292050 255458 682890 -335093 -1100201 -837912 -63374 395731 274088 -37388 -172237 -59229 -558625 187197 -75577 160618 -852759 688236 -516666 99160 795293 -549830 1015769 -75302 -828296 129052 421987 148866 91256 -625580 854776 -501411 783631 -84202 23267 14499 537978 603930 -690229 688768 50292 -578029 388285 918087 375170 677287 398868 816269 529640 854052 71084 
-286300 74309 -65617 -185388 903732 974502 675578 196396 497961 -486466 202563 -344104 366630 -296054 40085 -830918 400837 949058 711631 -725631 545856 -616111 -731755 924980 568548 -518395 -279223 12458 -677447 444372 -235810 -713604 491472 -766383 -128222 -547515 -222442 39525 959724 -583608 -162088 719386 768049 738417 490121 -471106 809136 -441166 144792 -82981 522392 598507 -379730 900509 681225 -727805 -50794 612844 820656 -550720 -613774 -284399 16012 397870 -88509 196220 489713 -654946 -591814 -878810 -602029 1015441 -117093 -666277 6036 125568 -923672 -128656 -963172 61516 -648495 359429 -385954 63401 -921104 -912804 -586596 -566767 -223988 -444511 -140382 -554877 -921368 598332 18907 453175 -294678 748757 372747 -178319 521641 -867586 -898285 -771494 -678088 -340043 -38812 27209 700389 -347859 -800137 -645086 -695520 106221 63062 543758 553811 377068 805078 -771046 -708739 -795313 304961 824844 -276060 30628 822619 -491445 309795 -999607 471485 -621317 -1027595 -658183 -953182 391599 -325490 -100958 -905917 -100748 885356 -347466 -98248 -435668 -540938 894120 -423647 201839 -679487 524667 -769870 -485845 595246 -648127 -128298 2017 -919218 -1152722 -377623 -572922 -324020 -242859 -549872 375194 -861325 -92049 705817 37059 -346150 56114 -287858 757946 893470 -642319 766416 -676445 630886 651899 -550436 216433 359353 7873 833971 822059 -418087 762295 759337 -715957 113995 1025477 -695671 -864604 -444099 291317 204702 533612 -402100 -1011292 -804715 -107013 403214 335935 92167 -190675 -43386 -581402 270631 -69103 141330 -851360 610294 -516171 116561 750133 -458963 992187 85192 -893034 90160 413977 216195 144766 -628272 761704 -483147 754375 27315 13829 28779 554605 567886 -652286 617852 16075 -535514 380547 1064388 429110 677224 429260 872031 441908 874135 154707 
-281068 85632 -69224 -236100 878605 972646 669118 132636 514268 -595307 250337 -383101 320512 -314404 18608 -844319 406004 935330 707420 -810548 515529 -599482 -725090 962425 539483 -544609 -209973 25730 -747355 463632 -192746 -721525 502823 -770793 -167458 -591714 -241761 109779 928669 -581822 -120338 859712 802530 827590 400890 -505439 810300 -393416 132909 -52006 546750 704751 -464323 862795 677044 -760240 -165559 627677 790222 -573783 -834194 -174810 10076 415144 -97787 150399 509486 -659202 -627810 -865102 -658349 922436 -90014 -652349 -1354 156032 -967009 -162457 -960420 17973 -690928 351331 -304845 -25705 -938141 -891066 -606200 -568464 -256314 -356767 -95637 -666141 -899722 531998 58378 462161 -160377 720714 339144 -187055 531639 -840746 -978129 -739192 -674913 -457965 -22275 -3820 653843 -339113 -779026 -646087 -594140 85036 97392 559379 507627 360768 835606 -777860 -805378 -796123 327162 874120 -244448 22316 809002 -439970 449880 -942549 495867 -635836 -1007073 -700530 -1129218 489061 -282283 -100178 -904561 -93876 862069 -367748 -56416 -430833 -559506 922984 -411483 203953 -713669 597752 -868080 -510781 503046 -625516 -79077 -63237 -944498 -1131527 -374780 -517769 -320838 -242569 -479457 408117 -935817 -182505 635633 57111 -238385 239967 -301127 655065 888933 -536131 794739 -688160 680927 659166 -564353 234993 323249 36330 810040 783163 -389900 792371 819494 -728889 110813 1065074 -690038 -850274 -480742 267212 144775 496270 -439420 -887357 -766860 7315 402956 384001 128935 -115688 -80415 -627234 329466 -78134 31538 -891824 643185 -485260 185006 777163 -328822 912686 112815 -964120 114524 358538 255054 315418 -595878 823591 -492039 768425 120390 11716 52169 532568 453044 -644645 570503 -19892 -492717 319269 1053680 433235 671601 468679 881390 330507 881494 125830 
-298314 81616 -101752 -199445 880328 1029968 621531 53568 512398 -660997 261185 -549069 385833 -281001 18808 -860524 389423 898286 708992 -667298 494561 -588507 -728697 921443 511622 -471868 -139127 20328 -774914 516986 -121423 -801420 522940 -793620 -134824 -703070 -260434 219330 953907 -541618 -174859 877657 772766 944409 345643 -502646 778934 -411902 137786 -41071 515317 867381 -256491 819022 606363 -730876 -270406 625794 775582 -557927 -988977 -131535 18144 438485 -68423 71083 530149 -646133 -665487 -880314 -849832 806852 -80067 -636469 -22089 195281 -955132 -284439 -975256 -39443 -729720 381840 -246069 -94216 -961754 -764896 -594531 -549218 -261108 -365506 -19415 -821845 -834534 427602 148749 461955 -208721 693536 367588 -190844 510744 -853084 -1044238 -702081 -683860 -522369 -67591 -37659 597269 -275209 -747558 -599142 -473750 104018 61814 559403 479938 353477 850196 -811821 -867809 -672316 312213 913366 -219319 -18947 673233 -422431 448872 -775381 435438 -626170 -1028634 -743668 -1093300 624146 -246003 -107084 -902379 -162393 886574 -423475 -145172 -426815 -395056 947285 -446533 199127 -719425 586418 -862804 -489261 468608 -622889 -43709 -24730 -920467 -1074649 -369910 -382996 -310930 -246592 -521713 453187 -1017835 -127237 628063 95998 -299279 381133 -261372 671983 874828 -499739 744937 -654619 681077 658999 -390476 236353 316117 40349 815042 761986 -333226 817669 789902 -746839 64993 995707 -679931 -818140 -492264 254916 98121 502403 -510658 -840585 -718959 170175 405241 370409 130447 -38685 -70776 -620403 290511 -96658 9140 -920883 716030 -466600 246116 880845 -294439 821225 -26637 -1032520 159923 283984 270415 345875 -599964 929108 -472608 781280 124140 -8680 72878 519723 349629 -694882 494557 -18823 -466090 259999 912373 385934 671152 480179 916620 290441 801900 81734 
-293062 106002 -105299 -143590 876263 1022529 632134 -16417 510694 -650347 228857 -609837 359537 -334924 -32677 -914941 425340 887224 704868 -519381 498144 -569627 -741684 840179 524612 -399324 -90300 40018 -741903 578360 -65476 -855378 514568 -783810 -74822 -767247 -255083 307743 926461 -492401 -169273 762117 742320 1079912 443397 -469669 783559 -495809 139916 -40209 536091 940282 -50749 798370 581388 -713676 -302063 618602 821392 -563188 -895024 -210900 44063 400945 -8988 71938 549974 -707795 -699176 -893410 -970311 750115 -87673 -635911 -22027 215630 -906194 -324920 -972256 -50209 -744392 387580 -242248 -149335 -961668 -644724 -601559 -553982 -265918 -499479 27093 -724903 -796126 364963 189752 462337 -367220 668531 290786 -195032 513601 -860403 -951807 -707989 -685151 -414268 -34306 -36846 527334 -193804 -699415 -583564 -417790 101540 9504 580915 463857 347260 875093 -856282 -871384 -550895 305263 909834 -197082 29030 506876 -446611 352775 -649068 329918 -465083 -1001122 -769814 -930222 653389 -284696 -67979 -903380 -266989 869553 -472137 -271387 -437449 -246437 961012 -411370 194780 -711353 491500 -855958 -513251 534589 -591907 -27340 76675 -897901 -1000387 -359546 -256041 -300577 -243580 -554244 491147 -1062665 40997 667424 121562 -419844 320953 -193146 814932 867859 -538259 710525 -672669 710556 684292 -224484 259294 344597 59193 861153 783865 -273169 808073 712385 -762149 -3919 831745 -697958 -802686 -520916 221919 90448 625661 -598114 -834325 -640069 227846 401416 326209 63577 70738 -62727 -593819 129519 -114326 7452 -919337 819758 -474415 297387 914299 -333022 716849 -175102 -1030154 249397 292553 254507 208911 -657328 986886 -476589 756421 67182 -11693 94962 580455 456319 -737532 445882 -3348 -480077 200180 785965 337746 678350 417073 918634 351424 740244 49424 
-291911 125211 -104878 -122191 888979 1045706 634504 -33764 520302 -576577 178008 -553928 334732 -259665 -24365 -936380 383165 893618 705115 -520339 502069 -552921 -777302 738813 482822 -345402 -48461 46517 -668974 619787 -60065 -828327 533413 -778833 -35207 -725709 -273391 321746 939535 -478307 -166085 643017 702881 1075328 558418 -423279 744290 -593587 151485 -40113 533176 872970 -103179 812616 586044 -712481 -239771 612496 885322 -598971 -665233 -324658 78278 428708 64358 145943 568797 -690640 -722358 -883445 -992103 805490 -114801 -642461 -6337 206356 -857109 -248845 -975643 49687 -712641 396967 -318002 -124647 -939468 -611803 -600174 -525227 -238723 -530355 36848 -620000 -831756 296001 143606 473935 -444577 676929 303829 -181823 507938 -898724 -886261 -692817 -689842 -297889 -37408 -9834 471182 -117670 -762808 -572452 -356075 90988 -54774 580916 472211 345496 945928 -908926 -800217 -567763 256082 903918 -187413 25909 523224 -484709 205462 -656491 277900 -326294 -995448 -820983 -804777 545081 -300943 -24330 -910025 -301275 860294 -452390 -310823 -441608 -276332 961835 -454923 199753 -660792 374188 -714650 -518011 654763 -614541 7859 138333 -881681 -907011 -346530 -225820 -300760 -244874 -589130 525246 -1051738 118867 755604 84690 -455177 99599 -141897 917946 856164 -651855 669065 -629790 722659 726783 -248187 244722 371376 58114 869863 820782 -251946 803061 681898 -786025 -66397 883268 -698932 -832750 -516782 202045 115491 717171 -633099 -903117 -607772 192907 406854 251453 -7961 145203 -76225 -535800 -2172 -107918 71992 -906712 795865 -490638 371506 881235 -448204 657313 -113044 -952100 356186 353647 258940 101168 -645507 910322 -508030 740687 -76012 -35165 122177 551992 577067 -743271 495423 56427 -573079 210759 802765 340216 680147 395461 893242 464211 680532 104519 
-298679 74345 -85923 -180312 865921 1007750 660012 6137 509828 -468708 169132 -409262 294489 -209202 -52859 -946911 396533 890166 706517 -701821 518614 -534271 -695276 685435 503382 -401257 -22432 31958 -599364 601510 -109732 -746683 537855 -770050 -35598 -633641 -281874 249722 924845 -501168 -121891 662970 636601 965161 541797 -347335 785071 -667568 146322 -44574 545149 712331 -282200 856489 593560 -738155 -121719 624978 968204 -620362 -600804 -366329 121519 414107 130797 198940 585878 -680583 -731009 -865073 -803437 915513 -152646 -637785 12193 176819 -830872 -170188 -973044 33617 -688541 429186 -399167 -23901 -926959 -719207 -600881 -548425 -210261 -485258 38920 -508022 -905335 388777 68308 482143 -326814 684349 276557 -185204 520195 -867661 -878245 -683327 -688654 -256756 -46279 30501 443470 -104172 -790337 -548480 -451649 98280 -66700 564650 500532 341319 998784 -969045 -725962 -701892 207249 866421 -181554 13357 671583 -539273 156067 -760649 291391 -320739 -983674 -836992 -939340 411710 -365959 39943 -908089 -351089 870276 -435029 -217624 -431476 -412370 952346 -477892 165109 -639628 331526 -696189 -532566 777989 -617625 42779 118622 -887386 -835814 -334361 -317236 -285424 -243823 -609709 548625 -989965 59013 843907 80865 -370842 11075 -138680 902031 856817 -742018 644130 -609967 723047 727747 -450071 264046 362563 90257 887518 897627 -276338 791138 743879 -813097 -95257 1012602 -700802 -845192 -514995 183021 175367 748100 -666412 -1022866 -536618 99935 407435 212850 -76064 171029 -52341 -494746 27700 -137856 89000 -897069 694977 -512477 423546 754525 -533515 663249 16481 -875481 410175 424477 216589 156265 -627263 743597 -506752 790707 -74164 -36038 150355 593593 612309 -690349 565710 65346 -581767 240379 919506 391920 683535 405429 832010 543832 659266 143157 
-293349 74807 -28323 -239508 856976 977785 617150 78432 496148 -390332 203454 -345572 264227 -169066 -74957 -969242 362114 873856 702152 -793018 558790 -509242 -701337 686488 479358 -490899 -16499 33567 -580730 557583 -181169 -725279 525331 -758514 -82904 -558491 -297622 142087 942151 -552388 -159472 795231 629017 861482 432295 -268365 828919 -678053 152042 -52717 539186 591905 -403132 904718 568707 -672327 -23555 602554 1020569 -666343 -778305 -375486 143945 423782 170823 152986 600941 -664421 -725009 -869009 -680622 1013342 -204492 -637635 -13086 141460 -863733 -149558 -979276 18810 -644400 433047 -365060 35974 -932364 -845864 -596030 -489066 -177204 -368527 65150 -648796 -915251 531878 -19268 475998 -181722 709321 259787 -174585 530579 -859649 -985318 -704000 -691968 -345287 -81986 22623 429265 -150418 -786120 -567873 -543459 120176 -76064 586433 541996 339378 949628 -1026616 -657810 -806867 171169 822045 -189539 39468 791056 -589760 282883 -924804 363652 -406645 -1014565 -845708 -1114427 360630 -422120 46215 -893034 -238188 888789 -352359 -91666 -399626 -618329 929610 -505699 188987 -617323 381922 -762647 -543154 842587 -641942 45795 35666 -927213 -782967 -327833 -463483 -277402 -247912 -567656 550451 -908205 -97775 864628 24829 -249120 135301 -185798 761790 856089 -728105 729154 -627267 723816 711339 -566451 260861 328031 78781 827402 955185 -325415 757350 811003 -846605 -79207 1100691 -700463 -859897 -491321 151412 242036 673435 -625404 -1107837 -539616 -46929 413194 220216 -24566 157937 -95610 -442395 148916 -113721 186867 -890604 607936 -512689 458169 734126 -576755 724133 110110 -824230 416711 428069 168179 307773 -644071 784222 -524772 781885 8537 -34190 173499 619411 528480 -650132 644170 72250 -650344 313681 1050347 438220 674867 485290 786685 520709 681577 128701 
-294351 87519 -113785 -199340 874954 988941 682231 159021 497050 -377550 253898 -493558 323583 -107138 -86098 -995587 374806 811301 701970 -709528 599453 -493446 -713583 771465 465499 -545933 -30889 14583 -618544 498419 -231772 -760935 508150 -757835 -137197 -562847 -303115 49598 951824 -581631 -153114 888571 537948 767633 344755 -207665 810106 -620694 147639 -81964 549841 613586 -375082 906712 608952 -707437 -7271 606321 1030924 -709524 -979116 -273773 173998 401351 174192 77953 614355 -646781 -704127 -871796 -562140 979757 -256721 -640023 3840 121468 -912770 -236475 -971661 30290 -614576 426916 -287289 118870 -953010 -919745 -595856 -480221 -159979 -367713 45330 -772577 -885554 582729 44662 458793 -200562 743831 259938 -159826 562158 -866561 -1007115 -724046 -688596 -476460 -44795 -36528 446086 -187203 -721551 -583476 -676138 90940 -19757 594215 590259 352205 1011876 -1078486 -716432 -774107 94146 767332 -207904 31223 829700 -610040 416747 -971551 453016 -554767 -1003591 -805822 -1127367 465945 -418008 11986 -905143 -147202 895790 -330422 -63453 -420367 -500570 900144 -523181 180386 -598007 488600 -865162 -521362 826333 -647285 50673 -45399 -951289 -782496 -316325 -555685 -280052 -240403 -527151 532488 -843315 -192312 902194 4740 -253540 334855 -252729 653037 869039 -668036 800844 -641293 693228 714938 -544680 297300 315362 69545 833770 975541 -391074 752003 798367 -867695 -24713 1046087 -663969 -833483 -476576 130285 264880 600487 -599323 -1145800 -503363 -94969 409591 251139 59335 99681 -80803 -370478 287054 -122901 240946 -881119 648432 -487583 441805 838504 -533967 836098 54840 -846904 379958 363874 142628 351986 -615600 952797 -516942 769404 90408 -62565 198854 641240 360627 -642094 687518 31273 -652319 380217 1045775 428621 672171 475749 686258 418679 730187 79022 
-297045 105619 -55433 -145478 876061 991007 690763 211461 493254 -422533 263067 -623201 322668 -67413 -93031 -1017644 396842 872476 705034 -566053 648224 -480418 -719901 880243 456396 -523269 -78644 14117 -688830 453872 -229254 -816216 525851 -797571 -160295 -640178 -293045 49689 969717 -576241 -169264 846915 540135 728251 393098 -177640 838152 -518834 146547 -112370 565672 759335 -126010 873396 674835 -709470 -78971 592278 979732 -723625 -945108 -173823 189137 386772 139404 70432 624432 -596244 -672675 -895715 -670859 864402 -304629 -646543 6324 131432 -951527 -291352 -969364 -20489 -617760 420253 -248094 97662 -958184 -869869 -584655 -506377 -175166 -481425 28294 -759247 -815842 645861 120479 471367 -336982 785010 240961 -168239 570041 -899911 -967982 -774613 -703604 -497048 -52183 -42299 495688 -285466 -740027 -648158 -768487 112682 37157 579036 635647 362747 964173 -1098178 -783558 -637944 68687 766688 -231017 41484 693643 -600802 468845 -937372 500062 -654306 -976432 -746429 -961742 604989 -472513 -50447 -914675 -76493 890450 -371364 -151054 -452072 -312851 868290 -520617 186489 -550161 583748 -882815 -501030 726256 -657168 13477 -42737 -941108 -820253 -319426 -559076 -294095 -236281 -535777 502157 -830759 -111379 836137 -14439 -339726 369036 -298555 668605 861210 -532919 809878 -665168 662349 648178 -347718 313557 339793 63254 796495 932881 -411699 748740 731047 -881690 45422 856554 -711397 -822874 -458531 98791 263735 535183 -516230 -1149088 -477566 -45340 404822 321376 105316 8648 -116109 -345150 343748 -111777 298438 -834476 755179 -457064 427245 924866 -462714 915721 -97598 -886442 291808 310337 93408 212314 -634622 996787 -490943 723970 93670 -75086 226982 662328 339815 -676908 696329 -29694 -639311 395939 903719 374884 671443 416884 649099 314986 811326 89252 
-298466 134397 -82570 -121099 877885 948994 723639 200141 491654 -518539 222639 -580636 349865 -17321 -134819 -1005856 360447 866570 698035 -503415 696184 -464588 -722662 952376 483180 -485231 -131423 1092 -756929 452734 -175186 -826430 523514 -730680 -122035 -745525 -278855 132286 955694 -537316 -134903 699308 503425 858249 512636 -170531 742515 -433278 148959 -127124 551524 899475 -93891 818258 710497 -687116 -201766 610447 878295 -762177 -714865 -169252 178660 392656 76328 134405 631394 -567193 -634572 -865442 -811966 762095 -350018 -644738 17419 165455 -963366 -291886 -960398 -34530 -656485 384366 -240851 11011 -948911 -734832 -573800 -494207 -182970 -558095 -37088 -617254 -800885 627704 215222 494290 -426719 830826 222403 -167060 581370 -914813 -874486 -791987 -691733 -434835 -69540 -16313 553936 -346440 -725340 -670532 -790810 107882 54955 588081 668826 374428 920892 -1106981 -866834 -542649 37329 785807 -259279 62730 538649 -559954 395814 -802724 464134 -636924 -1013151 -671502 -827378 654221 -457749 -109607 -897140 -87902 868243 -434283 -273719 -407250 -195318 839790 -547587 192809 -560511 601149 -864901 -501660 593206 -666796 -12877 29481 -894422 -887864 -299173 -440493 -283414 -245023 -534129 465267 -876967 28804 743673 -50048 -447867 188625 -292326 803310 867249 -496762 781125 -690773 639893 644925 -226397 320105 370183 58431 821770 870482 -396600 708637 676537 -881354 100468 830652 -661353 -809485 -444649 72009 213748 499772 -458829 -1054129 -502567 117224 405555 375331 130119 -84043 -83951 -335054 285732 -129836 330550 -804304 810185 -469687 387956 920001 -337858 1022965 -153721 -982550 193418 286328 6105 85854 -623426 897213 -488093 779911 57595 -120915 252460 695924 478500 -728825 641408 -20596 -594793 367988 793136 333013 667445 377022 594587 296480 886256 95639 
-287042 136739 -111786 -178819 863475 967890 730041 141036 492501 -620969 175601 -423174 404120 24709 -144894 -1000759 410518 799298 695521 -646844 731603 -456992 -722222 944947 470342 -405049 -206670 -2208 -773939 495243 -103864 -786871 540781 -797834 -63780 -754340 -274197 233904 950227 -492297 -110086 637954 482573 1000197 552467 -195453 769263 -390980 159254 -100531 548524 933673 -198561 802477 735337 -682362 -290646 601517 816113 -766448 -603569 -216823 174336 395475 3198 191424 635047 -543463 -599070 -894698 -958342 744835 -370981 -672972 37325 196479 -932842 -190048 -951659 44736 -691784 356598 -324040 -78482 -932530 -629439 -577466 -448983 -218085 -486642 -81461 -516537 -865338 555218 166044 482719 -370408 879551 246785 -173662 589770 -893502 -894672 -822244 -695018 -299774 -74300 21823 617628 -368839 -762449 -676329 -724605 91540 86760 591388 681479 387405 878460 -1069570 -874082 -590137 42101 810149 -295566 54651 525368 -503972 237881 -676697 375512 -448993 -993214 -649270 -888392 565416 -377871 -116879 -917863 -235925 885143 -468815 -310245 -434291 -324753 818282 -564684 198696 -583897 519245 -734439 -513579 484738 -671456 -14670 116300 -868723 -959479 -286755 -299310 -292720 -246334 -529906 417332 -959020 112838 649822 -95472 -437244 24000 -239258 922794 872466 -534034 693241 -648098 620639 638320 -288417 330128 367215 36957 841906 795202 -341041 670949 720165 -899273 117850 940003 -687430 -816718 -463303 41899 154095 575529 -383424 -918380 -493370 232729 410290 391828 71814 -160654 -37771 -354389 130590 -111475 401004 -763299 777973 -492349 309197 825714 -321717 997371 -77828 -1041962 104810 348290 1101 140483 -609329 784427 -488970 743371 -70976 -141412 271460 710147 652743 -745000 575688 9967 -547535 307619 796199 354020 668148 397100 556256 371323 904893 190679 
-298971 95557 -75646 -229561 868827 955905 769199 47916 501034 -661624 176032 -384227 391299 53401 -153375 -995474 395315 836765 698972 -824886 766997 -457197 -696633 892052 504177 -375826 -256414 -7024 -731422 560366 -58195 -726103 487487 -796633 -24315 -696644 -263318 315265 908744 -475136 -135604 718308 470232 1101060 443270 -249208 746979 -426827 148007 -103821 557831 828728 -401602 820815 744528 -694165 -292102 604962 766508 -809281 -751612 -325919 141805 384728 -60193 164670 635579 -521197 -570379 -876032 -957898 843311 -392246 -670443 47370 214872 -874490 -122106 -945444 68711 -722475 385307 -386978 -141979 -924792 -627598 -561946 -455830 -259245 -375237 -124317 -619395 -910996 441405 113133 438253 -203123 927798 252463 -192792 609895 -882477 -955573 -826860 -691694 -278261 -56610 30931 675262 -357570 -768578 -703740 -623832 115533 41889 557573 678166 398891 875293 -1029264 -804317 -731751 23612 856649 -328433 92650 645451 -450270 155619 -616342 295925 -324473 -1001729 -672419 -1081444 431538 -299477 -99193 -911854 -309033 889972 -459460 -217207 -434348 -523258 808220 -567043 224817 -625964 407657 -695801 -483634 468876 -666497 -66346 132215 -900340 -1065834 -258171 -227655 -298954 -246612 -532935 381877 -1034869 30137 585223 -97799 -341675 63460 -172724 900991 885523 -621114 698916 -637859 552408 645435 -471729 336348 325220 19259 867015 754769 -279859 628302 796650 -885209 90015 1070572 -665043 -888372 -504243 28100 102402 696160 -331725 -854422 -511549 234715 406063 347920 -10730 -190232 -58513 -404570 -501 -103956 363401 -747227 673832 -513008 222927 738973 -339516 932208 47141 -1012085 69047 408181 -21590 325104 -604470 779076 -464602 784026 -129968 -166934 292958 726806 587432 -698971 532258 72169 -494812 250454 922456 406059 669954 477227 531185 483269 854290 131840 
-293526 85180 -67011 -206597 864590 990085 759459 -11786 504363 -646900 209187 -491915 373583 45619 -185511 -979439 393701 846226 699299 -761402 798700 -462160 -730057 796361 433744 -413856 -318436 10296 -656590 612843 -68527 -699128 512202 -787095 -46995 -586053 -268335 313449 947149 -503157 -136142 853795 487401 1065112 332230 -339311 771348 -512180 150931 -97597 564230 660315 -392355 860737 711857 -689382 -208120 592151 789879 -801142 -988956 -389465 114703 351641 -95462 88572 633049 -523751 -553749 -875894 -821278 974593 -382403 -678142 18691 205720 -835361 -180818 -924939 24455 -739630 364907 -356277 -154063 -944146 -739361 -556329 -466986 -261144 -400262 -177339 -791992 -915844 353018 10272 437269 -177202 976942 268756 -201113 648837 -812207 -1004633 -834151 -708355 -360641 -68230 -2752 691860 -281998 -757704 -708388 -470968 85183 -17283 566394 653108 416945 809621 -966744 -720630 -811287 58373 898215 -365762 69600 811946 -425731 211405 -690906 276218 -311659 -972067 -670623 -1130084 360781 -276933 -54975 -906316 -363315 922909 -386305 -88856 -466461 -558243 809238 -601588 201851 -621256 336027 -736450 -510889 539956 -626730 -84701 71715 -927597 -1122177 -280930 -272820 -298214 -249298 -533359 353068 -1065183 -97853 635483 -112089 -236815 244097 -134773 767661 892374 -723044 633557 -635501 501756 679536 -580338 352104 313080 6782 857858 752616 -249877 549279 819704 -879478 27962 1063001 -651342 -853718 -521775 -1260 84026 702980 -325909 -814646 -582975 126808 408691 279185 -54606 -175298 -99185 -484105 23147 -109779 236303 -688808 616233 -507997 182424 779740 -433517 839033 98194 -959434 147887 423079 -14575 321148 -603135 906112 -462633 755140 -2952 -172185 309353 718163 445089 -635874 488470 23641 -476259 204629 1045782 442545 668969 485291 529013 545258 771128 78742 
-288517 93037 -68800 -139258 868248 1018511 764801 -36181 505693 -555889 257400 -626910 319370 36331 -178312 -947460 431612 830921 694104 -541391 806570 -468430 -735593 706109 465440 -472810 -356515 36932 -593873 607373 -127046 -773694 499764 -758370 -116015 -536884 -215215 229558 939721 -550616 -138811 878489 465037 940206 377402 -412131 834692 -617599 151297 -61644 550764 580603 -232182 892985 697211 -680050 -88326 594171 858737 -787158 -966587 -362351 72583 330253 -92983 65550 626613 -483104 -556240 -892131 -658443 1023294 -358695 -682418 29874 171571 -835001 -310621 -919282 -31588 -716961 347757 -264179 -54123 -961696 -867015 -533420 -447530 -262534 -424230 -216058 -775758 -856656 333584 23688 461037 -308380 1006058 264042 -204928 647753 -844299 -956300 -814109 -698690 -474710 -74493 -26325 708155 -204141 -706174 -729316 -378559 105610 -66724 565230 617256 429679 796643 -920295 -654124 -745487 94982 909462 -402243 86851 838955 -436343 377422 -861226 343796 -430842 -957735 -718796 -1008562 444807 -275282 -2217 -917677 -283028 847179 -346803 -62006 -449575 -387929 825790 -634028 197361 -670644 355958 -859312 -523408 663739 -592771 -130994 -27447 -947694 -1158633 -257233 -407396 -321935 -247610 -541209 347512 -1039869 -226874 722482 -102180 -280973 391396 -149589 659232 913235 -744538 746653 -613742 487035 695873 -540380 367598 343208 4504 834856 825780 -267947 548438 757492 -860739 -41298 903172 -638940 -850034 -514794 -11853 113609 722786 -328203 -860102 -627762 -32931 401695 216340 -72385 -88990 -108409 -527027 97908 -141299 209122 -656930 647039 -488090 132231 901641 -565783 741285 -24282 -887287 205045 372885 17967 221211 -628032 982470 -493939 756721 57223 -209344 324218 701602 359666 -651980 486224 74319 -495523 218728 1049197 412058 668338 434371 566986 507157 699709 130248 
-282872 146969 -93938 -115590 865751 1017465 801773 3779 501888 -451703 265697 -629035 321966 24060 -157796 -927961 403960 800036 692416 -502417 809146 -463698 -715839 668679 463610 -558859 -382259 35757 -583611 570360 -198780 -853581 529719 -748865 -158301 -592960 -212934 121057 909922 -582960 -175802 766441 532146 764286 506203 -459498 808562 -675616 146464 -64166 549248 653293 -51629 909029 663548 -658539 -9670 602820 923343 -778310 -762956 -251176 22195 299184 -53197 134674 617017 -487275 -571045 -907494 -609895 947201 -317984 -684286 8421 134558 -886539 -307962 -901706 -14639 -686056 343580 -222457 26847 -956605 -926056 -542395 -459373 -253635 -496913 -237733 -662784 -808464 370515 129474 474212 -439753 1031735 280454 -195629 624474 -901424 -850869 -753906 -696721 -510167 -43835 -26843 683638 -139404 -746350 -709773 -399819 118636 -70333 543562 564934 443626 835638 -850307 -713433 -607003 143157 910115 -430437 90074 707605 -471687 451074 -998911 433572 -585989 -986550 -763141 -818874 599227 -247983 46615 -906283 -201731 877709 -311279 -157306 -450970 -207129 850995 -658718 213339 -693350 460659 -911855 -538752 786692 -616178 -164188 -65376 -926667 -1140956 -241926 -536758 -333203 -247066 -578978 357831 -967216 -116346 813471 -54354 -375005 277406 -207580 669215 905239 -702798 812129 -645271 486097 708814 -327125 391392 370850 -11386 821074 883798 -323422 499415 685735 -836061 -87574 868596 -661375 -822530 -491010 -31609 169163 685765 -348280 -982187 -689209 -82465 400987 211570 -5996 -17072 -65658 -572234 270442 -154609 131926 -640787 756889 -463311 105925 936365 -579357 660015 -107207 -845870 290871 307983 64898 101740 -629912 909464 -499062 792263 152040 -230510 334924 721991 388441 -661646 532573 40295 -521460 250823 915092 356607 665268 376525 613654 395245 644156 101441 
-288636 117930 -70875 -187465 877293 1062096 784904 76582 513651 -379879 222545 -410136 296767 17301 -157009 -919829 417762 792937 693871 -591013 797697 -479753 -705774 719330 438101 -530780 -373116 47304 -625000 511583 -236733 -835078 537362 -785871 -146223 -695385 -198888 43358 953684 -577177 -143380 643427 555177 749648 578359 -509787 774331 -663567 151947 -50093 542950 811592 -152088 870627 635803 -646171 -20211 585657 1001824 -717461 -589186 -171976 20991 282039 12601 199937 604762 -489478 -601502 -882499 -658434 829624 -273021 -677706 -12376 122843 -929241 -233017 -892415 -21000 -648429 339712 -255151 114236 -935806 -838132 -528419 -424027 -204861 -481079 -251963 -548123 -808851 397954 218712 471815 -382074 1040280 302295 -177187 642666 -859407 -891864 -716299 -698790 -397618 -42403 -10449 649024 -118279 -752960 -669167 -410415 96861 -62160 546006 522940 463843 862145 -809387 -787794 -540221 190851 882089 -453549 112591 542868 -529071 416037 -974182 489001 -680633 -965330 -828827 -843585 658184 -300550 42318 -911796 -155242 911529 -360745 -282591 -420452 -248659 879944 -635660 232904 -711423 564867 -877993 -522232 854756 -608241 -174711 -5367 -898109 -1103983 -227814 -568718 -329446 -247272 -584263 383446 -886685 41706 886406 -47224 -463963 87678 -272895 803101 923333 -578341 793099 -655112 501901 736855 -215640 398160 364039 93 805716 960095 -390187 474623 696580 -820558 -92063 914348 -653398 -810989 -475889 -33707 226283 571349 -416777 -1063463 -751544 -71907 403778 227143 143439 67076 -54978 -617054 348128 -144065 86150 -599266 804529 -467195 103588 835270 -541079 639800 -165173 -841495 399595 291126 123769 140056 -596819 774537 -494968 744834 72956 -236195 342161 671259 541122 -735819 593749 -29350 -574132 326073 799963 328572 667122 381464 698309 304048 639161 140842 
-297140 89762 -104687 -235909 869794 1014342 820621 162041 518665 -380337 175888 -359290 290950 -55865 -147116 -862212 420569 831131 693885 -758921 765651 -493607 -698563 817293 427867 -458987 -354460 56910 -704727 455673 -220958 -753924 527212 -781749 -88580 -771847 -177799 55271 945117 -532412 -128608 647089 602838 785169 508667 -512296 740490 -593684 155078 -32164 550487 926406 -350840 823542 603410 -661942 -115870 599446 1025391 -706368 -695876 -163559 32404 275075 86329 160928 590331 -542841 -637420 -904111 -820622 761497 -213953 -680562 -13700 137518 -965354 -151612 -885475 12682 -620449 365542 -352801 90761 -925885 -720474 -528590 -430005 -168965 -403479 -249199 -610718 -871585 563016 166337 469114 -226914 1045018 316878 -170514 600019 -867896 -973633 -701692 -699623 -273717 -16729 23698 577893 -125993 -797836 -649344 -500239 94655 1920 510377 488317 469952 873290 -780845 -869350 -622370 242223 827390 -462828 159174 506246 -579231 302585 -818276 473738 -641510 -1001147 -817601 -1020488 604915 -392884 20805 -919257 -111643 903878 -428249 -308768 -432038 -446341 912083 -651017 235373 -730801 610271 -764644 -500351 823809 -600339 -203516 99475 -871101 -1024986 -235394 -486910 -345789 -243606 -555459 423398 -834035 108589 918950 -22363 -437497 21429 -302869 915289 932207 -507873 812312 -661137 522779 701467 -278982 432752 331739 -16867 837542 986333 -420923 457258 760077 -784703 -52074 1027196 -664211 -812865 -452052 -53901 264552 501324 -466099 -1127781 -779950 90064 399225 310985 145605 143823 -60085 -626125 294636 -182519 75847 -601632 771442 -497638 110779 765596 -440629 687713 -18707 -883977 444068 321477 170317 307513 -607051 772587 -503010 711247 -49688 -265344 347728 661353 608501 -743168 678731 -14863 -613303 391995 765126 360254 678122 457876 744121 301421 706192 139331 
-290071 100301 -95010 -204126 869240 1049623 837581 206147 493506 -445885 166957 -440403 320079 -110421 -160957 -838717 422058 827399 687852 -751692 728386 -518052 -725160 914581 464602 -390599 -313259 62009 -763970 445018 -157537 -696964 518967 -771434 -41115 -742411 -151940 152316 908278 -492353 -132033 796166 658908 959395 359693 -479112 766486 -496255 147314 -25714 537498 915213 -423199 778700 608068 -664199 -233198 601392 1008055 -691779 -927932 -252057 26666 289833 146030 82977 573221 -535391 -673629 -875591 -978169 775729 -162076 -660250 -11929 168079 -949012 -148883 -873716 43694 -614407 408731 -368501 3121 -935311 -619267 -519669 -437020 -159286 -345248 -226781 -785474 -920410 639152 114742 452339 -157635 1025301 337582 -161021 615347 -860000 -1038917 -702215 -708949 -289348 -7768 4400 517224 -167824 -807693 -616598 -635000 95406 61002 528708 469587 482363 937831 -778957 -867751 -763237 286039 798776 -465845 169188 623705 -609865 166181 -688085 405384 -467148 -997244 -845387 -1157338 469978 -432200 -79395 -909366 -188066 894081 -460428 -214851 -425472 -594203 939133 -663379 225536 -702509 552680 -737128 -498796 707625 -659996 -217006 141613 -903655 -945387 -213307 -341474 -350195 -241039 -554983 460904 -839731 31014 911335 50221 -330802 166420 -278727 893516 929112 -505503 759895 -675196 517106 680684 -476748 439148 313905 6781 853646 933779 -390194 433251 826191 -756006 14426 1145260 -706231 -862314 -451575 -73363 269396 492390 -559042 -1148739 -837408 220990 394322 355108 91605 161037 -94719 -587672 169441 -150731 3837 -598581 674919 -503790 152693 722430 -356950 778122 105699 -975081 402715 410311 193331 343478 -618704 844288 -509642 708067 -73389 -280011 348048 665193 545437 -698204 663935 22925 -641647 392024 916774 418690 664528 483243 800720 392193 759602 91623 
-288625 112530 -108637 -137959 883090 963504 850531 205027 495023 -555137 211120 -623522 374583 -139767 -159497 -848875 375186 864382 687127 -596919 680028 -527182 -733255 952368 482125 -342999 -258045 70190 -768708 487431 -88259 -760424 527315 -768570 -32044 -651832 -142118 258667 903883 -477290 -154286 889899 698937 1057904 385127 -411260 767553 -416204 153485 -31530 538867 771721 -303549 804077 531184 -686776 -299632 617526 941042 -655059 -974037 -340497 53036 268722 176091 67182 554766 -545678 -706244 -920447 -934061 879369 -120161 -642083 -39006 203814 -898989 -248228 -856017 -45114 -651492 396728 -310079 -90230 -953798 -635711 -530028 -426161 -165558 -453453 -185924 -794163 -904813 619971 2961 454617 -278134 1013525 383000 -155385 609640 -868838 -966063 -713753 -697385 -396681 -29253 -18503 465477 -263123 -761120 -593527 -729922 105201 86740 499461 466385 494203 963430 -798891 -815866 -807240 298709 763429 -466785 140718 795304 -606763 173263 -636029 312402 -316828 -1007542 -792223 -1043948 378002 -448225 -119547 -913886 -299794 907665 -442703 -92025 -404466 -475829 957720 -667000 230046 -652004 433547 -719950 -455466 594458 -675439 -194162 101776 -921870 -861117 -206538 -233175 -351589 -244766 -561002 504178 -898769 -108832 772188 39884 -262353 340896 -215742 769977 937452 -587605 670698 -688241 557886 680227 -609109 452375 337201 18850 860488 895974 -339029 419199 774454 -737301 80090 960451 -687879 -844257 -460896 -60220 228188 605199 -623643 -1099134 -828808 207084 403349 383844 7653 121679 -106615 -540043 42780 -167851 53033 -611124 609428 -507201 232320 854632 -301434 881693 69197 -1018196 325911 437417 276477 213765 -646970 971199 -499409 744592 -25943 -304801 341696 604907 357627 -660902 657992 43388 -620600 354484 1047502 438693 674357 418051 869905 503206 846055 75860 
-285642 146418 -122028 -130311 883296 966181 828686 136935 493493 -641427 250910 -610616 382871 -182514 -97996 -843335 411676 829034 690391 -513133 631523 -551020 -685792 921304 476568 -439877 -182640 51462 -717475 551873 -54995 -806813 502118 -792459 -72019 -554688 -122528 322603 928098 -505605 -140251 834332 726752 1072804 506390 -353259 796426 -393847 152773 -54273 534372 617341 -132815 846919 577383 -682136 -275439 606363 848672 -615333 -801943 -402544 89305 293089 167399 132725 534925 -580013 -726751 -889401 -815314 1000245 -93239 -635204 7036 211903 -854383 -333981 -857020 -26866 -698391 414527 -233492 -144087 -957777 -768502 -525497 -448630 -178279 -523694 -126433 -646527 -850209 605972 15446 496335 -422965 979032 349238 -175578 568434 -893844 -880143 -691458 -712632 -509222 -23941 -40319 445711 -330222 -721012 -557298 -750315 91913 82996 475181 489260 499314 948598 -837739 -728161 -710710 305125 771007 -449114 174262 853069 -574237 320546 -691941 252132 -319446 -1022151 -731370 -865936 428597 -462050 -139919 -911481 -355039 893094 -399533 -62270 -390342 -275082 962163 -633574 247988 -627343 347877 -872001 -515275 475954 -674049 -155646 6414 -947809 -804199 -226655 -245509 -350419 -243827 -537987 537232 -982115 -168274 693502 88105 -332450 349220 -153448 654365 959954 -704979 654447 -657927 579136 649899 -516801 475571 366056 30532 865755 801369 -283360 409814 693667 -725632 115930 837842 -683868 -872333 -469512 -64941 169560 691042 -663399 -973129 -844238 173608 396403 370741 -73197 58742 -96027 -490621 2122 -155816 31650 -626697 667817 -484343 301173 939041 -345221 987904 -83712 -1029196 229893 397122 259425 82799 -597375 948578 -477703 797224 39076 -310994 335151 575026 349863 -636967 614131 81004 -598004 306783 1057237 402734 663808 375374 901017 544529 884422 111035 
-312004 130575 -101203 -185143 882857 940864 834416 54212 478395 -671517 255291 -485218 361232 -270109 -134119 -820422 364865 841259 684220 -580162 590084 -572755 -699287 840981 488830 -497166 -132495 20460 -644994 599299 -80004 -844153 535542 -740206 -129625 -563228 -108804 303459 928253 -555384 -135090 690200 738478 988338 568141 -273174 815587 -443385 149342 -86337 541366 583408 -74793 900768 568260 -665907 -172645 616547 788064 -579706 -637388 -343890 129119 281928 122668 193958 514823 -629881 -731602 -901730 -653090 995423 -81201 -624441 -9959 201214 -842525 -264967 -844984 -13087 -721519 426311 -245201 -131278 -943024 -885909 -538955 -429860 -217920 -512203 -92860 -559265 -800719 514477 95744 477894 -414992 943500 352523 -180582 558404 -896940 -851505 -705419 -708204 -486320 -25618 -22526 436051 -388062 -688741 -568788 -762450 102246 15539 439161 526652 503943 941236 -893446 -687775 -576406 328867 790972 -423749 176286 734289 -514578 438016 -803110 311571 -433486 -1012885 -671444 -851106 558655 -429843 -77058 -912105 -282113 877442 -337690 -159803 -410193 -198310 956916 -658413 275709 -596840 343550 -893112 -486180 479368 -651125 -139965 -58059 -908966 -770645 -193712 -356375 -363726 -242375 -511059 550501 -1048034 -125014 631766 83693 -415926 182658 -133388 676570 946288 -745664 696435 -637347 610023 662166 -329396 474457 364616 48258 805379 759868 -257112 416698 680270 -709902 107643 837682 -719110 -863254 -497067 -45613 119679 718110 -660094 -872023 -840845 30008 394631 301980 -67777 -26445 -29845 -438725 87330 -150919 152628 -654368 778414 -455873 339982 886676 -442278 1040108 -158373 -972083 137956 324529 272945 146621 -570383 822591 -480087 774696 130522 -334250 319504 566472 477854 -667321 523961 50574 -536628 241408 906319 346249 673513 401134 918037 489302 875171 132406 
-296340 84857 -78253 -244314 876734 979734 816977 -17028 485674 -615607 222512 -404103 390821 -289775 -88971 -835905 406914 853688 686931 -751399 549555 -587779 -730532 746069 471808 -563465 -76974 20815 -589642 618167 -145949 -789824 501902 -766508 -158847 -642805 -100817 209465 923780 -581107 -149673 637900 788755 850431 500952 -207798 816954 -534870 162702 -108063 538699 698418 -263009 911251 599046 -669345 -57907 626988 778869 -572667 -661835 -242584 161482 291960 54550 174820 494497 -645758 -721895 -882308 -627945 900074 -87759 -635085 -3778 163195 -860212 -175813 -843090 -9661 -719817 428335 -357210 -78763 -926321 -920076 -521034 -428409 -253983 -418985 -50088 -632369 -832392 423373 174397 469317 -262329 898156 367687 -177919 550454 -896376 -971340 -747469 -712330 -357389 -5690 10486 455710 -342296 -794171 -574078 -657167 107195 -43712 438998 573212 505636 940145 -958933 -693784 -543934 306656 843726 -395788 161704 554798 -464218 447546 -985482 419600 -582821 -980108 -701119 -998844 665724 -375621 -42220 -905976 -229642 892707 -322602 -286312 -451315 -351732 940042 -648598 264627 -558218 428972 -878754 -513792 561230 -651836 -99898 -29586 -871363 -795535 -188162 -502437 -338531 -244401 -559665 544817 -1065567 34470 632416 78768 -427137 29963 -166117 808989 952311 -703679 762824 -663830 658973 712073 -219326 473943 331987 65583 815077 752186 -276184 464611 752400 -711566 58074 988440 -701952 -805811 -538841 -56417 83462 714711 -622528 -818459 -819767 -86773 401793 232733 3552 -123466 -82716 -375918 242988 -188833 204168 -669456 824409 -472747 431471 809486 -534649 985691 -127949 -892433 84250 284029 279664 322456 -620908 745737 -467508 783863 74687 -351972 306938 530392 598558 -726047 481738 888 -505407 211291 795962 336147 667032 489571 909040 377866 807979 141526 
-300777 65908 -98035 -200531 892251 952938 785245 -38336 501059 -525278 178508 -451962 352996 -297273 -99143 -832319 392021 815002 685817 -766207 521158 -600078 -720234 676628 458568 -531099 -38936 8802 -584244 594666 -212162 -735107 501436 -771790 -140629 -740040 -87048 99586 950088 -576230 -136342 719332 741771 736155 400808 -183841 747032 -633622 152492 -92201 531762 864193 -414531 862516 643208 -701081 -1783 632605 784729 -551162 -876672 -152596 183381 299439 -18016 88690 475077 -664294 -697800 -906073 -679271 811710 -106278 -645492 55706 131451 -915828 -145734 -838183 22009 -719943 394652 -359200 607 -928783 -827720 -550196 -421832 -272828 -373417 7356 -766942 -886835 321823 174510 450260 -167740 846601 370463 -192567 509026 -892807 -1021439 -750737 -715974 -276794 47862 31535 516593 -311867 -785327 -595611 -524297 110907 -66895 420371 621292 497897 942868 -1018682 -779716 -654326 270410 870402 -361455 175721 497468 -430828 358352 -981122 478211 -666189 -1010151 -654986 -1168584 638103 -320200 20126 -910449 -131324 881721 -362637 -307125 -460388 -559624 910304 -636044 303198 -531984 539215 -785448 -492178 678507 -636100 -53095 61147 -869472 -851043 -196006 -577214 -338195 -238031 -545161 522496 -1021022 143543 665828 66186 -356106 75108 -231561 913542 942543 -630819 822316 -639266 682000 698399 -305619 499119 312371 69344 813170 811497 -327118 443540 813540 -722507 -11600 1072250 -695282 -832062 -530337 -40194 99367 595074 -571059 -845362 -763186 -95481 396708 211267 96235 -174959 -63988 -326252 336697 -223227 284252 -702109 770287 -493676 436702 756418 -581743 911085 8699 -834085 94234 318119 244180 344942 -566933 849469 -473447 781006 -49094 -358428 287719 565132 576502 -740964 470421 -9025 -489016 222319 780279 375536 665248 483600 873551 295848 725828 111763 
-296836 88768 -65902 -145320 883837 975981 778689 2007 512054 -423264 175432 -601650 292910 -324670 -81122 -856026 421066 840486 688586 -618518 497369 -621126 -718541 683553 517188 -466368 -12397 11437 -641898 518857 -238453 -723899 521732 -774597 -65940 -756737 -93877 43006 913197 -532205 -150443 848266 756392 724053 345273 -171524 750902 -682597 143527 -116184 532577 938719 -380406 832815 697923 -683736 -41304 631209 862139 -547116 -994975 -175037 191675 308149 -74717 68719 456386 -668425 -662319 -894031 -814731 760027 -150667 -636373 44318 117455 -953658 -196990 -831686 46331 -683512 395563 -319503 125586 -953450 -693667 -559864 -454813 -255487 -395719 35683 -800427 -919216 372467 104682 473335 -245576 790821 349393 -202117 533434 -879391 -985200 -787689 -715408 -321814 8657 -2847 563420 -233388 -771038 -616134 -461854 95572 -68717 403786 656345 490043 891492 -1062545 -868788 -786616 231802 904902 -324214 200697 598544 -432879 220742 -871036 485104 -629917 -969195 -691817 -1072085 487167 -263616 34253 -910211 -86994 896386 -443421 -201913 -458880 -568100 879943 -620953 265321 -535695 608656 -714720 -549008 801880 -615039 -18813 136882 -881775 -929650 -177367 -526701 -324355 -241071 -607827 491743 -942925 60328 783610 40573 -284258 281683 -288817 890461 926700 -473080 765955 -629830 684223 727297 -483588 513277 331981 71887 821454 873572 -376041 472658 797122 -726558 -71383 1052751 -710823 -827956 -500400 -8184 145193 535387 -512428 -916504 -689876 23279 397464 231777 134910 -192112 -93564 -344460 319867 -216624 331628 -723610 657500 -518207 454466 780578 -549746 784223 85701 -834505 187889 382712 201498 198159 -589228 991352 -484423 744154 -73459 -363979 264700 552276 496819 -727639 493495 -5145 -492846 254260 936581 431021 671456 433213 804896 313027 667632 75203 
-308656 140732 -91013 -125656 893740 998509 728018 81516 515870 -368076 217075 -585197 308771 -274623 -86174 -839373 397417 867803 687249 -514263 492417 -628419 -710664 761424 482665 -376530 -27035 -2684 -718050 456713 -207468 -797531 521555 -798558 -26358 -684241 -87483 66334 955907 -489722 -154585 885172 705582 870904 475663 -198105 756115 -654884 153158 -115773 534503 874044 -202332 789376 744979 -674975 -152129 641870 960244 -558005 -824201 -273486 170685 316810 -99028 123575 439365 -698229 -625012 -887594 -970903 812939 -196860 -653296 24557 136913 -955851 -307738 -836559 -15639 -649470 352468 -265608 111072 -962194 -618507 -568037 -466725 -241410 -526588 55574 -646660 -880555 413561 53496 463564 -411022 754876 333857 -202282 515780 -886369 -914658 -823137 -708894 -420455 -13325 -32604 628110 -183201 -691635 -645066 -354532 77593 -39482 395214 683308 474398 839301 -1092556 -884207 -795599 164056 917465 -291100 211522 786738 -463206 167238 -730997 430860 -448478 -974405 -699348 -891821 392644 -271349 17615 -916793 -183064 888786 -450704 -86159 -480912 -365918 848138 -612409 298425 -604312 573094 -714136 -556650 838816 -575888 -5449 131185 -932900 -1022195 -166811 -394762 -312869 -232243 -567802 444927 -866159 -102598 883189 26472 -255935 380552 -300888 767819 948718 -463733 777161 -679375 721843 724646 -584575 505552 367655 85732 865649 925278 -425126 528402 724110 -740810 -95986 851386 -668237 -861672 -483962 4068 210433 517505 -446689 -1035781 -702119 144763 397903 280541 104799 -135822 -76359 -352705 157503 -204348 366831 -794064 610113 -515499 437300 853932 -450859 676879 20261 -875516 290877 422452 107509 83365 -591912 944796 -495298 720197 -49111 -371081 246357 567433 358998 -662315 568314 -25685 -546781 343090 1039457 435199 666773 372668 742972 413048 639355 72450 
-290463 152032 -96595 -191075 893327 1023815 742290 157856 520458 -385028 257331 -482757 293392 -250845 -36474 -886889 372671 886353 688716 -555470 500009 -630948 -731947 884532 497194 -382123 -52279 12746 -769864 448186 -138248 -857720 548355 -767924 -43644 -599736 -85019 169054 915268 -478120 -170935 781291 667648 1031411 566844 -245689 801969 -569543 139032 -95027 530873 716389 -86991 822672 735702 -700834 -260686 631336 1011808 -578845 -636356 -361311 152046 331051 -84448 194859 424820 -694024 -591080 -867840 -961778 946763 -249508 -647400 10389 182753 -934150 -311411 -837999 -18033 -634150 355285 -213105 45258 -949369 -652886 -587727 -511128 -203756 -529526 85959 -542282 -822222 503064 -251 483873 -421502 720413 309842 -180974 497436 -896707 -869153 -834358 -715852 -501515 -10953 -42363 690786 -98428 -735522 -666909 -415049 124488 40502 367758 682290 463488 832496 -1104203 -824262 -679494 112053 896990 -256018 254811 830747 -510798 269378 -645069 329828 -319496 -997724 -747749 -819901 410398 -267737 -24933 -903077 -256801 898753 -442482 -63066 -445509 -181473 826127 -628842 285318 -617609 470743 -812639 -515881 804008 -597037 25203 47621 -952488 -1099591 -165915 -266755 -303167 -238190 -537630 404916 -830442 -202612 903097 -29611 -350221 274461 -260749 646332 927755 -562896 673418 -675601 723833 724665 -502485 517108 366741 65934 873104 969609 -391800 578304 676172 -772269 -74776 832098 -671477 -857206 -451229 17631 255915 573367 -380106 -1136151 -656277 242707 399850 353564 39165 -82767 -47504 -416773 57002 -201630 332801 -819593 670705 -479457 419842 903514 -351739 647198 -131468 -960187 354460 397919 99934 151491 -599308 845455 -512355 793916 41262 -360469 219192 582455 415873 -640806 625148 50804 -595461 378348 1047168 383903 674140 407701 693875 517522 665842 100466 
-295532 103548 -80346 -230017 893217 1024922 667366 206208 504705 -476352 264403 -363101 305107 -256945 -10039 -921057 378961 895703 689030 -736252 525947 -638822 -745848 940823 511237 -428395 -84916 20942 -764892 468881 -74864 -808841 497642 -769606 -86400 -552773 -117187 277051 949534 -504947 -177744 654969 637440 1108494 513214 -331215 776558 -478838 144936 -89318 540399 595016 -171977 861881 725170 -681525 -303631 636585 1010603 -571604 -633167 -391052 112750 357159 -34971 165534 412547 -688467 -565888 -881045 -826884 1015419 -300995 -657552 6185 208173 -877112 -222321 -845239 -24233 -626785 334708 -245353 -44436 -935093 -787573 -596372 -459550 -174403 -459442 55735 -534649 -806074 577570 51149 487830 -285020 696463 280180 -175828 496759 -867440 -980441 -821108 -719912 -470508 -28600 -12091 693367 -121962 -730919 -699229 -496755 99025 102936 361466 664427 446659 830205 -1084082 -718281 -556782 56284 856880 -225159 227306 720478 -565133 397857 -691945 278994 -329493 -1000414 -822283 -979696 549080 -332481 -87761 -913153 -349104 886987 -383291 -165810 -429570 -263495 809903 -599949 314418 -683883 364385 -846650 -485996 695025 -604336 42077 -44847 -914797 -1142817 -163002 -226976 -310524 -242779 -543014 366158 -852528 -137318 901317 -41661 -460466 62109 -192330 673583 910916 -651879 649234 -687008 718212 676078 -308314 509429 329085 59644 862558 960594 -351601 613534 715857 -783652 -16827 957453 -658432 -848913 -440031 39502 275301 672568 -324794 -1167967 -606923 216957 402481 384427 -55234 -4957 -67804 -454287 5109 -238719 307930 -852799 770762 -455495 314311 904408 -300793 673312 -158674 -1010044 428833 335167 14397 310191 -608927 764212 -504085 737359 96721 -358541 192158 581996 509405 -657651 678926 84184 -630337 392458 910145 335366 672776 465530 611106 545087 730117 136991 
-301751 86154 -65765 -205209 906813 1028002 721135 203018 506056 -583791 226156 -413014 350318 -202588 -5898 -942833 366081 897827 683135 -802673 551397 -634965 -729697 940226 561371 -507549 -149421 45864 -706528 532251 -56467 -745773 518316 -776624 -152848 -590596 -108728 327387 954058 -555408 -190644 659040 556752 1054463 374048 -399252 770487 -402427 132587 -64491 529158 607554 -353392 897421 706797 -685838 -251877 641873 977090 -614408 -862316 -307435 80839 373356 35068 96839 403432 -651500 -554241 -907374 -663876 987164 -347439 -663058 20276 214919 -828629 -142848 -853250 9286 -654579 336330 -376353 -135379 -924629 -910059 -591694 -515688 -167190 -370318 29051 -744680 -846934 634822 137898 448252 -163438 679208 287918 -170244 521338 -875033 -1012680 -812897 -719502 -320513 -23323 25436 716093 -161576 -756018 -713252 -630010 77808 85971 356616 631536 434336 818690 -1051705 -690182 -561882 42342 805050 -204036 217855 569391 -605855 466760 -811717 290042 -410425 -994981 -859492 -1144673 638100 -375876 -125713 -895640 -333753 860574 -323052 -286410 -409983 -469624 807315 -554757 305795 -695020 332624 -885490 -504878 570981 -621411 41518 -57108 -885687 -1159959 -161669 -308064 -291395 -232951 -512060 351438 -921331 41282 827196 -82655 -449231 9119 -141986 805361 912327 -738537 685213 -674298 713842 665130 -223741 524692 314663 49458 813556 906416 -283229 658783 795988 -824820 52600 1088251 -636236 -835980 -451266 63933 244770 724489 -298601 -1093607 -546330 78389 402777 371042 -55279 79162 -79237 -534599 76824 -237735 235330 -879337 827784 -473847 258248 766044 -353798 751774 -36332 -1028873 423457 289664 -9147 347209 -611804 788488 -496628 790183 84989 -349327 164570 632799 652914 -701529 680089 20375 -643714 346219 788968 341483 672154 483969 573867 470613 825804 89298 
-289633 117075 -113199 -141620 895175 1009630 666320 136242 497504 -655234 174967 -584761 383193 -134429 9330 -942725 388500 901248 683239 -665073 590705 -626848 -771823 895200 530476 -539827 -215791 24595 -633197 599275 -93041 -718593 531740 -773925 -147402 -675051 -127391 288424 962752 -583021 -138766 788389 496497 919437 347492 -470375 764535 -397182 139352 -57031 530373 751524 -439102 907538 683570 -713474 -135678 643804 931758 -641516 -996248 -233329 50466 402452 106247 54771 397161 -661955 -559475 -917292 -577630 864721 -375614 -669983 -38635 195396 -842867 -159948 -860064 53471 -692343 358125 -373162 -135363 -937988 -903788 -592634 -505047 -166472 -372690 -2674 -783139 -907197 616539 197108 449027 -212683 681049 275222 -169761 504206 -883486 -975551 -775149 -725087 -269285 -15095 19035 678958 -234136 -753253 -720329 -716614 107392 71163 336165 583919 421531 871670 -992683 -702811 -690521 51630 769997 -189426 238959 506072 -610024 422189 -935405 388075 -544135 -977293 -861023 -1110305 657549 -422194 -138892 -894725 -271159 896767 -316636 -308140 -404323 -552929 817804 -533937 307016 -740459 392773 -783378 -474569 487548 -637382 17547 18737 -883404 -1129615 -132986 -442637 -283712 -231738 -564760 345601 -1002732 102908 717717 -63162 -307687 172435 -138375 920601 889379 -718811 760559 -640119 673374 647924 -307185 515085 334601 19098 792859 844867 -257398 670219 815642 -829114 104916 1065258 -640179 -831958 -469713 94282 190387 750704 -333987 -1020490 -491400 -60142 403126 321125 8317 147390 -96672 -600963 219265 -249698 170779 -906083 748440 -494009 195078 734197 -431398 855517 61117 -982051 386399 297775 -66374 211191 -590351 942933 -491739 774701 -4366 -360370 140531 642200 545036 -735271 608323 15849 -635137 300812 792597 391547 672797 438834 525889 356816 866542 57395 
-288379 126837 -98471 -127116 916966 1008698 680558 48760 490806 -656035 172999 -607931 410871 -73958 15257 -993496 364436 928268 683854 -530792 635660 -612793 -732243 792503 501585 -497196 -274188 31539 -582760 620505 -165216 -767214 504977 -778163 -116832 -746006 -151786 189921 942944 -573802 -145140 889428 493333 809515 443656 -500355 785318 -463280 142938 -17482 526500 904629 -266190 875225 634813 -740448 -31310 651272 832837 -658676 -881653 -158069 25396 413597 158896 128529 394456 -613371 -577745 -913826 -653083 751351 -389179 -688272 -16032 155600 -892092 -260172 -869382 74036 -727063 369884 -333837 -115946 -956967 -807583 -603071 -509744 -198291 -477517 -81842 -709247 -924587 559917 140011 472415 -371640 683286 258263 -170335 529091 -889735 -850103 -732423 -722066 -317719 6926 -15005 632522 -297630 -757730 -689975 -780599 103222 -3390 308911 535465 402972 924590 -942428 -803985 -801953 20708 763150 -185811 258335 598564 -582468 269247 -979230 462333 -662027 -986649 -804559 -918548 514499 -466682 -83835 -892082 -102145 897664 -380046 -199631 -396848 -448732 840077 -534498 307973 -692061 507808 -695865 -492507 483134 -639136 8605 116673 -917730 -1062716 -146449 -563917 -282566 -234575 -505280 363843 -1058445 53514 639579 -121716 -260032 358766 -185256 906700 880034 -643616 853098 -597002 662996 660557 -524805 512248 369483 17382 818118 777030 -270920 665367 740626 -864493 117053 943430 -663686 -837169 -505510 128688 126728 649426 -383125 -936215 -454343 -113450 406855 271607 66290 174977 -74534 -598428 350416 -257658 125054 -917055 657095 -520673 119023 859604 -553692 933625 85156 -909192 271268 391156 -34472 83304 -589378 968721 -467204 766271 -111636 -355192 114293 669812 425715 -719665 563149 -15728 -600269 229687 929945 437503 677565 368033 510803 291127 877916 71120 
-293591 151210 -114337 -183379 907237 1019889 632023 -16460 480318 -606209 212511 -507732 378404 375 31335 -995031 382713 963173 687131 -566314 685967 -600434 -740423 718283 531755 -446319 -341526 35367 -591224 600869 -224307 -804333 518143 -790883 -51105 -746961 -172174 82622 936155 -531035 -189433 843795 450394 721881 535893 -510131 744319 -563099 139553 -34662 544573 936159 -119093 821555 589588 -728370 -4121 651198 776115 -720333 -661112 -165251 12652 413958 177676 188863 394820 -598375 -608326 -930793 -835665 753798 -385403 -689701 -37313 122909 -946941 -319855 -887710 -16471 -737980 401603 -252361 -4665 -953730 -680129 -603367 -539244 -220288 -541484 -117868 -544294 -872158 445350 67698 501598 -433446 706073 256917 -185083 576136 -888742 -857574 -705498 -727329 -439519 -30741 -36353 559549 -361791 -731933 -672682 -753060 86030 -48199 298567 497124 379623 962373 -876895 -845510 -779326 29036 771273 -183730 304823 764001 -532130 189344 -912059 498327 -593611 -985366 -728368 -809879 397383 -469178 8949 -888693 -104349 901525 -453179 -85387 -463134 -225599 869299 -505291 331724 -697967 595384 -701682 -464611 564974 -641768 -33445 143103 -929163 -985483 -149536 -556210 -299470 -231158 -527461 394409 -1059557 -109546 627743 -75503 -295629 341850 -254174 768842 882248 -531283 779893 -582316 635094 656778 -591486 520780 373652 1676 861891 746284 -321376 725925 677603 -877447 84115 818973 -649643 -862468 -541561 159377 94941 575620 -422190 -826356 -488760 12055 413787 217864 140215 138351 -61275 -619019 292993 -232264 29699 -925709 615046 -508066 85144 903360 -587640 996305 -16270 -829439 173271 424462 15525 153555 -602850 860099 -476864 760082 -47725 -322890 89437 692287 341212 -660714 477844 -8807 -540585 200609 1067322 422957 663864 384296 556145 324663 862147 147350 
+256735 -279392 422879 800729 -194609 92007 112961 480552 -709938 -49518 -674795 -765948 -941482 -328318 -292411 -542170 705080 562942 80852 -141635 96635 -94870 322689 -696400 809063 -882818 -609723 376328 263847 -949940 -915957 800112 -768902 -463598 -784210 -429457 454639 49810 810246 -438603 628244 100425 969028 -836698 -537021 398610 689301 465971 48310 -54913 145351 -734582 4899 454292 285624 -20057 475541 136054 -667708 -172435 460011 -746400 494674 707107 -687652 596174 -668776 -449531 194707 -692562 -1027893 -1047528 370387 -504531 -784202 959533 -696158 632150 867827 695739 -179451 -424710 892949 27608 -646792 -212793 -787729 524519 846339 838096 357687 668443 667954 -859095 -572538 -892580 490790 -415695 826080 753145 286655 -199284 -460885 565972 -696792 508239 -795637 -40250 731616 491038 -282596 998117 656209 -347375 510870 -239090 -534246 893120 400186 71486 -246940 -234729 -392954 271914 -853484 136142 387020 554853 -704570 -393626 621977 626277 -740475 874889 -768225 -1032164 312887 -199032 -228525 -224957 -676131 -243444 -854632 1993 -487077 -378475 -340161 635138 238601 -398559 905234 -339098 -662531 73266 230719 800214 113798 -821529 307475 -715559 559676 -682711 -187945 72038 -12069 -593250 -777443 -495360 176921 -756038 1014835 -480754 -969357 438491 -285400 -713868 259800 -918385 -246749 4517 -875512 -132399 -839917 152128 -996921 765898 516834 884357 283118 549943 -623245 -918901 -446496 282384 -950300 554154 -133429 -173573 -863072 121847 844021 -667258 745209 871976 -664756 -21780 213217 313180 -417168 -693530 184473 -637671 872756 246354 450070 -191670 -478604 47475 -445517 -246855 703241 273421 515062 365527 -793365 128593 -1148147 599685 511943 -197207 -181607 -432820 -327024 9979 -64862 468671 -198700 714303 324583 -820635 -573602 -687433 19355 940980 
+276877 -247819 480919 700509 -223751 101436 153436 510198 -638641 -119529 -774055 -772135 -949153 -421272 -367167 -537180 655570 561902 114662 -167719 120250 -135125 324409 -584141 791113 -898248 -672879 387374 292795 -945042 -844836 794229 -756122 -513041 -777067 -363947 420516 257935 795745 -449611 629818 146 927651 -1046889 -654913 521952 697109 346817 58411 -20860 35385 -739826 -111530 439575 319079 -29040 462315 139527 -642367 -266427 497351 -763739 500919 696133 -702903 594050 -701910 -369784 174222 -690203 -1002966 -976676 471178 -532274 -812984 886000 -680022 633583 857707 600031 -195787 -343289 758624 -35454 -663778 -211623 -734524 523855 778993 710718 316592 588918 677928 -868988 -564235 -985312 376407 -482331 755847 805832 263696 -205366 -415620 584824 -695463 576952 -755486 -63503 721965 531374 -178738 999423 588827 -428811 470143 -256789 -540968 861781 364569 54451 -289041 -46192 -423040 271458 -952432 108001 453286 554805 -619286 -350491 663967 616334 -801858 844647 -744751 -1006366 296659 -213426 -225022 -243122 -671183 -235760 -860608 42844 -557631 -346465 -348248 716506 292683 -412664 969880 -317833 -663362 76449 79178 933785 44410 -715829 288301 -718600 543362 -682881 -138133 192176 96512 -561109 -837104 -530594 127619 -882485 1080611 -455011 -953393 516965 -267482 -860703 280917 -919379 -424569 -1142 -821231 -164968 -816096 136704 -1021091 784721 544938 887733 272339 584158 -674744 -1038715 -471874 326328 -965750 567514 -123623 -149228 -825606 78096 859675 -665170 755426 850261 -731914 -48095 180851 486553 -364271 -638372 175742 -585329 873812 351542 602866 -218112 -521860 -64481 -407233 -221928 759688 240586 473489 426599 -947736 132621 -1092660 576543 608778 -179604 -157610 -417773 -238880 110008 -179426 426646 -167276 666383 336997 -814031 -590698 -743109 13555 962087 
+338231 -273761 509189 773064 -163894 92692 162205 532376 -598520 -213549 -765600 -781827 -946529 -420792 -392728 -514315 488477 557717 68527 -174151 104480 -152609 296194 -540786 808347 -911168 -754428 397312 263461 -932559 -758342 809221 -806446 -494217 -696035 -277578 427425 317445 778864 -417367 620120 -102603 902669 -1003796 -748594 546379 733338 361927 177518 16442 -56926 -746361 -115169 430526 303328 -33024 540254 166881 -624772 -365301 515675 -790187 571424 643691 -708525 589861 -740644 -369308 136007 -677238 -985533 -941217 549791 -535811 -800163 757011 -713999 636634 840560 498369 -214510 -257542 743866 -81085 -697192 -222573 -666875 517142 790176 639162 298598 544417 681506 -905375 -560860 -1011791 296620 -505423 704551 838323 252629 -211434 -433710 545448 -718809 603396 -778665 -170684 705339 574622 -158732 991125 580876 -347532 437853 -238005 -583639 833492 412995 5040 -385878 18892 -433021 315845 -954820 75496 537946 563407 -562896 -410818 752309 560305 -871562 811901 -724345 -1020571 293613 -180249 -213030 -279577 -656200 -246625 -818850 -29821 -637921 -375219 -344288 755771 342814 -470736 868789 -286966 -681722 73648 54326 1001757 -68779 -647846 211985 -703251 498019 -743708 -109418 95460 132094 -518014 -835416 -532855 102825 -970751 1058117 -240467 -976177 577405 -255067 -985715 281202 -921982 -569261 2631 -758878 -217662 -809766 112212 -1017622 794481 591040 856167 266866 584425 -667044 -1120005 -481086 387380 -960488 567377 -104962 -133060 -864588 61393 852303 -691647 750128 876035 -776239 -101057 224188 585726 -355377 -572609 196202 -589977 857102 314938 655067 -246822 -550344 46502 -450809 -245977 891481 180671 495364 368439 -1142959 140336 -1050889 599391 622697 -155598 -180616 -492028 -281988 249878 -335541 377340 -27774 631881 369830 -792113 -605923 -808296 12428 964904 
+386828 -263147 546593 936860 -62247 61960 220527 560781 -632588 -251719 -759338 -789248 -926693 -425053 -357980 -462407 406244 567790 37451 -171214 92361 -158753 260814 -577189 863541 -909443 -825067 405946 240267 -946351 -707025 804906 -845848 -536503 -574620 -263439 434663 160985 769756 -431669 621271 -190885 925071 -844611 -722837 517827 748795 491993 124510 15548 -77288 -758667 -35761 395262 285484 -26701 627285 198387 -608560 -427468 517425 -801535 612706 656587 -719527 598901 -763201 -417404 123312 -671458 -1012566 -936963 630689 -546483 -800349 715672 -746019 643958 840316 431277 -149601 -181461 866038 -86855 -750918 -211380 -617252 522626 852430 606617 293047 521731 680025 -908996 -574928 -1016856 273897 -454279 687168 813312 251219 -195823 -465407 542471 -725702 589710 -710179 -261261 712705 589132 -285958 973450 558634 -196058 400403 -239182 -582212 822504 397927 -65814 -512730 -118319 -425034 327125 -819401 -19778 602026 572830 -584204 -409167 850471 511380 -922165 783458 -708301 -1020365 254262 -160542 -199786 -255236 -646699 -244726 -860660 -170880 -715008 -364941 -352696 711515 436799 -438551 688291 -260045 -680474 80575 143450 965641 -171763 -676534 222027 -705970 434157 -856103 -117072 -104404 26028 -497511 -855967 -573222 114483 -988703 972211 -166613 -953290 580869 -248447 -1010090 259595 -928405 -490711 3534 -696037 -267867 -805933 96927 -1025444 830091 639318 825113 259237 524187 -625831 -1142538 -442818 386308 -954761 579861 -95214 -104206 -909996 84084 845676 -678770 772815 860396 -814839 -144592 184826 501321 -319699 -497234 184075 -531708 889200 119448 519557 -277815 -572640 274888 -496953 -253897 944896 168793 474323 258897 -1158342 148198 -1016701 653597 588184 -154520 -185283 -547672 -351182 317766 -430422 377411 67453 610090 367847 -763893 -586800 -873252 20015 966225 
+378514 -299215 530860 1053084 -30416 58491 246779 580289 -704063 -194907 -690414 -802485 -936823 -377888 -280457 -442751 510107 573611 25925 -150958 39354 -132147 251595 -678175 838529 -887313 -871785 427051 199129 -971926 -759113 796671 -819667 -543153 -472548 -290439 471297 -6156 765961 -424469 622221 -196970 974557 -703343 -645818 411493 733036 622516 124108 -20442 -13130 -767833 100646 398228 243732 -18524 677771 239149 -598301 -482816 489634 -777311 687279 668648 -735385 613174 -803039 -515155 106832 -623224 -999327 -951280 660726 -533738 -761030 804211 -733808 652848 846115 408410 -203517 -259341 980920 -52310 -790841 -215292 -579878 519589 878274 675766 231311 523621 668428 -960146 -591755 -974273 380489 -373416 721962 802869 264107 -217151 -505746 522532 -732905 455296 -683567 -312373 679193 616800 -403483 946582 590716 -89262 373230 -240019 -583440 851455 398402 -167143 -564145 -284959 -398018 366094 -704202 -65730 623720 587162 -665695 -427146 936928 518522 -934914 762223 -697893 -983875 267800 -185417 -225254 -262056 -647640 -232171 -825816 -240611 -768892 -383475 -343709 638235 479915 -439803 599753 -245744 -670126 79349 291730 846309 -215721 -776320 264140 -707868 367052 -988896 -157180 -219644 -104417 -482459 -855126 -555888 94082 -955909 880988 -234651 -972349 516014 -247130 -883852 276309 -930595 -304278 21375 -650631 -299679 -809258 90187 -971246 857369 675716 796047 261008 491582 -581743 -1016265 -435796 418661 -938230 587963 -162607 -105337 -957812 74917 817771 -680289 776175 887030 -812710 -256311 225976 299960 -362083 -506032 197540 -529274 877992 84247 393769 -311391 -563647 250825 -501298 -281413 846618 188871 483939 228797 -964010 156187 -908468 654614 531268 -137410 -184666 -588546 -494123 324366 -373174 416981 47109 579486 380156 -784635 -573001 -928902 25009 963662 
+320577 -388120 497118 1025180 -96755 23814 258375 586521 -814733 -94632 -616917 -811137 -946362 -388385 -206131 -464776 672134 585050 4452 -162249 -15146 -89264 279288 -795040 818948 -890027 -808485 448118 245189 -932587 -859104 750263 -766450 -552734 -436305 -355297 476369 -24089 768413 -433441 615676 -128589 1000818 -817847 -521150 336032 710155 549317 91286 -55529 96640 -774637 176778 348476 216081 -18816 703332 281523 -589392 -518939 464318 -751829 725156 650906 -724853 618494 -831126 -471572 116747 -612893 -1009137 -1023845 672870 -541089 -744144 926761 -676109 652650 855376 444118 -232310 -375189 1032796 11008 -807593 -213520 -553310 523703 851962 757746 226324 579586 649169 -997399 -623033 -906087 465453 -302613 784372 783920 287664 -156835 -545782 493029 -755868 375189 -682090 -265113 679696 675190 -450017 928976 601163 -136529 358898 -255589 -612063 906576 419781 -235732 -560335 -276702 -359908 374118 -641937 -95719 581225 566382 -725951 -402714 988707 542207 -904884 756252 -695906 -989421 300192 -168054 -228269 -256857 -619581 -255816 -812188 -208528 -781494 -362774 -338486 594568 506782 -395207 677887 -238912 -677909 86421 419613 713970 -182882 -860457 290234 -707272 299842 -957367 -208049 -42268 -74431 -484468 -912966 -511762 141016 -851999 857885 -402644 -957737 469176 -252559 -740965 287535 -937931 -249537 13251 -614873 -309324 -823752 70038 -1015585 870556 694221 797812 265211 480812 -603252 -912011 -459548 352150 -937625 582048 -213519 -89073 -1005208 74339 838573 -665825 758727 851288 -786532 -275670 232909 269520 -360230 -562459 205446 -480893 872625 192417 428913 -341633 -493618 87120 -540447 -310501 739310 263310 461827 303312 -792048 163549 -882646 617888 500321 -133513 -187632 -580822 -523557 160917 -206853 485791 -87639 564449 372947 -774756 -572579 -967064 20166 971829 
+264934 -443605 445012 843382 -192290 -1676 231250 589572 -884398 -42766 -560314 -821859 -932771 -397554 -169335 -515587 697228 602129 -1743 -142282 -56972 -37397 321962 -879612 816850 -904992 -749741 458940 274033 -947024 -897412 704332 -743214 -568389 -466427 -416895 498729 157444 778518 -414647 616778 -47536 971350 -1018001 -472895 321241 776743 401236 -14471 -46719 188726 -789581 154175 326268 216482 -27566 676690 325201 -591260 -421115 425945 -779436 755668 660687 -718195 633891 -821949 -450759 149834 -635749 -1004196 -1052159 662529 -528185 -714678 954263 -644001 659701 864488 528889 -228499 -411405 907478 69352 -865946 -215715 -562368 525592 799248 882348 216759 627105 631206 -1062968 -656055 -820960 552966 -340142 853551 724401 319541 -227132 -550387 482234 -765541 304525 -767267 -171122 663761 672155 -386051 896428 673774 -295475 386174 -260292 -608906 911236 415043 -287597 -506595 -102474 -324311 368518 -695891 -106105 489602 578529 -780299 -366291 989303 570030 -843607 767657 -702124 -978866 307686 -217842 -233790 -233251 -641672 -222630 -856529 -84887 -763006 -377419 -342797 632807 560118 -338853 857563 -266701 -688033 84969 416358 632624 -86264 -847041 259432 -699880 251115 -862828 -242420 154489 19582 -496594 -886863 -467972 156373 -767404 931587 -492446 -952271 398607 -264465 -659345 266773 -900650 -360822 9221 -602357 -286478 -836883 62473 -1024452 897832 687355 824341 274082 489766 -638013 -814497 -447024 290256 -929447 593607 -278050 -66904 -1012154 142795 808023 -673569 753985 891392 -740471 -335845 249179 378294 -401704 -634982 223214 -515884 931436 382475 586766 -373904 -462350 -56514 -570378 -265278 663915 237238 468391 410226 -879838 172532 -859627 571803 491422 -128138 -168334 -586811 -455465 59823 -86602 578419 -209804 568825 395081 -751528 -567242 -982115 -11134 966270 
+263488 -449576 352237 717287 -219017 -20888 226823 586713 -927130 -87090 -593732 -831687 -930728 -352224 -197155 -543794 553066 618396 -70764 -133703 -96669 22600 312818 -883532 799613 -919125 -671225 474179 286552 -958392 -846206 681934 -790397 -571090 -566578 -479685 408572 292842 792324 -405364 622667 64829 927311 -1025533 -520399 413015 717353 336593 -61349 -8755 208128 -801227 24928 308086 252600 -32882 552301 333613 -600843 -289007 405357 -821295 729645 707585 -741826 654640 -850355 -404568 220694 -670977 -972439 -1037710 591771 -505876 -660090 855547 -672700 680248 874633 635360 -230381 -301506 776876 97906 -846692 -215603 -589334 524162 813237 933882 184554 669451 612889 -1028918 -696589 -746394 452085 -325690 899164 713711 351441 -202826 -509342 484394 -771732 262410 -783996 -80634 659979 679352 -267059 881526 671981 -428415 417064 -273825 -604837 930105 404431 -316833 -423840 21471 -293091 371123 -804303 -104319 415351 601305 -764559 -330991 937647 609315 -773775 793995 -715095 -954530 328286 -214670 -235121 -201462 -629579 -241065 -864568 31276 -709270 -380582 -341704 712040 545368 -344504 979831 -299657 -687468 94010 309178 656368 28257 -751631 220887 -700802 245553 -708465 -241599 182770 117140 -526928 -887834 -431959 158670 -661793 1029329 -399609 -966294 371585 -281123 -705929 260876 -937931 -544896 -84 -610788 -240962 -851719 36580 -1038198 910981 658768 857868 284935 526378 -672517 -866549 -449536 260791 -922055 577000 -277665 -101284 -999868 132065 852875 -677516 760270 905530 -681772 -293286 241208 543539 -440693 -700559 220862 -541125 947125 331374 659997 -402196 -388183 38360 -557388 -262486 747621 244511 453563 409479 -1093236 178576 -791042 568705 557205 -128369 -169261 -504303 -365117 41402 -92314 632721 -177238 599111 364227 -766661 -576249 -971964 -5021 969818 
+315783 -415514 299577 734223 -180644 -19689 238518 571709 -903011 -180994 -677455 -840566 -886916 -283244 -268086 -504277 414810 634650 -10212 -110654 -88477 60069 286153 -806917 857797 -925907 -620462 484525 243563 -975262 -754401 642122 -854345 -575171 -693290 -521697 414046 267339 807727 -389168 632924 118805 907779 -826505 -613625 518274 780769 427009 -47303 20134 142761 -808658 -83961 290453 262018 -28296 504216 340218 -619058 -207529 413495 -770912 702824 698759 -724386 676528 -806271 -396707 258654 -661332 -976844 -969364 490713 -516049 -616239 736326 -720231 686600 868099 721541 -191558 -223338 740614 96304 -880609 -215236 -633041 520793 858090 920226 144422 717868 594052 -1007590 -735909 -701202 357445 -452899 895853 722527 381226 -244942 -446860 458544 -781654 307211 -791794 -10806 648812 711313 -172918 874413 698780 -405767 454477 -249604 -628530 912850 417194 -303118 -332621 -48424 -281417 372280 -895499 -61183 354223 592093 -721174 -304860 851340 642923 -722572 825979 -733381 -910416 258097 -233247 -221387 -168618 -619789 -240634 -917681 18015 -634159 -381990 -331117 753077 543715 -333156 924953 -334435 -685446 88630 152029 767147 107333 -657501 191292 -701092 276795 -645966 -206396 -11573 85076 -560892 -881255 -414052 156885 -644739 1084394 -219651 -950438 385940 -303042 -840444 292059 -904304 -527728 -1100 -640127 -188055 -873634 55581 -1037316 939144 612557 882757 289894 563278 -641764 -957372 -445241 274553 -907850 573755 -267928 -61719 -936482 134107 850600 -668523 755767 867315 -637596 -263870 257540 589949 -519861 -674308 227605 -573627 944555 154524 568817 -433472 -310587 240607 -558950 -233952 902720 230191 457602 307268 -1190101 184720 -771103 621466 640384 -140522 -190346 -450116 -254056 113312 -224629 635915 -39601 626066 400905 -753556 -599947 -938145 -16629 975817 
+375543 -394566 251637 885813 -79061 -30461 216040 547699 -833055 -248113 -763398 -848716 -910852 -214807 -345606 -444426 451603 648151 -16698 -88626 -66181 85801 245143 -683032 849419 -907841 -704824 500896 233828 -957428 -718229 645186 -822018 -556616 -773124 -527029 393849 100679 825143 -418386 634705 109308 927728 -691780 -707846 556173 813226 584735 -39078 8209 32582 -822602 -132883 317657 321725 -19283 480123 360188 -644367 -191548 445565 -758227 658040 743558 -731796 696510 -819112 -426722 243800 -673979 -982060 -938330 379129 -523309 -589286 715380 -737721 704046 865582 768346 -189358 -218398 893319 47403 -877883 -225319 -700639 532593 887974 853031 138767 742524 586144 -927512 -775118 -671252 245444 -535346 849366 769923 404561 -193134 -426326 505427 -792894 382121 -813112 -53250 651265 728896 -187751 861591 663467 -245535 509360 -258695 -599628 875599 398223 -258304 -284632 -245015 -290835 361690 -956358 3122 375481 570922 -639334 -252390 751168 620826 -709570 858768 -755408 -967965 230467 -232993 -222932 -157023 -619605 -246954 -923577 -81770 -548963 -399432 -343224 704168 495239 -394847 755096 -380341 -683291 92047 64594 905175 115432 -650909 248651 -702802 320402 -696113 -155362 -126180 -52987 -602303 -922031 -395884 180251 -671175 1059435 -164269 -935458 451573 -329656 -996367 277408 -892387 -324326 9282 -685032 -145025 -905512 9886 -990814 945626 567115 892394 296073 571227 -589769 -1064752 -446358 321406 -868290 542582 -308137 -88060 -873351 124394 871413 -670147 776320 849024 -607769 -206622 238171 474478 -552607 -625117 225936 -628554 923701 40455 417026 -455555 -294154 319210 -563885 -235830 976838 170700 452427 226876 -1021844 190871 -798117 637022 619457 -149689 -184666 -424231 -235299 224811 -374133 610817 60316 658601 353236 -766327 -586750 -885360 4202 982824 
+389179 -324955 227578 1035993 -29578 -14254 173900 523313 -724760 -216790 -790743 -856552 -925181 -156402 -390689 -445524 616871 664594 32167 -71470 -26133 87486 252556 -576331 839456 -901364 -734585 505798 242079 -928731 -757516 653144 -777475 -564460 -782153 -457248 388789 -53574 836656 -418875 612397 35479 973752 -778865 -732740 502701 768140 596759 17009 -34949 -58379 -832974 -67605 305471 332141 -17930 494311 387988 -664423 -167741 476547 -734719 611369 785152 -708531 719490 -777211 -471428 274053 -658479 -973360 -935300 314728 -534991 -547562 830152 -734343 702675 842316 755250 -170186 -305673 990081 -15014 -845608 -229266 -746626 524541 866090 727634 96949 738953 586002 -912519 -808466 -751664 319558 -509611 782750 784386 415970 -208004 -409089 475223 -783619 476166 -816917 -151285 627653 706759 -272640 865887 661765 -111208 529516 -262359 -613196 840348 404072 -192295 -240458 -309710 -318249 341042 -880788 65131 433555 572500 -573874 -264113 665841 579573 -738963 879993 -779226 -951860 242441 -256417 -238245 -197092 -606535 -239273 -974751 -204373 -477711 -363626 -339441 628521 452461 -391212 623356 -358956 -684096 88939 86485 992643 48241 -735062 310416 -685487 392091 -846239 -116234 -135523 -99418 -642729 -914081 -433191 174953 -799146 987371 -281627 -930891 499997 -357346 -1006078 265826 -890128 -203033 15888 -741001 -125973 -926021 16533 -1002922 965479 527712 869569 291433 550836 -579826 -1141222 -450566 364468 -884024 563711 -297486 -104115 -848988 127935 870865 -698712 806547 886623 -602937 -107162 248581 282053 -538313 -544831 242443 -637570 970608 152830 401566 -470480 -240390 117862 -565590 -217051 851769 160481 462084 248096 -823527 195593 -842451 628778 593915 -157254 -188776 -433296 -297314 325489 -423067 585371 63757 696281 346870 -751181 -538885 -821419 -36658 974314 
+343783 -303073 228713 1035864 -97281 6826 147966 496217 -650052 -128472 -760933 -862122 -925993 -85202 -374470 -475595 711955 682629 39058 -52821 24018 61782 287501 -540568 793030 -927263 -816984 503068 250381 -943128 -843174 649944 -772450 -543269 -721304 -388431 459395 63262 842580 -417147 611326 -101350 990143 -1001368 -644426 374739 809044 480014 35069 -54508 -76436 -840781 80541 301576 261201 -25798 589993 399513 -696253 -216525 500600 -776383 544399 781528 -700755 748773 -763381 -508380 263624 -665680 -959026 -974300 287203 -519271 -551325 942805 -690497 731321 841189 688336 -202100 -397311 1090651 -66050 -826720 -230553 -800682 532896 806473 642991 89144 754834 596586 -849043 -832241 -823674 434918 -465646 720523 818282 414822 -228001 -476096 544074 -797253 529050 -815213 -261002 594290 706025 -418330 877335 580986 -95564 567788 -256955 -574976 831356 379654 -118677 -322114 -171633 -356272 306034 -776404 102846 518238 594226 -563038 -263450 620052 555099 -799903 888233 -801311 -891744 297448 -240160 -230605 -214179 -597776 -242532 -1002489 -247404 -437358 -369242 -330536 589413 415130 -434925 623628 -345972 -688638 85166 212631 981530 -62903 -837769 260488 -698029 460884 -982322 -109994 49091 -82133 -673939 -886299 -464592 136608 -916251 856066 -444060 -936333 547368 -385214 -892353 263253 -875332 -329094 14482 -802144 -139036 -940635 2801 -1005684 972328 511470 830071 290422 510123 -632942 -1115788 -452280 379832 -918360 549651 -293459 -109488 -845975 81304 897938 -669430 784112 867352 -628067 -33899 241565 267783 -534452 -498847 244315 -669586 980882 341519 534627 -488620 -188814 -66216 -511900 -256544 736073 185884 451640 362000 -839587 199254 -887786 576360 515554 -182330 -172679 -420008 -411446 327047 -334981 496245 -82737 718935 316463 -761820 -598327 -755606 -9251 970818 
+280847 -246896 257648 904739 -190592 19026 127880 462875 -616061 -54571 -705249 -865715 -914447 -66958 -308643 -544815 620868 700159 29406 -45220 75602 23030 327151 -586869 818626 -908153 -859778 506864 265501 -952731 -896457 629863 -784137 -509619 -611527 -302512 487924 246797 842339 -397022 619617 -200398 972372 -1027676 -551071 304334 781369 353616 121686 -38214 -10783 -845514 177738 336805 240737 -32742 682454 397502 -721720 -354946 518522 -806022 524602 804189 -697053 772406 -743494 -497845 240615 -598407 -973966 -1026123 340525 -546584 -537177 947726 -667570 744517 836298 589312 -227316 -372312 965188 -90965 -812594 -238648 -852412 532751 793114 619013 105307 702468 608628 -854011 -847457 -887883 502699 -364537 690582 844842 402575 -232968 -508517 536429 -791258 596428 -802175 -296227 637740 667606 -438886 897775 577233 -253833 562028 -259428 -558645 814119 397373 -36095 -386060 -5016 -393676 286542 -677647 121522 586143 570744 -642225 -258006 627940 513855 -869458 881122 -819946 -891588 317908 -228931 -200780 -225391 -601002 -251440 -1020624 -158514 -429288 -366882 -338333 629556 308573 -445159 777683 -280981 -720881 79750 368161 878570 -167223 -861457 241409 -694522 524714 -978218 -139648 200138 75201 -691217 -882397 -529199 149594 -994304 859567 -495508 -923791 531828 -413314 -743133 253858 -924172 -486364 6432 -859739 -176734 -974886 -2010 -1001425 979370 517131 808759 279945 484326 -666639 -1003289 -462382 424363 -913819 550058 -261134 -150034 -869501 102116 886447 -675499 767430 867315 -676315 660 271867 408919 -503751 -502628 273720 -709398 989188 344154 652666 -502325 -175726 9196 -478668 -288068 652836 259150 438766 437129 -1035001 201990 -912777 567478 494368 -199679 -177159 -481813 -506392 168261 -160136 424439 -182185 760529 349951 -794915 -587267 -697360 20555 949157 
+256627 -213036 324440 741934 -240511 50264 109050 445348 -615534 -62902 -594930 -869731 -897311 -94652 -226129 -556751 454014 700217 124199 -43421 108898 -34342 320995 -698757 863177 -923435 -820638 490626 261774 -936401 -856479 636022 -842738 -470641 -500156 -271819 511253 311658 833409 -401057 618854 -206681 926345 -818459 -471881 316114 792315 361824 153573 4744 99715 -855850 167409 365973 245352 -29881 732097 390114 -747609 -431765 508502 -808691 468678 833504 -683746 786204 -716690 -461718 175037 -601617 -977550 -1049458 405066 -529575 -535264 829519 -663265 760523 845751 492819 -212456 -311294 798786 -66778 -779513 -246479 -869393 530597 839754 656212 83086 648486 626515 -884833 -856358 -946874 460931 -330943 705432 821967 379246 -258975 -553007 558988 -799180 583231 -754887 -275923 617749 694153 -374868 918172 576463 -394972 531808 -266054 -480106 848058 420033 22070 -495485 -8895 -421797 297577 -634441 129383 624693 581432 -733859 -320230 683844 505823 -921355 857781 -832058 -924575 295748 -225061 -236197 -242517 -574053 -240072 -1041571 -15799 -460594 -395716 -340402 718274 289683 -435095 950818 -263420 -701084 78774 433882 739233 -215781 -788788 196083 -687906 557936 -858363 -189749 104595 125155 -694033 -869877 -531742 97999 -1011791 911016 -361668 -923938 501280 -440671 -654367 268700 -896207 -547208 -4067 -912030 -227745 -992408 23312 -967823 977014 550054 794914 271906 514617 -672985 -899231 -450567 347586 -905345 553589 -144592 -151677 -941055 57166 891964 -683333 770660 866833 -740568 872 247404 556561 -522621 -561249 280462 -724726 1008544 240640 586285 -502615 -188539 220197 -472975 -266361 750363 269511 452883 376958 -1159916 201753 -1003582 639565 529381 -224226 -180337 -571843 -514781 59978 -68372 382491 -175719 753640 296497 -791381 -568982 -655200 821 951215 
+293960 -262889 390849 713011 -167235 70383 63320 426871 -675423 -149132 -564896 -873939 -918226 -83211 -175945 -512122 414545 713868 140799 -38473 117714 -85123 266337 -808731 859445 -908864 -723937 488200 211146 -942714 -777656 713262 -830912 -452982 -434791 -291722 468238 196157 820713 -404948 628952 -151123 897740 -690881 -484921 425980 803742 496132 180159 21849 189839 -863330 56978 374300 214621 -20810 716073 352124 -773115 -504147 481870 -788328 514409 824593 -698951 815292 -655996 -430577 163092 -645889 -949444 -1041541 485402 -546342 -503050 715452 -701119 773361 846754 422240 -179949 -207999 756365 -12184 -715674 -245070 -860917 525006 870644 761173 89347 618928 647628 -899882 -852449 -990035 381516 -316823 759980 796477 349868 -233502 -545804 609404 -798299 528554 -732281 -210222 639993 704741 -264327 950738 623272 -426938 484913 -273994 -532387 902338 400487 49535 -577658 -192721 -429832 232816 -733411 92188 593750 586745 -807456 -358039 774747 545848 -935201 821012 -836398 -885684 259543 -200888 -225526 -264581 -584607 -237380 -1029693 48915 -526220 -414312 -351736 750219 221701 -425539 966880 -232752 -710036 75857 388570 642685 -186291 -683018 273437 -691877 539788 -791283 -232934 -139557 50738 -679964 -844633 -566801 101430 -946691 1005079 -198295 -919162 406395 -465585 -665138 243678 -893399 -396568 -3713 -942316 -279473 -994031 -2979 -992534 986386 595057 809340 262721 524180 -615949 -811898 -466659 264595 -900557 565413 -123642 -156218 -993649 85772 855441 -671875 757413 864380 -793997 -67872 257634 551473 -502131 -684609 280219 -685851 1016241 43845 442708 -505332 -240549 328073 -386308 -256831 872235 268807 432910 253368 -1065224 201700 -1059036 642143 597319 -240940 -159115 -596147 -425253 58511 -110668 325038 -88357 758723 287483 -811956 -571993 -635241 -8322 924588 
+357989 -291261 456993 841584 -65324 82156 45493 412363 -782364 -235213 -586437 -870579 -887713 -81887 -181178 -458363 549519 720720 159745 -60097 110085 -130156 243646 -882798 802148 -939295 -658867 474540 232315 -943596 -717529 691679 -756737 -461361 -451773 -323774 437224 -9464 802627 -430094 633728 -36795 927657 -832119 -591177 530162 804191 606002 77428 -3802 206757 -863867 -66785 419768 210713 -17487 654557 353294 -800628 -496751 441231 -760529 501242 830631 -714644 832583 -615319 -389962 127469 -696130 -952436 -974506 589664 -529440 -554886 735121 -729661 787399 869982 411249 -191548 -236726 791251 53312 -699981 -236827 -828545 523253 865587 874793 49826 541365 663442 -931080 -834017 -1012877 265299 -379929 833334 759393 316168 -225384 -509276 640704 -803979 417545 -662766 -108641 665673 657257 -160464 961148 689580 -282913 452117 -260816 -483128 917672 406802 49887 -552203 -318939 -425662 254210 -887661 55462 516008 586380 -792916 -367770 876330 598243 -906376 790029 -833467 -889253 245583 -148675 -230609 -277461 -563386 -229947 -1059044 826 -605494 -347696 -344673 696335 228367 -374276 828387 -226554 -693503 76526 239831 643061 -93152 -643290 302217 -696764 523707 -646581 -246541 -192811 -72195 -654759 -848815 -538495 100559 -801365 1081076 -168536 -898779 364016 -485366 -816170 256207 -905141 -236210 -303 -951651 -304321 -1015104 8138 -975642 968717 643987 840856 260518 555658 -586600 -837453 -459584 283893 -932805 584662 -148711 -156802 -1020463 97729 884599 -676942 752254 849468 -801253 -199008 275972 401958 -428488 -712481 300323 -631525 1037182 87496 397543 -501470 -264161 169082 -406987 -244607 982559 210370 434637 221323 -862577 199503 -1116816 635064 627935 -259131 -152680 -609469 -284144 160060 -275735 379825 52084 740812 284844 -834117 -580247 -640520 41993 935537 
+391614 -349533 500923 1003905 -24281 82989 59537 407607 -864375 -237757 -681189 -872424 -933080 -136740 -242621 -433203 695246 722267 139716 -55912 57917 -153113 255834 -877855 810278 -932447 -644640 458537 247676 -970635 -758203 731982 -746367 -469610 -536522 -394151 384430 -27775 787942 -437196 644929 70985 968039 -1010674 -686363 551507 750861 569114 36999 -44293 140622 -868971 -137482 432440 253431 -24207 552968 312767 -823019 -428547 419791 -781970 580246 831915 -719767 850506 -567168 -441815 85503 -669594 -934524 -937143 663344 -514041 -602580 857916 -678395 800387 873026 453978 -193539 -308838 1004032 97641 -664548 -260878 -782008 523026 810601 938190 52830 539427 675140 -1002904 -808102 -952912 255084 -476120 883688 718937 286570 -223194 -478932 606101 -792799 326730 -685075 -32985 647299 635422 -197515 984489 672634 -138831 417823 -257081 -453781 948120 388691 26211 -503143 -234131 -399752 215252 -958782 -18336 426599 573421 -710153 -413455 956669 605907 -845731 768241 -823426 -861713 247925 -165340 -253670 -244623 -580881 -235581 -1063176 -133553 -682094 -409839 -340578 624681 204979 -378478 656664 -262881 -708148 67349 114847 736868 22887 -701027 267182 -682445 468556 -688090 -222669 -91658 -106778 -618182 -834690 -502673 147701 -698308 1066591 -333695 -898314 396860 -502116 -939640 258253 -894492 -336989 17471 -949196 -307080 -1008165 12574 -997018 962144 677873 875763 260132 564475 -601950 -977757 -467997 298822 -950131 580455 -125563 -170129 -1015242 108518 876004 -687658 735201 852234 -811797 -223432 308815 260217 -332575 -636137 288065 -616067 1036656 269961 520088 -492801 -332661 -48551 -394968 -235573 862921 150833 415311 305067 -800446 199384 -1163285 589425 598116 -273849 -167527 -554434 -229957 272227 -413041 479259 63145 699552 280364 -855569 -596288 -669925 38277 924398 
+364062 -367054 520770 1060979 -104713 103259 57614 407465 -906903 -163473 -764782 -864186 -917982 -164443 -323964 -490067 673295 720584 120017 -69981 11299 -153598 301772 -794883 817918 -922453 -646103 441395 263565 -960357 -849830 773638 -773887 -434467 -656278 -470142 420740 116065 776260 -436366 631059 143272 993933 -1030796 -741672 462771 781540 427143 -24995 -54602 30017 -868167 -80665 459411 235324 -32091 474684 305895 -831652 -316185 414173 -784907 630603 835055 -729376 868576 -562298 -436277 109601 -652045 -985613 -924882 688591 -519771 -631923 957531 -657834 811666 867519 544771 -182060 -421076 1041778 101180 -611324 -273597 -724654 522427 791842 922956 95500 533499 682492 -1052995 -774575 -902141 390841 -512988 901616 714681 263220 -238140 -413953 610804 -787140 293498 -693381 -40458 645661 599496 -306408 1000729 690533 -87822 373227 -254202 -479292 912611 415131 -42619 -400093 -36044 -359685 197581 -931088 -81853 369027 550471 -630081 -394548 992494 651459 -776064 755122 -806680 -861490 326941 -199023 -235424 -205125 -574189 -239337 -1048602 -241469 -745160 -383474 -329101 596443 254713 -350107 599173 -310029 -691748 75003 49000 875650 104914 -810969 231359 -689163 392736 -821519 -174365 119649 -20691 -581753 -801389 -451843 163145 -614829 1003215 -472514 -910581 414079 -511641 -1018703 254226 -903279 -483076 22085 -925023 -278333 -999157 -4819 -993456 945786 695877 890195 266455 560468 -654841 -1058530 -474531 327159 -953543 575126 -116349 -207199 -985070 149530 894094 -677638 749262 879768 -772586 -296641 327257 272091 -355664 -609193 319621 -579301 1045782 348119 661615 -472713 -393153 -29711 -434234 -217306 761108 167534 424723 411958 -994232 191449 -1189865 576925 570235 -293299 -172323 -506708 -270729 331466 -411488 552320 -48069 679615 269585 -880099 -567091 -719657 30658 898836 
+300344 -401237 535673 950111 -177419 73486 97764 419601 -908079 -71366 -796458 -861617 -903402 -226709 -384112 -541264 511497 713499 112890 -83483 -48600 -136542 331175 -668457 852078 -940522 -773082 419336 262388 -955764 -892786 776168 -836510 -462639 -756213 -513478 401162 296050 766737 -448968 619060 96459 971977 -845751 -691107 345525 724415 343888 -66031 -24594 -59658 -861769 43661 431677 289011 -30964 466751 257327 -839003 -221145 426919 -791122 694556 785897 -727063 876971 -532478 -527332 154115 -627478 -984095 -965758 698634 -516544 -667428 922997 -648077 804967 866258 650401 -192505 -370025 977602 64692 -581544 -280853 -654466 520833 813531 845747 82265 548031 681835 -1025847 -739286 -821499 465919 -514343 874892 727834 252140 -280589 -418241 601300 -779934 319894 -803402 -124551 668489 550716 -431936 996673 692905 -199720 366863 -249112 -519814 889798 433543 -108583 -283610 19332 -324265 253451 -829033 -124235 364491 558103 -560988 -432526 981489 632582 -724235 760686 -785286 -867198 322445 -218935 -226903 -193682 -554660 -242032 -1032191 -221025 -778179 -374217 -337837 628261 323847 -350780 723768 -323385 -721071 65361 126962 981271 117540 -866929 207614 -684689 321626 -958288 -127717 206257 109698 -527390 -811521 -425374 157776 -646794 887241 -447393 -907562 459070 -516113 -930972 257292 -925731 -546935 8370 -885289 -230574 -1000313 18809 -988724 930352 686415 882280 276544 539531 -677597 -1123158 -458881 372603 -934590 603203 -164163 -205599 -918939 128732 856135 -692675 748620 872892 -724285 -309337 312592 450831 -346897 -499389 328699 -546196 1024299 246009 612348 -457578 -471814 204147 -461834 -257820 668130 211132 435188 423331 -1158318 188648 -1142458 540313 496130 -304293 -170228 -441046 -404176 279184 -290444 584174 -195753 642992 284173 -896912 -616092 -782050 22 910699 
+257711 -465866 510358 778509 -231084 66757 108560 440692 -855204 -48514 -733314 -857038 -916981 -253740 -386417 -526717 405396 711775 60553 -105793 -74654 -95127 301821 -566959 854766 -933689 -824274 404898 286226 -979281 -877152 783285 -845688 -461610 -789703 -546422 502148 255822 765788 -432431 604717 2377 923082 -702091 -588798 283928 722265 396106 -60460 14942 -75519 -862229 156806 464073 315056 -22060 517780 243312 -844290 -132228 455888 -756238 756572 762841 -739587 886316 -507429 -493166 165190 -621968 -960444 -1012908 623111 -526759 -717663 798642 -706529 820574 853324 734704 -196745 -294438 796827 4759 -551641 -292391 -593262 533474 865541 726969 101422 592080 670003 -991253 -698572 -755981 522424 -420769 806886 778309 252513 -248700 -467546 591819 -775646 378545 -763996 -235164 670236 510160 -453599 990010 625427 -356379 372204 -270593 -471398 834978 406699 -192076 -240194 -120374 -295064 232264 -686685 -127972 410537 551667 -552607 -398299 914952 589134 -709322 781410 -762368 -831601 291659 -214706 -220775 -149246 -536171 -242986 -1001618 -117181 -773738 -378533 -336472 717038 366449 -361515 894645 -336768 -716976 74572 279052 991598 52999 -823810 236954 -682871 270646 -977293 -107627 36613 145110 -496255 -783560 -407153 188489 -744328 848363 -307057 -889989 555406 -513518 -749629 249401 -935687 -413836 2612 -826095 -177679 -974994 26334 -1010186 923470 655668 848364 284239 486440 -637420 -1127956 -433319 427489 -969701 574682 -218506 -165222 -850015 118907 875165 -639273 775092 888207 -676284 -318853 302906 583707 -336874 -486592 316759 -507071 1022092 120616 453258 -434249 -487202 293130 -502357 -255544 712700 271494 446824 321804 -1148604 183957 -1110232 588262 495938 -313060 -184696 -385510 -486315 162607 -119151 624057 -193132 598417 305989 -920195 -565393 -848288 15706 886956 
+274584 -420928 449343 708409 -174559 46320 132147 466255 -749797 -115211 -675070 -849998 -952516 -335777 -330300 -480588 484449 685086 25579 -126085 -99086 -40994 252649 -543371 858457 -954204 -857286 395729 251157 -949923 -751268 799981 -786738 -416260 -744905 -507756 481039 72925 772162 -448435 619256 -119366 899624 -809442 -494455 379798 715911 547054 -23852 17056 -8276 -855834 183963 429307 302962 -17155 633976 181840 -828813 -204514 485895 -756056 801947 708669 -730039 884577 -508465 -446247 203362 -631287 -997205 -1050766 561645 -545359 -772674 711263 -721269 813000 835573 765980 -142951 -222714 734670 -57993 -529749 -298988 -570665 528337 900111 637161 127783 628299 651395 -940573 -660096 -689464 441722 -357950 738874 820927 265674 -232446 -505838 566437 -762666 457238 -785902 -305654 684892 505159 -371155 974391 568298 -434395 394688 -259651 -518803 832294 422192 -262799 -272151 -286262 -283200 244988 -630367 -94617 495263 556627 -636309 -357162 819565 535412 -737333 815852 -739992 -858073 276778 -214753 -216450 -177976 -563482 -233108 -954002 18721 -731241 -398545 -351526 785277 431622 -389394 977561 -341206 -737856 68688 419682 906237 -57125 -719006 269940 -688400 246486 -945211 -125010 -209959 40595 -482798 -775426 -395176 214897 -830311 897325 -171974 -885096 559239 -504975 -666675 247404 -939507 -284031 -2885 -764410 -140356 -956618 14648 -1002008 900170 610120 815641 293861 478041 -584049 -1002701 -429712 422331 -959959 581294 -272337 -136787 -830206 108429 870791 -692481 751297 870238 -630633 -248587 290153 526199 -344067 -520125 326224 -492979 1028743 58950 390365 -405948 -509280 182334 -522681 -290590 882193 241301 438939 233259 -914084 177834 -1082224 636935 560527 -317058 -173550 -446828 -526124 41548 -71788 657732 -72852 582152 344094 -947374 -567177 -908718 6659 876295 
+336840 -383005 389654 785473 -77166 20996 185309 489842 -662146 -211597 -609990 -837069 -930997 -355310 -249475 -437410 652135 678415 28548 -139589 -86601 11170 251282 -601022 803025 -956006 -825693 376646 213918 -974675 -730158 782861 -739083 -434306 -646388 -450892 495233 -39174 781582 -450346 624407 -208720 928945 -1023537 -469103 446199 689922 610771 36929 -16350 102502 -847343 102785 450343 260908 -22601 704372 180606 -820760 -273479 507219 -776789 739201 698258 -736182 879465 -510195 -410727 236476 -653387 -981604 -1029869 443311 -514736 -792227 754563 -743342 812514 836864 747719 -159023 -239508 805425 -89493 -546880 -305914 -561888 528842 829175 616078 118432 690849 632460 -902973 -622533 -715413 337332 -323917 694542 832941 289014 -231882 -555783 545957 -749490 532975 -831928 -295737 689771 470232 -231197 962164 579640 -345535 419336 -245088 -545891 829155 388421 -311874 -342417 -281593 -289759 329798 -673102 -59957 576282 553279 -724579 -324365 724071 500038 -797513 846145 -720059 -871571 268422 -251758 -245782 -159619 -579099 -232047 -937458 36847 -664145 -402725 -333697 695669 489008 -420491 891446 -371821 -721787 71598 429301 770333 -162494 -646065 278196 -687908 261340 -770738 -170569 -213284 -63628 -479919 -729326 -425870 164909 -960965 984660 -190888 -890165 524642 -490117 -675597 245333 -940922 -271555 1934 -703114 -128091 -934053 38932 -1025842 874413 560663 795563 294977 498010 -594586 -880006 -436336 352942 -954291 573964 -289921 -153925 -845220 65282 873836 -674359 750460 863592 -603001 -207465 293083 354336 -413101 -647250 326715 -501403 1014475 214275 477280 -376295 -577628 -19835 -535219 -281528 949543 233272 466021 253711 -774460 168634 -1024023 620957 627192 -324892 -134520 -470793 -448177 56368 -155440 563665 49721 561091 343577 -943414 -559488 -954573 -4216 869837 
+386396 -337334 319105 956657 -25888 -258 214122 524581 -609596 -250080 -571034 -832068 -923624 -369809 -185946 -450140 706369 666816 -30337 -157994 -51366 54384 270201 -712970 808836 -927634 -770545 371548 251706 -959088 -757228 716069 -787524 -466733 -524379 -372461 457340 7215 799181 -428093 624011 -185373 978062 -1017752 -550893 538900 695812 502957 112698 -52146 191528 -840669 -29939 407256 242840 -31117 717776 116582 -803749 -387288 520811 -791666 714512 662000 -721200 875524 -510737 -356782 271254 -690343 -1003857 -957552 377224 -546201 -820205 885911 -681525 805458 835587 674435 -167434 -320143 985882 -76457 -511468 -303262 -569596 523357 791898 661671 164324 745063 611410 -909860 -594549 -708394 296167 -360670 694053 832948 317637 -242626 -543371 521779 -735110 574766 -832544 -219125 741158 486260 -154678 937652 569925 -176409 469174 -248953 -565637 866604 410688 -309996 -426469 -107575 -318245 278375 -805667 -4495 615635 565620 -809456 -274424 644911 498999 -867395 874088 -705103 -875024 256790 -252683 -238915 -182144 -519357 -238354 -928847 -48259 -581933 -370887 -348739 623462 546570 -440755 709868 -301555 -706189 75273 352125 659810 -214522 -670685 224141 -674984 292436 -649504 -219657 108 -115018 -484120 -744827 -479999 148207 -1018264 1064705 -354800 -892790 470326 -470336 -806419 225989 -936782 -484260 13837 -657204 -140779 -907844 46418 -986183 848871 524376 797559 293080 542078 -645331 -816978 -437741 319154 -965428 568683 -290330 -109723 -891842 60646 873658 -668886 754087 865028 -608617 -136965 303971 237994 -403240 -689103 331321 -551566 1012835 371232 634417 -348276 -573340 -23040 -554373 -259594 883372 181624 460504 363531 -899701 160209 -951820 562178 619502 -319335 -194474 -514180 -318763 126185 -314168 507677 118292 579405 366951 -998492 -592224 -979242 -32629 843007 
+380250 -290138 272482 1048269 -94511 1887 234201 549389 -598705 -192411 -626311 -821453 -926967 -424347 -174214 -494101 579189 652804 -18182 -170325 6794 86761 306954 -821542 839974 -951113 -695951 360973 235845 -992424 -843020 724135 -831781 -493403 -446748 -325427 395453 226449 815705 -424497 632025 -132538 990438 -829423 -658066 537535 715683 365431 125642 -49437 206502 -833186 -130176 403430 249460 -32040 688849 96931 -772614 -477120 491050 -782460 620258 640127 -723728 871282 -531035 -449261 255624 -672765 -997732 -932952 338445 -539018 -824860 961231 -666994 795309 857715 577642 -154084 -395207 1054062 -28140 -538986 -306824 -592668 518419 792049 763158 180655 764060 597996 -869938 -571358 -798231 331671 -429199 731611 816621 352036 -264273 -511007 459906 -736315 603049 -820212 -119297 731373 384377 -182064 910799 580505 -90469 517396 -281269 -543543 915396 398219 -273533 -555147 28283 -353848 303307 -944857 56804 609821 581985 -787785 -277604 618505 553726 -919867 889959 -697203 -880190 334264 -235967 -206976 -210082 -580314 -247737 -888208 -186909 -507374 -378839 -345565 592023 556431 -456417 600834 -259961 -713574 79116 178929 634475 -189429 -770998 174633 -686357 360520 -680170 -245808 177007 28769 -509842 -735516 -515271 125871 -1009759 1093616 -481465 -875149 409250 -447157 -943802 229609 -945283 -543778 19157 -618601 -185800 -882749 38894 -966315 828592 510676 827069 288006 566116 -667995 -859765 -473890 255090 -953773 564895 -308348 -102433 -941435 55885 837005 -675182 754868 904512 -651454 -80485 338821 333255 -499315 -666242 346537 -573014 1004924 306691 631233 -312824 -513151 169075 -582903 -230709 756694 154967 450665 427739 -1109844 155942 -882088 565078 570506 -309639 -195232 -579174 -236460 309968 -425786 475528 -52611 595853 386981 -988237 -586191 -979098 5695 833574 
+322783 -272794 221903 993812 -176439 -21641 231217 567967 -690253 -96600 -709294 -810756 -925041 -417911 -218632 -529531 427885 628560 -42876 -176870 60445 84187 321307 -885225 880711 -966141 -644464 358691 278700 -953145 -884019 654653 -873225 -490483 -441687 -275492 405112 301922 829983 -441297 638844 -21407 971828 -678851 -739584 428195 724603 359115 146309 -11823 138243 -822272 -94529 380714 225198 -23762 591947 81497 -752232 -551705 455488 -756814 575466 699642 -693384 853862 -569045 -474106 246797 -658821 -1027944 -936452 299963 -513588 -809782 902891 -675764 794013 865637 477078 -127089 -386114 1000237 33408 -536462 -313750 -643096 511845 836918 873036 203205 731969 589441 -869573 -564165 -890193 452656 -495601 807048 759788 382702 -232962 -472092 480807 -708167 551087 -760098 -51268 728923 394610 -321490 887826 643780 -143030 537356 -246050 -599804 926966 422671 -229642 -576349 -51957 -393918 432689 -933691 108067 538713 578232 -708475 -254326 639438 573476 -935524 884999 -697688 -852509 316546 -229895 -232729 -214876 -537157 -245291 -853561 -250742 -450310 -408227 -337122 652161 546197 -441192 663956 -209944 -731171 85484 71340 708577 -98970 -856758 260303 -677287 418426 -786941 -235357 163470 143801 -545907 -721270 -537974 96971 -902222 1009183 -440404 -877564 341971 -420911 -1014574 227640 -940875 -429432 10384 -608234 -235701 -861225 55171 -1012121 810728 518923 863856 278854 567904 -659003 -977011 -460916 233314 -952105 552139 -332258 -63333 -999861 112201 866656 -682619 736663 866793 -692221 -41165 309657 526202 -538383 -652817 346465 -605034 992289 189376 477814 -284488 -488322 287174 -572954 -222564 663580 180564 467072 369100 -1182309 148838 -871765 630233 507951 -302582 -185257 -595756 -254815 328348 -393334 406915 -171882 626717 369298 -1025960 -579183 -954167 -33006 842139 
+266204 -227843 236425 826032 -238078 -16592 236583 579868 -751858 -47960 -720480 -802417 -938969 -401958 -301396 -526485 434050 615037 -2320 -158850 94017 66176 288835 -866567 827915 -936254 -689981 367946 263797 -998971 -826772 652423 -801484 -506810 -506722 -305949 395065 196046 839618 -441943 625488 86228 921535 -805203 -701292 326263 738268 482885 103298 16885 27486 -810651 13578 337229 227399 -17360 492516 76073 -717865 -466339 435678 -755177 496980 657889 -702948 836605 -607560 -486866 215979 -648958 -1026297 -963806 333061 -534990 -792532 773980 -713662 785789 871749 416933 -117052 -248517 829811 83246 -589087 -324873 -708414 512245 902851 937397 216030 712708 584309 -924727 -563091 -967734 532532 -540438 868437 725905 405370 -246526 -409385 499772 -698279 450396 -705199 -40794 760108 393169 -413644 880051 689064 -313694 554580 -247155 -621655 935617 382443 -153028 -540790 -242879 -420777 380678 -889409 134844 451224 571153 -618216 -283210 710156 636985 -908064 865838 -704150 -854713 291363 -214382 -217499 -235389 -535000 -236659 -856610 -200243 -430993 -393462 -347042 716828 526237 -423325 830066 -225647 -721991 79601 83317 847637 16856 -848875 278358 -680728 490995 -927516 -193570 -27091 122335 -587262 -707190 -541174 71191 -746774 901721 -269995 -879956 377795 -392850 -970173 238051 -914849 -263258 -4467 -606002 -284063 -844630 70353 -980790 782492 552245 883606 269147 535912 -608488 -1069644 -446205 315495 -935674 542438 -303783 -41206 -1028734 98461 833936 -663436 699543 864189 -733409 -20558 348523 587572 -566883 -554802 340807 -670698 996797 62922 394790 -250634 -460699 204498 -566569 -239947 715316 223205 456220 257237 -989364 138767 -795576 640064 493088 -292553 -160354 -587872 -380128 252382 -231908 367829 -198636 657784 399167 -1029811 -582241 -908017 6353 830119 
+262412 -265701 245100 716879 -170234 -15124 242252 586974 -847828 -86818 -775865 -789538 -919156 -373814 -371829 -490013 590779 597535 -31699 -151091 112123 26015 255832 -771775 782996 -941811 -735559 372079 261559 -969573 -755057 667154 -752067 -518983 -619396 -353242 452688 -15374 843952 -421261 632969 108567 910232 -1010527 -598949 304630 753492 607721 25807 11349 -61683 -798604 148076 317938 251870 -21033 485778 38997 -686616 -427050 401136 -786250 498924 656546 -695594 817260 -599854 -437059 142735 -652223 -1017804 -1030633 424408 -525354 -776029 708225 -737078 768794 868175 413250 -139460 -203107 760500 102999 -607595 -328868 -770946 515385 850176 911722 258599 662527 594457 -988642 -576177 -1019267 474931 -443876 900537 729311 415291 -224818 -430434 500632 -692499 365762 -736612 -97443 763791 360962 -466502 866808 695741 -434208 549673 -237383 -596120 907389 402865 -75300 -470325 -306672 -434805 370228 -750786 92520 374540 580144 -561561 -264559 803243 662965 -848004 836139 -718680 -884252 268515 -193385 -225094 -273743 -538437 -231719 -848970 -55985 -442777 -385730 -344558 750102 474376 -393911 957444 -225609 -726530 78459 185490 965455 102646 -755870 266829 -678500 548322 -1022561 -142817 -201898 -35980 -623209 -720311 -532209 117424 -670840 854452 -163961 -882115 435870 -363480 -800179 206725 -911317 -209744 -5998 -628222 -307950 -817044 75729 -976037 765971 597159 890968 262954 518981 -598638 -1115973 -458524 362715 -925579 549813 -284333 -115823 -999996 104924 823134 -700724 716081 844268 -802123 -88004 368849 453459 -566464 -505657 380511 -677205 967743 128843 456015 -221889 -369567 -11758 -513863 -251763 832430 233099 480760 216437 -822789 130230 -767279 613008 523505 -273811 -175536 -563623 -483790 146529 -95025 398183 -103289 692869 379577 -1026540 -621211 -847345 10672 806611 
+313673 -308736 290056 751826 -73698 4548 243115 586135 -909629 -182379 -748718 -783699 -911716 -380368 -392328 -453656 709672 583294 64904 -154678 121454 -22995 241912 -651442 822824 -953175 -836683 388983 221484 -997122 -706222 607829 -750405 -553682 -728228 -417168 492179 -48430 840621 -429749 610802 97512 927468 -1032479 -506063 349032 775516 574880 -49806 -29447 -75000 -790127 176775 301592 334886 -30110 487991 53879 -663043 -271062 412588 -798706 502060 685659 -711360 796490 -670997 -402067 161751 -653426 -1012570 -1044989 502889 -521873 -757964 776886 -684337 772242 866986 467931 -86611 -258333 780993 78481 -644207 -331287 -812540 523444 794770 845304 266662 618872 605741 -1001691 -591181 -1035285 317920 -391112 888718 720664 415931 -262597 -459694 472836 -685195 346653 -681358 -208084 795410 371144 -343849 859127 649838 -385078 519984 -234336 -606593 847174 423937 -9206 -379225 -178581 -426001 371534 -647851 84396 350894 588550 -597188 -348582 902771 648482 -778057 799201 -737862 -894595 317886 -196522 -232271 -226740 -528936 -242012 -850926 38817 -498836 -366488 -340651 696691 415119 -368586 944339 -276716 -742796 87419 344307 998097 119311 -661724 188728 -678557 556034 -940725 -110735 -128531 -97751 -663951 -725748 -500499 137414 -611341 883282 -224015 -887749 523806 -334974 -658866 213806 -905486 -445974 718 -680724 -306050 -812342 116741 -999417 747384 646051 862389 258669 472474 -608748 -1081080 -455027 398885 -911448 550712 -196700 -57480 -952774 108240 860046 -677837 713407 861498 -822692 -138729 342238 309762 -547313 -525345 354813 -709247 980464 355228 599793 -195335 -288805 -63456 -516038 -286232 952658 256920 474363 306449 -836979 124402 -794879 567055 595442 -253817 -158232 -451645 -542706 26147 -76789 460603 29120 726592 374210 -1064260 -594611 -781144 -8017 809964 
+374423 -345171 364763 912535 -40928 15570 190774 575958 -921238 -248709 -626420 -770389 -919721 -357461 -352542 -436031 644004 569955 56273 -137377 88953 -75739 278310 -562662 854542 -951614 -815642 394941 228302 -980369 -759001 658955 -822318 -538409 -789829 -487535 480070 103236 830092 -423136 622280 -5651 969501 -844428 -463763 484079 794981 428428 -62095 -56838 -5992 -777140 111294 278979 285830 -32657 582804 60358 -639601 -230785 442432 -777590 532212 728015 -715683 774194 -720916 -431243 132521 -624998 -1009651 -1016917 588869 -528715 -704365 912012 -660376 751424 850042 558815 -146816 -370769 912516 19541 -702640 -343196 -845167 523277 786759 730931 305407 581647 622039 -1066056 -621793 -1003305 275023 -314613 835058 762020 402638 -257483 -505356 486665 -649063 293210 -687548 -279912 800929 363505 -224002 865276 659836 -237463 477982 -236761 -606424 796685 458914 74337 -294331 -6341 -399067 358234 -662860 16699 399698 594283 -644233 -365158 971842 617593 -725045 771353 -760951 -910730 278055 -162131 -221229 -230445 -532618 -235530 -853109 17005 -571698 -382520 -340052 635906 357590 -333099 782597 -352021 -744334 85472 441905 931736 57428 -651049 197652 -680207 539615 -806505 -114485 90383 -60151 -689698 -748645 -462367 169593 -715839 973245 -409510 -892062 565422 -308925 -650778 211213 -882658 -582162 13667 -731792 -269482 -799833 115252 -964270 727259 680222 828199 262870 477421 -670140 -969720 -438815 416631 -883652 579552 -170271 -68532 -891511 135606 854324 -691595 698363 852430 -809640 -202583 366328 255975 -531550 -586886 387942 -711261 958682 392685 642286 -167861 -247512 130963 -485461 -300799 900071 227459 497091 405442 -1047892 118094 -823388 565181 632833 -233229 -155156 -432071 -483674 103971 -196749 501658 97052 748282 400037 -1065412 -600288 -718768 -5360 792102 
+389507 -417370 432495 1046650 -97589 68009 157986 561472 -876608 -221041 -593788 -765912 -904077 -326778 -277901 -499079 474350 571764 108830 -118915 54937 -124023 315677 -541429 856965 -955088 -830912 416416 293534 -1005411 -850650 663301 -848670 -562337 -769883 -513861 464296 302739 815588 -399757 626936 -110513 993265 -715920 -544285 552659 815457 337171 -60060 -39179 105018 -766098 -23469 307838 280836 -25414 651095 70936 -619977 -178969 475169 -776348 578525 788051 -702486 746733 -752105 -383941 115296 -666431 -1056244 -968490 670751 -536275 -645428 961154 -668476 732429 837619 659088 -129998 -418119 1012852 -39703 -706252 -351382 -865655 517872 842574 644373 294437 541741 642139 -995652 -658454 -909038 281287 -337858 766079 799820 379622 -274801 -550121 503655 -647502 314801 -702793 -302149 790137 369358 -162678 895114 590457 -104072 430122 -235600 -586934 829233 398635 48983 -217462 -5733 -361001 354852 -744219 -59926 480907 593928 -752301 -373327 994479 542980 -708842 757161 -784493 -927490 308631 -157597 -216784 -236488 -521343 -233313 -851687 -100064 -651692 -363156 -355588 572705 289213 -338254 624701 -336884 -738071 84864 404962 800404 -50435 -733260 252330 -676463 497814 -695003 -151969 164708 44471 -695954 -766290 -430350 203170 -773514 1068508 -500359 -906675 567550 -286641 -752914 195135 -883485 -456983 21006 -794929 -220312 -818906 131796 -1000153 726612 694971 794935 268550 524528 -671105 -852205 -444977 354768 -884295 576651 -159081 -81927 -838730 113867 851036 -658988 686994 880874 -756132 -260407 356084 387639 -503644 -651458 371900 -674040 939087 161478 525591 -150859 -196479 298176 -474049 -284010 761929 203003 478673 417976 -1158361 113514 -871784 610239 604535 -217878 -149074 -395278 -345784 177793 -364495 589944 -21870 758440 366470 -1081535 -584610 -669393 13086 778878 
+345036 -420543 492711 1031414 -185966 64220 127159 534664 -771810 -129199 -545749 -757668 -893013 -243378 -201553 -527954 407397 563277 119436 -107266 -23893 -151567 313485 -602239 825876 -951940 -733613 420564 265255 -987592 -886449 666767 -830660 -581750 -677637 -506776 395269 276261 799349 -405776 621455 -221525 967900 -784697 -629993 529086 792512 391728 -33570 1012 192359 -755314 -116853 312586 285668 -17874 697396 74193 -603120 -193055 512783 -792252 657732 758478 -713463 722936 -797161 -466074 121286 -715515 -1037432 -939904 701675 -519591 -630090 878232 -693176 721566 841467 741604 -118164 -340692 1001179 -80000 -791895 -353447 -847888 530169 899320 622980 320477 516148 661914 -1012549 -695321 -816355 409708 -404493 710232 822942 348484 -256352 -559897 531589 -628325 380320 -736452 -226545 801488 369350 -197165 910887 604889 -114585 403326 -238089 -562895 837601 419942 48345 -262170 -186831 -323047 331079 -869751 -110468 560383 597749 -772206 -422210 965554 516625 -736083 757895 -805895 -912778 313783 -183568 -220376 -190761 -566677 -237651 -865666 -219099 -724219 -384715 -369010 639364 234458 -383831 617066 -340834 -741170 86746 293857 674210 -158280 -834586 298243 -677393 435583 -657255 -202947 42831 137130 -687239 -774791 -432601 180940 -932793 1078672 -420808 -895000 501326 -269790 -926205 199279 -887593 -292466 11346 -844549 -169880 -814026 144951 -977668 713174 686231 792625 275931 571517 -634576 -855609 -467030 305540 -896386 577925 -155873 -96666 -833149 92542 848708 -663988 700656 883012 -713363 -304091 355774 547173 -453261 -707903 376638 -635362 941453 57373 399269 -130934 -164380 241964 -405452 -257668 672896 177507 499229 315540 -1048077 108126 -910270 641801 551305 -193592 -179813 -447763 -246839 331194 -435425 644551 -136389 760353 368606 -1079234 -585056 -640233 25082 775146 
+281439 -424650 534323 874541 -228828 83964 93934 515797 -681442 -55056 -634174 -755139 -923118 -197076 -169493 -521239 523720 560132 129014 -83955 -71770 -157240 286580 -727898 794046 -948993 -649575 434407 265592 -997295 -835990 714815 -791147 -561609 -556329 -453059 399936 106354 783145 -401854 619487 -212235 929103 -1026452 -713581 403447 815059 545077 30542 20506 205219 -746092 -103830 325017 254575 -19712 680533 87484 -598502 -248173 520613 -777938 692793 801481 -717040 704500 -844705 -510529 156493 -696782 -1015184 -937962 680506 -525597 -596673 755442 -732543 704925 842649 766890 -73598 -269953 914005 -82676 -799863 -348359 -820335 522998 856998 649171 379045 544009 676074 -940494 -735283 -761841 490659 -463530 686459 843036 317021 -223988 -500186 559045 -620285 507076 -782072 -149371 838161 403141 -341284 936577 578462 -273559 379148 -230410 -544340 891156 438314 -1962 -361621 -315247 -293442 297947 -961718 -135201 616072 600823 -801001 -425944 894448 515129 -795700 775093 -822669 -932066 304732 -195536 -220902 -177232 -521499 -232642 -876073 -241245 -770460 -381076 -365239 725663 216826 -414082 764540 -348402 -738705 86032 129765 627548 -214583 -862456 259112 -669694 358383 -722324 -240189 -167692 88709 -665463 -769603 -417902 177625 -976927 1015956 -241679 -907670 420395 -256173 -1022863 182453 -884634 -244292 54 -900543 -136617 -831928 161463 -993839 707757 649503 814785 288786 581506 -580859 -878023 -467733 286102 -903920 577775 -134000 -142784 -857284 87790 893106 -683108 676350 853122 -664129 -340714 369318 568163 -362684 -722803 372796 -600739 933209 129844 425586 -120837 -184117 27339 -414200 -220080 697379 196598 502861 229190 -838212 105054 -956930 628769 478085 -179815 -172054 -474388 -239583 316683 -355912 644042 -201236 736903 352467 -1084490 -595860 -635425 26395 773601 
+256393 -437395 533532 731040 -172971 100674 62739 490367 -616383 -63189 -696848 -748962 -918604 -161052 -201254 -483482 681734 552397 128983 -73113 -97760 -139794 244860 -837737 811440 -950978 -622138 457220 225808 -1012070 -748619 736708 -766099 -552415 -463600 -390264 377963 -50098 771020 -413665 618253 -119490 909433 -1010618 -724330 332219 803905 603035 81217 -1168 135756 -738765 -250 366419 219935 -28585 666144 131542 -593592 -349392 508146 -793839 743059 822041 -734583 677653 -838787 -476676 205255 -648123 -1032348 -985421 623753 -512871 -532440 715527 -746966 687798 852748 740950 -116492 -216305 771389 -47771 -838796 -334278 -768176 513409 835169 767872 349321 586532 680724 -908976 -775109 -702369 540283 -516647 715108 818934 284964 -245048 -436706 572525 -602124 573367 -789864 -44592 820472 400283 -419287 962682 621306 -398679 349643 -221248 -514186 908351 408371 -83892 -450166 -245325 -280563 246604 -938971 -114766 615541 588108 -727497 -412470 799512 532521 -865294 806015 -833270 -967551 298494 -212519 -227122 -175823 -544591 -215208 -891828 -141635 -785024 -384411 -361040 767531 239709 -421074 933220 -259089 -745249 75734 44136 687750 -192176 -795581 237919 -679302 287386 -880894 -243559 -224592 -31003 -631561 -813465 -461150 127524 -1009204 906153 -144151 -925474 402234 -247961 -958022 175836 -906394 -404495 -3148 -936260 -126507 -850915 184093 -1012461 700384 603914 846069 291788 556313 -583897 -985698 -454967 236338 -902280 581358 -116115 -205613 -910021 100141 882415 -677332 666067 884416 -615330 -283572 380293 433369 -365449 -643670 377520 -564951 903096 336390 586058 -109830 -197860 -58123 -407643 -229253 865141 237643 514752 246306 -805664 103804 -1029304 620473 504285 -168905 -168849 -533993 -318101 214158 -187120 626016 -142777 716338 322068 -1081530 -578363 -655721 28193 763899 
+292886 -326802 518152 724594 -73382 98617 60620 457290 -611081 -147808 -746197 -749534 -930731 -103723 -275041 -419742 690396 568101 114827 -63127 -96153 -101301 262046 -891693 870970 -956568 -652049 474060 210143 -1005119 -724307 728816 -827085 -561495 -430744 -335086 432766 30738 766316 -402388 632286 -14425 924709 -810437 -646765 329613 801464 522136 140065 -39981 24526 -734223 121782 381147 203186 -33118 563449 138051 -598063 -491621 484364 -790117 756954 817834 -730803 657900 -847136 -438549 208446 -647989 -1038805 -1023743 540105 -489726 -530584 808793 -694395 683173 862142 662281 -75969 -286101 725894 13751 -851044 -347972 -720591 523376 776021 866273 416476 607301 680711 -886830 -807803 -685226 379103 -523262 772994 777220 263949 -255651 -419038 581134 -581517 599714 -811696 -25301 816832 462037 -433012 978603 684820 -414946 361914 -245088 -490775 918178 419759 -154506 -517590 -49420 -292439 243061 -807534 -79766 560135 590275 -607194 -362973 700233 574520 -918699 841063 -836166 -959415 246252 -256342 -220843 -192560 -530814 -235964 -943204 -8752 -758470 -382799 -352995 704074 231497 -428295 968103 -295689 -741056 84580 105754 815512 -103760 -689936 194614 -674460 248632 -961578 -211357 -26099 -131039 -592480 -797835 -473876 108332 -952258 853760 -280965 -923090 342783 -247773 -823009 176140 -870925 -549273 381 -953944 -148127 -870021 181014 -1006364 703331 555681 879730 295917 518149 -654259 -1093011 -471676 300303 -903017 578537 -177203 -194054 -984598 95363 899037 -708705 665304 891361 -607043 -257201 340931 259288 -323253 -532117 378539 -551492 896527 388062 659401 -109467 -220710 105994 -409302 -243699 996516 254044 499792 360841 -979859 101884 -1074274 547645 566162 -139370 -188849 -594511 -465191 116106 -75959 566214 19200 669724 311269 -1123039 -597559 -698124 10552 772950 
+356749 -327494 494472 862356 -30239 83573 82476 437510 -634925 -233869 -735298 -750099 -952543 -66921 -351571 -443659 538743 572737 100352 -49908 -70288 -47168 287106 -855039 858354 -954301 -761517 480616 248539 -1023669 -772001 781317 -826845 -538615 -481860 -273407 512281 205855 767923 -403526 643243 92563 973389 -704084 -525990 408683 769510 382058 130653 -55146 -62527 -728252 181101 409727 224980 -27085 483387 182489 -603364 -538100 442353 -767320 811444 828603 -719486 637649 -859602 -421081 250156 -592584 -1017519 -1049381 425433 -538467 -525321 930825 -680455 660349 862495 561446 -58533 -352112 879899 73122 -852540 -360166 -646942 523614 842171 934290 425703 676251 671184 -872813 -830942 -721487 282029 -427984 847855 749799 250914 -255437 -407063 585174 -563711 555153 -852468 -61652 816946 474510 -351873 998640 703037 -286501 402981 -221155 -480394 879905 384463 -227491 -550190 10690 -314602 253780 -687069 -45958 475138 585656 -575271 -342215 634139 619762 -935492 869864 -832224 -980618 295308 -257426 -201356 -238113 -531642 -249229 -968256 45389 -693396 -390727 -347616 621210 300336 -444714 857614 -248166 -723901 75827 269801 945884 11398 -641093 235700 -681145 246931 -960099 -160703 158515 -31384 -547890 -822866 -519693 118241 -853934 882708 -452712 -929263 407945 -252130 -666980 173637 -895382 -514629 8861 -951940 -191454 -898868 194452 -984510 709331 523104 893961 292740 472885 -673702 -1107052 -453940 394605 -916552 565890 -224654 -193043 -1010240 108194 879572 -677246 649848 883840 -637714 -164703 354668 286078 -341782 -464940 385347 -492695 906585 262670 557917 -112827 -315265 306359 -403050 -266561 908341 252395 528981 431375 -1157405 99471 -1112610 576509 596713 -136714 -190340 -622769 -514713 36099 -100392 431603 84164 633734 300535 -1087349 -548461 -756487 17410 779280 
+390714 -248612 408034 1010667 -91601 83228 80393 420433 -736556 -240125 -704519 -753544 -935755 -102640 -392701 -517546 411966 587524 64957 -48516 -29969 10258 312336 -757835 830577 -949884 -832844 497145 291565 -1009602 -856357 829879 -832248 -511892 -588746 -266504 470619 316912 775432 -402186 617949 128886 987820 -802777 -460222 503366 784514 343349 97223 -26472 -74314 -729873 144064 440914 286933 -18651 479788 225128 -623155 -520110 423374 -739141 708281 776292 -718503 621895 -847947 -406966 281073 -651820 -1023621 -1022674 353858 -501882 -533344 956856 -669972 648750 866281 466533 -77926 -405611 1040808 104196 -851741 -362367 -604164 520692 865285 933056 460222 735249 656193 -877102 -848123 -794756 263256 -357987 892241 737667 251982 -265156 -468787 605451 -549807 451647 -769644 -186472 804385 502786 -214507 998837 677738 -125734 433977 -235626 -497646 894819 419972 -291216 -538112 -114092 -355451 226218 -637759 22351 387547 563975 -569341 -298795 619255 633439 -909677 885114 -820344 -1016484 347504 -236777 -235350 -222258 -552980 -232316 -975325 -22376 -616595 -390043 -360835 580280 331937 -428321 678693 -204489 -753760 70708 387300 997756 99371 -695770 269514 -673225 267196 -826636 -118964 144869 82536 -519809 -859749 -550179 76572 -717230 963585 -485550 -939467 457378 -263277 -622399 171284 -903484 -348809 18583 -928612 -246249 -924299 191089 -1003769 724327 510078 872775 285953 483142 -629919 -1096771 -450808 418315 -932294 559021 -254150 -177090 -1015914 146702 874033 -669530 639256 859161 -658716 -94446 340454 439531 -358946 -518802 364999 -501537 896841 83131 415454 -126030 -337396 262352 -479895 -292948 777441 199777 524562 381967 -1122614 103002 -1144891 615774 621146 -127570 -169208 -571265 -497365 107633 -251049 396596 9479 598358 300624 -1072429 -574762 -822477 3155 771322 
+364711 -257072 343640 1050849 -181240 53840 88341 408643 -828515 -163964 -657947 -754750 -931743 -97419 -372608 -543714 461029 601628 65547 -39934 33720 52388 315674 -635121 796279 -942391 -869212 497915 259933 -996640 -895271 784863 -771376 -480644 -703635 -341975 506536 201565 789021 -422392 609885 101517 976722 -997798 -481575 552256 808679 465448 54924 12483 -3752 -728125 8634 441075 271055 -18550 514819 238145 -643128 -433921 413954 -793583 632411 785180 -728736 606674 -826198 -442785 277146 -663584 -1025037 -968512 321332 -511446 -546804 846380 -681981 640714 859432 416317 -41226 -309345 1026500 91331 -861778 -354043 -576092 520177 873286 854114 462812 727326 637343 -918346 -853818 -892662 340519 -318456 896093 725103 265422 -292473 -498350 597146 -526287 408059 -754958 -280820 789432 557759 -159180 1003911 639676 -88696 484346 -237131 -501195 834670 429502 -302316 -479109 -286686 -391461 263048 -688913 83756 356042 551590 -638393 -254598 652513 633422 -850369 887026 -802326 -987959 322611 -242739 -241776 -266468 -528562 -227694 -1014760 -152894 -534777 -382266 -376187 647700 401590 -379038 599496 -298822 -748475 76202 435261 953370 119541 -805143 279019 -677058 331469 -677903 -108645 6632 125243 -494188 -899903 -549526 117769 -652001 1063519 -373534 -939860 561185 -280929 -742034 188256 -923431 -221422 4501 -886248 -291975 -931123 222832 -977697 735746 520745 838588 276553 508986 -603837 -968781 -459449 397851 -954778 569614 -256556 -215738 -1004129 131222 894751 -671185 641563 860713 -705582 -61721 323385 575105 -418907 -613107 387504 -525173 888827 129809 413167 -135553 -417006 63135 -451335 -279071 664982 151406 531187 263061 -903438 105478 -1149047 628396 575630 -123058 -181612 -509152 -394350 201806 -390721 367240 -109483 584027 282125 -1078868 -597946 -886202 9064 761773 
+301602 -233160 288149 931747 -233902 34835 130066 407076 -895627 -68681 -588986 -762081 -935863 -92398 -300396 -537650 629533 614425 -27882 -40607 83704 83349 265873 -551878 811955 -959182 -827071 495161 252320 -980458 -833583 808914 -765965 -482161 -777625 -399136 488114 24663 804280 -426523 607355 -36841 927872 -1034529 -614447 525396 757023 595436 10789 17932 107552 -725722 -101102 451747 325467 -27088 617335 280316 -666767 -304139 420422 -776565 544408 820445 -717126 600272 -800867 -475207 257855 -652307 -1019055 -937173 311125 -520229 -539546 726250 -750903 641712 852018 418123 -66417 -241156 912054 40903 -835993 -348566 -548916 526888 835104 752141 451495 749317 618099 -978271 -849466 -945528 498969 -351636 859133 740124 288316 -261026 -549509 568399 -514417 330372 -717452 -286594 790499 585014 -194730 993853 612616 -212347 522119 -211993 -499125 822240 443903 -293092 -374719 -291291 -420410 242736 -814906 132820 386599 556385 -714474 -259265 735311 605092 -779583 872920 -780784 -1012954 319538 -211318 -256213 -256009 -514205 -232841 -1063499 -247482 -467118 -371528 -368995 741353 450235 -373484 688013 -312561 -753639 70943 365888 827578 63048 -866134 181093 -669980 386666 -671583 -135211 -187450 57160 -479290 -886277 -542440 123651 -650012 1082216 -199069 -950287 559004 -302601 -895631 177811 -913555 -387100 2659 -832696 -311020 -965026 245355 -1003136 754194 555637 806885 266608 542040 -594358 -877846 -462919 329109 -942399 549432 -311711 -169885 -925832 104615 880033 -700245 660535 906704 -756724 -13608 371816 527292 -414122 -672800 382212 -518803 888817 296729 551113 -154283 -472800 -80549 -537422 -263788 650734 161706 516727 214742 -783558 109145 -1114154 605229 519848 -134493 -169344 -486337 -269850 291297 -424388 371046 -206546 569477 291635 -1028690 -592283 -938827 3742 763050 
+257645 -295515 250528 760467 -168744 7810 143601 414479 -924485 -48834 -607520 -766816 -917033 -122285 -220677 -471901 711600 628571 -15612 -55697 131919 89721 260971 -548837 861988 -948590 -722581 495098 221367 -998079 -746815 770025 -788289 -449453 -777755 -462150 435373 -24260 820785 -436934 610020 -119650 898957 -831546 -713146 404071 738686 593556 -48793 -13287 194250 -732782 -127192 448654 294386 -32947 693147 319580 -698028 -198454 456904 -791635 542520 795875 -699452 594748 -750404 -475235 199836 -677181 -1003565 -947823 354237 -514194 -602699 730200 -710732 640337 840459 475634 -14333 -229683 772951 -21781 -828558 -355060 -571940 533810 798509 651944 457660 733487 598864 -1015636 -832925 -1029468 516245 -427114 792579 774587 321268 -294568 -552734 583588 -521263 285231 -704278 -257187 805836 586083 -339518 965892 562577 -384604 540315 -231961 -509515 831443 411694 -259015 -271490 -112623 -435085 254414 -923669 130108 455232 567289 -796883 -250706 831673 564649 -726378 845298 -757056 -1034692 257811 -221421 -229201 -274000 -537369 -237571 -1047954 -207909 -433669 -388949 -358291 766262 506960 -321439 866895 -348080 -752270 64305 207013 695001 -44777 -827158 212565 -677860 465280 -717905 -184315 -167716 -87876 -475472 -911325 -505505 152424 -724811 1029274 -177629 -973288 516146 -326097 -1028566 156769 -934827 -540218 -8234 -776534 -298329 -981808 237570 -985501 774901 604112 793301 262967 564795 -623965 -819948 -436733 285825 -955370 553493 -322878 -140737 -858873 94514 899085 -685786 624726 849005 -794911 -66535 311760 357925 -475058 -706524 364116 -566892 898270 411861 646843 -177238 -532275 93337 -511326 -250275 801139 212024 544906 295834 -928185 116308 -1103738 582995 500490 -143091 -182300 -393252 -233721 328633 -310081 419093 -119458 578218 322424 -1058324 -583476 -972349 -2443 777462 
+273994 -315753 225598 712565 -80878 -13097 194575 429987 -889923 -112725 -678464 -774421 -923910 -161321 -171983 -434571 607685 651634 -35128 -74642 111419 73477 254638 -626734 863419 -953318 -654812 492907 242432 -1014095 -724752 672157 -846818 -439582 -706478 -492950 374150 113447 833052 -428508 627277 -184613 927996 -673081 -737407 337532 728300 450765 -76396 -51410 204831 -733232 -39941 452374 269915 -28738 726876 339040 -728248 -169214 491148 -757010 527787 778772 -698889 592524 -736291 -456616 162867 -650679 -995632 -991241 425476 -533452 -637518 834031 -671966 620915 835816 572573 12093 -290153 746911 -74366 -764754 -343080 -603268 517263 819805 616014 440431 698154 588873 -1030917 -808063 -1010048 447896 -489376 726881 817526 352470 -265541 -523102 563310 -500812 317140 -710207 -153426 791425 670060 -435324 942168 560582 -432116 547709 -245557 -515443 854085 443446 -197802 -243476 19750 -425608 261206 -955542 122749 536080 561106 -785562 -284534 925902 511200 -708559 810636 -735108 -1048570 267869 -199758 -205153 -221789 -572103 -230123 -1066231 -79313 -432552 -373951 -365828 694801 529507 -333267 979584 -382166 -748892 65198 90119 629093 -153533 -725172 240678 -682834 524208 -835063 -229698 44435 -94317 -500608 -879105 -467240 195531 -858394 924876 -318352 -968524 439415 -354810 -978592 165420 -929547 -517579 3664 -710145 -259984 -993069 233889 -970774 793838 650474 805587 256496 556446 -668007 -890698 -451101 236701 -967300 562852 -288461 -167164 -822753 102997 899692 -669374 614020 919159 -812367 -112011 355851 236779 -505201 -678623 338280 -625425 861733 289764 582303 -200244 -554212 257078 -587816 -241867 939912 250413 528287 409104 -1129759 119712 -1055888 538722 535507 -148022 -166181 -385893 -310565 225334 -139790 508245 -6490 586604 280538 -1023772 -591707 -982207 -18860 765405 
+335235 -385244 240909 808267 -24778 -16272 170368 453322 -799526 -208078 -702393 -782323 -940719 -243029 -184318 -449352 444853 666167 -16535 -98256 104561 33995 314560 -744788 831473 -979675 -621074 489647 246881 -1007537 -756194 658812 -863770 -434557 -587170 -536549 392068 277379 840957 -447362 628752 -197451 972517 -806635 -679687 327449 726190 346641 -56326 -50430 133135 -741917 98482 436879 236418 -19778 679334 361059 -757581 -180005 516304 -779980 473126 710162 -697372 598493 -695349 -432021 173312 -663223 -1012327 -1032561 551469 -559567 -676445 951010 -659318 638382 837033 676729 26973 -398696 861701 -85744 -731514 -342697 -645836 523485 848481 665614 459599 619655 584472 -1014256 -776837 -984606 352120 -490446 688216 822932 383379 -263195 -459163 505852 -496684 410205 -744709 -50225 785490 681719 -420848 924040 581545 -341698 526095 -223766 -540389 893368 439809 -103989 -274828 -51708 -400255 301457 -866926 41288 609476 569482 -727296 -321428 986358 508449 -734786 780654 -716083 -1015449 267799 -182057 -244867 -205687 -552790 -237773 -1066148 24570 -473129 -366144 -371370 619305 557576 -359839 912255 -383862 -743085 67189 62889 663923 -212878 -647891 305185 -671677 556648 -986567 -246815 199006 -30983 -525384 -921119 -433411 196695 -955965 856528 -463867 -951482 381374 -383092 -834544 159509 -953068 -330527 5414 -663855 -207615 -1006652 263340 -995046 808818 682699 836611 265176 519565 -671516 -1002687 -462826 293146 -961056 554024 -284743 -121609 -834166 78466 902760 -651061 619370 850094 -812989 -154631 339420 320416 -539754 -584831 357882 -634225 943256 120213 435115 -230338 -559524 265167 -567458 -232871 937487 268175 529893 420645 -1180166 126384 -994334 587982 602496 -163301 -180196 -437799 -423821 85945 -66868 569568 71400 619640 324674 -1002725 -607317 -966662 -2305 768222 
+385858 -424071 277619 978175 -83148 -15896 230520 475530 -698510 -247808 -771716 -790636 -935638 -282619 -246832 -515428 419427 679618 -3523 -109915 58091 -18392 325750 -850580 799163 -958690 -645725 476973 294548 -987362 -861015 710881 -807671 -419852 -483963 -482998 464783 273660 842410 -461860 635188 -133152 994599 -1018210 -574720 441374 683748 389826 76049 -15486 21590 -748923 184865 433402 215172 -17759 597334 382461 -781999 -277197 513571 -774657 486072 718525 -685757 604091 -668271 -396095 134578 -651028 -981287 -1059740 640133 -521843 -715032 945083 -650778 643554 852455 745896 2792 -410198 992829 -61752 -702275 -336631 -716652 519426 885280 749193 437167 573329 587918 -973227 -738615 -907049 267903 -469563 700680 840673 406345 -272583 -420428 484878 -490677 488577 -736915 -6629 777291 685214 -334437 903122 625720 -183837 508026 -228286 -560605 907677 418072 -20227 -403946 -247588 -364837 305738 -745768 1433 626071 547900 -639737 -352531 991917 514564 -793503 760296 -702868 -1000390 314380 -201760 -245722 -173445 -559461 -238162 -1050753 37340 -535743 -387743 -355177 565564 537889 -396495 734326 -344064 -757638 71569 181023 784894 -196463 -669920 276808 -683092 545025 -1001184 -226720 99663 130653 -568921 -906217 -414846 170916 -1003084 883371 -466182 -952643 387142 -412173 -688644 155397 -946313 -208447 14627 -622872 -159967 -1007422 235880 -988041 843057 694934 871762 268990 504831 -614749 -1074195 -456690 321564 -963734 561186 -232591 -117409 -879784 85803 848094 -664531 635728 893120 -768553 -213065 302156 479342 -580860 -507164 348486 -652250 921354 39737 385970 -257500 -570762 94706 -589864 -244599 778478 224735 535324 319242 -993350 133155 -937021 628321 622750 -180758 -192084 -519063 -511707 77475 -135812 634660 -1039 653427 310431 -983669 -605949 -928333 9201 799201 
+380657 -410009 339392 1058756 -188275 -1891 231809 503961 -637268 -192943 -782334 -797959 -920446 -355599 -329638 -531843 563328 697421 5440 -124061 6306 -73408 286227 -889535 780256 -934975 -750359 464177 261129 -975584 -899600 635819 -755284 -417861 -430520 -411893 490014 105403 836751 -448735 633094 -36169 972040 -1042305 -490831 514680 738094 544725 99441 18400 -63904 -755162 153720 383347 199577 -25508 506300 379386 -798558 -350204 497372 -801129 529260 658166 -694901 609563 -641778 -395319 119065 -642691 -963856 -1022676 683772 -551993 -753733 828091 -721517 648419 869401 769638 28716 -291451 1055571 -3844 -670820 -335337 -771463 526371 846441 872167 444912 553375 601497 -896541 -699024 -837140 287320 -377277 747817 780511 416902 -237841 -403430 484086 -481530 550011 -781739 -77996 731178 693743 -220612 880610 662490 -90579 469665 -240624 -565465 939254 442786 30026 -436337 -311154 -325291 333338 -641174 -75271 584150 567730 -569276 -397007 947011 566569 -863246 756091 -696507 -1004853 296132 -196303 -248947 -162267 -557144 -234489 -1056121 -77512 -617795 -389727 -361385 673239 519512 -403112 607099 -258052 -750328 73656 338359 920485 -110318 -767637 230870 -675039 516896 -872420 -179854 -72310 107779 -602299 -914030 -416834 174712 -1023697 957064 -314362 -952292 356153 -439601 -667001 153237 -925355 -328538 16950 -606055 -130137 -998688 245730 -1016705 859975 683485 893624 280349 479668 -575369 -1112232 -477032 342003 -959456 573837 -142454 -88852 -925757 62959 841779 -680550 602970 874068 -698200 -295354 321576 604011 -524363 -494164 326818 -685799 923803 185471 520050 -291361 -538076 -68269 -544038 -276537 669667 164337 532260 227524 -801496 138453 -866997 653537 596562 -194247 -151202 -528764 -478771 103277 -296121 649187 -113184 694522 352871 -951705 -580064 -872325 -10567 792867 
+323232 -434138 400462 979661 -234583 5960 242550 525675 -613708 -96613 -724013 -812151 -919343 -367546 -386692 -525225 701245 704562 -14741 -145255 -52948 -120762 260003 -849822 850324 -950848 -841707 444863 241866 -983066 -817907 613169 -760686 -424079 -456231 -351261 501473 -51026 825259 -458484 635438 90618 927670 -827843 -471268 553241 690692 620990 127575 12908 -73371 -767043 44066 357910 230872 -32590 456361 388563 -818745 -451186 465835 -758326 617269 640007 -708898 626953 -589839 -437074 106621 -664764 -971064 -969064 686911 -548002 -785646 717552 -711116 659786 869287 732014 34187 -239004 921374 56446 -614214 -332549 -826838 525979 780033 938901 431077 501395 620124 -884822 -660165 -744507 423724 -333738 817058 742558 414618 -246641 -441646 431391 -464793 592833 -814313 -185832 751361 687118 -152791 872616 684764 -154071 423790 -244787 -572662 901822 425208 54360 -566100 -175631 -296318 326147 -688445 -91019 491503 554446 -555206 -397882 868041 621683 -917904 767629 -697538 -984287 285339 -179762 -217882 -175849 -561930 -232951 -1044901 -203462 -698651 -392504 -357354 730363 466632 -427984 643141 -259474 -763786 73640 413170 998092 6137 -852845 226531 -686534 457628 -761558 -131846 -180163 33173 -644493 -920751 -434646 149084 -901068 1048153 -166816 -968253 419865 -463059 -718184 163458 -928177 -543654 6103 -609744 -127749 -1001441 265961 -962469 879456 647066 881893 288111 491166 -614119 -1052687 -447086 418767 -944555 574704 -140849 -64529 -997042 98578 876258 -634368 598642 897663 -659348 -313093 299601 501621 -525016 -523233 323349 -693401 959716 376077 648323 -323759 -446775 45092 -553628 -273763 682814 143147 522553 248680 -858323 146716 -810739 594439 549650 -215182 -205393 -584768 -414673 205607 -424858 631075 -207314 726208 353978 -958591 -599128 -807296 11446 811612 
+267212 -396007 460727 804583 -171505 13061 248380 551592 -643101 -46702 -633101 -823930 -907759 -378040 -383872 -475985 666191 712818 64962 -154330 -83293 -148459 234385 -743428 863684 -958251 -855289 424624 217945 -983903 -745172 626847 -812123 -431304 -555109 -304450 460515 6523 810106 -435772 627579 163071 902890 -690817 -557217 493323 716362 543637 151701 -25720 -1288 -779916 -87493 338916 212906 -30074 516215 386712 -837108 -530632 432911 -758716 649264 649675 -721030 644605 -547922 -485275 149064 -673361 -984142 -930796 653690 -516277 -817234 738171 -703241 657877 869374 650754 19685 -239716 815540 97324 -592776 -330262 -857697 526078 810349 932303 403463 539527 638034 -855195 -625769 -705011 497083 -299293 878196 710013 400857 -243318 -528984 426648 -464377 575643 -835346 -279866 741503 728111 -210277 861902 671594 -320406 383018 -259988 -599448 858790 435219 57726 -576733 -13261 -282032 400280 -779817 -105768 408556 546169 -627725 -428196 768315 658392 -936102 794451 -707352 -978233 244212 -205519 -230236 -155267 -583994 -241625 -1017077 -241387 -755908 -401486 -361125 736576 394141 -452139 807104 -232796 -767321 79290 421699 972399 96391 -851358 249875 -685468 390852 -657106 -107978 -34369 -100024 -673723 -883972 -479078 103748 -751135 1085373 -203563 -958507 512283 -485471 -885135 160568 -941611 -534777 -6860 -626910 -154670 -994383 238368 -985989 905088 600966 857805 294764 553799 -667279 -950105 -440363 390146 -950331 576371 -98692 -89865 -1023089 163399 837583 -712713 583028 876100 -622210 -322164 291472 309253 -475515 -607362 327983 -667773 987905 355426 605333 -356708 -418568 241364 -498884 -272691 800374 178524 519895 359993 -1081536 154253 -784606 567751 477187 -242618 -172548 -608052 -298910 306546 -397395 543004 -141541 757099 369729 -921823 -602303 -742187 12702 822543 
+261563 -339202 515868 700995 -86693 62328 239107 577139 -723577 -87025 -572037 -831318 -927381 -407148 -324407 -438171 499527 719872 82069 -163168 -94449 -157558 274837 -619602 850034 -967939 -843088 414712 239514 -971728 -690947 664175 -825952 -441687 -675371 -303564 408859 222681 793183 -449626 632480 89806 926751 -786148 -680144 386678 699414 379383 136625 -55213 110417 -789093 -132907 309734 238974 -21072 599813 376233 -840346 -502797 423192 -747736 732767 652685 -706230 663647 -550304 -506273 199872 -696986 -963270 -934883 598803 -512927 -796898 863451 -647744 672330 863403 544308 35809 -372371 724996 96851 -580502 -318920 -861907 525714 834042 865358 384472 569497 657689 -881138 -594736 -688399 498032 -361402 900912 715472 376329 -265710 -542432 449670 -467195 521782 -825051 -304002 729192 680418 -316940 864222 664834 -423991 358379 -224573 -626265 842799 415558 15909 -495859 -7711 -290703 369561 -871709 -122600 358552 572621 -729789 -401348 675605 654334 -910692 827135 -722513 -975377 247644 -226896 -242392 -187890 -576473 -241544 -985071 -166720 -780618 -398858 -358265 679718 347312 -436471 959629 -270054 -780899 80816 293174 860661 120435 -762422 305296 -678062 310666 -696076 -121619 109013 -96401 -690580 -891781 -503495 85679 -670054 1029710 -370577 -973867 547913 -500196 -1023093 153454 -911489 -360942 7273 -675670 -202378 -966511 239879 -996485 929216 552816 819310 296393 567588 -664216 -885796 -446642 362420 -921093 594181 -125071 -76242 -1006922 118267 842250 -676916 588083 905844 -603454 -282568 284769 250218 -444067 -699670 322647 -673922 983917 158922 448464 -386405 -326900 314654 -469219 -264211 921997 215525 525056 433184 -1197440 162564 -798979 577646 507104 -258642 -139554 -574327 -240550 317387 -262258 466321 -23058 761083 365573 -900735 -564741 -686783 16191 825495 
+311711 -315755 542089 765564 -35205 72649 214403 582580 -803520 -180668 -570098 -840325 -899816 -406213 -244713 -454916 406600 717835 101812 -176275 -79964 -136235 310300 -548718 792095 -966771 -751065 388823 263093 -965667 -782800 661862 -815711 -474866 -762463 -292901 392130 287449 777967 -438948 600361 -7246 962159 -1010933 -736160 311415 726340 343671 37209 -42080 195467 -803115 -49352 322026 267800 -17318 634788 343582 -839727 -420993 413613 -771705 749484 664488 -738035 691151 -521973 -443436 224462 -659834 -950846 -991028 501956 -543791 -814536 958621 -665589 689428 848259 458779 70164 -399977 855840 62022 -518739 -317469 -860905 509696 887509 737932 347481 615223 672862 -916456 -574656 -721930 386205 -434300 877131 726623 348220 -269413 -549312 466774 -458250 456704 -813680 -274608 700306 705018 -432700 879859 628706 -376830 363804 -225089 -635807 799045 447194 -41625 -438923 -182726 -315193 364425 -957624 -77475 378498 580171 -796028 -405854 626218 622695 -852384 858388 -743718 -961574 251046 -239537 -213638 -251319 -562023 -234831 -922116 -28342 -772122 -361985 -369700 584182 272730 -402168 952373 -282308 -761450 87812 135959 721915 67888 -666761 256683 -686103 268670 -825972 -165176 194146 31795 -702678 -837790 -547231 108884 -615637 928029 -477784 -957256 543451 -510624 -993527 150583 -903720 -262693 15339 -726803 -259556 -939743 233406 -981584 945577 521371 799721 292083 568588 -627020 -831642 -447584 296040 -920703 566350 -124836 -83043 -974445 134752 828886 -733793 611078 858788 -623658 -225309 307572 359956 -383412 -709811 321236 -618254 1001096 27960 391819 -415127 -282106 92399 -383401 -226542 925683 276159 537634 372313 -1032881 170903 -814203 647331 564765 -277294 -159487 -518500 -295572 217243 -111461 424795 76904 757235 388967 -890886 -584080 -648904 39680 837580 
+372783 -270414 522538 930115 -80423 76828 167443 592335 -877850 -250192 -621016 -849172 -929614 -413354 -184994 -512561 496874 719208 99159 -178789 -49657 -109587 313381 -550614 812974 -955231 -662268 378061 282642 -966730 -880291 675732 -775299 -508387 -784267 -355273 391285 198813 768648 -427505 619243 -109489 995376 -1054420 -679964 357350 742256 443668 -20262 -2095 203749 -812050 69282 299708 297579 -23771 713884 340748 -828262 -304549 442403 -776234 765332 715001 -752271 713249 -462544 -424339 274160 -652318 -991632 -1033271 389067 -511661 -778034 916068 -706781 719300 843802 406901 41242 -400366 956853 -2498 -530781 -311170 -808012 523633 847515 656325 346368 655753 681184 -956823 -563184 -785739 311167 -507542 821363 776592 313782 -239375 -522307 506937 -455225 337007 -767271 -168550 660555 671544 -412499 900835 588095 -217750 370709 -204821 -632881 840302 419788 -123421 -299238 -312122 -349554 359445 -915750 -10572 433349 579926 -786439 -341855 622096 557549 -782387 882286 -767104 -981204 300568 -249556 -225529 -268294 -595477 -238020 -923513 45765 -724538 -369690 -373534 592496 247909 -383639 810985 -286630 -765971 86193 45967 636575 -38796 -650369 243936 -690626 253150 -948000 -215150 -20050 159607 -674519 -824121 -531615 111435 -711397 864051 -456091 -958689 462070 -515062 -848790 148203 -896420 -318813 18135 -782426 -295665 -925699 220313 -987605 962341 509535 794017 285334 551150 -582617 -911378 -447542 284437 -911949 585262 -107366 -120752 -893781 130519 859101 -685732 597430 861564 -667834 -93051 266845 547280 -361114 -625241 296597 -586898 1015056 155871 490115 -440206 -232571 -68316 -453896 -244030 803739 233909 515992 264844 -844444 176147 -833951 646947 610886 -290155 -155408 -480083 -384414 71137 -62618 373782 25066 736864 393743 -858685 -554938 -634301 41644 853075 
+390351 -262427 498056 1042903 -164978 104826 143166 582033 -937699 -220278 -685603 -857223 -915941 -389221 -176101 -554472 662828 712773 99123 -164888 517 -53560 290805 -632667 840878 -976529 -622742 369516 277752 -973413 -887874 721620 -763822 -518759 -737338 -444007 437490 218 765742 -420073 615354 -201563 971967 -837922 -586497 451518 756228 583816 -46670 21702 130321 -820652 156992 313728 298130 -31937 701388 317587 -817587 -180853 478987 -790049 720789 727229 -742065 731727 -496650 -388676 272644 -629392 -960312 -1040949 339622 -538239 -775515 788757 -737975 721966 840283 424113 30010 -267431 1046868 -64072 -521590 -302398 -765757 521901 811379 612293 340417 719796 682497 -1003697 -561382 -859120 266425 -535693 750750 818327 284942 -237622 -473959 528788 -459610 282841 -741348 -74977 675146 689929 -375568 924808 544567 -82325 413056 -240872 -577951 895364 429632 -195718 -230071 -233539 -389229 369594 -788727 43312 517623 590282 -709142 -315961 674479 512551 -727558 889995 -790027 -959036 335777 -246558 -211708 -236289 -592350 -236227 -927107 3736 -646883 -387155 -364141 685188 200676 -338708 650867 -370155 -758886 85344 89288 648780 -149027 -725557 207906 -680892 264009 -1014634 -244780 -178631 102841 -651191 -827817 -540842 173243 -765153 870791 -267590 -953307 451363 -512671 -720340 153468 -917204 -498600 14824 -841955 -310044 -890050 211089 -966245 967807 524159 822366 275049 515649 -593339 -1037423 -478108 276623 -900690 571687 -162003 -106728 -846478 101985 833253 -691762 593885 858130 -724222 -78834 240205 566378 -354874 -547308 308926 -512631 1009657 315878 622886 -461865 -177438 20752 -413710 -248604 649170 203834 509043 227650 -809029 183515 -868273 576015 637407 -308213 -148946 -444233 -489127 29164 -176754 398239 -81470 714037 350647 -827516 -590032 -644896 14926 869158 
+345646 -277800 434742 1010621 -225990 86508 145881 574390 -895439 -128229 -776286 -861524 -943712 -334552 -224838 -525288 703019 704855 145046 -171527 52726 -3113 244982 -752303 854282 -941180 -682749 371490 224313 -977124 -841633 736820 -797339 -492194 -623207 -488984 477642 -57356 770034 -428539 623066 -213065 929027 -693634 -509207 518105 806098 597990 -51216 2384 19550 -835471 160344 313724 244859 -31202 634875 304272 -799354 -163954 507729 -784993 655511 744932 -733619 756302 -528227 -379746 250884 -657170 -973440 -1007625 299268 -536568 -741705 710279 -725019 746254 843529 486464 83834 -201990 940130 -86040 -556444 -294719 -696547 526226 798544 661684 317639 745254 673332 -1010250 -572467 -955441 382510 -439947 699734 850924 263636 -253890 -408720 536370 -465801 307630 -708497 -30792 645509 676923 -203468 949303 565444 -122513 454308 -245276 -586066 910695 433184 -262930 -254122 -52202 -419428 331991 -693007 97015 590175 579310 -639055 -284804 758324 495016 -708830 875922 -809923 -965695 319033 -249172 -202898 -251091 -594457 -245792 -846293 -127523 -569275 -381958 -354252 741987 207495 -340938 608653 -372752 -755367 91164 219917 754844 -211236 -829701 292917 -674283 285768 -922835 -238348 -173986 -31066 -611831 -806800 -514030 167760 -885380 936934 -187515 -958725 414895 -506623 -643101 122979 -881339 -532685 -829 -894893 -294984 -872310 216234 -972375 973377 563479 856266 267515 454584 -640197 -1121739 -464861 325205 -897986 559942 -225989 -158120 -844087 63653 841396 -714078 598188 891394 -769326 -40550 287862 454648 -307639 -471907 242734 -533391 984804 368797 631199 -476935 -157375 213011 -389564 -267674 672511 167693 507143 298710 -998189 188993 -921517 576319 556123 -317270 -178473 -389795 -530011 122064 -335127 379631 -199694 672187 368329 -830845 -613578 -679073 3527 868182 
+283003 -317751 372547 841949 -177765 83930 83053 559444 -815498 -54513 -812655 -868281 -919097 -346690 -307924 -473645 566913 695910 130869 -133568 98062 49308 255243 -857707 846209 -945511 -744382 362151 237483 -955731 -733155 773952 -847208 -554923 -512575 -523414 521923 101928 779014 -418784 653742 -122973 905540 -785713 -462992 549788 807713 465319 -71257 -40780 -65202 -843873 61037 346746 242335 -22476 569585 257412 -775414 -192042 517371 -778626 617504 760543 -732385 783883 -518277 -437277 236239 -654760 -963858 -970816 300909 -523125 -709475 759945 -673251 755611 850094 587117 71924 -242446 809863 -75616 -536541 -285892 -647789 530490 822794 737510 288005 743544 660325 -1064150 -593976 -1001390 481327 -393407 689313 830437 251273 -265441 -421987 578843 -473034 363275 -699037 -55570 642158 602567 -175690 969051 653792 -275813 494560 -247904 -570727 908914 428665 -290239 -289556 25728 -435529 293619 -648816 132670 624200 591350 -567451 -317547 858616 532313 -733286 854375 -825499 -957526 291061 -227535 -199847 -237993 -623631 -247805 -843479 -243877 -492829 -354630 -350064 742641 232161 -333921 729815 -355384 -750665 85658 355461 892692 -199045 -863867 310965 -684327 370358 -765996 -198700 28024 -118120 -568182 -788957 -457608 189801 -962778 1029726 -239535 -949939 367019 -491183 -693983 141649 -866218 -396407 -10246 -930863 -253217 -864571 181400 -969987 977529 607561 881981 261604 491641 -670851 -1123684 -472591 399218 -890043 551896 -235506 -168048 -845623 89104 858596 -725589 568789 860918 -799998 -29167 272867 289246 -327399 -454297 283523 -497129 1032391 203748 499901 -493068 -192964 322931 -439506 -281745 774765 161011 506656 408797 -1171944 194062 -1020984 585767 534776 -321664 -162519 -445639 -467993 234284 -429514 461653 -177636 624824 321805 -800601 -600921 -732212 18806 893502 
+255807 -360045 308074 717230 -86828 73755 62483 534780 -709440 -60357 -715118 -868242 -935994 -265291 -376625 -448259 420768 674224 98134 -118660 127218 81458 288161 -898241 798769 -945162 -820430 368303 226593 -939123 -735642 785732 -815526 -547498 -442308 -510029 496919 290734 793655 -402541 640634 -33507 926533 -1000221 -541316 442981 786169 354141 29027 -56613 -72651 -852327 -71647 356800 183866 -17096 496263 229754 -744627 -257304 502128 -803480 557663 779820 -718635 809101 -549254 -525049 181023 -713797 -962937 -946037 401014 -549416 -670451 896861 -664851 766326 859948 686643 80027 -351533 758982 -28698 -591679 -291980 -598803 525238 880175 867063 260981 737413 639688 -997343 -620738 -1000757 504412 -356778 727535 785530 252065 -269338 -444619 587765 -477514 456973 -677966 -138013 648791 598222 -209924 984618 640380 -424098 516368 -239431 -501419 925153 439958 -306746 -373027 -117171 -427157 274688 -715285 122586 597577 570450 -563676 -260106 945434 584304 -790955 825321 -834698 -904496 280832 -191699 -225931 -225489 -620845 -235696 -814634 -223729 -443959 -406822 -348830 670607 295830 -350738 907962 -354079 -766645 90386 433821 986285 -115673 -800710 272497 -686259 436172 -648675 -147789 176253 -53361 -533955 -776630 -439554 201506 -1005426 1064021 -391409 -957425 400796 -471360 -877677 131835 -910009 -246253 -3050 -956576 -198694 -835354 192347 -981755 986672 653510 887035 260010 531595 -651073 -1094166 -430033 435282 -907129 550860 -309903 -158144 -907443 75179 872169 -718474 595138 876132 -816066 -57461 252658 247355 -392729 -569986 258688 -503001 1049109 39079 387885 -501125 -198528 154494 -441636 -280256 945249 202954 503227 425300 -1116761 198671 -1036935 637954 487545 -324209 -185121 -505767 -360855 343587 -363919 567488 -29099 597051 332977 -777200 -593456 -796304 22591 905558 
+291122 -405724 252035 731679 -35128 41284 52121 500342 -648327 -147893 -661546 -873020 -921833 -205103 -391379 -452692 442811 657783 87105 -111231 111320 86698 316284 -844470 815886 -947329 -852187 370887 266410 -947199 -812574 781301 -776624 -575976 -447046 -448369 448465 267569 810160 -395771 623444 75777 974120 -1031095 -642860 371733 800904 371815 25987 -29443 1726 -856320 -126881 368582 230776 -22097 482889 196559 -718341 -385385 480888 -777694 499280 828119 -705030 822545 -608157 -447684 161553 -675723 -958393 -939640 470554 -521306 -584753 959552 -691168 785307 865891 751652 68716 -404139 814032 34306 -590372 -277308 -565344 514532 872050 932786 224809 717429 619380 -938534 -657788 -1021453 462292 -355848 793550 762496 267884 -276086 -508739 594198 -476054 540740 -705055 -249448 642965 576179 -342178 1004561 657215 -412473 555276 -246778 -494844 871468 412757 -276212 -480170 -287127 -401929 246380 -823630 93286 501273 597758 -643595 -302155 989872 624921 -861267 785744 -836318 -877992 272890 -176330 -219009 -192955 -614002 -248135 -802103 -116749 -427265 -408104 -361809 607422 351020 -404562 978409 -297050 -760380 81585 366745 987852 -1341 -693221 195761 -684248 506646 -668354 -112748 123167 77076 -499402 -744918 -414251 179385 -973107 1054915 -496237 -933943 486890 -449449 -1008577 141421 -900165 -269065 9427 -955369 -154118 -824867 162348 -983414 977357 684725 872334 263732 577291 -600367 -924529 -475918 428681 -899759 567192 -302006 -175276 -959653 103339 867200 -700954 592451 881305 -789546 -134463 231901 436060 -429408 -645223 265589 -537951 1019173 116423 452918 -507570 -235596 -39633 -492364 -245635 973269 229878 501083 327974 -887602 199805 -1128498 638740 538413 -325512 -144819 -510089 -234391 312963 -209105 619499 57220 586855 338217 -769361 -580247 -861925 15505 911842 
+355585 -435928 224648 878901 -79802 32512 59037 472478 -595991 -232664 -604923 -869386 -918971 -176666 -348396 -525982 602697 649640 19904 -96538 70062 72863 318440 -725279 869199 -959204 -831279 382216 291523 -948119 -891497 765908 -754754 -572342 -519409 -412302 420217 121445 826846 -403956 616979 130684 1000368 -822914 -707607 290845 767163 512977 147625 9233 113435 -862019 -86356 414644 219315 -30983 534016 149901 -682531 -476970 446997 -782060 452056 795343 -690970 848217 -585152 -444982 133856 -679417 -980874 -990262 573004 -503895 -579710 900455 -689494 789485 878282 768107 93182 -360171 952473 84282 -647984 -270466 -559628 515346 823928 941025 191233 666522 603561 -946159 -694698 -961619 309246 -402670 855827 741881 291239 -265017 -546091 624460 -477368 597982 -754517 -297425 633047 547405 -445884 997397 695053 -272856 551571 -265169 -519571 860183 442553 -217825 -546741 -284780 -364495 256413 -938585 48402 428279 582719 -729932 -308022 986070 637900 -915800 762371 -829914 -940663 308403 -195770 -222690 -159428 -610952 -238583 -848775 13275 -450159 -378102 -357516 592279 419940 -431482 882169 -280801 -767869 84169 234354 888695 93032 -643400 221808 -692459 544515 -772465 -112299 -72040 98140 -478588 -759836 -406696 158517 -836362 957294 -399350 -944764 554456 -422401 -1001725 126497 -905346 -459711 11258 -931499 -128422 -814932 162618 -1016113 972354 695798 833470 273040 561550 -591898 -780474 -434577 362389 -893200 570861 -300786 -188443 -1013135 104051 871510 -719317 607506 870298 -752299 -223659 271774 544832 -475382 -728965 242412 -591941 1016966 298963 609185 -505270 -294489 5030 -510434 -215298 784801 251517 486955 230053 -767955 200629 -1154719 617033 589560 -309965 -158937 -587972 -239688 201876 -84769 630384 34426 563708 305650 -771592 -564748 -920022 9024 896931 
+390899 -440512 235529 1031963 -192219 -6230 67947 444615 -603388 -235533 -592375 -870057 -899755 -150170 -267879 -546410 712232 638260 -15490 -60408 30563 38485 277322 -610269 871210 -957919 -719207 389018 263330 -947117 -883261 747607 -804871 -566943 -639142 -287660 381909 -38352 837837 -399665 612404 86460 974422 -689035 -711066 359847 802481 611096 146427 19383 196522 -864536 36673 434378 248322 -32266 593458 135662 -659012 -504745 425775 -779971 504844 807675 -703724 861749 -667431 -379018 134486 -630355 -967364 -1017057 645195 -518555 -540995 765727 -711449 806525 861016 724938 85825 -278414 1050303 103512 -677878 -260204 -575840 531030 779654 875302 175082 588694 593745 -889202 -736570 -851147 282422 -469157 895869 704972 321616 -266690 -552049 627501 -502734 590545 -763087 -294843 627118 479742 -415607 1003600 680891 -101271 532053 -240838 -473430 846964 406210 -149978 -553609 -114721 -327849 241822 -934031 -17484 367543 594911 -782929 -320543 933927 653271 -935613 755529 -816293 -882107 297196 -193841 -223732 -155160 -621789 -234928 -833093 40154 -509435 -377629 -343516 667195 482806 -436728 709239 -242132 -763780 85273 94069 751958 120862 -692217 248551 -684881 554489 -915716 -146780 -188525 89381 -472437 -744424 -447192 127310 -736207 876006 -238185 -916269 531161 -394943 -906754 144744 -900071 -566385 16190 -899380 -133384 -812743 159923 -921877 953826 679567 798887 279666 547936 -620329 -843214 -444569 298800 -913132 552797 -265025 -197808 -1018022 115502 887137 -734788 602998 892995 -692507 -295857 232274 551302 -521623 -715902 240358 -631575 1035882 369272 644059 -502117 -386430 174383 -537396 -222254 666534 238165 473807 245772 -924447 200998 -1162551 585168 647653 -301368 -152788 -602710 -344139 44041 -83984 636454 -69581 573863 316837 -753654 -590019 -961871 3900 924497 
+366173 -429383 256893 1035688 -243031 -7992 76245 430312 -686985 -163765 -650860 -867841 -933351 -119664 -196722 -514815 632253 619477 -21286 -53975 -22246 -8391 233603 -547777 832916 -954333 -625420 406925 261998 -957944 -822496 769568 -836789 -551569 -747972 -269805 363835 -2601 841918 -402416 608571 -20502 925687 -832109 -635194 451734 757317 555135 133131 -12242 202870 -868295 151346 407519 277535 -24246 667938 101396 -633274 -500075 402382 -784022 523518 829442 -688993 874841 -681986 -413408 107633 -622372 -969821 -1045162 689381 -526582 -529867 716181 -693184 800431 851418 636490 106026 -189158 986576 77324 -721577 -255654 -611806 523745 807564 760670 148569 545421 583553 -821347 -771851 -770924 334176 -534616 891673 715373 354680 -289019 -507705 568005 -492983 554131 -793542 -194635 619349 492883 -326172 985651 632605 -91312 483542 -257321 -498080 827651 436854 -67577 -489348 6990 -294790 223871 -857953 -67207 361555 584381 -788778 -384658 838671 622265 -911902 763034 -797641 -868261 287772 -185894 -219798 -195238 -639424 -247105 -863179 -44760 -584850 -386962 -363008 742169 530001 -453970 595434 -242952 -764449 72058 62472 646277 71463 -799546 277777 -686215 544322 -1004520 -197674 -117307 -43105 -485218 -713488 -461700 117992 -655559 861411 -179703 -908260 550831 -366035 -742246 139234 -934991 -402220 8747 -844824 -164095 -816927 142813 -977647 942229 639898 789084 288916 514922 -654627 -962357 -460823 260633 -933303 561517 -245418 -168699 -989137 142934 870369 -734787 590975 857329 -637598 -319270 204196 370074 -530634 -620144 225097 -645070 1010245 268078 523265 -485127 -439037 311437 -553032 -232709 656732 199065 456311 355015 -1140414 200371 -1157481 573602 599912 -286816 -206040 -559595 -472172 58459 -220559 593311 -197294 598444 297570 -737920 -578231 -981290 -3030 939625 
+303325 -397974 345186 896863 -181898 -10912 122080 412889 -797987 -69895 -712835 -861970 -951874 -95630 -172421 -471238 464763 601988 -3450 -62574 -70464 -61719 232783 -560365 815775 -936864 -642969 418215 215593 -919022 -731356 731855 -824818 -556136 -789974 -276230 422156 213066 841710 -400804 615650 -127985 904333 -1008973 -524966 515573 774993 403520 75464 -49272 128166 -864267 173886 433894 311677 -17435 685448 88395 -619852 -410949 435121 -776015 567273 833948 -695888 880676 -756159 -418101 136381 -664997 -965715 -996093 684900 -510854 -538850 786180 -679141 814091 846509 534072 106622 -267202 863345 22931 -779247 -245087 -663836 524396 883179 660176 159391 548317 587414 -866115 -807104 -719190 413260 -510589 847029 760420 383872 -237302 -475233 569576 -509522 465075 -795127 -70254 624977 456938 -206906 951770 593562 -218257 447289 -267660 -484555 856448 440207 6564 -410526 -50146 -284562 253976 -723447 -122537 415095 559198 -733419 -367717 739006 552421 -854607 786115 -775191 -860780 294149 -206558 -236675 -186524 -602217 -238955 -899306 -176972 -667769 -359618 -358623 745979 562156 -419066 668322 -242724 -766099 76652 141759 635471 -33168 -866956 260752 -689612 489460 -942775 -237564 116969 -126983 -513976 -736942 -482133 95787 -638650 914433 -255946 -917433 498149 -337896 -653208 157829 -918986 -258750 -1587 -781910 -214691 -824554 113720 -989830 917516 594399 816354 294277 483690 -654470 -1072620 -472895 224548 -952812 582092 -194488 -147811 -942411 103224 889371 -706190 594395 901973 -604719 -335361 239818 244181 -553974 -539774 231211 -682361 1016840 79546 394377 -468311 -492767 168407 -584025 -267807 793701 166589 461268 431643 -1146430 198750 -1112095 582919 548363 -276452 -201386 -489884 -505355 124377 -379815 469007 -196204 626272 275639 -750386 -583556 -975722 12055 941046 
+258156 -304904 371950 749402 -83226 -32665 150681 412512 -872119 -43953 -769898 -854040 -915628 -106156 -203206 -438941 410291 591831 -57972 -35695 -95322 -115871 310127 -651934 818255 -940184 -675923 436826 241063 -948354 -709867 652046 -788421 -522519 -755157 -351225 449444 337558 833741 -404778 615086 -209437 923586 -1028411 -464718 517546 779420 338417 18433 -49731 16280 -866463 93687 450719 303585 -20735 667521 55739 -601490 -300228 461580 -755722 620305 786890 -702638 886746 -742640 -502053 170189 -651559 -991510 -963823 645131 -530889 -497983 918691 -667507 808203 843460 449655 84805 -384837 756475 -45718 -789699 -240623 -725526 535742 888360 621660 115370 523749 597403 -918745 -834143 -682009 514799 -441605 781088 793578 405490 -270889 -398913 520218 -529947 390188 -850923 -39476 628259 430636 -161686 932379 555124 -378827 393584 -264993 -495892 878714 442494 48018 -323096 -247094 -287888 230866 -651907 -128483 501169 544617 -626848 -406802 658531 506302 -784830 820549 -751516 -835725 254536 -215459 -231808 -228569 -636880 -247448 -934632 -244477 -736010 -383248 -357417 674863 544918 -385110 849730 -294217 -754030 71432 288285 725628 -143732 -830813 237575 -696051 420854 -776480 -244959 180389 -17421 -538524 -711896 -546576 110707 -680664 1021024 -438859 -916444 431604 -311387 -648788 148769 -930578 -275252 980 -719173 -265176 -836284 114649 -972636 909845 549283 838936 296107 477919 -618740 -1076517 -456199 383441 -954186 586715 -153922 -171402 -885920 114468 898920 -673790 614999 847968 -611650 -304775 240969 292407 -531541 -479182 199115 -674033 1027105 77335 435234 -451807 -509155 -27555 -563336 -267098 934135 167142 457003 376629 -934219 196571 -1070757 644774 486245 -256633 -145986 -449027 -493850 263310 -428600 440060 -37865 651109 287790 -755209 -581581 -945799 -36879 941526 
+272856 -284020 438884 712841 -31383 -14986 180539 409119 -916916 -115951 -781385 -849427 -899871 -73591 -279703 -457783 534508 578691 1485 -49554 -87293 -146359 315093 -777072 834557 -940445 -726326 467450 264896 -923363 -773646 684562 -748081 -492427 -656757 -416949 515196 214622 820526 -419529 620558 -194224 971022 -861924 -514019 429663 732377 441094 -55815 -16813 -66770 -861772 -44888 444969 264482 -29800 602913 38708 -592457 -189242 497493 -794508 707298 765032 -704731 883484 -817722 -518672 176358 -631553 -1018028 -916088 556398 -531033 -519931 958293 -665141 802320 842411 408799 99190 -429015 808789 -82689 -811118 -227639 -787660 515538 840775 651976 103110 555752 614088 -942733 -849888 -710173 519722 -366374 717141 833056 416576 -252861 -427260 506182 -524641 303271 -803186 -48175 638784 370173 -232802 913907 554734 -418564 389940 -250737 -479775 913979 433854 65727 -265142 -314393 -311527 264516 -664487 -123499 584445 554337 -572025 -392534 619835 512228 -729081 853466 -729879 -874110 308157 -225601 -213104 -226472 -630840 -225211 -953490 -189273 -774468 -384861 -348061 598658 545835 -400441 970521 -304053 -762676 74250 399091 862281 -209740 -725188 215020 -693233 342789 -682683 -215885 33355 92134 -589652 -732975 -552228 153357 -847540 1068327 -498313 -906137 362193 -287452 -807372 143735 -937916 -411713 10467 -669200 -299747 -850056 91340 -947651 885805 517809 877350 291821 516389 -575004 -1145005 -444512 362003 -962837 580039 -120792 -154569 -838746 107355 892108 -699525 614911 851856 -637744 -219364 220737 472584 -512568 -544217 183116 -685096 985224 258418 590456 -421880 -577863 -28066 -557698 -279465 960499 206110 451217 260731 -780551 191029 -1028268 600624 484784 -231667 -165310 -411099 -349959 327929 -328092 391073 85339 687769 299848 -750879 -563234 -895983 -9579 973146 
+333613 -228043 504463 834843 -80066 18722 228647 417451 -913648 -211949 -722092 -838871 -920030 -126072 -358537 -518752 689304 564902 -1589 -46597 -59682 -163741 309497 -871688 877126 -951259 -836205 466235 258838 -914759 -876714 649215 -749797 -474687 -542471 -469236 483172 9101 803851 -425717 626674 -137500 1004240 -686326 -618847 349564 755625 584805 -69377 17915 -70788 -854220 -129199 447659 256941 -32787 521924 38284 -588262 -153485 513622 -773863 727804 739980 -733365 875174 -815767 -466455 250238 -689126 -1006050 -949777 465440 -537448 -555915 875871 -752083 811344 844888 428317 98252 -326180 958020 -83499 -861607 -234191 -826269 531780 780545 723745 82191 607363 633548 -1016939 -853468 -776860 370904 -350647 685868 845926 414881 -250256 -433704 492443 -552559 278624 -764248 -142060 655938 398057 -390873 883577 605412 -321843 379297 -263704 -520679 942207 462128 47979 -264042 -177390 -351224 296870 -754219 -63670 625331 561716 -555873 -394427 631167 520050 -708927 877860 -712025 -862576 281638 -241590 -210741 -247883 -630604 -239785 -987222 -55348 -776096 -383081 -355371 588690 488016 -334109 941823 -336849 -757911 71293 424068 975402 -201495 -651212 261553 -689132 283227 -664866 -166191 -149698 157555 -626980 -729204 -547805 147203 -910233 1043646 -363834 -899839 408601 -269835 -994851 145435 -963465 -565524 16478 -623900 -310465 -882322 67608 -931340 867501 509240 890766 283576 530268 -609448 -1039105 -454798 366499 -965500 572824 -101006 -123158 -826415 66739 874283 -705637 609657 882513 -671711 -122329 233731 588722 -474569 -593902 213757 -689047 979524 357820 653677 -397803 -550322 168887 -553473 -253176 843755 247748 477327 223497 -862259 186248 -969497 587065 549114 -214625 -153222 -432777 -260216 276818 -158585 347186 81282 728621 297691 -756113 -576368 -833284 288 972419 
+385240 -246162 539274 998091 -181421 38615 223957 436713 -839653 -248941 -655029 -831972 -940181 -189721 -392921 -549985 683294 562331 68446 -68806 -15927 -142135 265078 -885448 840255 -923351 -872120 482444 243685 -933314 -877577 621823 -821461 -469306 -451266 -530584 455308 -68237 786865 -430913 625129 -12361 969448 -794316 -704370 296803 769516 597592 -50788 15205 3964 -849631 -109776 405447 221153 -25849 472775 64597 -600800 -187462 521911 -742128 752020 728588 -732082 872861 -837394 -405344 270867 -659868 -1030688 -1002843 384226 -563657 -598136 739166 -711351 803724 861108 499456 90074 -222516 1043102 -44783 -855388 -231944 -862536 514364 798772 842763 91989 650669 651857 -1018299 -848406 -876076 283426 -364794 706090 816017 400587 -284192 -515615 474197 -573466 341687 -752041 -246424 642925 372980 -444783 873003 655887 -155834 376965 -251979 -550926 910141 450429 -1474 -311643 -7887 -391458 342575 -897926 -15108 612675 573666 -626950 -379306 693168 563406 -731608 889721 -700543 -852706 306763 -234221 -214269 -275214 -662952 -238172 -993559 42948 -746084 -370142 -359944 653865 460286 -331100 770155 -391752 -757769 66895 318688 998252 -121225 -667002 313121 -695138 244246 -727564 -122143 -179192 14969 -666254 -760000 -533081 207912 -1022633 955276 -214449 -900162 439451 -255747 -986669 148478 -931426 -455176 20590 -605999 -289124 -893896 63282 -968079 838591 526392 880594 275402 567832 -648837 -946114 -457743 399355 -966064 570058 -115023 -118119 -876834 83934 887601 -680208 619677 839356 -728524 -59237 220294 501504 -460297 -707204 183587 -658587 978000 282286 559092 -367514 -530828 298666 -545506 -257719 711000 267020 424581 300148 -1107015 179328 -914181 547815 629689 -190016 -158566 -466185 -228002 176935 -69870 391874 -70925 750494 292968 -781960 -595111 -767238 -8876 985200 
+381405 -264523 529574 1052072 -236581 44010 236690 459283 -760213 -192338 -593222 -819114 -933000 -162382 -370098 -512446 527053 565143 73321 -75110 37293 -113217 230493 -829659 819779 -932704 -824717 489404 216654 -928633 -819457 628434 -843706 -437649 -435995 -506009 389873 95079 774956 -443254 632793 94921 928899 -989975 -725733 392603 707564 480641 -21788 -22694 116047 -839706 15521 392144 262529 -17958 485223 70560 -612454 -247070 499202 -773395 714941 713141 -714146 859681 -841399 -364703 255651 -617386 -1044192 -1037121 308716 -539151 -657308 708282 -674238 794747 865198 601535 79190 -231221 997281 20724 -871922 -219041 -856703 528300 846215 922278 75172 691672 670245 -1056827 -835079 -927032 300488 -414455 764566 780715 377062 -232972 -559882 461075 -593567 384880 -714069 -297119 669642 367332 -415867 865999 702144 -86907 398762 -277768 -553162 868717 426510 -75706 -445367 -1679 -420608 314428 -949464 68024 544898 570634 -733222 -357388 785379 603710 -789126 883969 -695314 -863121 302954 -244255 -241341 -248394 -674124 -247677 -1032302 20633 -682498 -400352 -347060 726152 359327 -353495 614748 -323618 -753329 60606 147134 920018 -7043 -761833 272520 -699746 246408 -907534 -107840 16380 -78932 -678940 -742368 -480578 195432 -979730 887393 -175694 -881667 491030 -248473 -915500 147975 -933055 -317136 8019 -605683 -242666 -914008 71841 -948866 813350 562512 845607 267581 579190 -669608 -830658 -454544 318981 -958523 568151 -153581 -79569 -919899 73398 864097 -726237 628992 854966 -785015 -13805 189867 344993 -393904 -712741 159859 -639472 958478 144308 405983 -332893 -519843 223480 -502118 -253732 694908 219012 439596 407447 -1176061 172700 -847882 574206 619904 -171656 -189565 -529220 -306410 51630 -115615 491443 -148125 751479 316264 -800245 -599959 -706881 32144 973370 
+326041 -340518 506291 948867 -191620 65581 246284 479999 -662525 -98080 -585848 -811093 -914881 -263055 -294124 -465430 408121 557402 82102 -86049 83980 -67433 256150 -705287 814374 -918525 -719674 492831 228845 -936274 -719825 642613 -793092 -430710 -496101 -489662 433868 284137 766519 -414075 616116 135830 902662 -1042714 -657877 467284 693674 352765 74334 -56826 198236 -830424 130485 360261 231558 -19397 564402 85303 -628093 -390559 462736 -785631 706840 695518 -736550 841784 -838749 -390940 258302 -642869 -1028939 -1038163 300289 -535613 -682229 811599 -679438 789955 869123 694591 83333 -311176 894406 72347 -882773 -218210 -850178 521138 884333 927984 55341 720969 679150 -994806 -809037 -1002448 385030 -519742 831317 738424 343630 -243287 -549902 472172 -606905 483675 -735542 -275894 673258 360943 -294679 865449 701821 -168168 428637 -252982 -600824 855404 404325 -149251 -505461 -191930 -429901 353920 -907456 106069 444099 576412 -783584 -307752 887096 664142 -858896 864277 -699319 -850826 290841 -212058 -234336 -208567 -671870 -252212 -1057965 -97139 -600764 -380665 -352447 735723 321271 -374203 624368 -311523 -761839 77058 56980 779406 89105 -851183 196079 -694793 271243 -1002275 -130833 219140 -101026 -699555 -772302 -442242 188927 -930806 866125 -300199 -870080 545062 -246537 -772526 157558 -948849 -225667 -2707 -625074 -191171 -947416 28494 -979854 796978 611201 814994 263066 559864 -636717 -836581 -461307 280870 -943280 566966 -159630 -66388 -989704 80066 846947 -720020 632865 864842 -807318 -12195 241165 260600 -348024 -651739 181783 -563647 940602 48152 412502 -304302 -444385 5781 -449066 -213602 766321 195910 452012 418004 -1027856 166837 -825524 605547 571804 -159292 -200879 -562238 -403014 74758 -272225 506717 -165784 747266 347884 -810171 -593776 -661257 57027 979690 
+268345 -354506 460113 786389 -93245 83382 229938 512404 -601667 -48090 -653160 -799788 -935868 -300828 -214533 -416950 472757 567005 104354 -95107 111251 -6554 304353 -597563 855905 -944300 -668846 507578 277147 -961224 -721465 682998 -782175 -429355 -605324 -430016 420261 310348 766581 -446083 616432 97722 930366 -832833 -553167 545685 719890 356320 88301 -43947 201444 -820395 183646 335180 298549 -28317 667980 106218 -651949 -521888 429607 -774964 639754 646817 -733085 826823 -817970 -463609 221507 -670161 -1036029 -1003168 331027 -551737 -721757 936832 -673701 780962 867005 756245 71935 -380673 737493 99664 -865743 -219997 -809153 518217 859971 881732 77237 735990 683424 -943188 -777196 -1024510 479089 -487769 885828 699353 312204 -265321 -523253 512269 -614605 544766 -703373 -197431 688287 386800 -186955 878853 643329 -338452 467719 -243692 -598482 830064 448978 -234406 -604843 -305050 -426585 345750 -785269 108041 383861 564969 -799212 -296771 959377 641377 -915062 832518 -709844 -841920 268115 -227407 -209077 -208410 -644296 -228529 -1077191 -227884 -521933 -396485 -352870 678918 247687 -418964 773774 -258304 -766871 74852 60136 661419 122157 -855111 192436 -696987 328949 -980081 -178658 130596 25245 -684095 -791727 -426647 156436 -787307 929524 -452749 -878060 549728 -252141 -661505 151272 -914359 -416743 -2890 -662647 -149166 -970254 28946 -934022 769038 657293 793321 262787 515339 -576054 -938828 -475024 230291 -930179 561062 -212596 -91580 -1005533 113660 847534 -743833 655358 857906 -808771 -48589 213583 352387 -343630 -565578 196363 -556978 930755 207668 553098 -271725 -369164 -45426 -421466 -239545 928524 181237 441061 317802 -823172 158458 -783186 624020 513242 -144249 -192122 -590742 -500881 148823 -409184 615220 -53613 739226 355163 -825414 -597525 -637085 23300 988566 
+260776 -418011 387357 703339 -28846 89817 237925 542311 -614926 -85449 -716200 -789688 -909956 -369340 -172242 -462912 641183 577281 127792 -115631 120822 39655 331136 -540406 881352 -948459 -619841 504620 291412 -929194 -801177 745193 -780789 -414215 -718157 -364052 439736 146238 772734 -431211 613590 8716 972948 -697631 -471992 526451 684398 489259 142487 -2674 125548 -812242 117352 325155 273928 -32964 683381 103490 -673969 -518840 415139 -766883 562796 664238 -726684 809149 -800185 -523262 213499 -661113 -1032770 -947555 394158 -533083 -749155 945296 -700562 767496 843548 766104 89346 -392049 755303 91052 -832826 -219468 -747508 519296 762602 764136 81793 744392 675903 -907900 -737075 -1006575 506389 -461270 903780 716566 283787 -288198 -445489 499288 -631639 610141 -701508 -107114 712033 387879 -166718 900008 577461 -437592 514430 -267578 -607448 812215 463790 -290709 -528465 -241587 -398000 382534 -675041 111403 357270 556062 -710916 -258376 994561 620821 -935692 799263 -727033 -877695 266417 -192580 -209965 -174173 -653534 -241407 -1067797 -236216 -464624 -365980 -332093 608132 223779 -429540 946368 -232967 -746563 79938 206290 630222 76881 -765093 265948 -697036 401764 -840143 -225709 -31598 123805 -664641 -835645 -408524 140561 -676496 1022925 -476885 -876384 516448 -262643 -662170 155565 -905298 -577803 5190 -716356 -126773 -985238 38339 -950366 756620 688431 805513 265707 496280 -579508 -1048848 -436786 260245 -930897 564025 -242579 -66931 -1007767 110867 853870 -731654 629076 874006 -779493 -118808 201390 520309 -322417 -527387 173583 -538183 898984 375919 657331 -236229 -315461 106577 -398313 -279629 978892 180147 448054 227264 -825444 150311 -809001 590981 480658 -140339 -184041 -557459 -501636 266529 -416367 595816 60705 705211 358122 -846471 -595029 -637782 27543 976965 
+310869 -449240 317223 784617 -75941 100306 197763 566185 -665451 -178904 -766377 -779875 -911490 -396802 -187874 -515288 708659 586162 79242 -144782 86766 73986 289862 -565008 845588 -919180 -689073 504820 287194 -956602 -877826 731006 -815900 -443436 -781234 -285808 489004 -73462 783922 -447258 609478 -118564 998643 -798717 -494867 435974 716399 607998 132999 21606 14098 -797526 -21188 310876 266286 -27622 711443 148489 -708000 -480461 414912 -752849 514147 656871 -717103 783126 -756871 -490604 113793 -635219 -1017324 -935975 493814 -521573 -786917 842261 -727319 753062 841183 713219 81885 -331422 892049 39489 -789273 -218528 -686191 525840 809901 667689 89662 709049 664105 -849103 -699967 -966477 421510 -386233 865855 732132 262175 -246116 -418054 497973 -652624 562570 -735745 -26630 689270 385878 -238054 911779 537790 -378216 547798 -260311 -627386 876185 447654 -314846 -459812 -45152 -365281 355929 -635970 57413 399956 581504 -617202 -274498 976423 554302 -913724 770047 -748669 -884093 331191 -183238 -244766 -190587 -675808 -240242 -1076369 -151022 -432073 -367111 -337292 613219 207244 -455156 965461 -228579 -754978 80103 339982 698880 -25988 -666627 300895 -704331 480249 -737030 -246841 -216261 105122 -627733 -849756 -429581 99482 -654112 1096987 -334951 -879675 425711 -279714 -798941 147479 -925484 -477318 18730 -779638 -135911 -1008973 39331 -949286 741841 698006 825856 271183 487901 -633268 -1162540 -447545 358437 -919372 555012 -267158 -95801 -990290 154834 828629 -714340 644020 858983 -725909 -165318 224333 586158 -324193 -472111 191614 -501482 928451 377849 581139 -207564 -261449 289182 -416280 -257272 833315 261658 431821 248083 -1017230 141306 -795410 556521 536933 -132383 -200830 -564287 -408138 306538 -289733 607527 84004 671072 398719 -857277 -613510 -662986 -5882 987782 
+372212 -395684 264473 959182 -169977 72184 169481 573998 -758127 -245210 -762923 -773889 -926810 -406189 -255950 -560481 594603 600505 96239 -152025 42800 87026 246323 -663668 815265 -941045 -767998 483294 267322 -952602 -881801 782684 -842459 -427463 -777794 -279785 485151 -15133 799219 -447487 608778 -194040 977985 -984133 -556545 342176 728070 557735 132377 3681 -67540 -785285 -115891 303910 289799 -18915 631981 188479 -733810 -416089 444704 -773337 529758 669296 -696135 755824 -763379 -410952 135729 -667708 -1036568 -938796 594041 -515792 -814951 723512 -716466 733572 844643 620719 87955 -213377 1024934 -17061 -771462 -222576 -624352 524343 847045 611593 111304 682893 650380 -889866 -662628 -853147 308811 -337975 807081 787290 248907 -261519 -417187 530982 -667991 487879 -799871 -36184 723696 427052 -377570 939172 539033 -200575 556370 -258832 -604858 906903 435268 -306759 -394794 18657 -328269 349929 -696387 34937 477920 599448 -565854 -281206 908200 517191 -856682 754515 -771831 -884535 331812 -166528 -244796 -202269 -686236 -228793 -1034587 -7830 -440939 -372006 -334130 679055 213109 -428252 837918 -262233 -744078 87058 445405 833530 -138836 -647051 283883 -700556 530318 -629808 -230342 -83810 -30164 -593666 -839123 -467095 106378 -656092 1077964 -171928 -885781 371897 -299945 -963108 157422 -876924 -269435 21187 -837075 -174827 -1009927 12934 -944581 719205 677596 863306 282255 511349 -675715 -1117374 -465652 379931 -905707 560897 -323108 -62983 -893844 114882 864982 -745108 676885 868930 -682753 -267015 193870 475639 -365246 -536667 204128 -508952 905780 209041 442524 -183811 -199018 233998 -414084 -271246 708371 238429 439522 359308 -1167917 135604 -884975 554081 592132 -133504 -172448 -487635 -297628 244984 -128725 596249 -51002 646802 391798 -858827 -595558 -709390 20958 983748 
+390753 -394168 216462 1052023 -235939 80258 138805 592134 -851305 -219626 -716570 -765099 -910086 -434431 -337970 -497348 436604 618295 109817 -177076 -1458 82360 253554 -784779 820046 -914395 -799280 480302 221503 -935041 -807472 743770 -814741 -430760 -693801 -325459 453534 209170 816679 -452232 626375 -211465 926668 -1022461 -689189 316341 728481 423917 35311 -33838 -70190 -773717 -127865 307543 262003 -18364 552870 227994 -758659 -289592 484150 -799825 460621 652990 -697112 733502 -701651 -410840 109982 -679667 -1043887 -996594 650355 -517423 -821233 722937 -690183 711814 837917 518221 38413 -217513 1029549 -74688 -721142 -209334 -584824 519696 868363 633346 102852 619415 626365 -893225 -623084 -768714 284682 -309661 737635 827816 252831 -275493 -445469 547299 -675219 411290 -844732 -126943 704677 453475 -433518 961915 599638 -91929 541998 -260610 -604681 931771 440893 -256673 -291223 -124327 -295708 344054 -855928 -46031 564026 579565 -573508 -281123 812026 524102 -786444 755250 -794825 -923796 282514 -162069 -217246 -177721 -708689 -246854 -1047321 47727 -486137 -375893 -338306 755794 249384 -400405 664256 -311395 -739614 85036 402648 959162 -208488 -722953 222025 -703670 557158 -705227 -185397 60770 -116974 -546643 -886450 -501473 81820 -783871 982094 -201979 -882610 377772 -324946 -1005660 169037 -887009 -200145 7949 -893170 -227669 -998063 9293 -930353 710756 640151 887768 290902 543402 -653375 -975522 -461827 419450 -899528 554655 -293547 -156994 -855311 135698 851828 -741119 667790 865055 -634654 -344386 221131 285709 -410835 -605810 178574 -524298 896365 35030 388597 -158924 -174057 31361 -413737 -256745 686968 260033 437435 430786 -1087135 128227 -913501 636673 630595 -142910 -162215 -464499 -239611 128702 -57557 521966 -166287 608679 358660 -868763 -602853 -770225 26685 977116 
+347987 -351429 237485 997800 -186728 61947 123277 590337 -901945 -130047 -609909 -756116 -895321 -424098 -386835 -435652 425981 635223 80333 -173188 -51060 47039 285932 -870506 830767 -919216 -836103 465089 207636 -949527 -718423 810490 -780267 -463775 -575059 -363302 414629 292363 830649 -434766 639888 -96026 905927 -844959 -732060 419915 751745 342579 7644 -56045 6411 -764825 -16030 331469 247290 -26673 472479 240083 -790242 -194829 507356 -791603 530505 693858 -705627 709499 -680359 -404737 152492 -658124 -1026760 -1043395 698968 -532006 -800433 840447 -671524 699134 846358 440504 77387 -301678 916865 -87610 -672059 -229130 -556822 521750 859855 722925 153355 561920 609601 -901166 -594483 -706338 359170 -385691 697658 850494 267155 -294376 -512554 560651 -704229 321945 -796967 -233347 748822 435872 -405192 984069 595766 -130273 499790 -261703 -585125 915943 435873 -178122 -224931 -273821 -284532 350412 -951708 -71372 615759 599950 -647458 -340043 714593 510984 -730247 779022 -814221 -933278 258405 -176736 -199931 -218215 -664003 -227407 -996632 -12551 -550035 -384689 -341150 751414 341752 -353116 601950 -304409 -755883 89578 233232 1000853 -202357 -828598 198886 -714477 555192 -866964 -136126 160594 -74034 -509475 -888644 -528702 99133 -867061 892420 -344615 -884600 406394 -352919 -953794 170691 -887092 -346696 959 -927505 -274748 -998581 -12163 -960070 705307 590862 883908 295493 565376 -608865 -907855 -459205 412964 -874492 580780 -268476 -94595 -842101 103694 858261 -723070 646967 884192 -617640 -304297 220095 246796 -467837 -709522 169758 -558541 859141 120999 510714 -141139 -166236 -53119 -488573 -231531 783259 203216 429538 382409 -863936 121063 -955466 632841 595219 -138256 -166490 -420570 -287850 22570 -157641 441576 -201384 566846 389243 -926228 -583054 -836531 -5755 972292 
+284564 -305183 250176 831328 -89366 37727 77323 583825 -918547 -53024 -553914 -756376 -919593 -399814 -381119 -444919 577451 649272 62499 -158556 -91931 2575 309688 -888220 873380 -931971 -804893 442306 236968 -953678 -712440 808770 -740718 -471837 -474782 -452141 380572 223431 839834 -426383 629973 -9403 926112 -676233 -698100 500380 769615 406933 -48616 -35052 118434 -754273 110681 345926 229651 -33046 494490 288543 -812625 -166414 525833 -778703 571471 758773 -693973 687552 -619429 -397362 150070 -654325 -1041763 -1037169 699272 -518788 -805706 953176 -708441 696593 861195 410052 74329 -418169 759665 -58483 -655447 -217045 -565135 531311 816095 839017 168353 539520 593861 -982360 -575710 -674293 449696 -489917 695286 832818 291674 -289883 -558025 569980 -721599 322813 -807034 -310179 751044 477974 -303938 998518 664733 -281807 476100 -275971 -604982 894836 433717 -111769 -263020 -282306 -292771 277237 -944429 -131555 619203 594161 -742510 -401131 646352 570489 -708472 804774 -828551 -967174 247431 -192865 -202051 -256566 -684296 -240043 -1014060 -153935 -632410 -393461 -342795 651624 369905 -350852 704347 -393759 -752345 95103 103031 941643 -125531 -864505 251899 -707038 505800 -977813 -108928 73024 44436 -489968 -897337 -546485 165198 -969885 856308 -453338 -889164 449703 -381759 -791103 178914 -884894 -554695 -7766 -956911 -306703 -1002805 3295 -957053 703496 546823 857208 292768 551235 -570469 -802902 -446306 327942 -904145 582852 -272118 -150566 -851637 69517 878494 -733488 691521 868720 -614544 -324454 211545 396119 -509233 -684319 172742 -605133 871290 357149 634643 -122820 -208953 105385 -505418 -239468 911253 181376 455041 260872 -790476 115897 -1026840 611983 562039 -151273 -184192 -378372 -378049 65899 -322268 391111 -51381 570975 370541 -954862 -551299 -898645 13863 977157 
+255878 -226584 294189 709337 -30857 2221 75132 572212 -851404 -59045 -592568 -751733 -922661 -389628 -318949 -480014 705664 665230 18205 -152792 -99088 -58704 313082 -816167 870242 -897311 -722400 423711 287086 -984603 -802076 786200 -805675 -513821 -434444 -501803 395635 26371 843560 -435679 625781 91648 967167 -781931 -579779 552256 772255 573926 -61599 6429 198701 -746717 186315 345398 204148 -29064 522556 323805 -826890 -215378 508006 -747073 636841 758605 -703350 666058 -580013 -458594 160143 -636525 -1029343 -1008046 607757 -563127 -762534 934343 -725289 672361 870839 435108 65199 -390118 725647 -2872 -611486 -214002 -572810 518260 793765 904323 188198 522470 585919 -1029864 -564638 -721635 529601 -524292 741894 778815 322145 -254583 -549099 633798 -720405 297771 -769185 -293000 774881 554015 -165083 1000593 691027 -416090 431148 -245615 -538960 871073 442340 -29269 -367349 -110992 -311720 283799 -853886 -101625 560519 600736 -794095 -409092 617892 601764 -730064 840142 -835893 -929096 299932 -223662 -209831 -230164 -684944 -239625 -944318 -245787 -709977 -393953 -327133 596678 432359 -350741 879520 -333991 -752744 87188 49041 810117 -12161 -804425 285931 -716777 447039 -989780 -118380 -159318 124142 -470498 -902051 -537011 196425 -991730 905433 -430655 -885758 539558 -409306 -663707 176132 -898174 -498293 1807 -955329 -305770 -972692 12410 -949895 707320 516685 830907 286162 512951 -617561 -831542 -450550 261662 -890467 589786 -242204 -171695 -878893 112078 885892 -745489 682597 887114 -634675 -263233 218266 564220 -526091 -623042 158912 -621676 890127 390555 622109 -112429 -230833 268504 -517206 -232308 991075 185222 439405 221960 -936896 110143 -1117650 552530 486595 -170857 -144241 -460327 -506710 134826 -422569 384550 38245 568665 376732 -949282 -574564 -947707 -19015 964910 
+288996 -227334 363396 745696 -77796 -14856 64162 542222 -779703 -147063 -659086 -749981 -920100 -347059 -237282 -526413 656274 678503 -25921 -160286 -80048 -100049 280628 -698124 806523 -938405 -643787 409828 296755 -973588 -870959 766181 -861814 -528436 -476095 -533644 465265 -34937 839406 -428382 618196 142494 990330 -1006299 -489881 514900 804445 622724 -37708 20156 201166 -739074 142153 370917 237053 -20051 608148 337473 -837506 -268992 468545 -757855 674710 751678 -706360 642602 -562457 -460583 220543 -626662 -1035140 -970023 534785 -519321 -733168 812018 -733201 660725 865431 512515 81829 -280361 877436 58845 -575140 -223183 -628230 523476 828842 935100 210521 570587 585472 -1023521 -562593 -766899 478440 -464427 805480 753811 355029 -272705 -527399 613678 -745491 369350 -756652 -205896 798542 571839 -166002 990951 679160 -402818 381033 -259380 -536814 842142 412254 25213 -457644 24051 -351869 270777 -716070 -102449 477031 589345 -780939 -429816 647530 646250 -786823 867930 -835676 -906779 340181 -261209 -228655 -263567 -673422 -232310 -929153 -217472 -766078 -386107 -341671 599351 492778 -358048 980097 -365174 -742091 90296 126609 683351 85885 -696381 251860 -713313 379197 -861151 -159734 -198164 82987 -482293 -913483 -523456 189481 -964212 1011765 -284418 -875688 592347 -437857 -667115 191542 -889773 -304014 20567 -935942 -280154 -950833 -8079 -957447 711434 511867 800336 280030 494478 -660549 -955654 -471391 277020 -897291 580751 -202940 -206164 -941628 109798 859355 -740981 694061 863493 -687247 -164346 238688 568990 -589504 -551876 175696 -651950 874956 229185 468574 -111363 -273743 259005 -561748 -259925 862907 183149 444898 299126 -1153589 106332 -1132756 559664 508701 -184094 -134870 -523531 -527292 258122 -395632 360764 61727 593761 336783 -971545 -580876 -976602 -4219 948105 
+354523 -229102 421296 903979 -169258 -7728 90257 519463 -678668 -233684 -701104 -749535 -926096 -316882 -177699 -531172 487835 688751 -996 -134956 -20662 -146821 245106 -585271 795359 -892992 -644103 398633 293487 -956921 -873271 727222 -809509 -528072 -572868 -499669 469684 40084 829130 -404227 612393 82195 974116 -1019851 -478420 372301 790624 503763 -23031 -8112 123097 -730920 24942 422054 266315 -17744 694072 373322 -841567 -401778 441422 -779014 714559 790274 -707853 627586 -533556 -479322 268199 -686349 -1003904 -929760 441446 -527244 -676292 710358 -682453 656628 863029 611654 31672 -211745 1011068 96997 -556952 -221063 -670236 520904 877989 874994 222559 595600 595306 -1034662 -573210 -845525 348842 -434681 872026 714066 387013 -223910 -453341 605798 -739011 460937 -733709 -114063 796339 593483 -247392 979285 667935 -259274 357122 -276008 -560149 800409 442675 55896 -523467 -49487 -386358 247183 -646496 -46018 391558 587054 -745610 -409769 716975 647572 -856591 885769 -827093 -946980 317852 -260575 -259120 -244229 -695716 -242591 -897865 -93043 -780911 -379944 -344129 672563 553329 -354854 902331 -294949 -756163 87603 285749 626735 122465 -640842 221758 -712799 296546 -748391 -210335 -27808 -56605 -489422 -914228 -478695 198648 -873126 1078138 -167918 -901188 551603 -462665 -795381 199747 -891687 -233999 22114 -902327 -232234 -950359 40 -954900 721563 528859 793007 271272 498842 -680059 -1066517 -478569 281846 -921420 589987 -155255 -176313 -1004145 104609 881991 -747146 708293 869048 -735006 -126466 227009 425960 -562442 -501187 186254 -688810 893740 51325 381735 -112411 -342204 53212 -546282 -277597 749518 243045 465110 405129 -1158145 105077 -1122171 607712 553703 -200894 -196738 -583487 -458176 334687 -241734 446247 -46960 629537 330127 -1004275 -578301 -980892 6226 940664 
+391313 -270429 500262 1041141 -230289 -18973 72183 492082 -610275 -238390 -783109 -752754 -935231 -241278 -178001 -501960 406125 713923 -31099 -113606 22151 -158619 239359 -540772 855412 -930696 -690226 388897 199893 -948750 -795174 655378 -757029 -546851 -690043 -428990 466359 260188 814359 -416750 599305 -12292 929063 -832482 -540641 317761 807704 366751 73337 -47044 11287 -726525 -113114 412327 313382 -25090 713488 376224 -836206 -472694 408128 -791450 783205 816962 -714271 619011 -530951 -444256 275050 -664419 -986871 -960371 365802 -517521 -681399 748745 -658792 650511 859558 706310 31178 -232207 1018787 100509 -536930 -229594 -731440 520885 881387 791548 277948 618588 611251 -987455 -594043 -943081 283441 -377893 901147 711414 406814 -280660 -409055 593933 -754226 549322 -700672 -49227 784224 618828 -372102 969027 600929 -115371 353403 -252370 -466403 821949 438231 51555 -588361 -240555 -418831 245027 -666630 8660 357077 560688 -632582 -383845 818558 606455 -913782 886773 -812860 -963898 258241 -268374 -234619 -231659 -678694 -229120 -872645 25153 -761156 -383987 -337473 752736 566917 -409648 720409 -247829 -736303 83873 410144 676929 80517 -689669 206768 -724040 259071 -657732 -243155 157780 -100665 -521328 -895652 -454796 169203 -735039 1082547 -234622 -900878 466821 -483992 -931710 200908 -909762 -380771 14208 -850447 -181276 -910592 -5741 -958821 740524 568345 816970 264213 516463 -624926 -1144009 -480652 368077 -919551 584587 -149902 -209876 -1025762 100181 888020 -734382 705292 900890 -788942 -41022 211304 250709 -548279 -523900 180112 -685070 911470 89029 482188 -115777 -413224 -40725 -576810 -276046 693985 248265 453746 423865 -919712 103502 -1128310 649417 605688 -224038 -186060 -610556 -338458 285600 -92500 489634 -173814 659595 294090 -1019103 -569882 -960228 32477 923463 
+367444 -313516 531093 1030745 -183481 2022 109575 466360 -596015 -162208 -770710 -757046 -928865 -190087 -231486 -457687 509820 720564 -46475 -105427 70819 -155642 292331 -575179 846368 -925575 -770474 374064 228447 -967103 -737182 649452 -751365 -544898 -770837 -362003 471458 318870 797462 -416320 612675 -118497 905643 -707630 -665989 320250 802708 347836 136501 -53577 -68242 -727413 -127789 437814 322356 -32653 681248 415103 -827798 -538368 410545 -771114 745555 824797 -724865 606057 -497746 -363241 257602 -674410 -994084 -998005 331318 -527587 -605780 875398 -661128 645841 839885 761444 41824 -331239 948911 57340 -524129 -233502 -798746 520801 839355 679301 265039 702972 628779 -914226 -624093 -975480 298200 -318960 884830 739578 415327 -245228 -414306 575705 -761441 595182 -698929 -28249 807876 649594 -442367 942348 566469 -97233 389754 -240000 -453930 875201 439040 16720 -566092 -309695 -434145 253726 -777888 55014 385498 578679 -569112 -356664 909868 577306 -935832 871264 -792246 -981580 258170 -250155 -210431 -188881 -695310 -246136 -845822 37110 -714001 -371148 -340637 720330 542310 -437865 599451 -215375 -741084 81317 455450 804166 -19420 -795686 252847 -731358 241614 -675939 -240685 169695 -40509 -566576 -889117 -419819 158062 -674769 1005322 -388525 -911004 421000 -500249 -1009080 188212 -937552 -517926 -2049 -791295 -143345 -882023 33948 -992240 745664 615477 849684 260129 557556 -578463 -1083472 -450919 398868 -929271 573766 -93595 -198374 -997492 133618 862590 -755025 707191 880010 -824904 -29345 251368 292523 -549310 -558123 177511 -676050 901262 302916 640487 -121885 -469377 68230 -563454 -265102 823912 227032 456358 319258 -776751 101226 -1112654 610459 628279 -239798 -141091 -574896 -255376 116544 -77902 596055 -196616 683396 293405 -1046203 -583690 -917488 553 921622 
+303722 -404686 545863 879617 -94196 20877 107807 442062 -645119 -71604 -702130 -760601 -945409 -176955 -313620 -443033 671636 718040 41406 -87755 102934 -117837 317485 -681635 854122 -908271 -823738 360234 239942 -960448 -729815 620064 -779327 -567055 -784031 -320774 482218 144004 782210 -403815 618447 -208601 921680 -795738 -727642 436226 811464 482144 148602 -22371 -69734 -729789 -44331 442890 302929 -30382 594728 402084 -810270 -478574 429790 -762645 754323 840044 -731619 596651 -501407 -391525 221698 -656962 -998293 -1036613 319782 -506932 -560340 958522 -691852 645112 841403 762393 49915 -402701 772917 -9981 -520848 -241758 -826225 518696 792251 614262 282959 722723 650573 -899846 -657342 -1012827 397870 -321448 835604 752313 414825 -292444 -430210 571557 -777459 591305 -721464 -87185 824105 736726 -410383 917510 564582 -229332 394748 -237209 -523338 919961 425789 -39068 -449040 -176357 -426238 223479 -906372 103830 459778 571122 -569958 -315634 973679 521031 -914716 844594 -769720 -1002261 286262 -222955 -241481 -191633 -709728 -232248 -850238 -64468 -631942 -355344 -339021 671760 503777 -468942 647202 -220346 -727987 77251 332198 937569 -132752 -863601 285662 -723228 265767 -812262 -203962 -409 80393 -606096 -890127 -411576 113677 -636592 894282 -482965 -913823 372571 -510170 -939189 205041 -925479 -490796 -1893 -727499 -125591 -855363 28199 -941673 766085 660793 881259 261543 569836 -596466 -1007935 -453214 394311 -961752 568445 -115871 -215570 -943248 140300 897415 -728431 705404 881287 -811178 -32337 251500 434904 -467415 -691018 209755 -663851 927428 422277 629475 -141598 -507268 283182 -547198 -250224 902811 225405 463213 228451 -889618 101793 -1066743 610675 589543 -260419 -168608 -551158 -243141 58838 -193697 618355 -79098 735099 298389 -1088796 -590158 -858993 13432 912744 
+258577 -414404 507109 731206 -21078 23997 158402 424606 -721324 -48250 -595826 -767858 -934775 -107392 -378530 -497279 697085 724622 22295 -58600 122657 -69573 312518 -799519 813468 -896082 -841063 363700 272443 -985952 -814803 661451 -860539 -558907 -724407 -274520 405889 -10661 771248 -410649 627750 -198805 969270 -1018370 -711833 533666 831006 600142 107347 16265 9306 -727168 99082 458938 276275 -21458 507177 385694 -797087 -392352 459162 -774397 652441 816174 -736059 591994 -500975 -437202 189122 -606658 -982392 -1037392 348370 -532506 -550258 909111 -719898 631822 843635 702183 -5977 -383784 727639 -58449 -536533 -244873 -864488 524533 805403 625421 310923 766436 667098 -861501 -695320 -1008099 511564 -421188 762343 789332 399968 -242010 -492461 500926 -776808 527793 -711470 -199009 817679 665783 -299272 894796 568040 -383251 434514 -230765 -471113 923529 448924 -132719 -357336 -1334 -404705 245758 -948821 130835 541116 564144 -631549 -297061 994286 515792 -858660 811591 -746645 -1000653 284714 -210371 -233635 -166682 -689288 -239526 -840769 -206504 -553576 -385296 -340128 597259 480013 -443796 819376 -264401 -741756 72583 173307 999483 -205903 -834061 260115 -721398 303968 -950872 -152921 -181067 150250 -646718 -875403 -409036 101076 -722578 872089 -419329 -914941 356879 -515926 -807559 216917 -937164 -355169 -275 -672043 -142487 -849022 37387 -982204 784081 688859 890653 267685 543934 -643764 -872805 -450453 380607 -944587 556449 -96725 -191614 -903121 138324 935380 -752319 721237 885028 -775292 -101175 273658 582932 -393063 -706435 213734 -624535 940895 245449 503649 -162583 -559003 273889 -501848 -236990 953263 124225 479115 247002 -1106300 104972 -1041314 548327 509408 -281237 -164176 -498977 -359425 62101 -359247 672429 51416 747396 280602 -1062143 -604260 -793028 12416 910437 
+273040 -427581 475533 720964 -72979 49734 208617 409220 -833921 -118208 -578801 -773551 -934181 -101376 -389497 -534722 552751 720936 47125 -55552 109628 -14719 276982 -882841 796522 -906138 -796836 359169 285811 -994916 -882805 626100 -852772 -553976 -607111 -282444 415771 1464 766372 -395755 636081 -143917 1000650 -1027325 -619778 542494 809985 575718 40528 15353 120791 -730552 171884 443148 262905 -17250 473632 382886 -760222 -308036 502065 -760835 573049 787592 -727010 590804 -528729 -487677 176230 -623306 -946798 -1006759 430446 -529744 -525847 786821 -689675 633452 847014 609066 4261 -273606 865329 -92138 -560170 -256656 -862459 523359 855827 723037 355968 759451 676112 -838776 -736811 -935553 491037 -483085 705207 820248 375914 -207162 -551603 517383 -801601 439748 -749968 -302905 806320 674736 -188374 878043 616536 -424645 497959 -232243 -514583 927794 429674 -195617 -266450 -7574 -366827 281701 -898964 120172 603977 572058 -722270 -280265 959677 523292 -788758 780215 -725558 -1011548 310464 -186665 -235557 -153778 -672936 -243066 -791045 -250717 -481294 -364686 -348343 604353 407308 -434195 957488 -293267 -731781 75681 77684 961945 -205802 -730601 228282 -723516 365893 -991020 -114944 -157097 28567 -668747 -865658 -444750 84707 -807413 896960 -265567 -921094 396835 -513376 -663103 208917 -934267 -218224 9023 -631419 -183642 -831071 39585 -897464 810105 694677 873316 274245 536108 -665580 -817661 -460663 350199 -979596 547459 -174128 -129395 -855286 95430 906296 -740763 737978 895680 -728588 -148626 290032 544352 -377068 -679115 207567 -541428 955286 113330 393272 -185282 -560182 78667 -475137 -235119 878870 160948 470187 363761 -1179657 106412 -971344 604137 497944 -298638 -187062 -426681 -487506 150729 -429370 598826 50122 749968 298190 -1093319 -597410 -729121 18412 883181 
+332371 -404262 413420 859399 -176424 61948 238661 404752 -900919 -207346 -586839 -784663 -916443 -90966 -343122 -553832 415092 707935 52817 -59122 63767 31360 244782 -879878 832794 -903699 -749376 370347 237360 -999128 -891460 634597 -778197 -550334 -494605 -348612 421248 208969 767240 -409880 620904 -7244 975162 -870428 -508150 495316 776055 421723 -9963 -21772 200391 -736076 170331 430222 237762 -23299 523940 343879 -742650 -228500 517216 -772048 526091 798601 -707614 603233 -545975 -494635 171524 -635077 -976514 -951258 525940 -524680 -532617 702355 -691621 644810 856329 510229 -4492 -195348 1008309 -76800 -591979 -259062 -837676 516790 891115 835017 363100 709100 679943 -917403 -774959 -858608 386210 -514362 693061 831913 345869 -254355 -536444 460872 -786786 349462 -789836 -303640 807980 664615 -158083 867445 670320 -306670 533936 -251425 -507357 875492 439482 -277134 -224730 -182794 -329182 307760 -767386 93272 617100 565219 -790076 -278693 879800 568225 -731679 757597 -708586 -1011248 348395 -177508 -234168 -222789 -664947 -232278 -860747 -181687 -438812 -382123 -327862 661011 367357 -395232 949405 -293871 -724934 69493 45261 844157 -132314 -651198 207452 -728149 445927 -920377 -110541 28228 -64034 -693256 -837966 -492624 110531 -916917 988499 -150092 -932309 511390 -505741 -669444 218065 -972435 -311274 20384 -604263 -236874 -827393 39185 -963456 827665 674817 839986 282577 497704 -649090 -876725 -472588 301011 -958094 551877 -190564 -115396 -838421 55024 861849 -743782 745333 870337 -668714 -197187 244754 356646 -334294 -598840 215037 -555051 968044 74842 462866 -213073 -542151 -53460 -446333 -253808 703285 199247 464160 440059 -1001641 110634 -916193 605301 535494 -310793 -198747 -421818 -531361 325189 -355909 514740 14263 754224 279087 -1086327 -580675 -677036 12098 873461 
+384694 -391778 360817 1017300 -225696 91967 204981 412618 -911534 -249363 -663584 -792411 -917194 -46299 -261560 -511146 450846 708575 89937 -48639 15155 70090 249324 -803997 863766 -890543 -658995 375362 205363 -1014102 -800043 673346 -746815 -526285 -432323 -421160 454996 326533 777171 -427332 631821 74151 927831 -687811 -463702 363174 741624 334909 -40862 -53516 200130 -742059 52907 398358 227320 -31751 565842 334747 -714063 -183298 518618 -767494 480609 739428 -722599 607086 -559546 -464053 108590 -658814 -975306 -931163 609562 -548691 -517850 774310 -662018 653352 867700 432367 470 -238849 1006234 -19715 -617048 -275410 -791327 520045 862830 921289 409632 673626 678607 -929117 -807740 -788051 282995 -492069 716353 816311 312881 -261727 -507828 520324 -803992 282950 -814946 -235786 816905 712481 -269769 860889 686191 -152142 537590 -236945 -528171 851785 419282 -301278 -318174 -321092 -294983 298666 -675844 15104 576271 558082 -790352 -266238 783162 594581 -708712 754561 -698280 -1006564 319713 -198306 -191958 -234109 -664212 -230019 -855628 -42762 -429541 -384990 -343465 765035 286602 -356156 801578 -347887 -729851 67210 190523 708074 -18928 -663850 246174 -728015 510144 -803719 -142113 195500 -86314 -698326 -828728 -518980 151634 -973903 1063261 -249876 -941791 550271 -492288 -752678 223433 -937050 -504029 20308 -602486 -281789 -818381 55050 -949395 855618 634682 807014 292877 476467 -598974 -985730 -470902 244543 -964812 569740 -242580 -72935 -868369 89297 890557 -729460 727725 880415 -631835 -286835 272514 239784 -325408 -523704 218007 -534891 941428 208486 615742 -237661 -564175 12027 -413821 -260569 670278 280014 490596 388130 -809988 116886 -832379 643324 596963 -316369 -158760 -431916 -484089 294995 -194949 492747 -160874 738896 283987 -1107761 -577399 -643715 60995 861593 
+382183 -321043 300608 1050834 -190981 96748 261210 423242 -870624 -192807 -734461 -801578 -917203 -103050 -191676 -437013 616676 702603 149663 -47995 -29673 86800 303563 -683289 855110 -895233 -617347 383804 228308 -1010945 -732907 681307 -795032 -526665 -451343 -496796 517831 217310 789477 -400325 603718 150130 898378 -790075 -534774 302859 744237 389674 -60196 -46913 120823 -746991 -90242 385128 236619 -31460 650778 320942 -682043 -206772 498290 -764877 443548 705621 -713711 618164 -600995 -388919 113168 -682504 -999693 -935695 683067 -520636 -557116 898211 -653584 661703 867866 408928 -21428 -375472 945061 41478 -672896 -270508 -738136 517789 792871 941459 415109 615700 667985 -965025 -832644 -714195 240011 -417703 777469 778943 283316 -248465 -486677 459361 -786007 279108 -828020 -151319 803189 696661 -410389 872940 680120 -78350 552999 -221647 -561935 823950 427750 -308310 -357345 -229701 -281146 323395 -639820 -61896 488193 556483 -710283 -285860 693045 639799 -729098 769955 -696147 -981802 279393 -199224 -208873 -246651 -663059 -235626 -914604 44657 -462312 -383998 -338429 752208 229547 -344886 634522 -336380 -730526 64982 325244 631928 81556 -755798 280609 -730321 539320 -627955 -192238 103817 -14223 -668638 -835169 -561338 172232 -1000933 1067967 -427689 -940163 581793 -473296 -903907 229198 -942418 -534974 -910 -622368 -309219 -807666 78365 -934999 874945 587538 790407 296989 479877 -574607 -1067648 -457273 258037 -958444 557541 -330336 -84053 -911214 111003 883559 -736411 731823 889212 -608321 -342473 267684 307621 -336839 -482088 210360 -514461 988335 341277 655487 -274596 -484851 228958 -448378 -295862 733231 270957 513486 272271 -832100 122080 -836909 613621 628538 -322565 -200448 -482803 -374994 220921 -81506 414790 -178203 706193 322411 -1101509 -584505 -634429 33164 844759 
+326786 -287607 245788 929053 -95653 102541 248399 445224 -803133 -99498 -745303 -812759 -945056 -140131 -168980 -437989 711678 682003 140059 -51580 -75664 81736 322794 -579930 825084 -887245 -686461 397692 259988 -1005043 -733818 740835 -814526 -528554 -536197 -517891 494540 24752 804831 -392617 623173 94459 919384 -1000666 -615066 375542 711946 532830 -34578 -8609 9170 -759727 -135503 351341 272677 -22944 706792 286007 -647299 -253447 462555 -789569 526520 692819 -687691 638104 -698031 -388453 128638 -665872 -961759 -1004785 707375 -518941 -587933 963206 -715223 664717 863254 445273 -51795 -403979 833408 89505 -705124 -278499 -678314 522344 799048 882264 447170 596990 648008 -1004629 -849478 -688071 355020 -364426 843656 754196 260857 -224569 -406340 457919 -790873 351925 -800206 -53507 775858 661266 -461724 884574 637774 -167471 539443 -233520 -589055 807416 419807 -279763 -466136 -51791 -287166 370188 -713174 -96256 405376 548237 -602018 -313018 629527 647240 -784440 796956 -701110 -1036664 263433 -201683 -238161 -226914 -675516 -240377 -914377 7515 -520025 -375916 -345778 655371 194725 -344988 607076 -331653 -722412 67717 430417 660723 121764 -847656 292337 -730788 562689 -647714 -234683 -112247 90864 -649934 -804234 -531697 171743 -924367 1009719 -504719 -943502 545130 -450898 -1031515 234611 -908804 -380493 -5800 -661800 -304767 -817880 127149 -930116 894274 543996 805953 294682 537479 -620150 -1097148 -446712 340731 -946569 571623 -313772 -65260 -967868 80957 871896 -733969 758579 849407 -616096 -353776 287381 495851 -380233 -545167 213602 -499006 1033916 357597 526671 -309592 -423060 299072 -387019 -283530 865944 239088 499357 225077 -1048906 127939 -764215 565959 597371 -322176 -158238 -535593 -276019 97610 -97978 355073 -145971 677861 327509 -1096696 -575307 -650333 18006 829511 
+269229 -243226 227073 771209 -18395 81413 225772 464930 -700346 -46167 -798608 -822837 -899719 -169615 -210018 -489748 620461 668949 121464 -58722 -99807 56572 303209 -536843 791133 -891630 -749823 417414 286818 -1005725 -801640 773516 -855768 -472575 -659348 -534889 461969 -60664 822437 -425940 625332 -4800 965757 -1037919 -720640 446119 732767 618431 11462 19106 -69847 -766375 -63564 338898 281843 -17302 691990 267495 -627526 -404066 435095 -782598 553629 672608 -700036 656731 -697158 -408799 157300 -685158 -975822 -1033844 671947 -506498 -616453 890989 -711414 668855 854975 524490 628 -341924 742038 100705 -736330 -294607 -621826 521120 853287 759961 459915 564279 629746 -1021547 -855939 -720205 458049 -345072 888868 701457 251274 -251576 -421716 483479 -791596 422193 -758254 -28294 804073 675077 -386818 905966 616091 -343927 501771 -235268 -593312 848978 441710 -200387 -563207 23686 -312522 346822 -863021 -126015 357617 583600 -571146 -385652 618732 616587 -854482 828755 -713210 -1038513 297079 -245308 -240680 -266134 -653104 -237651 -953534 -119127 -601204 -368101 -335635 594954 185850 -371277 750755 -300982 -723326 70228 398879 770915 85216 -855629 248550 -742992 534467 -800527 -246280 -207956 101099 -617736 -761794 -532527 201059 -834850 913451 -369819 -964926 462542 -424802 -1004808 249355 -922304 -234238 192 -709666 -273061 -831705 89874 -924129 922617 514618 838479 289457 573722 -667806 -1080080 -463314 389317 -953303 570031 -291076 -88046 -1005800 117947 844712 -749077 761809 912963 -651286 -290803 287025 588697 -400637 -631927 240609 -519649 993963 172025 380516 -334581 -383063 112865 -403356 -242519 937154 132075 497258 298713 -1157284 137734 -797461 578700 536004 -321420 -197157 -586678 -257804 38496 -249295 397389 -1140 629088 326058 -1103447 -568923 -689063 -5149 823504 
+260620 -275827 234939 708116 -78250 96914 194199 492462 -648607 -86873 -713556 -829812 -900356 -241274 -289047 -534379 454582 642328 130362 -66363 -93784 3552 267861 -581880 847454 -876604 -851139 428652 291793 -1022178 -873004 840846 -818115 -467526 -751893 -463381 446512 71148 834445 -445739 614931 -135467 1001644 -836988 -713756 526842 721293 522392 69198 5125 -68135 -779880 59189 317550 317050 -21848 654038 218143 -612423 -468806 416755 -812340 575608 716386 -686577 674935 -726935 -489881 175636 -655797 -945416 -1033536 605016 -526554 -633365 757876 -705687 695559 844306 626977 -55186 -246999 841874 74140 -786237 -292806 -578159 522588 907545 665577 427216 516099 611312 -1008856 -849396 -794231 502344 -395958 897123 732818 254269 -244688 -444654 488372 -795717 534468 -745019 -97032 796625 693274 -277088 929975 557869 -440337 457756 -234181 -598377 891010 415539 -144558 -551421 -124020 -348556 380597 -930266 -97208 379816 586038 -578148 -384571 659574 548009 -912489 862775 -731946 -1015708 312886 -225790 -225752 -260398 -702026 -236539 -964405 -229066 -680455 -397257 -339469 589405 229162 -384887 925863 -247107 -723437 75382 280748 913890 -13949 -772199 189963 -743571 467666 -920765 -220697 -66572 19243 -559699 -753374 -489380 192667 -689205 855968 -212026 -967057 417350 -396806 -829231 240169 -901929 -272661 6193 -767655 -221548 -855551 141337 -981031 943980 511332 868219 279267 574206 -659495 -976106 -447335 429764 -953953 580588 -284826 -120124 -1016843 124706 875465 -743105 761532 843003 -706381 -235789 299050 481025 -437694 -678957 244858 -566239 1035829 52861 436189 -372865 -274892 -28124 -442927 -250633 904632 141337 517049 399488 -1080847 144729 -792999 635458 500589 -316919 -152878 -614120 -327622 66409 -391472 463299 66349 608022 328723 -1086597 -613904 -745270 14550 832389 
+308944 -266420 269431 800806 -167107 70874 157659 522582 -597372 -180641 -584121 -842736 -916122 -272548 -362299 -548092 413107 632668 118906 -90886 -54045 -49316 236634 -693295 874472 -903925 -879277 454782 201391 -996502 -893383 811075 -760786 -451564 -787379 -413418 403433 274258 841490 -439477 628653 -194736 976555 -692440 -640967 531527 733404 373048 110154 -32663 11785 -793561 165274 298032 296202 -30670 568952 175562 -595607 -518439 418037 -753803 651880 653461 -703670 699791 -740794 -491884 230690 -643784 -950830 -998854 517455 -510297 -699654 711113 -685391 711189 833609 722057 -9398 -202788 936033 16795 -817509 -305529 -573048 518792 863142 628068 461737 528236 596065 -972521 -834493 -844948 437177 -434835 855805 761438 268901 -233910 -502514 512524 -783060 574548 -700804 -188290 779209 655977 -165480 950493 581534 -360269 407788 -229352 -603368 910009 444261 -67341 -548551 -285833 -388268 372667 -932510 -105751 443870 581750 -637120 -424215 742560 537704 -935831 880896 -753795 -999702 334923 -254282 -204163 -242057 -646826 -247154 -987775 -241840 -745589 -368389 -343478 681035 272668 -420837 976848 -214226 -712789 75027 121440 996128 -128773 -670093 233947 -749449 396597 -1012154 -171734 150426 -105021 -530011 -741541 -436820 159854 -653329 875505 -181866 -959917 404259 -367565 -705619 251859 -886720 -487476 23395 -830145 -171116 -864178 144826 -923119 952509 532188 891078 270701 550878 -630157 -847332 -447878 404670 -940082 584314 -256237 -77479 -971953 140397 869716 -739683 781235 864068 -764527 -132601 310205 304880 -495505 -681780 270633 -604632 1041071 141234 584437 -395760 -237957 -3187 -439879 -245834 722804 208476 515779 425130 -864037 153727 -824108 634694 495569 -298391 -142033 -579091 -414858 181550 -418733 486831 34882 572093 354061 -1071973 -579928 -810429 15540 814471 
+371291 -280343 330169 973707 -227604 28591 132812 544197 -625986 -244664 -594307 -849235 -908314 -336056 -390806 -499418 549803 611543 70296 -101977 -928 -101527 256084 -812811 857200 -900444 -813225 467122 209298 -1013487 -810260 768817 -765935 -423442 -746122 -334942 382290 301826 843883 -443581 635424 -227597 923977 -793115 -531551 493808 697703 340758 129523 -53987 123775 -801828 163975 306975 257160 -32348 509336 138464 -593893 -477365 443902 -780085 701163 684579 -708263 720629 -790136 -493588 253788 -615335 -958419 -940008 420694 -509275 -709968 793931 -658158 721947 844891 763201 -60090 -253899 1063118 -45842 -851233 -318680 -556620 527918 808899 622386 443989 575273 586590 -928308 -807901 -901989 331235 -511867 790627 782456 292706 -267959 -533053 568587 -781145 581636 -656531 -285836 741263 607841 -155357 971452 609567 -189542 371122 -228005 -583834 910205 401377 10380 -449007 -283962 -418065 388806 -844761 -18294 527001 579406 -753033 -428321 841083 497939 -916362 891007 -776938 -948644 286521 -228166 -205212 -211522 -648598 -230061 -1031789 -131626 -778758 -362635 -337175 760268 354906 -448842 856511 -233987 -708488 83148 57053 979660 -203727 -646539 317339 -743226 344543 -958698 -125869 125412 -95904 -497388 -694821 -401767 139589 -650356 956179 -303139 -969663 412523 -338352 -649577 224523 -877007 -504753 24233 -886143 -136472 -886675 157902 -922206 968129 570498 882811 263757 480998 -584255 -825968 -455008 327779 -912302 587675 -252883 -96409 -936864 141805 825249 -764796 770819 860887 -801294 -62278 330923 235938 -542292 -653449 263518 -652394 1019018 316495 664191 -429401 -204130 235265 -504424 -223366 661270 236524 523642 330912 -802644 160792 -878581 615803 568453 -288749 -181420 -524426 -524663 319748 -311153 555441 -133119 573984 379981 -1077180 -604786 -875406 -31497 814684 
+390136 -341741 419929 1056305 -191780 10183 113600 567053 -699764 -223909 -591580 -858562 -903289 -355088 -364182 -409306 694890 595030 25168 -133446 57495 -137022 332488 -891185 814787 -866718 -719909 479012 234696 -991769 -725057 771944 -795138 -416781 -642092 -284778 409892 176907 836429 -434802 637418 -142144 900403 -974718 -482855 352044 737696 465600 144410 -32791 201373 -815770 67712 299424 210555 -24527 447915 124079 -594701 -394514 483655 -779005 738473 672272 -707615 749357 -814552 -448124 258100 -669175 -969141 -938986 335733 -542713 -759665 921958 -690512 738982 852033 755535 -76404 -365870 991586 -84049 -869374 -321102 -593829 526598 797397 705950 479707 620057 586790 -876066 -778133 -997127 265856 -483045 726370 823939 325582 -242940 -548426 562641 -777592 544952 -701959 -298770 713521 545193 -263151 990644 621640 -93594 361514 -219208 -612461 917405 422492 55298 -325956 -111071 -433955 353111 -729854 36958 593153 587565 -775491 -425205 932883 520246 -861154 877862 -799654 -998031 286577 -221734 -249743 -195388 -668055 -229490 -1048825 -3853 -778474 -397380 -352655 740741 389239 -419433 684690 -299760 -708680 88419 118804 873057 -208259 -719660 265111 -755393 273512 -810631 -107702 28334 52231 -479032 -731789 -421895 123197 -726067 1063168 -450061 -968443 432842 -312019 -750296 250036 -902190 -407910 7107 -927579 -124626 -914638 183970 -927248 980886 620033 855445 259763 482097 -606283 -886194 -445830 280391 -888378 581530 -173097 -112901 -873632 98872 839986 -731290 751500 873207 -809313 -28331 333413 362402 -567709 -552816 289637 -661031 1052530 382303 534891 -449361 -194661 289445 -507227 -248601 745639 277981 523998 221890 -969471 167522 -892775 582213 623716 -273123 -147828 -462380 -498346 339369 -143763 631694 -187572 573010 400128 -1042713 -562677 -930495 -16205 786389 
+349801 -396100 467723 975449 -98386 -5287 81372 580620 -807561 -129791 -657856 -863191 -940073 -404645 -286934 -433541 675237 581697 -12110 -130481 92956 -161916 328753 -877024 782509 -876212 -692677 484472 270140 -1007826 -733878 779350 -853775 -418499 -525383 -260787 467256 6342 825448 -442235 643298 -16608 917718 -1043309 -498293 325055 728121 598700 74999 2612 198836 -823764 -67906 327196 240777 -17636 512684 85015 -599783 -280244 509912 -787656 755112 703439 -731083 771296 -835942 -371682 263191 -701985 -960785 -959089 306248 -538663 -832221 960160 -727543 743130 851650 693836 -92700 -412717 813057 -82870 -864687 -325823 -626562 526172 821803 821150 472162 688315 591273 -841423 -739729 -1013411 336418 -450612 688974 834201 358013 -199106 -501947 569766 -782937 468368 -708746 -259353 706616 550191 -401377 995532 693561 -136700 371046 -241068 -621731 884311 441624 58523 -271466 12446 -427894 337921 -641315 59701 633157 601122 -789052 -370846 987812 559414 -791080 851938 -817998 -986231 235872 -247317 -224111 -188016 -637430 -238731 -1060383 41768 -737736 -396995 -351325 657157 468720 -449666 597636 -296969 -713930 80294 275816 733571 -137444 -822651 214799 -753553 242875 -676396 -126841 -174043 134397 -473768 -718989 -425628 93385 -852847 1064622 -459913 -955195 551233 -289185 -883139 253621 -903834 -246272 -7913 -955853 -149272 -929203 167117 -910388 981519 662314 817752 262110 493256 -654296 -955063 -476740 246388 -893084 572528 -131079 -144824 -820909 114382 862969 -746120 784365 877253 -794513 -11235 323549 538930 -546775 -457282 300669 -710159 1056011 227839 419924 -471211 -163143 135736 -536640 -257501 866941 251570 516088 239628 -1175944 175481 -1010530 579208 605657 -257430 -185634 -383777 -381090 210808 -64276 628834 -160932 597486 377293 -1041023 -585688 -968024 71 784405 
+285143 -395725 513926 813964 -36173 -19623 61918 588246 -883010 -55275 -737626 -867556 -945166 -403279 -208322 -493201 513768 582657 -8466 -158263 115386 -154308 293590 -788198 835799 -889801 -627423 493675 290586 -996046 -799541 712660 -812128 -428835 -442842 -300018 486424 -13999 808858 -446344 628512 94554 964457 -857105 -593903 381109 735233 594022 26543 23611 117971 -836766 -131809 334706 225319 -20341 602471 75524 -612823 -219011 517921 -785997 735388 686945 -732907 795541 -847082 -373753 217033 -667683 -985789 -998039 333117 -521379 -797967 860067 -715367 764622 866586 596547 -104282 -350714 757344 -41541 -881276 -342953 -684042 523657 874838 917414 424486 718185 609107 -830247 -700466 -998678 406630 -338846 702211 841007 385328 -206048 -452735 622457 -759385 383659 -756400 -147781 689671 514426 -459661 1001010 678575 -301424 379849 -235675 -596173 823517 431829 46546 -250682 -53151 -402046 342389 -662726 120531 595193 598059 -723358 -350858 992677 612627 -733071 818526 -830651 -962572 273951 -226059 -231126 -170904 -631267 -231163 -1023865 -32447 -664428 -403726 -361484 604487 537868 -415970 683543 -294908 -722991 90882 392587 639388 -24865 -864536 196321 -760824 256947 -634323 -173145 -178458 105594 -480078 -707144 -447404 107125 -950796 1026670 -341757 -963233 564715 -270958 -1007429 253305 -891342 -286434 4452 -951086 -192493 -947698 177757 -929128 973245 688449 796248 267958 515742 -662405 -1067187 -464358 288792 -881760 568276 -114649 -166692 -836744 66926 823246 -731007 786019 858823 -766793 -52515 315367 570131 -560880 -486821 286608 -692229 1029802 77643 410892 -492444 -203527 -27133 -556301 -297730 944982 190206 525431 352728 -1128077 179726 -1032806 606102 566575 -234350 -175302 -397589 -280661 113424 -130939 628515 -13985 624894 341256 -1023253 -610853 -982267 -812 771026 
+255284 -439116 527958 711353 -73916 -26024 40517 584456 -919207 -56785 -784886 -870038 -917392 -455991 -170660 -532441 405689 563623 -8634 -149240 114309 -122720 259650 -667126 873574 -851278 -686639 502223 257274 -1011114 -888580 668541 -782470 -441884 -444182 -393730 497511 163013 792525 -437217 610194 132237 996408 -671332 -688373 458559 738595 452244 -63853 -3667 5925 -842476 -88255 347711 223025 -29407 669339 49722 -629386 -156835 514645 -757536 698993 734047 -736229 816122 -822205 -413771 218077 -666287 -964909 -1043391 372175 -508117 -798965 736335 -711969 775336 872985 495449 -74065 -214848 834205 22497 -851425 -330210 -746558 532895 897919 953784 429639 739859 623989 -915252 -657982 -954115 554856 -330859 752641 792806 405936 -252646 -418954 631980 -754858 319911 -807480 -62709 695134 472618 -376383 996579 695737 -424659 415228 -208989 -570015 840087 418892 -12450 -298624 -236246 -366489 266771 -791492 144123 514177 608319 -636540 -307312 944102 646842 -709174 782746 -836470 -955863 342141 -183832 -209468 -197562 -628838 -240761 -1056132 -179899 -586886 -378904 -340963 609297 537684 -384595 863678 -372652 -710748 79755 427575 644610 77154 -808489 224621 -757029 273195 -798260 -221703 74608 -16379 -512917 -713520 -483751 137386 -1009498 938963 -194133 -972024 511658 -255541 -977589 265844 -901031 -495286 10406 -943447 -245168 -976246 204702 -907660 979282 695703 798706 275455 538511 -625545 -1154853 -447522 336790 -895560 552820 -115775 -183243 -874637 80533 847636 -769635 777033 885548 -712535 -118343 338995 453371 -505647 -556298 295558 -688461 981359 148702 550149 -499460 -231930 -6904 -581738 -261116 867763 165287 537386 432659 -913068 185837 -1106393 635234 500762 -206442 -160155 -426507 -230420 64704 -289398 539790 87980 652833 395181 -985764 -603578 -971242 -9571 766666 
+287769 -441807 546563 765753 -173170 -19400 83141 580612 -920017 -145137 -742319 -872250 -916834 -419956 -193495 -538876 484476 562790 -7993 -160691 85344 -75739 238617 -568909 846950 -862688 -761507 505289 246688 -1017834 -876337 652541 -745843 -449771 -511368 -461332 472409 310507 779014 -449558 613680 87211 968362 -803987 -727996 556426 749004 335035 -78679 -43910 -70585 -855065 28642 384289 234151 -32792 729245 48475 -658141 -210113 477062 -752650 601439 732299 -734586 837817 -853111 -495301 149583 -665618 -990082 -1048192 481449 -526163 -788695 721762 -650729 794743 862372 426394 -93410 -225335 952840 74356 -844654 -341637 -797133 526666 804283 890465 397426 765254 644181 -937178 -621844 -844587 470562 -327048 820922 748081 418195 -220508 -415362 600428 -741475 293948 -783758 10987 656982 469496 -272535 974380 612793 -400848 473445 -238558 -552772 822394 448026 -75140 -395438 -308045 -330444 285250 -929678 88479 431950 607735 -579902 -304823 858859 649855 -727833 763622 -834545 -906318 333104 -191564 -210198 -181870 -638249 -235394 -1086278 -240909 -507778 -403218 -354377 682090 546936 -343285 982784 -403447 -680740 84209 361576 741794 122068 -702662 291724 -761912 341912 -896532 -246254 202482 -106559 -546594 -722678 -548458 124565 -934555 865155 -175128 -959216 509503 -248824 -880079 248023 -858078 -571708 17877 -908293 -286913 -989433 220804 -937851 968099 671518 822563 285950 570890 -575024 -1110450 -452110 402628 -906383 543947 -139583 -189173 -935992 91300 859186 -781638 779674 870660 -656278 -207051 364525 265983 -450215 -623328 294137 -668910 1007511 286100 651673 -502247 -286378 196802 -569300 -253553 757231 174343 534837 386093 -783682 193463 -1127991 640777 477017 -185676 -162354 -491686 -288213 107115 -414944 479291 36484 691310 352792 -974567 -595210 -936603 -6861 756366 
+352402 -348161 480770 924983 -236666 11896 74010 558949 -823130 -232027 -698875 -873222 -917188 -380812 -264534 -499146 652222 561503 -30481 -171840 40514 -19107 270643 -542073 818497 -867919 -831377 492995 216934 -1006239 -774774 631671 -796524 -468452 -623318 -513312 422418 236316 768295 -448509 623507 -31913 932730 -997511 -689867 503277 787738 389028 -89900 -54030 -66984 -860296 141209 406013 288970 -26193 661498 39531 -686722 -279489 446048 -779400 580280 764170 -715951 854953 -786100 -481810 132404 -634498 -985650 -992189 569557 -506600 -794146 828798 -675159 792041 855073 408644 -136477 -253815 1027555 102203 -807999 -322181 -834736 519745 773387 792826 436508 742984 663365 -986588 -592626 -786943 397023 -425127 882312 717532 414251 -226753 -453630 571583 -724685 346120 -797245 -61196 688712 391850 -167432 947894 609100 -247538 494548 -224302 -529434 830761 448613 -169472 -490230 -187124 -297686 236773 -947830 48665 376336 578782 -584538 -265531 760095 630227 -782327 754828 -824659 -915205 291019 -178163 -208957 -227410 -657753 -238659 -1029002 -195282 -447731 -376949 -355840 761768 547557 -358242 926353 -332818 -693248 85187 216630 881381 88465 -643698 278592 -761295 422037 -1020580 -233908 121375 -54815 -589163 -744518 -553957 189419 -880913 901365 -339216 -974188 436703 -246918 -729605 280036 -933858 -438195 9613 -857777 -310540 -999880 195283 -935029 959181 631285 857543 292884 559884 -594685 -986512 -448073 410252 -886776 555681 -141721 -178830 -979655 87683 873661 -748890 765329 841765 -621344 -255672 336682 252853 -397923 -725853 323704 -616902 975589 362940 564799 -506329 -346182 321391 -551687 -214152 676559 200626 518601 272358 -895509 194295 -1163625 601336 534540 -168284 -168840 -571543 -392979 229625 -404274 414104 -112924 720033 336758 -935883 -593303 -883344 2447 755978 
+390378 -307947 436726 1051157 -193449 22550 104087 538728 -710404 -243222 -623388 -867585 -922756 -359261 -344050 -437886 706378 565280 -21320 -173314 -20942 27582 321550 -597826 778406 -866779 -855550 493317 249043 -991936 -706687 652902 -844868 -504943 -729187 -528583 391653 57760 766131 -429537 617192 -125763 904476 -1025148 -577933 408513 751554 527427 -6990 -23058 14438 -864807 181164 444782 278529 -18137 610624 64816 -706168 -399945 414736 -782513 530985 817017 -711960 867058 -810495 -460745 88347 -627853 -995033 -951771 639712 -522295 -748968 937591 -702052 799922 839047 453910 -138272 -418018 1006611 88500 -770825 -354510 -866503 528474 821212 699311 388770 699768 675803 -1058154 -574375 -699095 281547 -448441 901614 702868 399657 -213296 -510129 555326 -710385 391210 -770757 -159464 654529 422813 -189612 933742 537186 -97028 529572 -229864 -491188 891747 406735 -232204 -549432 -4344 -283411 205412 -889223 4372 353882 586410 -622397 -279715 670681 564631 -852847 762397 -807979 -909387 292185 -188304 -224903 -252856 -621935 -236819 -1021252 -65871 -431412 -391594 -360501 745250 506487 -363094 754274 -274465 -703724 80872 65640 986098 -7131 -685111 184361 -761831 480249 -956277 -190954 -55143 61755 -628861 -747846 -545864 206362 -737183 963864 -474722 -949768 371019 -251966 -651682 279260 -911441 -279872 5615 -799368 -297921 -1010269 239102 -907922 948594 581892 887934 294454 515106 -644934 -898168 -470738 356965 -904145 545026 -163181 -170493 -1011701 111563 874354 -775275 775498 837530 -602845 -323851 347157 415069 -355411 -694015 322859 -599815 1001092 250421 438938 -501249 -425773 184167 -527930 -259052 748236 261069 527018 217213 -1132685 199512 -1121295 562223 578766 -157185 -184893 -582343 -513327 296659 -262420 370290 -189932 744649 322796 -931002 -598881 -819262 35650 775961 
+368480 -294713 366506 1015736 -97241 35427 102554 508160 -641005 -163097 -557181 -867798 -944492 -310737 -389741 -421075 581003 569957 638 -149437 -64871 64404 312910 -706845 845450 -856050 -834510 479021 283393 -1001560 -735335 618024 -846762 -517652 -791229 -494554 415033 -36663 769033 -417446 623873 -205061 925935 -866072 -494959 334506 797883 626151 67135 14662 126320 -867505 103183 432355 260851 -19117 534543 47387 -737913 -465811 404349 -783312 466664 812371 -713375 876032 -760906 -367986 101834 -658069 -993926 -924097 690518 -523592 -740559 949246 -741857 806447 836616 540219 -150065 -401484 883482 33625 -758006 -359465 -865980 532307 883717 597481 365633 682816 681680 -1014083 -563289 -679950 259300 -484581 875533 727367 375078 -234378 -540368 537730 -707322 464338 -812858 -262906 663322 381415 -291300 895041 535261 -110687 551843 -221776 -494895 917861 434130 -294009 -566132 -5370 -284623 278889 -764069 -68311 417620 588486 -740155 -271219 622507 539181 -911034 785750 -787339 -899815 259140 -195014 -243965 -247227 -639723 -232245 -1008052 32875 -444531 -384400 -356048 661420 447656 -388296 606552 -277361 -705179 81702 75052 994054 -122058 -789917 202441 -763981 541042 -812585 -140651 -173609 143054 -663412 -759366 -583364 178358 -659836 1069945 -456110 -940205 391983 -262162 -729015 266546 -921068 -256347 -7305 -738071 -262659 -996421 229317 -895159 931492 538929 890549 292483 484003 -666535 -811053 -452952 352374 -915250 562673 -217860 -202491 -1009185 117558 895513 -774149 794029 888298 -617382 -358983 362641 581309 -370686 -632132 341606 -539352 953472 63664 399361 -495090 -476219 -28612 -481323 -247464 830542 261555 537966 296568 -1155212 200306 -1122367 611128 624729 -150039 -198154 -598462 -523245 300611 -110215 353212 -164210 752257 302740 -916083 -594453 -753512 10209 752073 
+305841 -229913 313899 855883 -28406 50873 140666 484205 -608115 -71526 -601666 -861130 -934674 -261968 -377264 -497237 429124 576389 64045 -133628 -93879 86303 278800 -831417 868347 -867859 -742420 469208 284461 -988180 -822885 619393 -791167 -556860 -764014 -433122 435272 78778 779774 -417795 632333 -220472 967958 -706689 -469296 313879 808525 539929 110111 18272 202487 -865818 -39458 455771 294503 -27931 453638 59654 -767752 -491598 421047 -783199 517232 807528 -718867 880307 -704403 -404221 125270 -703966 -993805 -972602 679619 -523032 -673570 836720 -710839 817313 842266 642896 -149223 -309554 751222 -25305 -698331 -359243 -841413 525362 884030 626006 354366 585313 678078 -995354 -562144 -733358 363688 -506453 815573 775371 344173 -247474 -554225 509378 -687692 558079 -744336 -300112 648338 400995 -421041 888666 587472 -235877 561932 -236477 -492894 937514 433041 -323004 -544099 -191323 -314768 248637 -672085 -90864 499610 572169 -783198 -324104 623697 510045 -935554 820918 -764279 -910353 277456 -181226 -232596 -256713 -587815 -246420 -945659 19936 -493554 -365777 -352303 606789 395581 -398089 628896 -244514 -688656 77480 153680 902855 -201990 -864089 240409 -762816 559063 -684447 -110152 -107732 73222 -683756 -784605 -514196 190370 -635621 1094707 -270183 -940425 401085 -278061 -874125 248972 -927989 -426062 6792 -676794 -213176 -1004307 261974 -889512 913507 513178 868989 286206 484899 -657082 -887461 -477977 295034 -961652 567503 -248485 -152778 -949217 132290 909943 -737265 767700 885792 -669568 -328229 366562 539825 -310968 -516307 344306 -546633 967301 53426 533555 -479172 -502319 -29943 -457871 -262598 963567 236491 528379 409064 -997944 202405 -1099554 632460 616296 -135619 -200361 -560173 -448758 181731 -65063 377717 -40596 752499 311956 -878896 -573811 -695616 29268 774652 
+259293 -233477 262457 715207 -73906 80006 183388 455858 -614269 -48936 -652185 -855602 -940288 -242888 -310966 -525036 433205 585695 67218 -131314 -95067 87169 234759 -887153 853631 -851686 -639384 453370 238751 -997613 -884038 676438 -754072 -562368 -674213 -355578 480640 248975 795126 -411663 637948 -122937 1012156 -762699 -546232 358707 811520 386515 117868 -17908 197724 -866493 -113338 448521 287934 -32938 483745 97035 -790904 -510279 452976 -760559 494560 806771 -692986 888097 -692768 -347620 156682 -668806 -1014385 -1014823 641946 -534623 -640556 721972 -675152 813860 850988 728476 -131598 -245848 783383 -76584 -652157 -359021 -792897 517696 830231 704976 310883 541478 670065 -960696 -571532 -757032 464938 -448197 747206 827177 311525 -237044 -522675 493045 -664296 617789 -702253 -271951 639887 379261 -441586 872115 631501 -391551 526433 -237607 -484553 903686 414534 -298775 -416714 -312735 -349572 246638 -645828 -114434 576769 556147 -798871 -366160 679973 511633 -917476 850257 -741637 -858383 318636 -222417 -196958 -236518 -578527 -237334 -964525 -100460 -565342 -370619 -359333 618143 329944 -429620 799596 -212111 -680065 73283 334704 761284 -209708 -840842 295455 -772366 543812 -645112 -115695 88768 -76834 -699692 -785720 -489405 154640 -672051 1023584 -149185 -961350 455858 -299158 -1015019 274552 -925478 -540540 7509 -636287 -163319 -984861 248965 -951929 897655 512783 826936 275699 495901 -598371 -984425 -454341 233642 -953746 582470 -304549 -115937 -899395 106105 875753 -736278 778157 860100 -711958 -238865 353186 379601 -321253 -487286 354806 -534198 942019 270446 642726 -460122 -577663 150242 -461893 -282021 893489 176140 516072 422851 -800977 198854 -1038836 641027 529603 -132207 -153096 -512782 -347460 84005 -179458 435825 90075 735168 290106 -882990 -580936 -654275 28800 763197 
+270963 -283441 225467 727318 -155701 96555 199262 434052 -681452 -114617 -735088 -849116 -908236 -174847 -231935 -542236 589645 601993 120083 -101637 -72533 57259 247936 -868138 814542 -848446 -626431 428445 230361 -990027 -867940 703347 -773859 -538529 -558008 -332954 512757 285449 811847 -390020 636625 2623 974033 -975379 -668664 517141 846154 343287 124041 -51863 114789 -865051 -107799 438184 219794 -27906 560890 131021 -815797 -409196 493268 -776662 564888 838364 -692906 879523 -632022 -389849 187261 -686573 -1015307 -1037777 605493 -536867 -615147 730629 -664942 814501 857407 765495 -140401 -218735 933581 -86283 -631233 -358909 -735413 520049 786865 814826 303515 531337 653790 -891689 -592049 -830613 526448 -333398 699035 834923 281112 -224348 -465662 461686 -652917 589786 -688171 -181079 630595 363023 -383744 871969 706544 -422107 477932 -242027 -513012 843885 452287 -250349 -313890 -238095 -383925 260952 -752689 -88164 626404 574730 -712753 -390830 766956 551847 -863382 878738 -721110 -907637 308776 -237578 -223328 -207388 -582432 -243682 -898934 -213709 -647353 -381917 -377584 698666 265149 -438479 959332 -236936 -691821 67488 432070 650864 -142602 -741423 273108 -771075 511938 -699394 -154334 214105 -95931 -685642 -830686 -428884 117421 -810924 925874 -214843 -940097 555747 -322427 -1005328 258461 -974270 -460564 16939 -611227 -129695 -968409 251618 -913167 865209 533970 800934 266929 581328 -578749 -1131279 -463112 274573 -961238 585582 -312326 -116944 -861152 118254 891413 -756700 757887 871710 -756487 -174636 374026 260700 -359198 -535066 365582 -497438 949536 392274 620661 -441444 -566936 321899 -417107 -283359 798448 159757 512507 326064 -839994 199315 -989466 620833 491908 -125134 -188770 -422365 -245795 19567 -334708 516685 39086 708910 266338 -839369 -557705 -635103 22306 779581 
+330538 -356810 223698 877896 -238823 91028 235298 419119 -789397 -210447 -743302 -839288 -919638 -122780 -174764 -470240 709650 617746 122748 -79930 -30793 11231 292081 -771780 795388 -854132 -705300 414248 235784 -989894 -782906 763185 -852324 -575178 -455386 -272547 501588 152253 825823 -407512 605790 104603 927070 -1044900 -740296 560102 813599 440148 77148 -50371 3641 -865097 6892 426595 247271 -19141 634790 129109 -826435 -302051 515551 -784400 665224 792751 -691036 878328 -603160 -483389 233490 -678036 -1024909 -1042590 471663 -530848 -574482 850300 -661460 808271 862194 747401 -143327 -317982 1046293 -56921 -580611 -354378 -672612 528606 786407 914089 271625 539466 634446 -848365 -624029 -927499 445871 -317710 689358 828632 260576 -238382 -414276 493909 -639930 498558 -715203 -72118 644836 366278 -252156 869056 687233 -313033 444629 -241077 -504518 856887 435291 -173738 -255637 -53574 -419235 289540 -852474 -65449 608671 567234 -628174 -389842 867625 598824 -793208 889139 -706009 -874670 295395 -243471 -237549 -250068 -586690 -236710 -892114 -257456 -721577 -384389 -367713 755498 221678 -436745 964794 -314272 -692519 70980 426670 634792 -31035 -653044 207166 -777585 436442 -839201 -205196 68562 -18180 -661231 -830318 -417988 84761 -910273 870183 -387930 -927884 556356 -350936 -873137 266995 -938238 -261715 13482 -607676 -128504 -948978 234386 -883486 845326 575684 793832 262888 571680 -613467 -1108200 -462459 321552 -960412 584200 -272135 -93769 -828091 94052 889619 -742713 751278 881872 -809299 -121995 359343 319299 -397273 -590767 357583 -513666 950171 313662 459333 -413473 -563667 219908 -389057 -277631 652172 207779 512744 232182 -1076196 194001 -849524 565375 502914 -139625 -194771 -400106 -258908 125908 -430711 619647 -85487 675030 261760 -833668 -578718 -641191 2295 773955 
+384681 -393572 251497 1029257 -201371 80048 239162 409396 -879842 -247874 -745422 -827456 -938840 -121350 -180309 -433529 644722 629519 131141 -76013 26683 -40912 317769 -648015 854765 -834020 -763593 398007 237813 -979968 -723948 721042 -837867 -563374 -437563 -307816 442087 -21930 837723 -413717 617548 105719 909118 -885070 -702394 498338 798524 590448 47830 -9359 -71759 -856340 136802 425308 237431 -18167 727896 189492 -837597 -210474 513562 -799165 707896 763420 -692862 864180 -559660 -513111 265235 -635009 -1041989 -992767 379834 -559159 -551355 955247 -702730 802098 871274 681028 -136318 -405881 1021166 3201 -550566 -358710 -619692 523565 865673 936330 238592 577650 614751 -869411 -657454 -994376 354637 -358738 729165 803377 250097 -215283 -399496 437773 -610035 421237 -636518 -16567 634615 381869 -152131 875029 679352 -146745 411902 -236280 -531613 822144 433370 -113941 -222460 11416 -430754 272666 -970334 -20288 534168 565541 -591864 -443566 951579 645253 -734220 881179 -697339 -846253 217860 -252842 -221897 -173384 -559590 -241608 -881817 -145830 -769840 -410801 -355849 739376 231886 -414664 824056 -356706 -681853 69059 279824 713076 72720 -657337 182943 -781732 367400 -980932 -241370 -114390 95203 -622254 -849630 -411362 108797 -1008582 874658 -473836 -934166 533744 -379705 -741119 254958 -921459 -266528 10141 -618116 -157181 -920550 243435 -910614 817972 626480 815370 259265 574492 -679178 -1089441 -464398 422870 -951588 596869 -317803 -118640 -856774 97460 864983 -722770 750233 882785 -813010 -8632 359377 487659 -434048 -677109 374290 -551920 927651 108027 396135 -384043 -497450 7216 -442655 -237942 721649 223888 519115 249054 -1173556 194477 -887554 591415 556550 -142207 -181228 -413496 -383665 253024 -369228 666223 -183062 636975 292254 -814679 -600352 -671348 29201 789847 
+382590 -438700 310471 1049604 -95794 62896 260499 406540 -933286 -192752 -655276 -818337 -924199 -80793 -238387 -426673 476087 649990 98569 -61995 78451 -96474 316521 -560425 881514 -849088 -840553 383328 306400 -969808 -744001 791886 -822521 -584050 -481758 -342360 406845 -11638 843576 -403689 606663 76258 925650 -689477 -609325 425842 766684 583971 -61998 18215 -66230 -846189 183836 404509 254434 -26215 710724 214429 -840132 -140502 489955 -778592 730196 737354 -721615 846242 -540847 -509190 270145 -631901 -1039445 -942838 334047 -528177 -555230 931925 -726702 787101 861796 579208 -168555 -381635 889867 65286 -555874 -365479 -580627 518124 877501 889556 217504 596880 599954 -892823 -697299 -1012741 260339 -407367 793001 746392 253342 -207210 -437708 432936 -606116 351583 -728395 -54139 636953 388056 -168223 890117 662295 -95636 369234 -225082 -522010 837896 445793 -15388 -295948 -116974 -423661 347183 -925636 44187 446626 572220 -584352 -432885 994480 647197 -708772 858381 -696624 -860321 263988 -251872 -225106 -175268 -570110 -240274 -844290 -18735 -782639 -414177 -370415 658640 202626 -391323 658513 -331481 -679262 67440 143801 851692 120735 -751458 220974 -781690 301915 -990792 -242767 -189078 135931 -584869 -863241 -460781 116402 -1004686 939422 -419448 -927475 450967 -408961 -660603 261424 -914129 -400262 -7053 -655309 -204054 -906725 251540 -907780 800449 668204 844386 261693 542069 -668639 -966020 -457368 377130 -978018 593996 -258417 -102958 -888410 95035 841288 -766350 745456 866245 -805021 -22850 352000 573739 -498460 -700001 363916 -586590 899338 80071 496268 -352630 -462409 -59876 -438667 -232844 861524 273665 505608 349147 -1047635 187262 -792479 642548 621609 -151231 -153580 -461047 -495992 294717 -219103 585301 -175853 600882 321836 -792928 -599374 -721562 -2236 796505 
+328631 -428729 393590 909652 -24514 38808 239274 413668 -910445 -98094 -614027 -809721 -930270 -111948 -320534 -509747 405972 666817 102421 -50574 114632 -137430 276287 -540295 842300 -836293 -848784 371836 273534 -940436 -834557 785370 -769263 -544212 -584586 -411624 374979 174612 841191 -403858 614539 -5780 965594 -778608 -507061 315432 757687 478785 -78997 8777 17639 -836957 117174 377004 274061 -32844 650725 250119 -834342 -198882 456923 -767700 792626 716617 -708103 836627 -523634 -414604 306484 -645088 -1029260 -919509 285739 -543869 -519266 802637 -710753 775254 850062 484221 -185230 -300422 735618 100224 -526828 -362257 -554664 519136 861931 801278 193714 648485 590222 -929114 -737615 -1006949 324161 -519391 856913 722186 269649 -234151 -496795 471352 -586812 266388 -731112 -150846 625630 422957 -299857 921367 620702 -193663 364884 -252324 -591011 875954 427653 30465 -430000 -281943 -402822 323958 -840987 92817 381195 564555 -640036 -384536 980513 611220 -726750 829501 -703266 -867620 254403 -228198 -218138 -179474 -553034 -227943 -837905 48291 -759701 -367167 -379596 599297 249763 -351045 596451 -369342 -671384 70126 48727 967471 91915 -848414 289342 -788495 258408 -866421 -208931 -19536 45671 -549242 -890591 -476053 133818 -929658 1036301 -236988 -908082 412145 -435567 -714868 284728 -910597 -538761 -679 -700202 -253696 -875629 227449 -923121 778731 692137 875873 268483 481438 -626486 -880783 -451131 399222 -959151 585534 -209416 -89740 -955384 107399 898678 -741468 739711 848623 -763167 -33175 369673 517278 -547903 -684530 377809 -624632 894548 209328 633294 -320468 -395076 132603 -437531 -231292 963140 283395 514454 423962 -838190 182272 -775052 673372 607888 -164190 -200505 -500312 -502082 305883 -82461 564935 -22339 582079 300881 -794489 -601706 -784284 -353 812640 
+270134 -413970 455712 749733 -64839 24698 211979 430065 -868712 -42888 -582751 -799161 -901480 -61528 -383295 -548005 523282 684858 82724 -56705 125598 -158215 246313 -614269 815571 -841205 -810301 371156 254490 -916762 -880226 791093 -781291 -540099 -698163 -480895 400688 295312 834196 -418729 611345 -134086 995251 -988250 -478218 328177 734875 342739 -85260 -30517 128743 -830501 -10298 361950 240501 -29416 572323 290295 -817975 -281094 418330 -792044 721692 732307 -727078 812622 -523874 -407705 213633 -681142 -1006575 -972444 342597 -524910 -540752 710236 -678543 776407 842531 417127 -198921 -209155 764728 95216 -533075 -346512 -564655 512081 810177 677857 197005 711232 586716 -966321 -776934 -955601 475329 -479610 895139 715248 293645 -218656 -556793 497128 -575799 319884 -802828 -247422 614788 422969 -404107 940847 546087 -355621 369378 -229147 -612685 901413 424952 68899 -520202 -291232 -367947 391671 -679343 145829 355931 573027 -728997 -390639 924032 577955 -780147 799501 -717190 -869072 327003 -198530 -231378 -205041 -558134 -242634 -817713 -2379 -696759 -356269 -361335 612693 305296 -319953 728431 -336283 -662864 71694 90918 998201 -1871 -859038 270035 -789709 240212 -701681 -158346 159227 -110358 -508055 -905600 -517614 153985 -811881 1088707 -176398 -897085 356470 -460459 -839154 280336 -895016 -563454 14874 -759228 -295150 -861082 212747 -917203 756924 693565 883543 280655 489236 -572648 -824596 -456957 364019 -952107 567979 -126142 -85291 -1003471 117973 861146 -758823 710734 895460 -698407 -75234 341118 322339 -564006 -568786 365347 -645012 889370 368681 632336 -288480 -342925 292905 -483019 -271948 890715 216806 491975 396048 -803316 175474 -763731 650890 574998 -181353 -182879 -592422 -460962 179502 -84185 499890 59731 573129 320011 -769962 -611696 -850381 -10328 815810 
+259702 -400842 503116 710764 -173478 18393 220366 451445 -752197 -82888 -576918 -789911 -914827 -109539 -387260 -522392 679535 697532 71770 -34285 102017 -150508 268992 -731904 813397 -835235 -727409 356964 209128 -976152 -858730 752751 -808127 -521631 -780262 -535297 428455 275745 817874 -405992 629658 -206532 983337 -1040848 -520663 392688 724506 369411 -15990 -56436 203274 -820418 -117501 343058 307544 -20323 508946 333389 -808028 -360372 410056 -785284 728764 667936 -720332 791853 -502251 -427369 166912 -680990 -1040115 -1005544 403363 -502899 -543939 748631 -678500 766102 837254 410888 -186939 -244241 869154 56052 -549511 -343962 -584699 521036 795126 615496 155671 747279 592174 -1002951 -808007 -891632 508840 -477962 890565 707824 323824 -208977 -563446 511296 -557561 367295 -783016 -335696 667283 461304 -453067 963932 540682 -432312 406933 -260701 -585135 917947 417746 46582 -548454 -105913 -328690 392946 -641166 142351 396654 570305 -784095 -329389 831283 535516 -850510 770617 -736396 -883209 315948 -191626 -243783 -244735 -565498 -242649 -859195 -140873 -621716 -361724 -359857 696674 365729 -362640 903771 -337402 -670320 64014 233637 927755 -116298 -777800 221703 -788732 256804 -639319 -117696 157978 -64233 -494447 -904054 -544559 193331 -703820 1044914 -254421 -897614 391660 -481795 -1000387 265146 -897560 -295571 18411 -820633 -312167 -836509 207275 -904644 748006 669299 875587 286482 497043 -601178 -889512 -454559 260077 -925781 569737 -139155 -62379 -1022075 125747 891792 -712238 734858 903573 -648230 -141814 311413 232550 -564178 -502694 383269 -695849 898431 342791 476039 -252365 -279306 244956 -484518 -300866 765158 173219 490071 273770 -990883 166859 -810629 577612 515066 -202371 -167678 -592419 -350794 59412 -222285 420693 47753 571417 336163 -771857 -572559 -910493 -7106 821383 
+307954 -347925 524257 827441 -234025 -3055 177704 478323 -669866 -179739 -668817 -780959 -922180 -148609 -336460 -471855 690057 707237 12074 -44602 58268 -126046 307656 -840344 808299 -843933 -703106 362616 229135 -939527 -778940 790401 -830225 -508544 -783574 -526209 511050 71443 801576 -411795 628524 -199149 928271 -875514 -632717 517521 750644 524401 34883 -39818 196042 -808430 -120785 294558 275613 -17404 493038 343035 -789651 -503160 423555 -807102 665218 667134 -737917 769691 -521776 -472222 188894 -644706 -1047976 -1033554 485858 -512255 -575353 880266 -690999 737013 841074 461670 -209024 -338573 996281 -10344 -569366 -349910 -627211 527235 877368 627246 122814 760459 604052 -1080418 -831589 -778291 469023 -355855 843351 757531 357568 -168690 -512252 513016 -547350 436599 -804059 -293312 648693 494072 -386189 984365 581597 -357762 436180 -242177 -620113 915556 432778 23205 -568271 27322 -297225 359180 -712655 112397 481788 569855 -781508 -284340 730532 503788 -909461 758104 -759423 -873484 333681 -187565 -237567 -255178 -560983 -228539 -846465 -243852 -537912 -353266 -369939 759769 437659 -361750 982579 -273960 -653386 76045 397682 793930 -199429 -676958 219046 -798330 312758 -716474 -109132 -79295 4976 -472428 -895106 -564280 151684 -633820 961701 -410868 -887945 437558 -499519 -1004213 269443 -912641 -263299 13091 -877347 -296703 -825429 211878 -879704 730001 626980 850037 295246 531795 -653788 -971630 -440778 251685 -926884 563299 -110897 -88340 -978623 117936 852964 -752589 712042 862588 -612684 -235470 327093 363328 -547527 -486462 386698 -675223 881248 168554 399821 -226887 -217046 10846 -548391 -287896 672682 182018 491542 215913 -1165554 160896 -855554 567860 464051 -224126 -209061 -560903 -247130 53548 -375392 398534 -74845 586447 350055 -750057 -593303 -955824 -9103 828128 
+369726 -323645 527136 992659 -189175 -23768 153759 503474 -618211 -243638 -754231 -771743 -930709 -209871 -256018 -445199 540166 717373 7676 -62014 17303 -79860 329961 -888826 885375 -832272 -638469 361562 263459 -944571 -697413 745355 -804383 -493193 -705130 -482192 506694 -47051 787456 -437792 637762 -122188 905680 -721168 -705854 553246 703917 599240 123846 2809 112334 -796622 -25049 306319 225245 -24516 521606 371084 -759101 -501913 453197 -772213 582141 620052 -732511 746190 -518871 -521685 118944 -659992 -1033219 -1030448 555060 -529191 -623409 961128 -694398 726392 847635 552890 -214385 -381901 1029450 -68862 -580848 -335343 -688365 528279 876316 716737 108344 720136 621333 -1023548 -849149 -724286 373585 -343364 773286 799442 386172 -216593 -465757 572719 -523367 520140 -841082 -186420 673913 522089 -213315 998521 659598 -184821 486489 -244850 -649761 892756 446159 -41466 -501478 -55832 -280051 391515 -817038 50159 567792 575744 -710417 -279364 653793 511252 -935323 758439 -782474 -888075 297986 -173913 -221118 -262001 -565495 -237785 -892941 -225016 -472270 -390224 -364350 737444 492303 -395184 888132 -228468 -669791 70389 440988 670000 -210939 -645204 246836 -795730 390747 -837069 -137323 -206328 118902 -474729 -873073 -560671 190645 -658764 858187 -487075 -897141 521376 -508944 -897143 269070 -902415 -332265 5187 -919544 -254730 -831232 190237 -908668 707478 576740 813926 296424 579161 -672637 -1129956 -459823 293373 -921324 551697 -135100 -107408 -936193 114769 833895 -756143 737086 902582 -612324 -329409 326991 508350 -526443 -551803 357405 -673695 871715 105028 460448 -196129 -202373 -53763 -558010 -270534 700060 214190 481969 289257 -1116242 151573 -893253 574134 551544 -237906 -190696 -546914 -229233 141421 -431951 416726 -196824 620705 343782 -732107 -617811 -979630 -24855 862731 
+390476 -254832 519320 1059434 -101409 -21610 133066 528973 -625611 -220980 -786783 -760739 -907511 -289030 -188306 -458847 412131 715881 -2197 -73412 -44445 -31048 298539 -863384 856250 -814252 -674984 373429 287239 -930354 -759248 685042 -779135 -490008 -594652 -392084 447027 50298 773287 -434159 636933 -19247 927087 -750400 -733037 487112 740083 554216 163781 21165 778 -785160 113692 307833 202786 -32389 582834 366349 -728988 -507519 489821 -748405 525742 642514 -723640 721169 -553264 -502287 97450 -653607 -1023324 -988672 672547 -508997 -641415 910469 -733379 715322 863203 654210 -164750 -365394 900242 -91950 -602411 -328738 -751751 525276 854808 810875 96423 703452 640953 -1012511 -855466 -681150 268540 -307966 711925 841024 408121 -221361 -424205 596910 -513710 582648 -840106 -87075 652655 559146 -144905 994810 601238 -69708 511202 -240297 -623618 870087 408126 -123721 -376311 -241410 -289181 354577 -921897 -15491 619284 599334 -618943 -262554 623049 571367 -918549 780107 -804217 -883217 248288 -175757 -216542 -216162 -577305 -242700 -893465 -109474 -434311 -390282 -357619 652869 517166 -409289 701558 -201863 -647547 85052 364938 631497 -147435 -714321 290082 -800603 449711 -952421 -186894 -116763 116992 -498723 -910076 -493327 156934 -717048 842766 -387503 -880263 595755 -515291 -754226 267153 -890120 -511296 -6766 -951497 -201768 -808893 163994 -895373 713336 538281 789930 294343 577706 -645303 -1130451 -453195 327572 -901293 568268 -114409 -81664 -866589 79204 823321 -764266 712233 862940 -630263 -326056 343200 598878 -440308 -627966 387708 -651782 911276 166971 608357 -170890 -169767 87155 -592221 -247142 813748 284651 465143 403414 -892955 143114 -979013 643258 602178 -269878 -147057 -518906 -355827 312407 -334338 361391 -187806 664100 372494 -737851 -617712 -978552 -44032 842226 
+351073 -229147 450948 955986 -34602 -12007 88716 555724 -665948 -129593 -753159 -762317 -952112 -278330 -172629 -511716 461707 715381 -8886 -85298 -76415 23639 255215 -763017 813441 -817653 -737592 380322 278116 -929094 -832553 646509 -737768 -445677 -484239 -346076 451938 243037 766647 -435904 619917 86154 968666 -968594 -634195 410367 690197 393054 132600 -2329 -72074 -777848 177059 316436 248765 -30672 662586 391767 -695970 -388534 505223 -789922 482031 643567 -724765 695435 -554417 -468724 106149 -592389 -1011681 -946658 684905 -542433 -708339 778931 -675642 693871 866601 733416 -205766 -293042 751829 -66416 -654047 -322796 -806144 522149 838009 911070 96458 673007 660116 -932985 -848675 -694244 277098 -369305 690834 839571 417104 -189019 -413515 618230 -517318 590286 -777991 -18102 685310 637486 -194262 998757 719181 -150778 545023 -251018 -619513 831072 412022 -208798 -298361 -306214 -311932 389149 -954788 -32172 613585 587303 -584054 -264130 637706 603895 -865201 809529 -821712 -906128 276675 -192153 -237242 -250358 -532957 -235542 -917660 8555 -432485 -369313 -355062 597812 554357 -421074 595519 -248569 -646982 76339 223477 689589 -36342 -820090 265293 -803169 518274 -999924 -231321 103761 -8416 -528836 -875758 -458233 129586 -878116 936273 -221180 -878341 560494 -513645 -662147 284288 -872699 -540169 -4 -958515 -155993 -812216 178406 -920646 704982 513337 806532 284263 556382 -601899 -1066146 -425883 403629 -922067 554735 -122566 -101699 -834228 68071 845653 -722583 684216 871568 -673366 -282288 313841 473694 -406229 -711493 393122 -627476 876779 332292 644359 -151503 -175420 288859 -581949 -230068 966369 254028 479397 427212 -797245 136670 -1036478 646973 611500 -286174 -168321 -446553 -446000 340387 -169282 424857 -69875 694427 376439 -742649 -580993 -952865 -10700 871770 
+287302 -252739 393490 787927 -71898 -5163 91270 574538 -752960 -53778 -663647 -753055 -924711 -363138 -215451 -546839 628850 723847 -11464 -114638 -92131 61257 239491 -635329 798228 -824206 -831774 402201 243632 -954536 -903801 642939 -806831 -442965 -433108 -292396 397678 307859 766678 -446337 616732 125927 991338 -1038249 -541923 318074 691221 346417 95493 -40514 -64512 -761839 141450 324083 243896 -21850 727313 383902 -670807 -307403 521939 -772241 463019 657873 -707284 676890 -622006 -417085 156594 -666080 -995148 -933712 690685 -547315 -731165 708165 -641078 684136 873001 771258 -208243 -206200 748570 -20628 -694891 -313247 -849751 530189 791352 941694 101082 610349 674517 -875145 -832566 -764487 387018 -496658 706253 825007 414695 -186753 -430842 619735 -487761 568212 -726456 -34122 706780 635259 -296691 982410 644206 -304852 554821 -238095 -599784 816944 399262 -254394 -210494 -176432 -347884 308857 -885644 -83658 555455 591276 -567603 -265969 700698 625735 -795694 843508 -833164 -929812 294113 -212133 -242506 -248329 -523906 -243850 -942859 41031 -469452 -373092 -353785 619469 563361 -468408 658072 -255606 -662992 87671 96295 823566 68497 -866109 255647 -811755 554684 -890339 -246549 180868 -103858 -563699 -887747 -454353 100676 -937118 1020817 -171500 -872147 511322 -507038 -667129 258012 -889118 -369469 4597 -947086 -127094 -817660 162750 -884068 705065 511055 832379 276999 496019 -594356 -919440 -453038 398739 -890665 563509 -183545 -158201 -845716 74040 848134 -746721 691233 873546 -719934 -281032 299708 291943 -412811 -668317 366371 -602166 885873 385700 525309 -134974 -240873 262679 -553352 -225624 946006 265440 458789 328958 -929646 131196 -1084182 624734 603369 -298352 -162682 -409331 -506407 287597 -70170 512409 89844 725010 403713 -760139 -603024 -906291 -8471 873334 
+255984 -300245 333518 701108 -166532 35215 36296 588750 -848936 -63325 -631083 -751181 -929218 -373284 -293582 -539161 711120 713209 -20561 -118195 -86410 87913 264015 -554316 842653 -824311 -833057 408044 209733 -951608 -876763 645259 -856539 -438268 -464967 -263022 390764 152156 773440 -440413 612572 87571 975406 -828696 -472445 320881 712668 417431 57329 -56649 19665 -754107 21774 349319 222388 -17204 671385 402008 -649722 -196396 510975 -808007 491900 706455 -701621 650696 -649324 -372363 151931 -665258 -1002832 -971948 631302 -519060 -758692 771959 -678441 683023 861504 743257 -205222 -242681 864516 46905 -714277 -308272 -871295 518291 832141 904631 82882 552747 680856 -864060 -809636 -815151 523687 -551062 762136 769778 399749 -215462 -524394 579314 -497068 443007 -686122 -119842 692530 646879 -411732 953340 648267 -434037 546358 -252579 -584066 840367 437683 -304535 -246382 -1241 -387159 293720 -771391 -101683 467540 582708 -641753 -316937 794970 647458 -735851 870765 -836814 -929633 339797 -252293 -254565 -202013 -577338 -239042 -1003103 -51467 -534009 -360049 -365418 692874 534132 -425387 839051 -345418 -662519 87474 38985 949045 121174 -815022 218893 -808069 551808 -768139 -224806 108915 -57299 -610915 -847020 -402141 86548 -999380 1088806 -288607 -890816 448669 -493108 -844254 243079 -878667 -260952 18716 -907684 -129521 -834635 157285 -890787 705520 537968 866151 266256 493072 -609453 -846158 -463524 391617 -884511 573678 -260971 -198334 -875804 101388 871260 -738226 688008 863760 -773920 -216530 328601 247263 -362321 -611806 370238 -531229 920503 215001 409541 -120840 -268276 37986 -531928 -269259 798037 194373 458255 222918 -1142803 121105 -1112769 574229 536028 -309435 -152184 -410381 -476050 162856 -116928 574666 11459 751912 382947 -778313 -562448 -845168 12772 896571 
+287487 -328290 274511 785012 -233083 46553 55689 586274 -907913 -142911 -573517 -746713 -919014 -421689 -365452 -466397 607698 701959 -535 -138358 -44054 85373 310712 -548346 882988 -814292 -788280 432552 250536 -916216 -773448 620715 -835249 -410245 -555345 -348919 406129 -31927 785281 -455243 620650 -2634 927501 -717931 -512578 416068 718608 578656 -62290 -27004 131262 -746677 -104163 373585 266044 -22916 600559 393547 -619961 -166216 481257 -776765 542027 722471 -709360 639372 -691152 -422114 186357 -674794 -996764 -1017314 554983 -561054 -806601 900921 -693142 659591 864401 668425 -197322 -376346 1002865 96721 -765842 -297220 -861876 527640 869433 792982 64292 551628 681593 -821216 -776160 -920578 501016 -524193 835128 728899 373489 -215065 -560674 587703 -473527 362298 -719609 -244843 708428 657703 -444338 938705 631599 -382719 517269 -267604 -559203 882684 418822 -307626 -340609 -3311 -417338 288003 -659275 -112910 390993 584420 -729232 -374437 893804 616662 -709169 885247 -833458 -929112 318119 -244865 -229755 -178419 -548439 -234599 -1020460 -189955 -616878 -368197 -360839 765350 505772 -408136 963981 -356631 -638753 91018 163324 999986 95415 -708089 266649 -819122 525623 -672746 -177356 -142326 53413 -639720 -886866 -413286 58870 -955770 1052528 -460724 -863199 397006 -475693 -997922 260128 -914849 -278181 14641 -858423 -164988 -848915 144483 -900459 718739 578399 891239 260992 474652 -664186 -825206 -447457 338864 -888429 574009 -261659 -199669 -904589 93465 864561 -791059 685332 869629 -805569 -117314 293829 396071 -333630 -548228 357783 -524632 930572 96600 433174 -115806 -317577 -68171 -477506 -277930 660947 175015 447231 239947 -1155869 116791 -1129385 614297 485768 -317059 -152121 -460168 -379724 38133 -266913 655164 -45381 762128 355188 -801049 -571532 -778893 18564 899440 
+351132 -384577 224714 949664 -198994 85119 95418 586876 -935274 -231971 -622485 -752248 -938771 -434743 -394770 -436966 445048 686571 57839 -168198 12653 59725 328502 -617101 853279 -811135 -743770 445335 232421 -944567 -710272 613945 -774802 -428702 -677818 -391428 485275 -20645 800404 -464799 614615 -121548 897792 -795593 -615220 516315 754134 603917 -85902 11686 203971 -738034 -128903 398127 298878 -31548 530510 363006 -611751 -225608 439913 -764147 592961 749245 -696824 622141 -731252 -496195 244450 -642475 -1004407 -1045820 464020 -498034 -799245 963643 -723054 653265 845251 566179 -223676 -384351 1064014 99383 -789639 -302492 -826745 509887 857274 684134 72101 532526 672728 -842386 -738629 -1010524 457278 -491807 883823 717925 344097 -220995 -534034 595637 -478876 280898 -726561 -288419 745942 685218 -356249 908032 550556 -225559 469386 -259174 -493301 915945 413512 -281846 -435969 -176924 -432255 231375 -636360 -46507 366650 591001 -790638 -370688 968092 588556 -725330 885783 -821438 -931288 291223 -220640 -203418 -148835 -516994 -234710 -1006135 -249833 -691463 -395217 -358156 711483 400359 -384308 929265 -353777 -630367 88974 310269 949461 4093 -644404 287836 -810393 473269 -689635 -129930 -162895 125381 -677587 -840946 -429501 105809 -894656 963145 -484266 -888144 375370 -452750 -1018791 252745 -926828 -521522 4282 -807091 -213549 -874501 139779 -897120 729925 628668 885011 259490 530912 -658374 -925797 -413373 300797 -880583 594733 -285745 -195945 -992048 110198 878534 -762131 679926 892239 -817185 -54874 298135 553624 -347112 -513454 358344 -517549 945477 101071 571504 -114382 -376032 59699 -497530 -292607 717518 157931 454609 349006 -960678 113191 -1121911 598003 498459 -321539 -186232 -527857 -267850 36967 -409342 620212 -144464 763389 383420 -785803 -592738 -716947 30693 929047 
+390192 -398602 228026 1061388 -99710 92126 116429 574821 -894677 -243299 -679347 -751129 -950088 -441813 -355740 -462775 419139 685134 102249 -176172 49960 23029 280024 -742386 816540 -831309 -658609 464774 294660 -954589 -730525 631981 -716866 -444644 -762566 -457442 469827 153807 817864 -451214 643492 -240896 923177 -979380 -711487 543014 727012 497169 -62518 18220 194689 -733532 -59566 418133 313257 -31795 489759 327471 -596140 -252021 416892 -788657 673646 794629 -703818 606127 -751992 -515666 267898 -652564 -1007709 -1032968 388618 -524244 -788565 886248 -733222 635484 841972 471601 -203008 -351860 938453 68891 -810033 -291634 -781797 519601 844464 615617 86451 532879 654950 -927611 -699567 -1021810 316260 -351841 901667 699617 309639 -204723 -513845 539026 -454440 301934 -722967 -266851 760455 720502 -239923 886215 595479 -103332 417797 -265141 -512356 930938 413549 -209753 -525318 -303868 -428349 234931 -726744 18162 380685 598252 -786881 -408799 995622 533316 -778139 869899 -804621 -949796 255648 -235363 -251632 -170849 -548748 -228798 -1045346 -183166 -757715 -373286 -357348 622868 373647 -342049 770550 -337906 -622960 85299 418996 825035 -110362 -682756 305932 -825402 404974 -813066 -107854 -33827 47961 -694535 -819663 -481311 160616 -780861 871772 -335667 -890935 387656 -426854 -935496 242997 -937254 -566979 -1330 -748698 -263341 -897330 96519 -891633 737614 669432 860770 264244 576938 -623980 -1026012 -461522 261316 -902357 579526 -334777 -169650 -1010656 93058 876268 -762334 658444 879490 -780817 -28616 289744 565335 -343155 -486713 372973 -501606 952847 315011 630485 -114776 -452508 273086 -423856 -283792 820847 215086 444231 425725 -814704 107056 -1137270 658816 574593 -327060 -176916 -565631 -253818 188904 -416829 595765 -180606 741307 329244 -786954 -610407 -668072 13632 929858 
+369993 -439748 241825 999225 -36810 93206 115728 555322 -786757 -166589 -737557 -756855 -937488 -411669 -278261 -519263 563629 660924 102078 -172075 109595 -34266 235516 -847890 795458 -822082 -634851 477372 264957 -929898 -828036 667985 -803612 -435784 -788460 -507514 504262 306074 831244 -436123 631425 -208511 969860 -1036250 -699325 486056 735452 345259 64 -13666 110174 -729180 78440 436550 296645 -23378 471084 309745 -594264 -357931 407581 -815560 710571 798312 -687211 599052 -744157 -443534 278953 -650292 -1002557 -983856 322168 -531277 -786635 753483 -652023 635144 835434 415464 -211765 -221565 793281 9138 -865211 -275313 -723295 523445 808985 629332 75895 570346 639233 -992397 -659226 -977923 287449 -327240 866641 733650 281249 -185035 -461423 490462 -449265 338796 -720350 -209288 771131 740816 -165776 885001 578557 -106474 396013 -274148 -493937 908113 412211 -136804 -581150 -244247 -406526 241948 -870041 62167 457551 592880 -730887 -400852 970972 520179 -848244 840568 -782393 -980574 290377 -212872 -212055 -200153 -535198 -238194 -1048185 -57355 -778204 -360495 -363254 581882 290761 -350417 621392 -276156 -635878 84627 414386 691142 -196265 -781660 226584 -815268 325175 -932820 -123073 213274 -80094 -691933 -797797 -523704 174603 -654617 867287 -207987 -885702 477209 -397963 -769917 237318 -930268 -408161 5882 -685397 -299888 -925544 84815 -896454 761744 692603 827113 270450 578543 -597194 -1101144 -475388 271389 -914577 602951 -289457 -167984 -1021501 117249 853167 -723622 631853 886916 -748239 -38276 271699 420990 -392895 -533097 352453 -529886 972150 376599 551031 -118787 -475839 301508 -431182 -256036 939319 232507 443063 384458 -870774 104477 -1100885 610660 616009 -321277 -151494 -607203 -277886 280446 -285578 562929 -105096 708355 346562 -835898 -591913 -639723 35613 934034 
+307403 -393146 294242 831082 -74820 87080 146627 524318 -686349 -76563 -792996 -756998 -884477 -350577 -201677 -536783 701352 647085 117628 -158930 119344 -90642 249533 -896727 837291 -824992 -661088 486222 233854 -948760 -915504 682376 -856059 -486982 -734962 -539233 470984 232079 840487 -430502 631404 -93028 991791 -873519 -652190 351875 799754 359485 5320 -50444 -1500 -725708 167145 454085 271432 -17336 570372 256619 -594101 -470700 425044 -787427 748205 799633 -699583 595283 -796447 -390333 217772 -606131 -981047 -913065 305868 -510006 -775677 709610 -641637 629544 844779 418661 -195363 -204252 742527 -47274 -888509 -276510 -665632 515400 824620 697752 112565 649470 618645 -986221 -624213 -944672 342353 -340596 800853 763387 260284 -216223 -440822 503508 -468244 405250 -827292 -123672 772379 718842 -216305 867643 674227 -254844 353115 -260970 -467516 868777 425051 -58126 -560178 -56364 -366150 223370 -971591 76071 541054 599398 -635446 -395799 901989 514588 -908037 806934 -758862 -953632 316834 -206959 -227860 -235980 -551582 -235346 -1045394 46045 -773624 -395485 -361071 638762 270911 -371264 616830 -261989 -635266 85106 325517 628985 -213236 -859285 213754 -821868 282290 -993772 -167488 160245 -116324 -677699 -765170 -535715 188828 -659180 907993 -206294 -904287 522842 -369033 -657932 241761 -919798 -215940 5793 -643345 -310542 -953661 81618 -871842 773916 689904 801304 281056 543642 -600698 -1104062 -498317 331747 -930137 587846 -286314 -169122 -979049 134251 882019 -738437 647832 849206 -680759 -77274 267254 278092 -435988 -640574 318600 -563734 987974 253478 416124 -133131 -547067 103761 -406989 -242533 929632 242031 439779 280976 -1085542 106031 -1035099 556136 615460 -308368 -195419 -581259 -449300 296708 -135165 449681 71849 672835 314990 -846313 -576388 -635660 60131 934003 
+259761 -390204 365670 706242 -164688 88475 186182 500929 -628206 -47824 -731773 -770722 -890258 -320193 -169409 -528581 665707 628650 136358 -158145 104311 -132710 282112 -857366 863775 -810536 -771683 503612 204073 -962240 -853181 727904 -844747 -473544 -621288 -478012 399467 57935 842769 -421960 619819 -8447 977693 -714886 -556618 346210 798149 493799 76231 -48139 -74013 -726976 153292 438328 236665 -21420 648287 257813 -604133 -530563 462459 -764947 754289 837120 -711647 593531 -826909 -376030 217316 -673419 -973467 -929873 329373 -525854 -752544 806564 -655587 631150 857702 474586 -238644 -266517 828456 -86913 -863748 -275284 -603859 522801 870342 818045 117876 694081 602136 -1018517 -594969 -873331 455724 -402141 734088 838826 250178 -199768 -409638 499880 -466191 504391 -817616 -34606 798125 729805 -311939 868436 660238 -407171 353138 -261249 -512071 833163 439212 3469 -462826 24910 -334008 227034 -895754 134359 607692 578580 -566486 -392975 801703 536170 -935311 773411 -736905 -968189 318667 -185810 -211948 -250594 -540086 -241072 -1073676 14313 -725532 -381528 -371130 705821 216696 -371544 770561 -245798 -644422 77242 150525 669484 -153182 -841768 250932 -827162 248512 -924703 -217313 11282 -33865 -649076 -782114 -539032 194623 -653200 1029357 -349745 -884689 569549 -341085 -653729 239258 -931566 -298353 15717 -611066 -286733 -973940 61498 -900602 807142 666206 794683 287548 515972 -666611 -1033443 -459110 345236 -931771 563937 -249851 -141320 -929228 126260 905986 -749334 647718 833177 -630693 -102847 260027 275520 -490707 -712487 323839 -585148 989918 62728 406331 -150741 -532818 -56004 -418505 -230120 821462 214839 434824 217892 -1190840 101786 -1000994 584201 568088 -302874 -167742 -552495 -502668 257922 -74994 425323 73391 632519 314721 -868254 -591044 -656863 12436 947707 
+270632 -338769 430079 736572 -230084 54709 199348 476807 -595037 -112899 -653927 -773716 -907840 -313404 -197342 -478748 500006 616425 152167 -174693 94167 -151356 325223 -747874 852913 -825640 -866464 500037 229167 -930172 -786064 758822 -747800 -486604 -504486 -423700 403183 -61942 839324 -415174 638302 107046 924451 -797777 -476475 360687 762819 604017 141554 -12794 -63714 -723656 51807 450703 215705 -30382 697795 204833 -612462 -506986 507503 -777368 747177 825436 -712881 598581 -856359 -407289 183516 -650496 -967905 -968354 430518 -531450 -718868 932018 -705930 637044 863706 565615 -252960 -389874 982075 -77485 -852594 -260806 -573344 520147 884754 908931 119243 742677 590597 -1016895 -574172 -795624 490039 -498168 693307 845603 255008 -176125 -450562 453343 -467017 559654 -830589 -51332 791902 734875 -416764 872850 705855 -423006 386814 -267758 -503668 823661 426656 61423 -384151 -122398 -301403 274971 -826589 112544 618342 569512 -552362 -345208 705281 617245 -920150 760179 -717715 -963028 302087 -184585 -203456 -227232 -531832 -239315 -1044188 -114388 -654708 -387584 -364355 758313 206784 -407608 936404 -248621 -646097 82309 62337 791317 -43385 -743389 301256 -826706 252672 -803870 -245312 -181532 77309 -605902 -744142 -559711 160370 -794878 1072493 -468623 -904364 535550 -313209 -791046 212969 -934196 -485059 20556 -604653 -245549 -983488 62470 -902269 826436 621529 816434 291420 481541 -660138 -920291 -459396 464582 -952528 567242 -222966 -144921 -865383 97751 886370 -781147 646292 874362 -604327 -190958 242324 438849 -519687 -707981 336543 -626994 1008387 69820 558864 -171072 -533195 180 -428211 -234387 680701 143560 433545 299386 -1039129 102112 -918237 625331 509002 -285875 -162415 -467356 -501222 123030 -153213 384107 -25096 604665 294316 -890405 -609614 -699787 4302 941678 
+329409 -299098 489032 901259 -190392 57622 237124 452962 -629629 -208333 -594851 -782926 -923949 -240042 -271359 -419048 405322 603239 124683 -132865 35346 -156893 317669 -622734 806380 -820269 -854509 500242 262585 -935334 -714109 796589 -753758 -512377 -436611 -363937 403561 33154 828628 -419512 609204 131575 902722 -973423 -482608 465320 837807 567484 144100 19078 23000 -730585 -80386 429569 200491 -32676 726985 172371 -635362 -395764 510380 -772160 663414 806342 -722646 603521 -854645 -446862 159853 -683332 -979904 -1022890 522218 -504980 -683169 952953 -749191 651823 868495 666659 -206340 -402563 1069428 -39785 -847979 -258509 -554510 529980 844978 944901 143199 760227 584703 -980916 -561891 -736281 484972 -521615 695638 839036 269185 -194471 -501973 457356 -450264 577876 -826004 -101991 798176 682837 -443303 888632 708582 -294221 406630 -253467 -513303 843227 410562 58353 -270361 -281532 -283785 261418 -703895 74242 582117 546909 -664316 -330818 640761 640111 -867400 756379 -703893 -981835 277015 -192506 -222752 -274349 -570297 -243483 -1040433 -225426 -569984 -390488 -349660 710433 225729 -450346 974024 -201269 -642113 78793 93780 925094 64472 -656209 276145 -838564 293136 -674867 -236827 -124337 145491 -564718 -737819 -513198 132165 -891612 1060670 -458701 -903615 455123 -290621 -958619 235787 -949990 -584151 7306 -620367 -195073 -1000699 49674 -890063 839260 575049 848320 294188 454299 -631813 -829758 -474663 422884 -965067 557852 -157284 -96946 -825804 118720 896535 -744273 641159 848812 -613398 -269719 253412 576586 -536057 -624081 323481 -662603 1010556 190762 649372 -199513 -549992 229827 -446868 -267186 693083 167866 443617 396715 -835626 103827 -890978 653305 480291 -270082 -140199 -473701 -400093 38595 -312374 369092 -140599 574134 309563 -909681 -566285 -758688 9304 958817 
+382889 -271863 522112 1044361 -105827 32921 247499 428201 -733507 -245966 -553139 -796356 -899215 -213122 -349077 -451695 495717 593821 111012 -129302 -17093 -137842 268559 -552139 787471 -819713 -802799 492312 301445 -955286 -725012 812526 -767385 -558300 -441176 -293915 451844 234085 814044 -411870 617867 69697 921693 -1039747 -580810 538310 801649 413610 133828 11146 134359 -734309 -123215 422258 263628 -24989 665638 135174 -658157 -293096 524068 -789479 618875 782657 -736841 615190 -829972 -500471 151336 -676815 -972634 -1051885 613967 -543406 -659012 856251 -679591 657102 866448 740334 -197256 -308082 993566 25782 -815040 -255683 -566921 527296 776357 897773 167236 737060 588961 -932793 -560387 -704402 328654 -462700 741538 799367 294945 -166752 -539918 448913 -463539 552548 -802998 -221268 812748 693162 -356405 911904 615516 -137136 463604 -271291 -503056 846344 400388 32457 -220674 -282744 -284846 324677 -634239 21991 494383 568889 -722217 -253302 619328 651918 -797320 772499 -696557 -1000100 260056 -179140 -242615 -243568 -562789 -234928 -975397 -237422 -494813 -400452 -337267 653654 269515 -448934 851584 -314529 -607419 77981 210181 998349 119998 -659412 245326 -833372 359120 -665798 -196351 52144 27210 -527083 -708792 -452204 116968 -1026638 982673 -307872 -903898 398216 -270510 -1041460 220226 -903856 -372345 -2298 -650444 -149156 -998738 45453 -878648 864254 533482 881668 291703 506063 -597894 -840887 -463427 338420 -978711 563081 -165221 -112887 -848327 87492 889203 -745578 616995 861499 -633572 -307872 237346 520410 -559732 -525848 320100 -681319 994403 346034 584155 -221443 -492804 279078 -435770 -289078 813317 167044 447726 418006 -811008 106355 -807708 629843 532000 -249151 -208966 -389951 -278661 41499 -421219 450607 -219316 561959 299230 -911381 -601996 -824686 3430 970962 
+383020 -239296 521892 1038223 -40387 14265 273895 413308 -834809 -195368 -604884 -804555 -905486 -154984 -390241 -530225 663230 580961 84093 -105234 -59336 -86225 235747 -552023 818384 -827454 -756188 495637 254359 -943644 -837991 775837 -823932 -540172 -522841 -288037 500878 306999 795271 -415575 606089 -35271 968909 -836768 -679538 558597 812224 340549 73648 -27771 205041 -742041 -60308 386291 265020 -17687 570601 112610 -687705 -195482 487397 -805832 555448 777738 -717448 630286 -813416 -508422 120271 -665244 -951660 -1033262 678353 -542508 -590676 734477 -667292 661673 858090 771194 -231701 -224481 826529 81219 -810270 -252130 -596943 522797 785893 811329 223014 700606 601240 -856234 -574531 -682988 262539 -421855 807449 757720 327696 -175580 -567289 471540 -476159 500483 -780492 -286550 789447 656089 -214129 942516 589291 -94239 498082 -249895 -539050 906988 399478 -17963 -281642 -109695 -310519 336255 -712589 -26875 400182 578436 -798384 -273270 651781 616604 -737228 797694 -697241 -1002208 290528 -177412 -239610 -259750 -549390 -243421 -963935 -130980 -443010 -421331 -338612 581542 340849 -433878 672122 -325759 -639660 72504 371590 969114 98885 -746916 200901 -835187 422797 -760775 -145454 240930 -63132 -503706 -759365 -433032 108729 -1002568 898919 -187421 -922556 373223 -257675 -939922 225883 -944161 -217953 -5619 -693127 -126866 -1010578 36718 -896891 892572 509972 888460 284707 556791 -589400 -916935 -474834 294974 -955433 570428 -72217 -78311 -883535 76408 871763 -733682 619258 880930 -660750 -355263 237604 356092 -541778 -486664 299556 -704589 1033045 308306 430742 -250430 -435926 110408 -488640 -277595 956712 240981 438686 326615 -1017699 110900 -808296 567347 602078 -225523 -175186 -444428 -220932 144066 -395394 489188 -100070 586025 287954 -954883 -585528 -888294 -30102 987612 
+330653 -243399 524366 889447 -64922 -17264 230831 405576 -889672 -102482 -679165 -814621 -907507 -117629 -373898 -553848 703320 570050 17534 -74007 -90891 -37634 256700 -636429 861913 -844413 -677419 486641 236862 -976377 -906706 761648 -837100 -513714 -642273 -310457 488856 154266 781344 -410604 633714 -142909 999534 -704385 -726117 429842 810472 411919 -9683 -56063 194074 -750033 43952 369028 308087 -20080 474614 109906 -716914 -162459 461692 -773107 488904 752066 -718009 652516 -816752 -476859 150268 -645263 -949426 -977025 703682 -507560 -574831 713340 -652927 674472 842757 736501 -154862 -219442 749638 103755 -738022 -234686 -635769 524366 837254 695137 222549 661088 616300 -847196 -593151 -731960 296233 -330255 869508 717292 358567 -168565 -541413 516555 -470145 384166 -727738 -310866 796330 649636 -148838 962632 584236 -200623 519169 -263607 -547334 927737 402805 -87449 -346493 18310 -346320 313074 -826508 -76012 364629 543299 -794720 -266816 723988 575758 -709357 833654 -706187 -1006386 276904 -213397 -217414 -228717 -547847 -241471 -927886 5449 -431381 -370392 -353454 600413 392962 -440484 598640 -361934 -618445 65916 441236 856844 10513 -845421 281373 -847471 501271 -889166 -111735 79181 -111193 -477849 -728501 -412005 106184 -922962 864500 -197298 -924821 377993 -248042 -802622 221400 -917117 -233970 6490 -754978 -135207 -1013974 23490 -882019 913162 515900 872860 277048 560280 -643896 -1054813 -467107 262296 -985321 545678 -108808 -75252 -959432 74173 891831 -725733 624976 889632 -739160 -304101 221607 253775 -513398 -529623 306025 -684359 1060452 131502 395174 -286736 -372708 -44268 -533181 -266142 950639 259149 439910 233640 -1172728 114879 -794427 556853 644379 -207715 -185178 -472239 -255448 264226 -242764 587274 36471 583610 305078 -986532 -573584 -940212 -8832 968137 
+271158 -292574 463663 738543 -161760 -19781 225678 416010 -928197 -44112 -740748 -822266 -917280 -64635 -305750 -517653 566955 564989 -7582 -57031 -93567 11068 303001 -756841 866168 -820760 -657369 470806 214996 -941824 -862267 764668 -824851 -547634 -744434 -352929 476378 37241 771599 -406190 635997 -221166 978841 -773792 -689742 384839 806527 557180 -45975 -41022 107283 -760504 152228 361146 287953 -28994 469488 86656 -745244 -193413 426571 -780049 463297 720022 -716299 671382 -754074 -377788 101737 -633456 -955401 -935531 683298 -505086 -540727 837497 -688863 684526 837097 661279 -222384 -315557 823924 86812 -717752 -235402 -715058 523140 892195 624152 235908 608375 635890 -878088 -623095 -830924 426905 -341797 902592 723521 388243 -189357 -456034 529111 -489223 329819 -715938 -218474 814108 601841 -170799 983434 551752 -359463 543399 -242215 -594158 925571 416962 -172284 -453382 -58671 -386998 380625 -925753 -115725 374965 557790 -739770 -291148 825024 521677 -724166 862524 -721593 -1021549 302885 -215579 -211580 -189326 -539824 -232121 -901383 42287 -451131 -354935 -344099 720777 440618 -405491 707680 -363771 -610674 72539 381018 717998 -105014 -861211 298039 -847473 533336 -988006 -113149 -86616 -13706 -468437 -715910 -407443 100662 -819755 907040 -370026 -936719 419802 -246413 -662244 217599 -897340 -458759 17328 -810318 -172468 -987945 3215 -880575 929157 538118 836755 267350 562881 -671648 -1154597 -431469 290382 -924509 561570 -152438 -69297 -998834 81697 878546 -753924 595238 878266 -778284 -245291 244342 313820 -475730 -591118 298366 -658564 1026338 90374 516336 -321988 -306794 16784 -587605 -241492 801861 245027 454718 242038 -1083046 120734 -791026 625300 604173 -190433 -181325 -539702 -368006 361362 -91447 603756 62058 618668 296399 -1009611 -586155 -973080 -23645 972115 
+258657 -361699 429470 710092 -234837 -4479 186669 416714 -893036 -83743 -752044 -834473 -945095 -65466 -225103 -452299 421052 560674 13776 -63160 -61652 60514 343313 -858250 826305 -791103 -688363 447730 239455 -961983 -768763 702034 -743006 -545883 -785681 -452355 430023 -29400 765316 -427808 637446 -193648 930137 -985314 -596605 309624 787439 603965 -86151 205 -4062 -771429 167998 341025 273368 -32889 516517 54241 -769670 -256153 418676 -788441 485485 737099 -731395 691589 -727650 -363039 192375 -623582 -964283 -941829 608863 -519800 -506757 939669 -722558 694432 833151 556953 -153795 -388994 969107 32872 -683686 -225867 -755993 517082 860823 625523 278495 557564 657245 -893218 -657785 -917388 494593 -346383 885443 725882 408616 -167877 -445984 532080 -491320 307057 -705867 -117759 831689 559994 -338956 1000391 611664 -434662 546436 -254376 -630964 907606 403884 -237363 -556086 -240955 -420124 401229 -958539 -124834 427064 553655 -646750 -299259 917108 496380 -776503 884784 -741342 -1010280 277872 -234156 -240393 -203853 -532793 -233389 -875573 -28819 -504399 -391209 -339823 752452 511635 -341393 874715 -368628 -626308 69351 258931 633584 -193550 -781770 255421 -847358 559830 -966196 -149081 -197279 103659 -486773 -705888 -437803 135421 -709723 1002735 -490452 -940254 502224 -250069 -659840 210149 -908174 -578901 18752 -870949 -225383 -987029 16344 -853901 951562 585684 805075 260465 515863 -649732 -1114606 -459051 310654 -949988 565716 -179118 -46776 -1014662 110344 871692 -735540 619106 869441 -808093 -169013 218933 512804 -443740 -677933 300959 -670656 1047953 204178 653717 -353420 -229213 210115 -601447 -232160 739405 211057 440390 350873 -882218 127073 -833243 628013 547005 -169649 -158534 -584128 -513877 244020 -78249 615092 -36490 665553 296332 -1027571 -601184 -982028 -15003 992775 
+305578 -397277 358340 853601 -200997 -6640 142778 433162 -811536 -182283 -734395 -840823 -929526 -89047 -173486 -429908 441800 563164 -217 -46402 -30597 83641 312003 -891982 806512 -815104 -762786 439220 263675 -977940 -719798 682154 -761379 -577177 -758756 -501093 416460 142795 769313 -415022 628541 -113713 908556 -1055832 -509073 388821 732896 503179 -40709 19817 -74383 -779082 84880 320639 258437 -26659 601427 62383 -801226 -423115 418922 -758853 524882 684061 -700746 714764 -690049 -422235 209008 -652512 -950606 -973611 510489 -524176 -516974 938608 -714794 714314 847345 464889 -162253 -412998 1034797 -31660 -647648 -217074 -809013 520122 792496 688374 329766 524048 669393 -953975 -696597 -984213 496246 -432621 828552 757954 417691 -199267 -425252 594261 -496390 309832 -691793 -60309 802668 536222 -435963 998603 662131 -358404 526357 -256358 -557637 859543 414866 -280295 -566035 -304581 -433723 388571 -878596 -69344 522654 565085 -555450 -328759 983352 506844 -845722 889404 -764351 -993512 297700 -254845 -232400 -196127 -554837 -246938 -870660 -166367 -581198 -373894 -339198 712373 528980 -328760 980615 -315404 -613155 71857 103771 650955 -214448 -677439 197847 -850263 541438 -851138 -200036 -40074 102558 -517878 -756701 -474465 154570 -616049 1089618 -426067 -933096 559841 -260532 -784670 186316 -891511 -426404 10864 -918206 -276105 -962820 11828 -863051 962738 633168 793018 260814 484778 -607233 -1000344 -478785 411694 -936400 578131 -240932 -51924 -1010360 119812 847030 -716139 594818 846342 -812721 -19612 239887 588267 -380874 -675198 274497 -597795 1020590 309177 609031 -382650 -210878 286474 -574593 -234290 691420 186942 447992 428689 -803098 135255 -866321 625199 490018 -158526 -179953 -585110 -526889 111429 -197898 619563 -118513 689433 317255 -1036028 -603861 -965799 -1989 981500 
+369061 -444976 281534 1010048 -109521 -2948 129915 460676 -707784 -246811 -632313 -854499 -939750 -133445 -182278 -480027 603926 566432 -16702 -33634 39156 95577 254808 -842918 822078 -802903 -820132 420660 271861 -947125 -751539 674202 -840846 -540811 -659957 -529453 382962 302825 775792 -410494 637829 -8971 919150 -865416 -483378 478891 727506 359148 19643 -154 -62106 -794013 -50941 299726 245806 -18325 687400 38862 -823115 -479001 448152 -790859 589678 666005 -704617 739488 -653545 -482801 277077 -664221 -988571 -1020821 427708 -526838 -546693 821795 -675902 731539 863332 410790 -185985 -328936 1000164 -83592 -585150 -219658 -858334 518487 795541 805485 330635 530629 681035 -972860 -736990 -1044307 396773 -509969 759161 815798 413996 -189547 -445373 582151 -514927 381908 -724972 -39434 809576 472480 -457508 997729 655775 -184684 508456 -238424 -614199 832597 424532 -320056 -544084 -170778 -430468 357734 -737843 -36579 597087 584114 -570540 -361400 991707 547817 -906475 877311 -787354 -962511 253508 -251651 -233276 -165310 -536964 -247013 -832325 -253126 -660895 -403459 -335897 623485 563174 -371403 912451 -260029 -618691 72513 72403 757547 -156271 -642959 193064 -849708 480812 -661712 -238669 161556 -14416 -551055 -743665 -514703 184010 -648214 1073495 -267136 -952077 558001 -276812 -924067 195923 -903098 -249755 -209 -949253 -306451 -931339 -3823 -893916 977868 671133 805045 265357 468824 -588262 -957608 -468967 379915 -934454 583876 -247572 -128435 -942961 114218 867667 -769129 602546 860649 -783256 -58755 217232 494253 -354233 -662989 269766 -554215 1011375 352944 457291 -412165 -186937 157092 -590396 -247932 782805 155054 455572 382899 -933105 141571 -928292 564537 499521 -147591 -194202 -609407 -444879 35651 -353684 551607 -192211 724682 323170 -1028752 -576454 -926669 -9011 976817 
+390974 -411056 226477 1050267 -32956 39224 92217 488041 -626003 -223145 -593681 -857841 -926899 -139777 -243097 -522105 709868 572389 -6085 -53638 81248 64504 238387 -727923 887853 -813307 -873245 401298 281797 -958920 -845002 653711 -853380 -548160 -541510 -520923 411005 259078 790339 -413992 626727 99246 971683 -719666 -529752 533520 747904 354431 66371 -39453 25254 -802009 -132195 291186 205066 -18884 718280 42692 -830799 -541184 489072 -799666 649089 680330 -704163 765609 -612380 -482763 271593 -689180 -985904 -1045644 352820 -537036 -552465 719596 -667806 734791 863814 419631 -154499 -204713 841089 -87867 -570705 -211551 -863609 521490 818955 907584 350933 562486 682691 -994680 -775792 -1005785 292151 -500257 704206 825393 398434 -163269 -502695 611005 -523396 480280 -785968 -98789 795062 461825 -340124 995118 664712 -77313 456612 -244802 -614213 846955 404479 -304176 -468384 -2769 -408444 353938 -648937 31393 625517 579654 -641777 -421125 954563 584647 -935381 850369 -808108 -997633 291490 -240277 -221649 -181995 -559160 -239563 -816486 -212246 -731109 -391529 -336761 573201 566328 -365882 723742 -281163 -597394 77736 120820 899713 -49492 -708920 249566 -851346 428138 -643886 -244401 168168 -98305 -591305 -752930 -552020 204191 -726621 1003468 -174653 -958973 530710 -296358 -1021576 181167 -904382 -229393 -1828 -958298 -306744 -903359 254 -878198 986542 691440 836361 273750 504856 -634838 -819350 -461224 400790 -900435 580987 -292627 -122902 -913604 116538 843936 -698090 602966 836652 -728106 -1171 213500 316101 -320757 -546771 262742 -523627 996609 185844 380348 -437124 -190988 -21201 -569847 -295955 936223 219963 451054 272401 -1159613 151614 -1000551 560306 544739 -135839 -176144 -509716 -333563 91344 -431261 474426 -103242 744003 350251 -1055024 -580674 -870309 13843 972324 
+352215 -372521 233704 934949 -67729 53382 69958 510293 -613372 -134738 -594025 -863671 -945960 -184397 -327670 -545396 631582 584053 2087 -50474 117642 26450 259793 -611940 860950 -802593 -814238 392252 226864 -962438 -905308 637238 -819311 -544694 -456904 -440995 467866 84619 805744 -434775 608680 144333 996640 -778636 -660272 531505 717656 473696 104818 -56293 136633 -815533 -92441 321598 207065 -27447 654356 91133 -841078 -492733 510396 -751908 697149 650776 -703786 785437 -597077 -528304 268245 -681937 -956274 -1012115 304303 -553595 -574410 740445 -681980 755906 864812 485571 -136370 -201667 747182 -54893 -549656 -216145 -858547 515605 874376 928280 372234 560587 675866 -1067061 -807776 -965113 280687 -508549 687209 829789 371796 -182958 -532726 583647 -536712 544505 -779965 -200960 767814 453575 -208885 974830 690117 -130292 398531 -248715 -614302 868909 407540 -251800 -343000 -7597 -370079 350174 -659407 88125 594359 575302 -728113 -424685 876294 641607 -921151 817595 -824711 -1001863 301233 -214182 -198431 -240883 -547030 -229806 -832693 -86087 -774427 -390691 -331885 625668 518384 -386736 605346 -271900 -619164 83907 254852 989500 59407 -816503 271094 -862014 368400 -734740 -214030 23458 -66578 -630064 -764008 -570674 154035 -833470 893916 -249035 -949493 468416 -320835 -940242 205524 -919167 -419006 6663 -943828 -281056 -890662 -13050 -903576 975514 689289 869773 281928 533921 -656956 -819274 -455619 330620 -899274 584754 -351962 -157553 -851873 87636 843116 -724278 586477 840069 -673343 -44595 252231 257016 -329202 -494016 242759 -545227 966030 36118 501029 -460491 -189077 7573 -533828 -299672 906389 222273 455375 215374 -1149365 157001 -1040969 566476 621090 -128012 -216345 -486235 -239061 166893 -352246 411914 17353 767886 382687 -1065237 -611205 -804970 9440 980879 
+287419 -348262 246969 767685 -162015 57874 79482 539187 -640629 -54693 -618160 -867300 -930754 -245658 -384218 -509145 464735 598325 23473 -60305 104579 -25653 307717 -542609 813426 -811010 -730717 376029 216217 -996105 -857927 631666 -752920 -521230 -430889 -401502 506324 -68666 823165 -438571 612327 63781 970884 -990555 -730686 419495 695162 597816 133863 -28964 206161 -827177 22246 320394 229262 -32965 626776 84816 -836960 -387782 516363 -781555 785820 688552 -706129 810499 -542831 -432046 225609 -645165 -967317 -968123 315082 -558091 -612527 857408 -703828 765975 865884 577925 -156429 -318410 783768 4323 -542754 -215302 -825907 522295 879187 902129 370792 654943 660389 -1010318 -831287 -888380 372291 -418569 718733 814249 340797 -171408 -555829 606881 -542093 578547 -839579 -281294 799125 394184 -159913 947455 618136 -322746 381640 -261584 -598525 856737 415830 -179535 -259250 -178648 -331315 324112 -759476 102283 521062 594323 -780876 -393819 775685 646751 -869407 783314 -834462 -963990 302187 -195177 -243963 -239493 -553977 -232128 -831206 36612 -780509 -371495 -332106 704600 491815 -439668 649636 -301974 -604572 80012 418139 985313 117913 -868897 241812 -865069 293245 -847666 -163874 -155317 28707 -663206 -811392 -552138 148462 -959071 844551 -400363 -967681 419606 -347983 -799821 185567 -907060 -572801 16431 -916101 -235535 -860388 967 -902061 977926 663714 890828 288988 553053 -669363 -985854 -478724 270723 -897846 586149 -312980 -168947 -833371 108016 858099 -738986 586288 886625 -636116 -103576 191099 357258 -348194 -473012 229090 -527767 986692 131904 620449 -479053 -229928 181634 -500846 -258552 827242 235782 452336 285665 -936958 164124 -1108302 618557 623316 -137656 -169704 -430026 -248646 288075 -192375 393575 72912 745549 383405 -1078483 -619434 -740238 4000 969885 
+255654 -318834 291261 701442 -231664 82914 50041 563340 -718791 -60891 -674137 -869415 -961383 -273663 -384738 -444241 410162 611479 31777 -65678 86498 -79363 322525 -561016 784494 -811430 -650426 374210 247912 -1002401 -754685 609375 -769012 -492771 -493992 -322310 492608 2333 835739 -438112 611293 -32799 925909 -1045570 -711060 334617 738970 576851 83382 8164 192633 -836196 143610 355431 247041 -28195 523358 121973 -836903 -269080 509314 -796853 754725 667838 -693672 829566 -529338 -376259 162338 -639003 -992106 -932317 392804 -548926 -651962 960700 -724693 785187 857929 679638 -150366 -415875 947314 66153 -520826 -212739 -765829 526966 821932 810684 421605 682544 642395 -949873 -847487 -787050 443221 -353417 780586 786539 307573 -180767 -518262 579971 -558660 565506 -858049 -298675 769338 384702 -211885 925721 573360 -429837 370515 -235747 -600053 898846 405022 -88121 -277636 -314061 -303802 281615 -892891 141675 423350 588229 -781199 -369309 682930 625482 -799676 763861 -836116 -955503 293406 -195520 -240651 -248236 -570954 -232039 -838069 27523 -749260 -383795 -341317 761164 425408 -455033 822274 -288561 -592989 80193 434602 885096 102062 -819697 227215 -863735 253535 -998277 -120777 -125511 145139 -690396 -816794 -486389 132274 -1027575 880917 -486860 -954048 356366 -377231 -718820 172812 -910359 -457341 20500 -869563 -183138 -846125 -15695 -879732 975449 617619 879432 294251 562951 -608297 -1075426 -447385 223346 -886519 591300 -277911 -181038 -870990 64974 843395 -725738 611518 860762 -599807 -219888 229325 539243 -381597 -565908 267178 -510130 966501 352962 622657 -492685 -267533 295730 -498135 -248663 692186 218629 469646 400961 -790442 172474 -1132216 646146 582148 -132315 -158389 -381724 -359841 345612 -77437 364369 -31246 743199 381405 -1066491 -592849 -685265 24621 955549 
+285303 -238321 352057 799315 -192015 109343 63312 579448 -809377 -146629 -759366 -875072 -960331 -359866 -333698 -444422 535244 631541 49864 -110192 48566 -126801 280078 -648310 815264 -821449 -628318 359665 262192 -998303 -703411 650365 -824001 -497660 -611188 -260936 450290 232988 843064 -454786 620652 -141860 906306 -870265 -630787 299827 672454 438858 94544 20262 104432 -847226 184321 378556 253597 -19444 462338 136394 -819741 -187191 468595 -802372 738818 711562 -717345 846599 -493151 -381676 192160 -617327 -978307 -943904 458845 -492739 -705580 926638 -714061 804406 847393 754108 -127834 -385292 1015206 100009 -549544 -217314 -711871 526814 772147 709496 419162 712486 621748 -914689 -855580 -749404 526732 -275630 851937 729980 279561 -179072 -462455 563674 -568839 483019 -818239 -251926 715360 379601 -339131 901514 527902 -383125 360594 -241510 -538652 941789 381019 -14503 -291258 -241213 -283187 266829 -952091 116109 367830 595351 -727733 -361238 629863 578053 -738821 755290 -830564 -971207 300570 -169914 -240094 -252593 -572225 -230284 -858546 -81993 -686810 -359918 -345282 708815 337820 -434431 965924 -317086 -601088 84410 348166 747337 15747 -709258 229706 -867538 245697 -1011644 -108358 31558 79262 -690713 -826513 -442896 98809 -952200 980110 -394636 -973804 387298 -406398 -637714 165412 -919679 -271000 17223 -810730 -141978 -829135 26100 -882963 968008 572898 856310 295141 559425 -597678 -1128032 -451626 287220 -881675 569308 -243859 -179574 -914722 84450 902530 -716622 588097 867341 -604026 -261106 210193 566499 -426581 -654718 231759 -541364 979808 385504 479152 -499030 -327365 190974 -461380 -241820 649958 193158 476933 429983 -875435 179679 -1163840 616126 510453 -144919 -140384 -418978 -468336 226714 -93282 406946 -140899 708448 402655 -1084269 -595791 -648143 15751 954875 
+349695 -256952 400972 965988 -113215 86696 91500 592357 -895164 -230383 -765073 -871330 -929915 -391175 -249911 -472812 687645 649742 123950 -124607 -3398 -154134 251473 -773463 870005 -805511 -693137 367964 286038 -1005433 -752438 669314 -824577 -432397 -720182 -296838 435703 306520 842226 -443301 627006 -196883 936223 -701981 -505470 404345 728050 333045 -11756 -12788 -6762 -851684 102830 383287 293435 -18121 510767 165208 -799835 -123575 444265 -785323 671606 737858 -725606 864215 -542194 -441697 136917 -651538 -1031489 -975136 576876 -499396 -762582 794867 -665627 801384 838881 765429 -114374 -297237 986369 94161 -565502 -215368 -658796 529595 818385 624746 458276 706920 605699 -854169 -847828 -693891 459126 -370438 892912 715414 259420 -179783 -400962 553790 -596019 430021 -766334 -152511 725694 363037 -428223 873310 584811 -210501 373775 -249475 -561495 924877 411679 22712 -363306 -51049 -289173 257181 -918580 89479 365204 587663 -637084 -338477 623129 531914 -709655 763009 -818104 -950426 268023 -176957 -230781 -246144 -586900 -239069 -892255 -214071 -605946 -378666 -340194 656541 281923 -421594 958268 -320474 -588360 80965 174237 645446 -99368 -642082 248426 -877513 263945 -852411 -132795 184108 -3190 -683416 -864059 -441894 93321 -885660 1044739 -218208 -954144 476933 -434064 -757523 172139 -902727 -258387 4198 -753977 -126078 -813081 27128 -887188 952716 532792 811849 290420 505125 -603351 -1098005 -473644 337895 -895869 562323 -228469 -186167 -969146 66795 885662 -745700 572356 855595 -628862 -312680 224516 451209 -479889 -701616 226305 -608403 937590 253889 397232 -504444 -418889 -43234 -413652 -244086 812673 168069 473279 332240 -1116998 184524 -1158495 581141 498936 -158265 -176321 -462003 -520698 121634 -240948 463959 -167830 665217 369076 -1097442 -573271 -634252 31884 953462 
+390502 -260859 475497 1058001 -39014 90125 92944 587337 -926820 -240443 -743043 -868626 -904826 -385866 -184844 -534406 683696 663112 103229 -118076 -51275 -159916 248303 -868316 843015 -811237 -760107 363788 242627 -997045 -834250 747428 -826826 -429641 -783017 -348005 395734 174037 836147 -434373 634175 -187444 974407 -757962 -466595 504181 727777 390625 -31411 -48822 -75674 -858591 -22960 414641 263497 -25841 557979 187788 -774094 -222711 412724 -756900 592864 762429 -735301 868272 -497231 -490762 113213 -666631 -1016202 -1029426 644124 -525128 -796521 708531 -643566 812310 840941 727183 -60507 -212026 895005 47698 -568632 -218006 -596475 526658 887704 617336 445028 762181 592715 -871258 -834915 -716445 306666 -453711 897599 717462 250064 -162746 -414750 544316 -597706 343175 -719423 -68514 748220 375912 -428246 864524 593491 -88331 437873 -247581 -565970 908407 401370 59382 -472672 23458 -308379 268132 -795819 19814 421167 580618 -564312 -294763 668489 528423 -722875 788401 -799345 -932505 263208 -184057 -239290 -213242 -591828 -235575 -887555 -246185 -526367 -367315 -336769 579503 249756 -403005 803472 -368225 -617889 85528 57515 639273 -190244 -679280 308601 -866823 331821 -727066 -181300 148854 -102092 -661892 -884306 -403192 103954 -797100 1073746 -155440 -962863 537330 -459281 -893420 162816 -911810 -409886 -5541 -698175 -140524 -809297 48596 -860216 936727 511809 794788 282671 469997 -657371 -1000467 -446848 392584 -904587 554293 -145556 -193012 -1013535 115325 857617 -704352 601450 864320 -683973 -328800 190689 258481 -506648 -697064 215196 -616813 973492 85960 462486 -498168 -447811 -44199 -434149 -253178 925237 177504 482618 240942 -1176132 192256 -1122729 578349 510765 -168444 -155892 -527929 -501361 18130 -391103 542949 -165860 627005 344115 -1098618 -556829 -645673 23558 940310 
+370878 -288572 526923 980228 -62335 68695 134358 583344 -898144 -163578 -635355 -867006 -924923 -407300 -172560 -554571 526519 675318 147246 -140592 -83184 -136756 279809 -889011 839851 -816115 -835258 370712 218880 -1001108 -898857 720449 -761905 -443145 -772304 -399133 400783 -16174 822792 -431911 651088 -129913 991406 -991178 -517838 560820 757399 536057 -96165 -51034 -60444 -863622 -124866 451115 267428 -32668 633813 235027 -751046 -281852 418559 -811406 549744 800697 -738815 879816 -503925 -534518 134463 -671410 -1015899 -1034352 688724 -545760 -818126 760102 -663061 816355 847049 642217 -130745 -208838 739409 -11802 -613351 -215854 -570658 508814 882521 704227 460920 738700 584380 -853744 -809942 -758563 260809 -513290 856747 747064 254503 -148891 -454311 460667 -625244 281592 -762462 -41134 726069 375300 -328159 864238 656321 -111446 470647 -218354 -532374 865294 392179 58322 -544768 -117231 -347293 248067 -679209 -18803 509706 580726 -577036 -271008 753631 519909 -774306 820599 -777552 -937753 299706 -198542 -218843 -211162 -590338 -251678 -955179 -156440 -463653 -399145 -335547 641294 201949 -377050 643538 -349999 -613652 89574 102222 730233 -214013 -778603 291513 -871814 387445 -655890 -227701 -115547 -65498 -628701 -906018 -404777 137437 -675103 986897 -289798 -967679 588511 -480877 -1021459 153546 -931357 -544468 898 -644996 -181286 -812362 48441 -816942 926349 515718 800732 272259 463397 -670166 -873774 -466279 408021 -907449 563008 -139741 -148362 -1006127 94501 863627 -735805 602607 858532 -756461 -321052 236516 272494 -574112 -585284 205040 -665272 934849 106638 605530 -497408 -529883 183072 -397566 -261714 935454 204921 492724 239193 -1000530 195952 -1057055 608641 590320 -186608 -217368 -587662 -396408 119595 -424279 611232 9314 613193 304948 -1085297 -567672 -680657 15393 924181 
+309106 -356518 532415 814188 -163228 31100 169293 571488 -837754 -73013 -591554 -860008 -935524 -389533 -222456 -511374 408079 698126 130482 -148349 -94437 -90940 313980 -826822 783714 -813170 -865954 381450 216465 -976050 -849276 811768 -757058 -429693 -686912 -474067 437857 -38993 807687 -442880 642101 4298 976465 -1033471 -619693 506372 740241 616314 -27750 -17657 27709 -865900 -113566 462398 231470 -29865 695077 252906 -727207 -401008 434805 -802643 546204 807491 -731403 881970 -535537 -454903 134125 -662268 -1023447 -1011843 677991 -532571 -781834 881819 -744147 807623 856007 540655 -100374 -341222 801054 -71194 -643236 -204539 -553147 522961 848822 793300 491078 660652 589202 -916978 -774552 -798492 323577 -488540 784714 781233 270328 -164279 -507128 494069 -636247 277206 -694541 -84275 679547 392230 -200871 870783 673482 -264665 502503 -236904 -472720 819409 395712 20338 -578893 -288498 -386483 233193 -614548 -82043 582381 577364 -633818 -247885 850106 540250 -843466 856118 -753723 -899972 293870 -230659 -228498 -161534 -607740 -232352 -962173 -23278 -433593 -405721 -344287 703269 231228 -373879 602748 -312464 -596632 86708 200974 868434 -161380 -859494 241504 -876913 463101 -685730 -246857 -196480 76135 -588152 -901327 -416326 173423 -654599 907884 -458056 -959569 559964 -498203 -1005107 144205 -938822 -483313 15413 -620082 -234244 -813218 54484 -871153 903051 544436 821701 266118 496100 -630070 -828094 -485225 397943 -930419 549779 -91565 -122560 -993081 146780 848320 -729531 587057 865220 -797243 -264232 205426 441018 -530804 -534855 200270 -683580 898212 232451 644443 -489178 -555419 295638 -456406 -297753 819510 249416 496760 345035 -807194 199231 -1030501 669795 632825 -197343 -172463 -580864 -277345 213162 -316189 624683 104433 572223 343142 -1077672 -555708 -734171 -2039 910402 
+260630 -389954 527335 705441 -213442 26027 198437 542770 -730806 -50258 -580784 -852323 -924868 -408638 -302115 -438949 471832 702384 111821 -165773 -83277 -42178 316283 -707064 822254 -817272 -838422 396319 276175 -1005663 -745649 803351 -812281 -464386 -574677 -524168 445394 139917 791667 -438569 624769 107541 934131 -850594 -704462 410264 731606 527950 -32188 16334 139191 -870423 871 470751 234113 -20616 712251 285508 -703479 -454329 467468 -796582 483705 814428 -727035 882958 -554047 -435888 140131 -662852 -1007783 -964709 671825 -519440 -807356 963035 -724450 813069 861330 454667 -69508 -416170 888622 -91669 -676722 -228778 -568014 514829 780533 892452 469921 639005 596973 -985858 -739422 -921773 437142 -438241 721358 834444 294696 -145416 -559728 462470 -647640 371210 -731347 -176376 682642 410724 -169986 878722 687775 -413313 545442 -233873 -527708 827235 416395 -53524 -529369 -281165 -418829 208491 -695985 -138614 619418 578477 -718497 -268313 940539 591006 -904655 876875 -731127 -913804 348934 -236428 -250793 -174098 -618878 -232164 -1002626 44665 -435849 -401824 -344117 763167 246803 -354026 739599 -266426 -610132 86174 348655 977501 -55728 -845554 207701 -873928 518384 -856359 -228556 -102519 119444 -549883 -896902 -476998 188652 -685615 855152 -501144 -962469 478201 -509091 -836750 170583 -934618 -321046 20533 -606275 -283230 -819202 46230 -905843 879394 591500 856939 259779 551827 -592564 -868980 -453997 331036 -928868 563815 -119034 -137109 -926556 164167 878120 -751864 581205 869635 -814994 -193555 229030 560352 -528608 -480794 205664 -699894 927893 352339 525472 -473707 -568786 198547 -462478 -267638 697475 254697 507595 421742 -819122 201496 -957330 622478 615840 -224664 -169848 -569194 -257955 297050 -149223 607752 30131 576357 344240 -1073085 -584970 -798448 5432 902404 
+269365 -394672 497212 758935 -199548 17673 219362 521015 -644856 -108428 -612115 -846892 -910713 -397306 -372866 -463011 640229 711927 112488 -171995 -35365 7624 278814 -601380 859066 -838319 -735465 407343 270848 -968903 -701476 818592 -849572 -444008 -471536 -530939 504389 303861 777975 -451157 614962 110251 909647 -720020 -716524 337512 810961 363859 44177 12355 206624 -867007 128759 457119 230703 -17461 652189 313270 -666691 -520089 498859 -754174 472098 816022 -721373 873763 -608337 -389078 191051 -662373 -1005248 -930345 599580 -517476 -808838 902794 -706003 807164 871306 409748 -85260 -343223 1064701 -68006 -728909 -229864 -591338 519316 811550 942293 469062 583308 612827 -990760 -698995 -990001 479221 -336864 693126 846323 326999 -157468 -535538 464353 -665888 465032 -695587 -283521 688869 411296 -213560 904116 682955 -411675 569304 -239510 -501309 865521 414566 -125840 -409290 -111051 -430336 240683 -832432 -91748 606354 571003 -792978 -290705 991098 644133 -934783 888652 -713317 -853750 290132 -237381 -234025 -169725 -578371 -226903 -1050502 -2455 -479650 -398069 -336556 695750 254206 -359057 911472 -210129 -573380 83818 435255 995449 54928 -750452 227402 -887462 554293 -966007 -182558 105613 73249 -511304 -911839 -513518 201286 -768605 891872 -347782 -952510 392201 -514444 -696752 148510 -950900 -213878 9036 -608749 -308923 -844809 70453 -804801 844531 639178 891071 259560 566081 -588242 -977582 -477126 307914 -935637 564634 -111672 -125333 -860803 92703 894155 -725328 592598 849746 -809213 -132994 221745 563504 -533813 -519753 202463 -716429 937566 309633 393539 -450671 -551092 -26239 -461286 -253559 673305 226622 507892 387251 -1033090 200620 -924028 581996 545263 -248869 -152072 -516412 -323140 302524 -73826 580027 -108234 572101 306506 -1053206 -591137 -864108 -22547 892097 
+327553 -439616 438575 928674 -111014 -4354 255483 491476 -627795 -210114 -710541 -836955 -896070 -344002 -393321 -478166 709112 715379 39783 -165261 3245 56810 240865 -537290 851736 -799017 -630268 423166 274947 -977418 -749082 781589 -833421 -454085 -431929 -466723 503860 278951 768179 -421728 602191 87596 920801 -767199 -646739 318321 776841 349362 112906 -24530 190705 -861803 173133 422737 220251 -24217 573421 363871 -640491 -511037 515359 -759716 497969 818412 -707436 866953 -642036 -409115 205519 -612143 -1023747 -950085 496362 -514725 -772502 763898 -667605 794897 868990 423147 -80027 -279932 1002796 -18586 -771986 -224977 -645678 518412 857740 914710 444134 551726 632417 -1022277 -659306 -1003995 510932 -321939 699617 842183 359496 -160957 -516097 463450 -677561 528980 -741635 -313458 646185 444239 -350818 921694 632546 -279027 557756 -228913 -484669 871319 398046 -227115 -304987 12187 -431419 238919 -935312 -67619 544939 567490 -783527 -332495 991442 639502 -922252 881251 -700414 -892767 275262 -248303 -203474 -182289 -592171 -242370 -1035794 -133938 -548520 -403697 -322893 624020 361800 -376304 972537 -232111 -603991 80437 417601 914549 117035 -660444 290723 -887233 560196 -970910 -134023 195012 -64936 -487264 -897353 -555225 167197 -900014 977972 -205623 -943234 379348 -514644 -633409 154624 -949667 -367628 -3803 -641652 -303994 -867241 101427 -828737 831807 675444 887772 265985 572351 -641208 -1086811 -476155 231305 -949454 580869 -132363 -74885 -853084 70969 864479 -715862 596701 884277 -776061 -19999 232774 384049 -454472 -580056 185445 -669238 889672 138409 427248 -426688 -546997 -24830 -503046 -256082 785621 192871 516334 273617 -1177272 202485 -821256 594742 474267 -262589 -183629 -452271 -458100 208390 -136221 518714 -216992 589142 284612 -1065982 -589980 -921725 -13224 881146 
+382550 -418673 370325 1057957 -39130 -24804 237889 471797 -605519 -251855 -753057 -830499 -906826 -328770 -352464 -548017 594629 722492 55105 -162005 64802 83422 238030 -563308 809716 -829849 -660433 444624 254981 -1020750 -862811 793636 -803413 -464361 -477030 -446007 459889 69210 766237 -434947 612125 -11115 969849 -992956 -555010 396037 809621 471194 169831 -55193 101682 -860766 120404 425433 261859 -32176 501709 376448 -622774 -411198 519387 -771432 525933 806203 -723962 853821 -661666 -426009 254588 -651674 -1070473 -986556 390727 -523494 -761456 708336 -669677 791713 857473 493326 -73651 -194452 879306 50200 -810789 -237995 -704026 526782 897081 823883 452553 542065 653142 -1032184 -622675 -1008314 391591 -368915 754817 799877 388433 -147521 -463719 502261 -709144 581755 -774630 -255287 632163 423817 -450034 946247 601671 -120976 518179 -231278 -488999 905463 418980 -265343 -265894 -44715 -402226 299410 -952300 -18094 452153 579441 -732109 -352753 936807 648142 -871457 861579 -696394 -851792 288368 -227623 -220429 -205358 -599912 -242925 -1067627 -234382 -633467 -374882 -338085 583126 399131 -407581 870851 -273590 -593988 77851 264883 775909 104193 -655242 284775 -889115 516780 -902406 -108539 47062 -77697 -475004 -921684 -562507 167716 -994514 1062383 -172183 -953244 376328 -507710 -716148 155521 -916830 -527373 -4936 -694358 -273734 -883895 105398 -852474 808423 694233 865888 275442 534062 -677117 -1154013 -487109 273188 -983038 580737 -182005 -85779 -826294 73041 894957 -754047 589333 871318 -720886 -10206 260093 247081 -443045 -677544 200985 -642310 898898 47783 586312 -402016 -466715 136756 -567327 -227016 906897 166881 521546 223625 -1074305 198708 -808255 596048 500166 -281602 -179432 -418445 -539585 125546 -297967 436171 -141694 628837 260176 -1014596 -595471 -962834 -26791 878535 
+383797 -372190 286833 1018323 -67687 -16820 229655 449353 -662160 -198405 -772525 -820599 -924673 -293618 -271560 -535073 434581 715191 46225 -155043 98143 91467 279562 -666798 797148 -803524 -671904 463332 233807 -1004477 -894238 755884 -746135 -462193 -569972 -313477 435470 -54756 770202 -433255 634666 -156945 998140 -1037263 -475450 510744 785916 602259 165870 -43629 -9085 -854491 -316 405061 276098 -31001 471434 392862 -605442 -292059 497642 -785861 610433 813066 -698844 839443 -716303 -504940 292762 -688799 -1031091 -1018964 323299 -536948 -698465 782673 -677103 786070 839056 593499 -29058 -247729 788055 92397 -799013 -237296 -761893 510485 829979 705290 428356 513494 669682 -1016748 -594380 -975919 255877 -425911 828981 756099 407586 -150426 -411944 525729 -710395 586284 -794429 -161932 640826 490963 -430480 973618 564424 -99001 479446 -239330 -567817 916705 396449 -301341 -285962 -239939 -371435 309711 -849346 16790 375551 553835 -635735 -382943 848971 572303 -801778 831071 -698947 -880972 304156 -236254 -235263 -232176 -613721 -236887 -1066415 -224499 -705356 -373834 -337545 640341 478391 -413983 689595 -261769 -609564 75678 122099 659087 21320 -739814 223557 -892947 460991 -773071 -119802 -123082 -36599 -480341 -900503 -560136 142188 -1012340 1070795 -322658 -936155 421578 -494436 -840091 151594 -936198 -534664 -351 -749266 -222954 -915862 130889 -840550 787033 688505 829626 281415 479848 -657331 -1098348 -486562 324226 -969261 582526 -243304 -105436 -866091 86222 899051 -711771 600499 892760 -666042 -30972 248772 281044 -407485 -688351 191521 -588495 895804 197050 646676 -370328 -388628 297253 -577414 -224704 929156 179394 521943 282508 -864663 197489 -787483 668657 563708 -300124 -193350 -387436 -507611 72923 -420197 386684 -10678 659450 260395 -1010326 -585340 -981563 -14503 847638 
+331816 -328302 263440 870413 -150725 -1283 232824 425837 -767892 -101174 -731525 -808687 -904433 -216086 -201449 -488986 423971 714334 9843 -142532 118375 70355 325462 -785205 824547 -819440 -773749 481164 215519 -995550 -838188 722767 -785483 -501227 -689181 -293739 398511 43590 781450 -404307 622873 -189492 971714 -883467 -502476 538072 820676 586577 116363 -2039 -75939 -846283 -111616 378984 296121 -22279 501084 386854 -598817 -222252 451265 -778890 713132 762963 -705409 819903 -751150 -503275 266482 -698803 -1014776 -1044411 308095 -517699 -692633 915097 -716472 770835 838705 691003 -53364 -360168 765638 98347 -846079 -250445 -813758 524038 813817 632644 425691 571502 679997 -948172 -575219 -886629 261480 -521845 882571 717092 417954 -144559 -398589 526931 -725383 539593 -777452 -57811 617229 508751 -303866 994126 593361 -227964 434579 -226672 -539191 904643 418487 -309889 -321471 -311127 -331948 369207 -736776 82700 364706 573288 -580805 -420611 749405 551674 -740355 793269 -709131 -861529 302417 -207769 -240580 -234781 -626308 -234044 -1096534 -109937 -761373 -371593 -349462 729090 518675 -470096 594461 -339434 -587889 73407 48115 631174 -92899 -838808 199567 -892283 381311 -647129 -162201 -167068 96503 -497414 -890143 -519475 132162 -930632 1029825 -471070 -938811 492836 -474137 -1018013 147046 -904803 -328235 8037 -809035 -171968 -933736 140610 -847189 762206 659575 803104 289049 474133 -609746 -953777 -497018 384832 -989539 591214 -243532 -124082 -925432 100273 867738 -713122 612276 878507 -626514 -63216 249534 468135 -360308 -702572 171038 -591655 910696 389830 556930 -337406 -350981 261509 -563662 -266671 819516 246226 518269 400713 -795386 194379 -791070 659926 625151 -307822 -189615 -422025 -434968 107652 -405277 349927 66166 698187 267036 -1005093 -615197 -975047 -14873 849907 
+271603 -303198 225364 723000 -222600 -1432 202254 409880 -865684 -46172 -651992 -799647 -938629 -205099 -170886 -431811 577648 704580 -46274 -124984 111541 26079 304282 -868960 853472 -824013 -831513 486101 249723 -1004270 -765750 639438 -809383 -530853 -775523 -264720 401674 199780 796533 -405357 627360 -203290 928420 -704394 -582666 499639 837846 455282 60036 21633 -59572 -840591 -130380 343282 285177 -17209 584890 377487 -590705 -191763 423695 -775608 739228 753020 -707117 799805 -790303 -428152 236122 -667609 -1028483 -1030104 333508 -503873 -631050 962150 -708629 761871 839261 758784 -32248 -407438 901494 67527 -847017 -254170 -852253 527407 800276 636882 396365 607740 680230 -926201 -562511 -807194 419763 -501837 899330 683728 413917 -132237 -458519 530549 -749824 452048 -810224 -26165 641126 556643 -183004 999743 578581 -370794 406013 -213075 -570309 871978 354938 -266811 -433149 -180201 -300770 386838 -660621 131082 396863 559691 -559626 -413328 662999 507001 -709855 770355 -724790 -834337 303441 -223748 -213239 -265628 -638985 -238866 -1068882 7180 -782898 -341679 -335408 758424 540071 -438459 680038 -337361 -592878 73995 116227 706131 -186358 -862925 231168 -889988 314255 -665265 -212601 -8812 105857 -536311 -898838 -481165 81256 -861308 924185 -477280 -925540 546650 -453668 -997566 140706 -892989 -239169 22475 -863097 -138031 -951771 141857 -879724 747723 615649 793182 292654 496611 -585365 -868063 -469274 432172 -968158 581111 -261324 -55505 -991716 94520 887309 -699525 620117 879757 -605580 -115748 242136 586608 -341405 -586052 176300 -531666 912853 318580 424899 -308337 -275290 44193 -604015 -259661 702753 239835 548119 428959 -964908 187443 -826257 572707 625469 -324696 -173546 -485258 -285563 255992 -266603 378831 21020 736613 283603 -961207 -595913 -944364 -446 839932 
+259401 -260835 228695 728615 -209323 31298 189113 407241 -926620 -82694 -582028 -787196 -916752 -140969 -201677 -445805 706645 695127 -29322 -100188 78156 -20199 258584 -883210 862262 -793298 -846021 501309 250839 -990338 -723986 691772 -847228 -528483 -784587 -289545 447876 294443 811428 -420726 626688 -82991 906303 -785511 -694861 392508 776119 341919 10983 4453 30850 -829558 -29244 325623 257285 -22542 676302 372917 -595502 -196559 418659 -759534 726474 709674 -694423 779273 -828670 -431903 188800 -675020 -1025728 -961422 392696 -509538 -593690 873630 -673866 756006 846370 763629 -42982 -344877 1021981 6578 -887830 -264312 -873098 510616 871392 668319 374368 675042 676099 -846719 -560698 -717089 506077 -478131 876812 736797 397649 -146983 -519937 566331 -752879 361868 -808092 -69257 662254 579620 -157190 1003312 645075 -420946 377805 -225253 -609031 862536 374172 -212185 -505656 -10712 -284128 345389 -656226 127018 482627 567422 -633396 -418684 620196 494637 -721936 753275 -746736 -833346 274332 -189161 -211749 -265421 -637041 -229879 -1020373 43418 -766712 -388728 -338967 718229 553289 -433556 848777 -376946 -580751 68826 263192 836330 -214831 -788380 290652 -900111 260789 -814603 -244135 176036 22226 -576632 -862200 -452559 95134 -714093 858345 -301900 -929103 549844 -428948 -863125 133390 -882031 -333114 18452 -915532 -126070 -980470 169203 -897531 724494 564776 809799 292986 541764 -604434 -829205 -451968 418364 -959073 583155 -331842 -71017 -1017233 117604 855974 -684465 639834 875725 -622094 -217243 254643 508585 -332121 -506007 170150 -504493 890871 167325 422204 -279647 -244331 -63973 -574113 -295878 678311 253686 540035 333212 -1161032 181681 -836418 535258 558650 -321061 -164597 -551737 -253156 356070 -109317 474711 -106946 739162 312332 -942121 -585860 -894031 11059 830125 
+303989 -255221 267698 870839 -104841 63516 155882 413438 -916405 -180053 -561595 -779569 -949701 -90799 -278751 -503753 655511 685355 -37412 -93694 23277 -72789 247514 -818033 827054 -812907 -787192 507256 278118 -989603 -765338 641645 -817580 -540089 -720261 -377446 505475 177238 828895 -411753 623037 9771 916294 -998475 -720973 298120 769072 382143 -54839 -36990 141583 -816212 105479 322161 245046 -31216 712371 374727 -597324 -246430 425669 -760801 736289 723422 -727334 757786 -826690 -389374 171396 -632108 -1035922 -934291 484089 -522982 -572993 748247 -683639 730256 851906 718813 -19725 -231607 1035088 -52547 -849624 -265131 -842024 526795 885045 776837 347223 711764 663514 -852117 -573824 -702608 528885 -427634 816139 782942 370954 -162035 -554361 635019 -761625 296348 -801520 -172548 646972 642088 -241054 994991 693122 -338546 352923 -241717 -568810 803681 391094 -119820 -567905 -13076 -285420 382714 -743200 120338 559576 556114 -717530 -380980 631498 540762 -772031 757073 -769846 -871125 231787 -166284 -208324 -225610 -642863 -230136 -1002786 -48117 -713974 -417720 -352009 621017 554356 -410993 972153 -364356 -588373 65581 372427 959739 -165337 -681347 260395 -906071 233411 -934162 -239660 154815 -89008 -612062 -847070 -407456 136227 -652940 889779 -185530 -930417 512902 -400362 -719874 154902 -901073 -523591 -109 -943994 -148560 -1000652 162118 -844353 720159 528409 845166 288331 575700 -650753 -862496 -461949 331526 -939817 578292 -294281 -101830 -1034125 116928 870079 -704159 607565 872187 -658099 -292926 271590 338798 -347464 -500299 165085 -487291 914217 86636 560966 -246054 -199008 84226 -531556 -276096 771619 202331 528559 233974 -1127855 177390 -869308 611373 524648 -325717 -186941 -591323 -298069 261785 -72589 544842 -180569 752575 317877 -944933 -596118 -831278 17264 810444 
+367691 -252121 319536 1025250 -33738 73856 133391 419426 -854278 -244928 -630959 -770632 -930191 -90130 -353203 -528682 487465 657521 -3759 -66051 -36488 -120061 266361 -701088 813702 -803198 -740243 502908 226532 -1000921 -827041 649903 -766727 -546362 -604955 -451807 506612 -8285 838277 -400681 624410 113513 969914 -1048651 -700527 328462 763170 538308 -51419 -54956 207101 -807614 176041 294260 225561 -31988 680577 366437 -615604 -413580 446219 -793393 700210 728868 -725948 731794 -828987 -400945 107083 -620088 -1027300 -949602 572451 -524923 -551344 708473 -680894 714898 863355 628612 3974 -207628 917257 -88879 -828440 -274081 -817878 519603 880488 873423 302937 750574 646215 -882891 -593053 -683587 420849 -354475 743478 804004 338991 -131916 -556452 602642 -773414 288850 -731958 -264233 611556 663602 -377247 981582 681391 -165469 375239 -238910 -624197 826821 407727 -62181 -594319 -174128 -307972 382761 -894415 62704 613592 555084 -793339 -351410 688070 588371 -841637 780942 -792663 -887705 271188 -153210 -220412 -202305 -626347 -239878 -979288 -184441 -640335 -387205 -355078 579892 494710 -340903 923148 -326621 -593776 72007 417175 1003521 -61950 -644999 234653 -905104 262036 -972258 -201676 -42374 -110338 -652963 -845595 -419126 148495 -644278 978553 -210491 -917363 462346 -370596 -629912 137294 -901443 -532511 -4034 -961487 -192588 -1000994 184167 -879109 721200 510155 881152 281901 582906 -674174 -1004027 -469374 250449 -926757 573246 -292547 -134837 -963082 150254 846604 -695723 646012 882794 -703992 -327904 275321 245826 -389327 -528527 196013 -523020 934577 138919 653620 -211146 -175697 282854 -523015 -255058 905079 187732 538147 239006 -936103 169521 -965774 619833 489265 -319135 -137485 -586702 -391026 153549 -169935 611675 -181236 754991 346736 -898889 -606605 -765008 22922 818097 
+390881 -290669 374817 1054684 -67037 82316 83776 442357 -755345 -223613 -703088 -764045 -904664 -94146 -392077 -557360 405566 649042 49031 -45391 -66514 -152349 293803 -589653 813588 -813528 -642782 509067 235575 -1007973 -880829 688551 -781305 -571644 -497641 -490929 443330 -26988 843299 -411685 618887 133400 992861 -872769 -573859 443891 728282 595478 -22457 -32105 189735 -793941 136567 317268 219689 -23835 621632 342402 -643177 -484999 488452 -813999 670765 647359 -724450 705635 -846900 -439940 103350 -629713 -1010558 -993099 661355 -520380 -515360 806348 -723464 709508 868819 525761 33501 -278313 769420 -83221 -824898 -276628 -764056 520556 816603 947613 300783 749658 627854 -888130 -622724 -740073 290676 -328183 701271 823058 309070 -130363 -504407 572381 -772224 312588 -735379 -305717 648789 659049 -416575 959601 671018 -85670 414027 -220652 -620483 859064 380523 15197 -510635 -317654 -343161 357418 -953311 1056 626465 569859 -783083 -299823 777932 619034 -903485 810179 -812557 -864876 276570 -208789 -233309 -179745 -639512 -228422 -965779 -258366 -556652 -394591 -362429 636592 432100 -358688 760909 -287339 -584880 70701 368023 939224 49088 -703678 217543 -893080 297774 -954785 -150475 -191119 20316 -676218 -801906 -405502 169156 -732808 1047942 -369936 -895586 364050 -342999 -699243 154311 -863468 -381416 -6574 -945377 -243626 -1008323 202582 -861178 706485 517575 891093 270436 529587 -634471 -1090859 -487534 272038 -917738 563477 -239237 -125134 -905492 110826 861950 -733509 647477 883481 -766119 -350804 294097 353279 -408108 -612330 200209 -556422 954204 356381 595399 -187531 -195872 271002 -479919 -218556 959625 164238 539024 345468 -777916 162257 -998869 637735 531421 -315415 -171861 -573903 -499635 82068 -341368 631606 -8055 742507 354513 -877419 -583468 -705127 23433 776688 
+353168 -337335 446502 914232 -150214 94732 66384 468241 -660461 -134135 -770737 -761498 -943553 -93482 -369405 -497913 508604 634350 43761 -46721 -88866 -158674 320983 -535591 862697 -811261 -649193 488612 260992 -1013809 -845497 652990 -816828 -561765 -434808 -520355 431883 115931 840374 -399455 604679 71214 979924 -702819 -481896 528723 724922 532471 38817 7351 98627 -782559 33213 303594 218024 -17435 556075 299012 -666245 -494649 513527 -780571 631623 666242 -712859 687952 -855024 -489694 108615 -694993 -997195 -1034373 691077 -529642 -525829 936613 -708439 688393 868884 440115 34552 -378991 751458 -32882 -810549 -294268 -702526 522935 777400 906622 247988 749619 606447 -937714 -659093 -827231 249358 -377463 691597 812698 277237 -140773 -471733 591918 -780090 428663 -708848 -267850 627816 671703 -430976 938093 650866 -161712 448555 -238690 -598211 894390 410370 47016 -399158 -235764 -384244 363540 -914818 -75881 559427 584490 -717291 -303287 877660 632905 -934908 845233 -827612 -865301 307741 -204902 -228094 -173105 -625079 -224779 -946621 -187497 -484897 -343404 -348814 721501 376578 -357438 615938 -271089 -596091 74561 211973 805238 115934 -808723 246942 -904443 363207 -802209 -113953 -102935 138563 -687994 -768381 -460883 173451 -814065 1071951 -492431 -904214 365623 -315208 -864277 136922 -896090 -237456 15993 -918817 -289266 -1000093 205300 -879898 700991 546845 874671 260962 502488 -583189 -1128614 -425268 286422 -885204 554295 -208415 -154662 -856765 121338 839544 -704478 653394 854793 -807561 -293536 271230 489776 -450227 -700380 195766 -577018 959531 377973 418744 -159969 -200341 61261 -450201 -230009 869516 189451 521332 434012 -876446 153647 -1090614 632841 591950 -297273 -165033 -485641 -528394 48559 -433239 661030 82464 701467 383305 -873635 -575207 -660156 29766 789701 
+289247 -421049 508175 752855 -222846 92043 75567 495114 -611090 -53808 -744976 -753093 -935866 -148169 -297815 -442150 671773 612721 84248 -38720 -97887 -138621 305596 -581934 837785 -845919 -656468 478388 263151 -984443 -740313 646002 -852900 -548212 -451302 -489821 440927 298397 831576 -409373 630004 -26309 928789 -775701 -490077 569970 708115 380817 80320 20392 -11151 -771767 -89674 330201 267598 -20970 473487 280802 -695350 -445527 520136 -762485 528568 630168 -750369 661753 -833013 -475702 175355 -669833 -1001634 -1042397 690031 -530642 -517354 950840 -698732 674340 864331 407981 5227 -407825 847174 34980 -755001 -295497 -656727 516911 822922 818587 262922 699951 594012 -1023220 -695428 -903917 317656 -498554 727866 811269 259186 -157907 -407228 592206 -791948 488946 -709624 -171906 652453 682068 -322655 905943 580232 -333126 492625 -236750 -635814 913180 399037 60959 -304262 -52583 -414735 307161 -780061 -94470 465955 589470 -625784 -273061 955060 631342 -923295 875204 -835146 -860247 276949 -233576 -231693 -194397 -662751 -228266 -921014 -52830 -440690 -381583 -344782 755743 329065 -371840 627190 -262352 -600743 79645 79151 678334 107253 -864595 306855 -906465 442286 -669979 -111290 65470 133517 -684223 -776418 -531807 199587 -955706 1032521 -452382 -883560 400917 -292253 -999266 148373 -879729 -277966 19587 -875802 -308242 -1019138 218245 -834952 706409 594475 846391 257794 476147 -576425 -1096595 -432600 351616 -887917 547049 -162626 -165519 -823454 118509 848697 -737228 658341 858742 -811023 -213105 261316 602149 -502578 -715105 185239 -633638 969589 221417 396948 -145936 -262877 -54792 -471057 -253078 717613 252008 527111 393755 -1102733 147003 -1111239 553954 642557 -284677 -174785 -413552 -465174 84658 -375062 585341 54106 667749 347451 -852936 -579596 -636694 17797 779337 
+255481 -404984 532188 706864 -215366 89649 53729 523332 -608123 -63978 -702332 -754898 -930672 -182385 -216540 -442991 697998 602855 114464 -41512 -54901 -102230 259174 -676203 812572 -819466 -762004 469649 266474 -986422 -718876 705863 -819511 -533736 -543692 -433983 390977 302619 817912 -416056 618423 -143018 907094 -981386 -541430 481295 702210 334288 112395 -7577 -76702 -759945 -125370 341250 257556 -29937 478373 237794 -717691 -444797 506980 -788132 503786 659288 -738706 638741 -773947 -418654 156401 -688902 -1016004 -1007687 651888 -547154 -543695 846864 -674029 659139 850098 434925 26403 -351888 982124 81062 -714720 -301083 -593571 527746 893477 722116 194126 668194 587933 -1006579 -737323 -971646 461086 -506861 799110 759027 248555 -126814 -400858 538863 -804321 563090 -713328 -90773 648179 696771 -171750 889933 533084 -423070 536726 -223357 -617384 931565 380152 26149 -228239 22135 -431630 324120 -680144 -107418 394901 589081 -562709 -249853 995700 581883 -873127 888076 -835685 -923455 274427 -247125 -237112 -171022 -657389 -244190 -882055 41714 -430005 -363050 -356551 701607 255204 -376450 782208 -245361 -593106 75959 88726 627258 26216 -822626 290714 -904844 501596 -649522 -144109 220696 -25397 -674805 -749526 -544764 184491 -979648 934929 -275612 -901756 478795 -273170 -1027869 133046 -903985 -454613 18377 -817599 -299980 -992925 227186 -870804 717231 642213 814100 261612 481492 -645275 -959222 -464775 371176 -895692 561468 -131514 -190080 -847905 75098 867533 -711684 647314 895815 -799637 -127402 262288 470761 -517341 -652900 186285 -667732 969983 51080 523185 -132063 -294176 58351 -424524 -257117 670877 271099 547547 277314 -1163801 140378 -1133878 551439 600478 -264328 -170680 -423801 -318177 225878 -223472 519440 -65787 638676 402411 -817244 -586492 -638138 18271 778157 
+284163 -429166 529872 824565 -113224 71694 75694 547136 -666098 -147172 -654559 -749771 -953785 -232146 -173512 -484418 553891 587303 131298 -46755 -20983 -50331 234467 -802300 798152 -825279 -806255 457029 260000 -976740 -768527 737043 -758383 -534918 -663795 -363519 440312 81980 801706 -424659 636063 -225569 926833 -1017665 -670544 385631 713403 438011 156674 -47059 -57960 -755482 -70578 369302 289248 -32539 539232 212681 -747594 -286287 473438 -769741 474709 681901 -738306 624818 -771968 -380372 207986 -679243 -968503 -947467 548342 -521075 -583922 729877 -681251 658649 840382 511236 20901 -250660 1044908 107173 -691336 -310766 -567582 530595 883421 628345 183124 583649 584075 -1066935 -775597 -1035259 495863 -504144 863929 710365 253351 -157903 -463938 559286 -800807 586461 -771837 -31682 639410 725094 -160157 873835 590880 -374492 554950 -246729 -610931 889952 402218 -20742 -243201 -115458 -430857 272658 -647051 -108810 358727 580517 -584195 -305326 979228 549804 -804379 886392 -828569 -881312 294736 -265211 -236132 -217682 -674405 -235633 -852457 16070 -458250 -354655 -357372 622697 220128 -415197 946126 -252759 -599561 80625 175073 680838 -87608 -716283 223922 -911808 530117 -756264 -194791 79762 -92948 -640977 -750464 -557682 172919 -983765 867500 -188990 -897224 540773 -258666 -876598 148152 -935873 -571457 5257 -762653 -263405 -966039 217335 -842790 722243 679339 793445 267109 531947 -674983 -884628 -443773 367847 -877705 560791 -133659 -184096 -880642 119370 859296 -724289 679628 873696 -772349 -73040 310079 295727 -545889 -578465 193961 -707781 988893 111082 634981 -117232 -330848 274183 -393114 -259633 760326 231339 517382 213983 -997311 132365 -1164388 616074 533250 -248820 -169537 -410329 -250144 338768 -89075 441956 -194601 603062 375871 -798572 -583244 -664366 26480 776286 
+348143 -419263 507911 990537 -45819 66575 99272 571792 -753579 -228284 -596250 -749335 -885499 -240848 -187251 -544407 415950 580686 130687 -62154 43261 1860 263489 -876903 820328 -840334 -834652 433991 225575 -964375 -866760 740904 -740869 -543026 -755892 -328586 452504 -86487 786903 -426325 648388 -200982 970185 -875035 -732154 344713 719294 589388 134578 -52674 33755 -743876 66586 382342 293865 -25437 625271 158100 -775185 -221378 442762 -804743 499587 697501 -725500 609884 -753567 -395792 233934 -662003 -1003739 -917159 446879 -511185 -638622 723543 -679244 647565 840120 611163 45209 -230157 943225 78247 -636480 -317449 -553043 530142 829171 631234 185601 555950 593401 -984180 -806810 -1031903 426566 -466627 901486 718545 269717 -133646 -508332 483751 -795095 522292 -774810 -47969 680023 718754 -251371 861236 623209 -207736 541951 -269515 -560190 857684 373190 -94661 -344381 -280701 -404863 265310 -715123 -75926 383829 588046 -621561 -290008 915085 500610 -741832 869920 -813999 -926493 265118 -227662 -224348 -240153 -685490 -245496 -817268 -100959 -521467 -384878 -354438 585048 217483 -429819 960923 -336829 -587919 80945 351101 809768 -182574 -647778 199485 -911438 558700 -878349 -236085 -98818 -72679 -607405 -718644 -537509 125683 -933556 865707 -234267 -881913 556649 -249663 -726111 147804 -921189 -409711 -8175 -695510 -214132 -941249 238930 -889295 740695 694833 799659 278140 570598 -647420 -818167 -436314 385578 -878363 563435 -108344 -224403 -961225 76277 853361 -727933 674193 848216 -701890 6913 327438 261953 -594235 -485846 210891 -690928 991522 302198 612561 -113540 -428865 289788 -433659 -263023 899115 201969 514679 290732 -812766 125702 -1142211 660809 499892 -225696 -185216 -442699 -244918 289034 -85268 404875 -184500 590298 401833 -777460 -564839 -711337 -5151 770304 
+389654 -353414 463315 1062116 -62837 36312 136993 580197 -856643 -243636 -594399 -750708 -925550 -298330 -249996 -552688 449528 569416 140897 -77989 97891 48546 317384 -877569 821772 -814212 -796286 419154 240350 -951254 -885683 795471 -816116 -520762 -789055 -264600 490905 -5484 772806 -437906 630495 -108297 999279 -705738 -710977 343222 696971 588588 72170 -20592 143759 -735822 171090 404685 254080 -17990 714699 135245 -804852 -156907 423067 -761255 583591 725880 -714133 601607 -696149 -390990 261469 -625943 -965303 -954038 354058 -513459 -652971 831102 -719251 644824 843036 703682 44554 -266725 858580 27423 -624280 -334954 -576612 526002 765092 688199 130526 542307 608182 -966666 -833178 -995756 343649 -350367 888565 722514 297723 -119659 -545895 497918 -799621 475823 -804045 -119127 675643 703398 -375305 863101 657372 -105509 532954 -234186 -552372 857767 376817 -182085 -421730 -287839 -375706 232516 -844840 -5592 448671 579923 -722921 -359781 824046 512200 -710273 839468 -794430 -943090 300747 -222721 -225410 -270957 -696136 -241708 -850211 -234747 -599154 -335836 -356652 637454 243215 -453913 827364 -325664 -586819 87713 410939 937824 -215556 -673688 256259 -916543 531209 -1018541 -245805 -181026 82053 -560900 -750869 -536941 100570 -800964 969629 -393769 -877664 544913 -246627 -651910 152960 -930983 -249703 -4016 -655442 -165220 -916662 234399 -816871 744150 690022 830782 284852 565153 -591337 -852487 -446880 315708 -886960 584985 -176818 -176780 -997975 119631 841817 -702085 698398 872330 -667332 -86 311139 401367 -534064 -496116 199707 -694744 996559 382498 455282 -109061 -489913 83493 -453877 -259917 960132 151432 508251 400992 -847704 118324 -1117204 619303 509820 -209052 -171854 -530470 -356081 182334 -225537 377729 -41458 562704 383476 -751605 -633447 -772423 -16840 755976 
+372374 -323889 385814 960499 -150947 3076 157005 590731 -921779 -167996 -618421 -756378 -916233 -391837 -332905 -469707 616301 559392 116220 -96167 119265 77134 327453 -807015 851244 -821053 -700980 405453 225410 -953776 -846397 779741 -849319 -510145 -743456 -293279 484102 213774 767383 -441197 617205 6596 975826 -755429 -601040 490504 685757 472181 -25129 16570 207944 -734382 156987 432242 272400 -19750 729234 88738 -825070 -204902 418746 -751505 615952 729112 -707308 596183 -649278 -458223 237600 -658487 -986639 -994733 303510 -543436 -708280 949481 -724852 633256 854108 761410 69572 -409511 718151 -35408 -582044 -324744 -602662 507958 805046 785409 103139 527035 625843 -889057 -846878 -878885 271477 -308193 838347 745109 328475 -142873 -540916 477284 -800932 371022 -822310 -240968 691028 709084 -440568 870470 693764 -126554 499365 -242555 -532997 831767 406118 -245804 -509119 -114275 -333892 267975 -936531 65839 544544 595226 -790759 -351108 725582 550147 -720860 803865 -771499 -923760 344369 -210258 -206970 -244932 -670035 -230786 -808410 -243200 -678115 -384900 -350731 728298 251779 -442229 645602 -375133 -594731 89605 413122 998201 -170423 -775242 269289 -920194 492936 -976196 -218503 -71480 136005 -519411 -726792 -487769 88901 -682903 1039264 -484832 -883073 484603 -250288 -690625 143655 -918467 -282295 10952 -620522 -131363 -907008 233321 -880835 772989 654428 867853 293287 548181 -575282 -969525 -430610 289267 -906986 577956 -182959 -157144 -1034363 88118 875134 -709904 726257 890596 -615318 -25633 310848 555070 -524204 -585039 200228 -675846 1032263 273986 382037 -114361 -508300 -61438 -497632 -248424 869298 177274 511525 424756 -1033220 113285 -1072002 562436 551705 -186241 -209847 -567657 -482154 64632 -375934 358119 66236 588434 334749 -728234 -581867 -838641 -22005 785528 
+310194 -320752 322440 792188 -220350 -5269 178137 585039 -911814 -74971 -708607 -758573 -926114 -414063 -386357 -441107 711043 560216 119425 -108960 120358 86226 287349 -676625 820643 -833650 -688399 393030 236086 -931781 -750753 771805 -828023 -471011 -637584 -351662 444947 304278 768035 -441041 608979 91998 931741 -998664 -522462 537412 750458 353712 -36137 15227 188214 -728324 44246 441093 250800 -28651 655632 89087 -828607 -282366 432510 -771007 630834 745292 -697378 591425 -639490 -488308 211028 -704806 -976382 -1032947 310486 -549275 -727354 939866 -693428 630444 867638 762871 62240 -380436 823834 -76801 -557962 -336321 -654877 517118 880402 884665 132774 556515 647585 -859410 -855490 -824604 290798 -337518 769366 794999 361701 -79032 -495008 463121 -796218 325842 -810461 -302226 703114 655846 -411778 892532 682298 -284219 476066 -244670 -517474 808178 378204 -287069 -550908 18138 -297237 225693 -935401 136151 609988 592040 -784855 -381043 645214 586257 -770282 774036 -748392 -941221 317780 -187401 -189398 -269137 -673007 -242817 -868607 -140930 -740714 -374982 -352504 760908 306871 -400388 600554 -343798 -601218 93363 266753 962294 -67871 -856172 293913 -914210 427978 -839399 -169208 126778 75833 -486946 -710892 -442364 122440 -627398 1083575 -374955 -879909 400460 -260409 -843590 167548 -970839 -499730 17102 -604237 -129292 -884018 249192 -827017 787503 610042 891374 293847 518591 -613830 -1089607 -446994 230566 -934889 580659 -223135 -154666 -1001795 113842 855568 -720595 715832 851719 -603360 -75586 292142 557375 -467582 -642675 215873 -630102 1014976 90520 491715 -126696 -544199 348 -516611 -255296 744320 206245 495849 331632 -1182393 108129 -992879 578319 620417 -170107 -184654 -576615 -531437 51344 -434740 444739 69768 592242 354952 -769212 -586372 -900593 -19396 762100 
+261025 -216426 265119 702702 -210488 -18231 225319 581311 -865749 -43804 -774009 -765807 -913906 -415067 -381077 -427727 621128 567087 51897 -136747 94855 74528 248939 -580523 801420 -838628 -636513 389613 280704 -942560 -721357 774678 -760273 -454526 -520654 -412596 399253 200978 773952 -446697 606673 125637 902814 -1058738 -493098 579552 743636 375637 -13496 -20379 96737 -728335 -79366 450044 221571 -32950 573018 67557 -840508 -388272 469847 -765347 743637 814027 -685275 592526 -613751 -482864 229699 -671024 -959832 -1045927 346359 -529181 -763028 815654 -658473 632349 871496 708844 59039 -298701 982389 -85093 -510372 -340690 -703877 530150 909046 959467 93061 594584 666858 -862029 -847575 -737666 416012 -437988 713639 841353 390508 -144058 -451765 443773 -791827 305245 -808529 -296993 706796 615618 -284374 913561 646086 -411634 422020 -249088 -480601 851550 379707 -309615 -555819 -51966 -284069 224909 -855377 112837 616247 572994 -728687 -421180 618965 626369 -838912 758305 -727141 -986184 270213 -177892 -219962 -222551 -669270 -235313 -840424 1563 -778900 -373881 -355154 711857 385120 -363381 722403 -352900 -601175 83789 133600 837652 44614 -849116 236911 -920845 344589 -726544 -124281 149580 -12097 -481845 -735707 -407869 126304 -673850 1039851 -235097 -878777 361811 -275873 -970581 146212 -928649 -558058 19344 -610112 -153992 -858784 228385 -846375 820413 563919 884515 293334 495597 -668825 -1135475 -466686 274449 -928209 582266 -253594 -128212 -949554 131377 847209 -710708 718615 892972 -620079 -167309 353509 417309 -418694 -702466 226968 -584571 1040966 79138 626628 -140068 -555535 225696 -554326 -235949 680071 264898 505049 238061 -1070015 104211 -933910 615475 623876 -150255 -152054 -619846 -478334 163664 -333936 482502 -76773 621295 341870 -783455 -591012 -949010 -11964 781612 
+268352 -213243 230740 786094 -127003 -8633 227123 557562 -775101 -112018 -777208 -776847 -896924 -413035 -323423 -487357 454110 564319 35533 -154002 52551 37124 237369 -538393 815659 -854923 -657570 372873 258144 -968015 -764930 738217 -748492 -444925 -445725 -494676 388939 35111 785978 -444130 612536 63982 922956 -904269 -516992 459555 765546 524665 734 -53096 -14139 -726616 -138302 442412 232095 -27072 516587 59468 -837236 -471509 492554 -789948 760696 800240 -682191 599001 -581447 -416025 187486 -713899 -984813 -998248 402619 -560487 -807031 717051 -674054 642586 869674 615663 81157 -189288 1034139 -51044 -501359 -352685 -769485 519385 819324 909196 111770 646253 677822 -898165 -834054 -693833 513967 -469349 690134 852469 410136 -123878 -433607 445204 -793304 306787 -766865 -213650 737212 589976 -198461 941642 619632 -399416 399059 -250696 -480010 900839 359223 -298373 -455524 -241227 -285609 229050 -719594 147014 580557 566730 -629736 -423582 640689 662541 -901736 755248 -710247 -989570 239869 -197248 -229757 -237592 -685268 -245568 -901950 53712 -774649 -393145 -369742 624029 426589 -354449 909210 -255690 -589737 89039 45865 702809 114754 -754855 199335 -919598 289778 -661619 -107698 -30483 -101036 -477630 -750546 -413932 161249 -760720 952989 -157451 -885474 366384 -294849 -1033929 157536 -953990 -454349 10032 -641887 -201159 -836869 232399 -839628 839893 525131 856660 286664 487899 -678452 -1074114 -472859 310656 -934876 570306 -280186 -130624 -880554 134661 892153 -710123 710115 863078 -662940 -263166 351378 244016 -375714 -684288 225755 -552729 1034696 254151 635586 -162275 -534864 322217 -550493 -245275 739715 262868 495765 243627 -847506 102955 -877818 641391 577188 -141053 -191565 -557286 -340012 258257 -175471 542030 -184066 665890 322241 -740356 -577945 -977242 -18277 786376 
+325904 -309488 217769 948444 -38931 -19499 255721 535823 -685891 -206337 -709974 -785418 -895439 -443842 -241994 -533757 414733 575868 19496 -169487 1850 -13470 279238 -584330 845289 -844261 -755173 364059 249111 -968256 -864010 682523 -788904 -459504 -444294 -542589 385595 -43779 801717 -451070 627997 -15774 970405 -700787 -646284 325867 747377 613898 8988 -45247 -77125 -726652 -93214 449219 250544 -18593 476048 49527 -831067 -536277 515234 -763740 725064 808842 -689435 611492 -540065 -323910 165559 -648153 -949181 -956470 509520 -540542 -803438 739522 -725028 645164 854800 511934 51013 -243336 976965 10036 -544458 -349193 -826614 520141 788377 823392 82169 730117 680789 -938413 -806545 -701579 499886 -537553 710658 807611 418153 -118991 -402146 493703 -769570 353416 -725629 -93263 743300 604748 -171698 963939 547517 -271661 370399 -226030 -447722 920250 368322 -248361 -369361 -317143 -309206 265016 -653165 93172 495141 577496 -573207 -430369 712598 634786 -934165 771525 -699202 -978521 302257 -181679 -219265 -188391 -705696 -239480 -882704 -27217 -739873 -374813 -362387 571584 490464 -341019 984556 -267344 -592573 86441 75870 629405 109072 -661909 223169 -915677 249746 -702878 -128535 -170020 -47183 -495575 -743628 -451699 189153 -871076 870341 -273291 -882301 443238 -319886 -928216 141543 -918997 -229766 442 -685876 -253122 -821605 239010 -831669 853051 508768 823082 277651 512396 -619782 -934592 -445866 378326 -942326 566431 -292877 -95203 -854081 91048 898368 -691365 712970 872558 -712157 -268022 339110 260401 -325939 -597274 242259 -536931 1034888 391452 497616 -185284 -496178 119597 -610338 -273111 886643 203815 496102 345738 -798989 102044 -842253 663987 510240 -132690 -165763 -500642 -262831 317627 -72149 629106 -184755 689569 309498 -764187 -601887 -980676 -7787 767073 
+381702 -322037 241123 1059526 -68975 19991 242762 507414 -621822 -248173 -634869 -794003 -929947 -404072 -180424 -526704 548910 590304 -852 -166959 -56296 -66482 322876 -695451 876929 -842881 -836188 360091 213839 -946154 -893721 687251 -818238 -394865 -512513 -509656 449220 111444 818497 -436505 625537 -147205 989381 -777047 -739929 304390 780922 543877 40188 -7307 -56105 -731544 44770 417909 275661 -18620 516965 58434 -823073 -503838 509616 -763241 718513 833036 -707833 626728 -508557 -388860 125538 -642859 -973692 -948912 589663 -531967 -806521 860787 -739908 660124 846937 436155 93977 -316336 825359 66008 -530708 -358822 -858329 528392 782908 718259 83102 750652 678608 -1013929 -775856 -740579 388642 -474421 772215 784235 414211 -106841 -449438 520418 -763397 483898 -703405 -28283 755404 579458 -249060 981093 571348 -114758 372181 -256232 -495326 932431 382719 -172139 -285864 -179023 -340117 279282 -661753 66086 419656 579966 -559835 -340996 805290 602175 -924425 803270 -695877 -984235 291572 -166307 -212222 -178714 -671632 -240155 -939681 -161325 -670527 -371091 -367719 642458 530326 -344591 893496 -218862 -583636 81837 242268 662178 32476 -654428 273071 -925969 247608 -872287 -175720 -194963 87924 -508658 -759137 -440638 204043 -977617 867937 -435234 -892434 461460 -347008 -738201 153862 -940847 -266458 -2543 -738522 -296375 -808920 248065 -861901 884297 519306 799183 268732 557769 -579242 -846352 -456522 410894 -955473 567525 -313872 -103533 -821351 108912 903523 -705762 744818 871765 -766998 -360329 350451 448979 -323200 -513508 222884 -512792 1040754 290170 395729 -209530 -445682 -41606 -583469 -268585 946599 169292 479695 433827 -994060 101955 -818252 597631 495700 -126940 -174011 -426184 -272383 261243 -117331 645293 -54016 720511 316725 -752096 -613472 -959204 1210 786440 
+385281 -388181 285071 1007881 -146493 24138 237738 484909 -608943 -201026 -566224 -804353 -914077 -316845 -176125 -485147 694510 606033 -37203 -181959 -85764 -120713 320590 -812108 817306 -827689 -852980 366462 253813 -930602 -820427 659622 -819302 -419441 -628644 -489330 466063 289816 832184 -447047 621466 -221869 972220 -1010388 -725745 378612 798347 405852 135338 18545 36392 -736217 157322 402367 301335 -27158 583506 69765 -798768 -390789 491785 -797517 694095 810508 -723653 639706 -511341 -446119 99758 -663362 -992543 -944979 666570 -545763 -805113 957439 -722269 667279 840051 410577 94594 -414683 729323 107060 -536643 -348151 -870657 521816 857382 627089 59148 760227 666518 -1005309 -736749 -820539 281740 -458160 838474 759140 396205 -110285 -507952 478942 -757252 554447 -677612 -43742 765802 531667 -401438 1001756 530822 -93729 367634 -259911 -519704 899514 365581 -93963 -245800 -5914 -380503 302879 -784398 -27179 364664 558782 -628986 -314751 901522 550047 -875705 834716 -700767 -1029276 310243 -215178 -220254 -158407 -694931 -230278 -976679 -251868 -588412 -397950 -366013 735049 534985 -374403 705740 -217122 -600268 80258 376559 776432 -82357 -735278 299490 -924002 270093 -980705 -223548 59223 110816 -551459 -755021 -493221 199097 -1029388 917175 -499996 -910339 532960 -374695 -648202 136016 -912303 -421323 10179 -797023 -311436 -807441 204237 -842775 908972 551754 799568 262765 580431 -608277 -844338 -459418 372549 -985192 560722 -271642 -77704 -862176 57912 868024 -721490 724561 852686 -802422 -305720 339172 568500 -353408 -466044 255502 -495571 1017428 100084 454380 -239123 -387697 12165 -542645 -265349 876989 166895 467652 389030 -1174027 101403 -802784 546184 540486 -133164 -183154 -404058 -346977 149398 -267216 614548 55419 756065 297180 -778274 -576948 -915827 6424 788798 
+333146 -394078 366970 833545 -226844 62893 215547 459629 -663811 -104110 -569128 -811915 -935566 -341426 -227046 -433996 674515 614622 -34021 -171163 -103121 -152370 288388 -878410 823324 -866747 -820107 360878 275310 -956335 -735111 627695 -786652 -448316 -735230 -425035 480469 280226 840306 -425862 630986 -185246 936675 -1045351 -663782 472766 820129 335733 163742 7240 146016 -742664 172514 377107 311997 -33002 662655 71089 -777139 -295708 447902 -782066 621164 756081 -723808 659720 -486844 -531253 117162 -637441 -953045 -994551 698248 -532449 -803546 913640 -661325 668923 839750 439308 82185 -374161 790059 91561 -572712 -352713 -836675 519792 905779 633699 71873 741103 650668 -1020156 -700156 -896537 254834 -348112 891767 704019 371309 -123786 -533221 537568 -736777 611545 -696976 -126871 778287 458950 -447261 1005815 592184 -226633 414447 -268715 -517562 866109 378433 -21310 -280021 -2382 -415201 329595 -894225 -54803 365206 534193 -732792 -300375 972205 499866 -806795 865246 -711906 -987792 287229 -200829 -219997 -227986 -718991 -232834 -994511 -215313 -510497 -371319 -368330 742205 541234 -422238 591943 -262294 -600133 74774 439283 916047 -179466 -837728 221970 -931036 338276 -980368 -246684 163275 26020 -597758 -788887 -538855 185514 -968023 1050328 -378208 -893525 560485 -405196 -653129 156946 -917939 -555838 14305 -857743 -294459 -820498 219616 -847485 925997 598196 819340 262259 560613 -656210 -909211 -473109 328032 -966854 548057 -265227 -68427 -919316 85912 841262 -702438 733478 867072 -814477 -266102 347335 537179 -399826 -508173 266111 -524728 1028997 90043 611227 -270493 -341073 237885 -538267 -264978 754890 182037 468894 282297 -1124554 104803 -796954 581662 605979 -132719 -183325 -421782 -430281 44154 -407521 576379 82577 757761 278810 -794431 -537420 -856814 12553 796377 
+272794 -442723 420841 713435 -209149 70750 192691 434661 -721561 -46825 -660475 -823335 -922370 -296409 -309055 -444432 514709 629470 -31813 -171678 -68770 -160471 241872 -873159 818058 -848296 -700324 377777 252334 -945624 -727792 612449 -750828 -461923 -788296 -319592 490236 120721 843028 -444762 628933 -97094 905337 -883384 -528342 542131 832434 432839 129975 -35210 208435 -751540 85226 345006 246964 -28685 733976 87658 -744821 -177688 424524 -792701 515279 747011 -725422 685987 -531183 -493663 119545 -640612 -964925 -1036363 691012 -519177 -726210 795180 -656021 690387 843378 518586 107977 -292255 950742 45468 -605465 -364172 -811858 528963 857512 677301 77471 714778 632282 -1012319 -658619 -975899 343041 -317921 896947 700128 340303 -114250 -546217 568318 -734802 580266 -760459 -218646 790232 438851 -402849 1000965 635932 -378736 461563 -243189 -560227 819181 378442 34278 -333291 -179389 -431925 335358 -941374 -120791 436319 568637 -804648 -274130 994526 514265 -744011 882483 -729713 -998687 242637 -242354 -227151 -210031 -676363 -241286 -1044529 -86588 -454542 -369329 -361390 713471 520859 -415691 656718 -324051 -599885 73924 358188 995766 -216231 -864144 233191 -929215 402458 -877842 -232032 128880 -58727 -635191 -814854 -556823 170108 -852641 1101190 -201279 -905268 543843 -432391 -813747 148250 -876607 -494421 13436 -905299 -256250 -817931 237059 -834380 939677 645610 855415 262514 552687 -667970 -1021672 -459552 288024 -958955 575746 -237907 -79496 -978509 97529 907527 -675245 741919 865903 -806893 -193610 344757 362284 -403527 -587109 272707 -551982 1002026 187883 647320 -301486 -263931 334788 -557987 -223829 638658 232971 463271 225134 -913805 107878 -835226 620644 628395 -142936 -138555 -479448 -513857 66819 -415165 480473 -50843 748620 266430 -788034 -602689 -790788 16390 803991 
+258627 -419881 488554 738841 -118938 82461 186703 416953 -821335 -80334 -722167 -834880 -932756 -236339 -376286 -500889 406136 643939 13218 -142820 -30530 -145822 240606 -790108 869596 -845834 -647785 393193 255963 -942572 -787326 591022 -761101 -485549 -767248 -251190 434466 -40590 837994 -428495 640340 4563 931270 -685656 -464087 512553 802320 590116 62246 -55391 186948 -759723 -48110 312991 255418 -19812 695154 102365 -721156 -162656 404651 -771949 490449 766674 -734872 706224 -522669 -430708 188007 -695684 -972213 -1050993 613146 -520192 -706927 704174 -667250 700294 855165 621919 99756 -224100 1034602 -23452 -665816 -364127 -745497 530797 797898 784228 73166 658409 612088 -972790 -625128 -994944 502135 -375222 862546 738885 306336 -119992 -516007 554747 -724756 499966 -764598 -293160 795564 447899 -280169 990643 696718 -426437 506190 -261282 -535238 831227 359566 59694 -460767 -316258 -428810 389975 -907239 -129055 527298 554070 -774095 -235569 966443 549931 -710905 887600 -752293 -982760 274566 -236506 -226713 -239610 -689325 -238483 -1067736 29490 -429569 -369265 -361651 609069 492522 -449625 827724 -327949 -598915 76709 214801 975684 -174820 -790657 245780 -928826 480536 -700213 -188293 -122423 -103847 -675287 -842564 -552520 106916 -717242 1045469 -199484 -891900 478758 -458256 -956686 160910 -884583 -299049 7383 -945063 -205118 -837835 210541 -870920 955521 679479 886847 266371 475922 -653790 -1073783 -448976 262770 -958142 571965 -176026 -108214 -1015031 130094 865284 -683000 751059 876004 -741168 -81576 338484 247214 -452532 -712290 302927 -573405 979364 362851 520013 -332270 -218431 149892 -491782 -245725 746971 266126 459168 281975 -782664 114003 -896333 640869 608821 -152243 -178935 -514099 -492162 157427 -286068 395215 -175079 732453 308220 -783193 -576643 -727335 48284 812376 
+303531 -392850 528752 897720 -36540 96563 118167 405875 -908938 -175382 -766616 -841001 -936106 -150778 -390747 -545822 483056 667562 72401 -130449 19996 -108578 305081 -671545 867624 -852277 -656100 404067 246338 -929142 -856676 649792 -818584 -487699 -672496 -272671 411609 9588 826447 -440965 609953 102738 966161 -757440 -516995 442327 816565 607861 5856 -35881 93138 -771652 -120235 304505 223109 -17751 625071 171653 -685964 -195240 426235 -728986 480831 739151 -731179 726499 -564185 -398761 206950 -689699 -979660 -1014591 551079 -523410 -696389 760090 -714152 717940 867981 711630 62114 -248831 1025174 -69944 -696836 -364535 -709694 523705 781218 883972 106340 591412 594752 -922172 -596168 -1027141 538972 -465410 797426 791124 276969 -132043 -481162 619176 -711965 430790 -807110 -293240 805040 441418 -161692 962685 692611 -332903 537261 -250051 -606150 834422 382242 57298 -532013 -245559 -408180 394546 -762240 -96836 595890 538047 -719953 -268703 893361 589355 -719700 875222 -775819 -1017263 270626 -259892 -204014 -244968 -682541 -251545 -1060110 38993 -442324 -389576 -364671 576633 446040 -452268 970481 -377446 -614408 65165 108318 867005 -74271 -688055 305782 -938680 522561 -640553 -138666 -201387 14516 -685793 -851610 -526686 125083 -613222 965797 -310556 -921457 409792 -479238 -1018039 174053 -894818 -233844 -7867 -957762 -157156 -841673 206024 -854116 974190 696942 885687 277924 471246 -598466 -1131506 -455685 300305 -941992 572125 -118774 -88908 -1027449 123182 872968 -675024 764072 846606 -698843 -34855 370500 329171 -522164 -688518 318374 -613715 985986 299031 390051 -363788 -169624 -47737 -427245 -243133 899565 241722 466635 400777 -923166 119679 -904814 605247 540844 -169315 -158658 -568852 -410557 254924 -129667 383182 -208054 704098 291991 -830525 -558529 -675566 30901 814368 
+366331 -380658 536892 1038708 -50371 96383 100764 406780 -922434 -246422 -768096 -851663 -922753 -160101 -344928 -516430 652706 683950 56144 -118752 60052 -54905 320073 -574412 822705 -859293 -685792 419441 236723 -932962 -872851 678401 -844895 -511494 -557099 -338909 389336 230831 813673 -427928 622026 114751 998587 -973559 -593093 323673 802028 481088 -31670 3934 -15813 -783284 -97588 298173 226326 -25448 555155 157414 -663044 -268907 446109 -770813 472421 668548 -726219 751984 -596475 -392574 228700 -693319 -952132 -951510 433278 -546748 -625351 893074 -694358 738055 874555 763505 103003 -333919 893213 -88482 -734013 -357731 -646032 538276 855866 940767 105420 545618 589142 -895048 -574007 -964119 441990 -472186 732667 840061 259034 -106077 -416867 622815 -690588 346692 -836622 -213423 791291 415003 -161803 943478 696708 -155175 548764 -252523 -625725 887160 337039 15103 -557858 -57671 -373224 348670 -669077 -70450 624763 576561 -630474 -327127 795427 635371 -768338 851717 -797648 -1001805 303235 -225808 -229212 -260125 -678242 -245700 -1061888 -76761 -491170 -401521 -362479 635738 354083 -421802 946697 -333703 -585198 69810 58966 729935 39721 -643335 277750 -929021 559802 -682357 -109584 -72052 120198 -689410 -849532 -486193 125537 -633717 887507 -471240 -915880 376835 -496612 -948858 178511 -888373 -402817 -3380 -947521 -128405 -865707 193985 -836845 972554 686508 871546 287580 513365 -590387 -1083145 -442356 351030 -932133 572709 -155294 -118223 -978771 116133 842225 -677320 752479 839628 -652858 -25863 329700 504617 -517469 -675988 314673 -634291 995102 172072 451833 -395696 -190410 -19162 -436766 -264011 948972 203927 442180 422617 -1124527 124032 -996366 579943 492855 -187152 -155342 -608313 -283663 327422 -65234 359832 -95477 681679 323880 -848678 -585927 -642969 47813 830970 
+391136 -266124 512119 1028863 -141023 95147 60905 414816 -879830 -224869 -690084 -856385 -916976 -106932 -265732 -480407 707494 699393 102641 -103781 101240 -8547 297819 -539595 794813 -857467 -792438 449071 241195 -926818 -835130 717907 -811384 -540080 -460134 -408135 399009 301829 797624 -417322 617833 69550 979482 -1061029 -697193 326932 819503 359833 -87463 20842 -77913 -791640 24985 301682 223360 -32609 466559 189865 -633445 -403402 483913 -801443 490438 689408 -716490 775569 -621000 -447604 282152 -664304 -994198 -910877 354233 -531722 -580262 968743 -695340 749671 868518 756935 94647 -416039 762453 -67771 -777814 -346463 -600507 518367 888802 919493 133395 540745 587279 -886526 -560785 -909998 364733 -478011 691382 851082 250581 -90237 -417208 594291 -678870 301427 -837856 -104863 813001 391071 -264030 923585 671152 -84404 542769 -281293 -656822 903603 393248 -60487 -542529 17893 -339053 357015 -643976 13035 588562 559241 -572191 -336513 700298 641787 -836906 814507 -816387 -1026032 301505 -219332 -227762 -248891 -648227 -246685 -1054390 -209375 -561602 -376913 -356650 738528 296013 -406244 784142 -332088 -592165 73671 154405 638411 112657 -701748 226064 -934018 549678 -834725 -116914 171436 124573 -688363 -885050 -453199 94666 -713295 863016 -450322 -917345 407260 -507710 -774911 184840 -882638 -559971 6609 -924394 -132947 -903215 169594 -783787 980453 653494 838181 294060 522056 -636505 -959919 -442730 370342 -919145 576326 -91256 -160887 -926768 154558 880091 -669266 768592 855274 -612426 -12280 349965 609596 -560276 -574463 299349 -673706 975405 73228 580831 -418195 -190353 181701 -411173 -267671 884403 159178 445162 336841 -1149706 130821 -1034978 565672 516093 -203097 -187470 -586949 -248085 215293 -146834 424367 30814 629432 326046 -850713 -610906 -634561 20228 857541 
+354394 -268276 482097 894387 -212536 75882 77148 427878 -805850 -134822 -636600 -862807 -903025 -92665 -194451 -440285 582037 707365 88993 -80071 117855 42438 257682 -597566 794225 -848925 -848388 463232 266966 -949360 -745676 711898 -752370 -516719 -433586 -432874 486146 199768 780720 -393940 616380 -59251 926943 -868677 -729379 407658 737558 364529 -51705 -4574 -54787 -802764 141978 323909 269243 -29990 473113 220500 -613487 -480539 506327 -804559 573533 674232 -703531 798547 -651598 -474095 267362 -635162 -986008 -959659 298881 -532433 -538945 897099 -677176 765736 862102 696585 87882 -379565 794945 -11314 -811164 -359942 -563227 521532 887330 838278 157571 536847 592819 -852487 -563762 -826417 235047 -467696 695823 832928 255937 -122348 -449016 580001 -667287 281071 -839523 -39645 818220 370266 -402908 895956 571571 -172526 523835 -268538 -588240 913748 394144 -142109 -452831 -120637 -298714 389281 -724076 78751 513615 569559 -564669 -325547 632917 638607 -900167 778590 -830250 -1009165 285644 -193167 -248100 -242050 -702669 -235132 -1064838 -250270 -645327 -382177 -360303 744335 259538 -356641 629949 -248092 -611046 68852 302640 646935 111217 -805718 191800 -939241 511693 -967508 -156827 213167 8195 -658265 -889230 -427172 127996 -823860 918229 -311092 -922665 420806 -514710 -624781 193970 -891833 -524653 10213 -886871 -162785 -915325 138739 -845138 980406 606590 804691 292622 576260 -681407 -832817 -434647 414910 -928174 568085 -120320 -165512 -867589 126953 857356 -711553 774300 878339 -604918 -113803 347208 481664 -551947 -462142 322720 -706574 936746 161034 646377 -447908 -211289 319848 -431954 -262862 768700 139011 432525 233543 -973839 140488 -1087180 594485 562792 -229228 -126723 -538233 -316024 135116 -317255 482535 57628 600288 354621 -861361 -597096 -651219 26257 868045 
+290839 -254764 415225 736339 -212388 58750 70830 448090 -694391 -53280 -555211 -866648 -921437 -110354 -172007 -455835 429334 713245 137240 -69349 111798 79555 247490 -709868 838466 -846799 -877004 466933 266302 -969075 -712145 759110 -765197 -578747 -478538 -518802 482018 25402 770278 -413548 639350 -168342 904695 -718309 -674274 507685 713396 505120 7194 -41466 38940 -817596 191814 331563 305734 -21027 557245 266226 -601154 -489775 510439 -773010 645428 642734 -712210 821207 -705842 -469532 259793 -648620 -1017450 -1005725 301734 -535548 -543973 767105 -646592 782246 852948 600904 78805 -260897 914468 51752 -845825 -350713 -554904 533337 822708 730479 156460 561024 605749 -908369 -572164 -766420 271799 -355441 747710 791844 271114 -57403 -512633 561032 -637347 361849 -792088 -34526 823036 380556 -471247 881192 577696 -342370 501969 -279563 -606341 894488 369298 -223499 -356714 -283803 -281470 378418 -845301 105749 431824 583513 -622664 -377800 619796 561287 -933696 764697 -836220 -1001665 327837 -170425 -229129 -233940 -698151 -239911 -1076773 -168829 -718944 -371942 -370244 678557 244820 -342941 613736 -266342 -609610 72457 419604 746107 38107 -864949 252211 -939485 441471 -984148 -207611 47998 -89993 -623249 -903895 -406159 171098 -935220 1018227 -177956 -938061 515911 -514560 -686551 186504 -899808 -296466 15072 -827736 -211147 -939879 133806 -849327 972242 556535 793009 290993 570760 -655789 -822758 -479414 372496 -906232 584688 -134865 -198130 -841550 85598 827751 -694744 776562 851047 -638090 -180930 331504 307035 -533145 -492324 327350 -665893 933526 318029 536997 -465826 -261784 163774 -430816 -269885 688039 231958 434208 236396 -792345 148691 -1144047 640338 627016 -252236 -168798 -459549 -432375 54376 -422367 566155 -51207 575255 333338 -858481 -607366 -690681 10883 864432 
+255928 -258715 353008 718711 -117023 16739 77945 477756 -638733 -58024 -563101 -870495 -930901 -86701 -207495 -523066 432847 714234 163574 -49019 68189 88846 244819 -827079 857349 -875349 -791807 488192 257835 -935757 -762720 798724 -796361 -568380 -593196 -525445 514226 -66105 765944 -412955 628699 -196158 914819 -765753 -566901 550523 762863 606345 54936 -53835 147897 -826871 111318 365194 272741 -17382 644405 292687 -589233 -464147 502038 -762490 722634 671271 -692315 841096 -731405 -483769 184824 -660622 -1025073 -1036851 346124 -520298 -524023 710631 -678311 783988 840995 500736 87835 -197641 1064978 93244 -859327 -341657 -561662 524556 798697 648897 198121 615801 623251 -950390 -596058 -696713 395857 -331528 811054 756224 296396 -78016 -527090 537682 -631226 440665 -730019 -91675 782762 396707 -370859 863783 606728 -444278 449464 -239811 -595754 865890 414520 -272855 -271664 -288822 -286457 295655 -937802 139159 366771 587564 -692447 -416983 658871 542175 -925347 754069 -834817 -951620 284249 -167864 -240552 -215048 -678194 -243996 -1053657 -32386 -766216 -391012 -350096 630612 224536 -348737 758743 -223861 -593670 73211 415978 886015 -74759 -823521 293739 -935218 384488 -909414 -242350 -139123 -67761 -578087 -911509 -420898 204915 -995019 1092844 -212475 -960187 575352 -507355 -777199 210435 -905394 -280890 8845 -767436 -263387 -959195 139821 -834341 964973 522928 805262 285259 542842 -602311 -916023 -482016 296631 -896184 579756 -145943 -186206 -847367 72736 855262 -665190 776913 827834 -670104 -223013 356020 246056 -491235 -548509 335523 -697105 900568 372701 399443 -484561 -309069 -22359 -418152 -234224 713879 232929 431248 349893 -842991 153655 -1134697 660752 628194 -268324 -191151 -453736 -507927 77209 -391425 637231 -149177 570532 391381 -939628 -583414 -747264 13921 893367 
+282562 -302643 274054 842141 -37957 -217 88100 506041 -606807 -144196 -666213 -872469 -910326 -102932 -283923 -514709 590300 723636 137526 -35526 28753 79453 308093 -885859 827596 -881181 -731923 489359 246346 -957952 -850643 791911 -821871 -570050 -704680 -516026 436832 134344 768228 -412115 627319 -207347 974681 -993091 -468136 511327 691605 570813 96086 -24030 208901 -837488 -21064 339455 353052 -23689 691298 346276 -588106 -401487 479960 -781837 751032 654085 -705258 854797 -751568 -482831 172154 -671709 -1024077 -1034094 425227 -490866 -526540 786952 -718836 796553 837251 429693 103705 -252648 1038028 99523 -882029 -339578 -605679 514642 826738 617581 254727 635555 642782 -976082 -622747 -668688 555708 -315352 875301 724186 329999 -123208 -563887 538854 -619705 502088 -734865 -203717 809436 402826 -281581 858759 592434 -371596 413583 -265127 -582416 814603 377013 -318001 -238415 -114238 -308507 280047 -936383 129987 365838 590250 -784455 -439215 733653 496250 -877345 765922 -826055 -965268 287745 -195095 -210475 -176659 -663692 -242010 -995145 46460 -783675 -358665 -370441 597892 240296 -346028 927381 -248593 -605107 74682 294805 985431 -175084 -722106 258725 -936292 318943 -752508 -241853 -141834 33076 -542720 -904342 -442458 222991 -981242 1069454 -368191 -936249 570091 -495577 -957170 207084 -898671 -368100 137 -709402 -298711 -990746 102465 -794780 957088 508866 839891 276132 515136 -584608 -1028586 -438345 275866 -901885 576421 -218742 -216982 -882479 79900 880968 -675842 775732 871754 -745214 -261188 349314 383929 -459821 -636402 352130 -661155 914061 228907 411259 -498897 -391426 -26948 -456171 -233000 859206 276097 446587 430000 -1062385 163312 -1173120 598414 574064 -289298 -153933 -398912 -490214 182224 -235129 637853 -169632 577452 376661 -941751 -594127 -812649 2635 878402 
+347667 -362718 241248 1003535 -55185 -7613 133270 531378 -634028 -230350 -712393 -873281 -889768 -173368 -360189 -512130 708864 712748 75498 -38794 -23018 45305 333735 -871408 786174 -869709 -659466 501266 251000 -958512 -885793 797033 -792909 -577705 -778858 -423505 457992 285787 776658 -414755 638831 -111939 994794 -1055531 -491582 410897 688262 420400 175740 15435 185342 -847890 -120426 372829 275036 -31864 721361 339016 -591327 -280801 432111 -804177 757318 669946 -712374 872066 -807200 -387649 152356 -679001 -1001394 -996091 527138 -489402 -543294 918884 -728475 807390 845263 409861 76967 -360399 912200 60000 -856637 -343914 -669074 516181 892743 676073 232882 710391 662439 -1041223 -658212 -712609 509478 -391137 901152 701822 362993 -105592 -522794 512549 -594146 585027 -696542 -288730 795358 381543 -160095 878415 632226 -209926 385163 -251853 -547264 838263 371934 -300869 -294468 21777 -342598 268763 -828881 77498 417246 581042 -777843 -426736 833729 510779 -808524 791250 -809923 -959687 292320 -175224 -234156 -197275 -676659 -235668 -935714 7748 -759976 -383004 -365223 646310 280146 -402819 978983 -258918 -596759 75165 156766 990416 -216320 -646394 228765 -933908 257580 -670489 -206631 -6758 126091 -504062 -909340 -493895 187739 -895762 967366 -486766 -956346 521608 -477424 -1014481 194253 -915177 -557521 -4511 -663630 -307939 -999356 91264 -814833 944243 521184 870492 266464 493245 -611844 -1105706 -435478 255075 -878500 569749 -279025 -197421 -944777 108144 861053 -689835 783322 880980 -769980 -334538 339373 540861 -408365 -701298 355087 -627886 872597 72228 565964 -506328 -465288 167588 -487997 -224633 954632 223246 432933 396151 -1188927 169794 -1144309 568134 505304 -297299 -182864 -436491 -417856 313552 -94565 615996 -76589 592366 371864 -981783 -589736 -877350 -437 922482 
+389171 -398933 233325 1048861 -145581 -27759 158796 554872 -708884 -241417 -747194 -868255 -926961 -206916 -392143 -485922 644968 716813 85461 -37259 -74315 -6071 306338 -775886 806292 -873783 -647847 504460 264416 -964453 -833396 807198 -761975 -543494 -779575 -354608 391191 295217 790199 -424159 631846 -11005 978547 -893903 -567974 328698 715854 334739 159731 17395 90306 -851424 -114309 423200 272204 -31187 645843 371226 -601346 -201049 412261 -795658 768515 709038 -693676 874191 -805219 -391472 116363 -683471 -1034517 -967957 613367 -528467 -556661 958881 -699618 808258 850402 449048 78653 -397834 751752 -1105 -855985 -315441 -725221 520683 876584 785026 263653 720484 674770 -1027977 -697374 -821485 381373 -445333 881922 740471 389077 -148653 -457788 490214 -588692 581510 -702463 -317330 759685 430568 -183281 882854 680252 -88123 360312 -259776 -558717 816810 356622 -269047 -363130 -49800 -379932 229234 -711441 33629 502158 596720 -731336 -356926 926881 543102 -745293 823773 -788927 -952743 314544 -168509 -254353 -171511 -652545 -231671 -930728 -130500 -701674 -361612 -360015 747384 349757 -417923 860007 -297486 -614834 82007 72282 896886 -178622 -667790 202691 -944527 250049 -681509 -156035 203397 75502 -484702 -893119 -546750 136336 -814152 875616 -454583 -949942 422903 -456722 -946789 209868 -930725 -553944 6445 -626343 -292223 -1010510 89202 -845526 928835 555112 887972 261790 496411 -665003 -1123153 -459343 248905 -896413 568705 -303129 -172104 -989274 111710 848193 -698092 776677 883169 -804547 -360111 326803 578829 -383835 -719195 349345 -578816 881647 100773 655147 -504648 -503895 315904 -491462 -254841 919141 199731 442051 281043 -1054707 178138 -1110137 578150 488082 -312877 -170485 -475154 -322090 344592 -77253 556260 22218 629499 395413 -996973 -592272 -932023 -10329 904939 
+372776 -426828 234552 937278 -225798 -15282 163277 577753 -792763 -165844 -753056 -867454 -902361 -254109 -363598 -416598 477198 704240 54271 -51967 -92056 -60108 259036 -653569 877324 -863961 -688149 500395 283149 -950550 -727594 768686 -758734 -534803 -705947 -321819 403946 88573 808028 -418894 612806 102046 929836 -703841 -696820 339245 699846 408339 69346 -19399 -18914 -858552 -17027 433527 240985 -22545 593473 373855 -621544 -149951 416579 -760759 682348 748396 -709888 885497 -820076 -417851 105258 -663488 -1028031 -944064 686623 -526326 -583767 869321 -647697 812666 859862 530157 99584 -325080 771362 -57920 -862965 -335718 -792932 528328 817596 873277 257180 744521 679753 -1023555 -737718 -876015 262465 -526454 828052 761718 409352 -95512 -415504 420704 -559403 551479 -727808 -250178 788228 404851 -299273 905879 722925 -133430 375125 -252670 -542931 856773 387793 -205021 -521186 -248809 -415452 273062 -632010 -39228 581859 585675 -641368 -346726 984395 574495 -711202 858406 -766057 -916494 278688 -188346 -239853 -206052 -641224 -243160 -910001 -234886 -624379 -405047 -350147 782714 392395 -455340 673102 -315741 -610069 82766 91837 757806 -80612 -767863 232052 -942987 263205 -788216 -116489 130904 -56433 -475529 -910920 -566654 124661 -726693 838636 -295089 -946738 403936 -429366 -805864 207008 -930537 -353075 19168 -607549 -244263 -1017804 72281 -845842 911274 603573 875204 260405 519273 -677842 -1066108 -479346 347518 -878447 561087 -313542 -141671 -1018260 112181 864184 -692941 781615 903376 -810969 -305081 290508 439020 -347428 -645363 359177 -530078 885999 257567 578256 -501183 -524008 230916 -567390 -279101 735761 171943 437910 216938 -843978 183256 -1026664 622980 531179 -320695 -185126 -525608 -211573 213838 -191609 505581 63371 656515 371783 -1012393 -587596 -968937 -2852 911747 
+311420 -413484 281771 775197 -209557 2033 184453 583756 -879712 -68980 -718924 -859795 -930065 -246713 -290664 -448685 408167 695125 28893 -69732 -103066 -109612 237434 -557312 878958 -876653 -772884 486733 268328 -952507 -728347 751013 -793931 -560941 -583929 -285198 443279 -35081 822281 -426140 615001 156662 900548 -770549 -734696 409819 740613 564678 31052 -53815 -77973 -864124 115538 463333 212059 -17190 500670 383527 -645543 -222831 439456 -750472 633440 719662 -696585 887127 -841462 -452591 128757 -617739 -1005692 -950613 711556 -533342 -626334 738415 -656025 817827 871596 638075 64558 -236642 876703 -88604 -813158 -317495 -836781 516105 788484 928258 368641 744491 678751 -959211 -775395 -970218 269623 -521724 757444 798235 417326 -106922 -415826 434507 -554210 469755 -741351 -145477 770825 456633 -433955 927163 667981 -288210 403265 -255350 -495478 903537 369091 -136115 -537496 -310335 -430352 251905 -684257 -77774 622053 572476 -571685 -316505 995680 622272 -718925 880755 -743512 -924070 257749 -208487 -232554 -226813 -677908 -244678 -862986 -235896 -544147 -384482 -369369 687089 450863 -450613 595836 -336933 -620245 86775 227287 651927 33726 -852948 284428 -943132 301229 -946116 -109728 -80588 -87491 -483580 -902615 -543675 104901 -647799 910159 -163375 -960760 356468 -401819 -678991 220448 -946084 -211530 23815 -606853 -192818 -1003064 28531 -810239 886309 649463 849564 265243 554078 -605943 -918498 -452786 387594 -914922 546892 -316970 -131391 -992108 127501 861078 -653182 783870 862477 -784671 -200216 317626 267623 -340550 -551029 376128 -504218 889303 415306 436755 -493237 -561400 -13611 -617278 -274825 665990 170724 441508 280355 -807033 190508 -960164 662886 590865 -325804 -160767 -591810 -265116 114680 -362595 397134 -15300 691952 359510 -1009066 -578271 -982300 -6184 932736 
+262058 -423133 336913 702366 -119395 13360 203419 591555 -915755 -49291 -599301 -853524 -890583 -315696 -214721 -507705 521200 685621 8669 -75526 -62158 -146275 264316 -540034 845831 -877837 -837093 485224 244013 -969696 -793198 662272 -834837 -515415 -483562 -285730 460735 -15438 835919 -419177 615072 62542 916443 -960658 -697041 518539 698162 614143 -60026 -45871 -53125 -865494 186011 460289 243486 -22075 478918 376422 -669358 -288013 470393 -761980 543620 768916 -725311 884030 -820224 -462451 172950 -643666 -1041883 -991926 667097 -547818 -670891 707236 -720804 806566 872968 725349 66745 -197098 1006847 -73733 -793509 -304601 -852102 525148 803573 915477 365396 717057 672403 -904620 -807521 -1028073 353418 -445721 702246 836517 413302 -118428 -431813 450833 -542009 364085 -774508 -45077 748404 450990 -453928 955843 614120 -418341 416754 -259804 -505913 917054 372080 -42377 -550303 -180587 -429210 249247 -789083 -115524 604668 592025 -569268 -290453 948152 651388 -766231 890255 -722899 -894400 261930 -228231 -226462 -219983 -623932 -241998 -853713 -121778 -475035 -385925 -360145 628701 502247 -434125 690397 -382339 -612295 88980 376879 635550 109626 -851258 267300 -944984 370065 -1008282 -139306 -213906 -47176 -503486 -870576 -528555 84936 -660556 1011183 -236173 -970769 392889 -372410 -635929 242282 -933608 -301803 13234 -632330 -148522 -995355 54477 -848673 856869 683348 819710 270661 576836 -577437 -804812 -453280 418276 -918660 555770 -294624 -128163 -955874 126087 873954 -675709 777013 862817 -728362 -151126 300144 257012 -314698 -494546 365607 -507532 892339 274001 396948 -482544 -547160 -73356 -618451 -272972 719560 205992 441564 398734 -996251 194064 -917888 611557 623346 -324134 -154585 -589795 -353654 53754 -430237 380274 -112870 715873 363034 -1052868 -598170 -970492 -26160 943197 
+267091 -347391 401506 794856 -39692 21994 237660 588824 -908155 -113646 -560919 -847594 -933600 -388696 -173428 -546651 680196 665904 -4314 -96971 -18209 -156439 318462 -613733 802255 -879063 -831779 473191 237134 -964072 -882216 688322 -833295 -510821 -433998 -345917 516054 178386 842687 -424674 622733 -43182 963833 -1052198 -592696 541064 751963 494541 -42749 -8467 41990 -865855 128036 451956 236452 -31086 517571 378022 -697440 -403159 489324 -779449 504315 795442 -729477 878170 -869525 -452428 198473 -656264 -1042005 -1040309 600264 -525921 -721080 814896 -725885 810978 860562 767119 60846 -281391 1070895 -25656 -744235 -315648 -858325 518676 854016 847609 373344 668832 655411 -843677 -832409 -1019500 483821 -379165 692074 832151 395515 -83879 -497994 443832 -533672 325148 -803673 -35992 735047 516474 -372191 976984 557687 -403575 484481 -238139 -489743 929464 388556 12910 -534967 2151 -409086 256400 -914820 -106474 538701 559991 -619162 -288398 867080 652106 -834491 880010 -707346 -918091 323647 -257898 -218017 -240317 -642905 -250820 -846771 10385 -439125 -397927 -356771 600495 560553 -432390 877337 -311480 -637331 94773 432125 719058 113452 -760946 232584 -938545 443880 -932529 -189349 -121262 83274 -522780 -857215 -492843 105752 -740934 1075114 -399282 -964766 459353 -344633 -739242 232856 -925409 -523747 -310 -674605 -126369 -964988 48298 -819193 840391 696610 797520 278161 553388 -592303 -838346 -449433 392728 -921864 567366 -273230 -73570 -904929 128329 867812 -690019 768330 871616 -691746 -50448 309868 420299 -362872 -528828 378788 -527037 873463 106187 530173 -460251 -536351 134199 -563717 -259158 860720 251187 462398 426555 -1184523 197261 -872120 565281 587445 -309814 -151442 -559664 -493622 82425 -353035 361013 -204730 746590 339540 -1070456 -559283 -935111 -4087 959476 
+323861 -356438 476432 965393 -49950 52972 257337 576872 -821459 -208086 -612112 -836992 -925638 -394922 -189778 -525832 691103 650352 -27098 -112023 51054 -141327 331467 -724935 823438 -868519 -797079 456243 216920 -962326 -913116 611058 -761526 -473240 -461187 -407452 495080 310852 842723 -435430 614153 -164572 992537 -889790 -480950 496437 769407 360238 -91839 18383 150512 -866516 6947 461705 278106 -32106 595028 378431 -733415 -472869 526617 -795547 497770 832617 -738853 865421 -780277 -447751 247464 -658431 -1057756 -1040405 511825 -532782 -758145 933189 -735023 795639 843796 756170 62613 -394245 918928 33548 -716982 -288519 -846022 518515 888656 743117 390634 639022 634838 -861729 -851053 -980454 534872 -303730 724002 820475 370215 -109090 -561275 512693 -507037 259255 -848388 -96802 744373 580850 -261383 990220 546291 -257836 511059 -227559 -493644 907091 389043 58898 -417692 -6498 -375796 263087 -940965 -94352 442090 590364 -716542 -268609 763766 588698 -898485 855386 -697765 -918488 328662 -246976 -214494 -249082 -631656 -240960 -841411 43512 -433389 -365157 -347183 666240 558697 -361162 984924 -296826 -613150 87679 378967 855947 42995 -664506 161112 -939792 505744 -788663 -232805 91161 132506 -575878 -862601 -438003 126901 -865567 1059007 -494043 -960084 554594 -317458 -934477 245341 -930187 -527881 -9013 -727218 -136787 -974782 12190 -846682 820536 682471 799006 288363 501760 -638065 -894818 -449312 355130 -934757 565733 -233639 -87503 -843410 102531 882802 -669160 768959 887658 -635628 -12120 298679 568046 -411502 -565210 366620 -524871 886235 60114 654255 -443162 -489373 293950 -561661 -250288 922870 239743 459396 335069 -1115196 200442 -825559 570149 538534 -311820 -168967 -530342 -521710 221622 -191629 389392 -124559 752958 319774 -1076953 -626523 -881365 12902 940340 
+380732 -269255 507083 1063111 -140055 58733 270844 546910 -741849 -252157 -640303 -829331 -896102 -417124 -256276 -456815 541635 638736 -11347 -138204 89617 -117581 297551 -836045 851818 -889052 -735457 439108 270624 -999661 -802980 627664 -760190 -443785 -558836 -488251 462129 211340 835116 -439836 638287 -197743 980121 -698483 -477456 402319 754717 350105 -8516 10245 209298 -863228 -121803 419818 281539 -24253 660049 346912 -753144 -495360 510671 -773794 459207 849307 -747446 848496 -801076 -404743 245530 -675931 -1020438 -993422 402824 -527972 -794977 949579 -673813 797145 845094 683772 80936 -404593 753955 86702 -668041 -289881 -799500 524567 857692 650153 394178 581220 614016 -852249 -852475 -878034 421252 -330364 789768 778417 339205 -98836 -542826 530326 -508952 333944 -816319 -168078 710036 598269 -161428 1001584 570557 -110681 542700 -246373 -493540 870146 366512 67017 -341191 -184343 -332964 270532 -883951 -24364 381470 572301 -782187 -329217 679347 532301 -933311 827585 -696389 -855523 344494 -229147 -223797 -232907 -625502 -234118 -847768 -40796 -468875 -391262 -351081 731372 546164 -339171 924840 -248256 -623030 85702 236283 969549 -68703 -649423 230299 -942052 539873 -684078 -246498 196778 55936 -618221 -828747 -441014 141811 -983667 1000760 -412034 -960956 594654 -293288 -1017491 233723 -900680 -362840 762 -792571 -173502 -942375 18946 -804458 792874 647244 826617 294532 461560 -657629 -1043917 -472337 304825 -959130 568582 -144368 -86213 -823297 99332 881282 -662296 747181 874044 -604782 -47797 301344 553071 -464897 -653911 380676 -568464 899232 213538 608229 -418163 -415820 235678 -559268 -232769 917552 208405 452365 233918 -891434 201666 -782839 627527 491451 -295093 -186423 -466333 -491768 322352 -76349 428220 49037 750208 335869 -1079497 -573956 -816909 21922 963293 
+386153 -249493 538073 984690 -220684 80352 225138 527021 -648889 -201171 -733711 -817488 -943506 -403399 -339676 -432921 410683 612840 -17214 -153387 117963 -64646 238408 -896106 862541 -889649 -632362 423571 309048 -946052 -737272 622558 -761391 -430818 -675280 -516313 389650 18300 821811 -447963 630452 -197527 930018 -759498 -549816 331014 783440 492094 -6252 -31594 183191 -862020 -121373 411063 296700 -17254 743750 320964 -775038 -447864 497644 -768873 556413 836175 -728119 827487 -793347 -381683 247817 -686811 -1022894 -950940 307017 -508378 -795525 840039 -650759 771566 839681 589881 22304 -326445 752865 107595 -622590 -273435 -746153 522930 795516 619802 443068 564347 600239 -915723 -850780 -826748 306876 -415582 851768 737951 304445 -103294 -532923 487207 -503325 389018 -802280 -276119 687024 613297 -180856 999875 575770 -109252 548088 -235754 -496094 833526 380215 35062 -245167 -313634 -303270 289538 -764240 -9769 365285 573976 -784481 -314612 623874 501948 -926259 790005 -702321 -868050 296749 -215841 -217593 -231518 -618004 -239591 -800226 -184735 -531749 -349388 -356766 750680 548646 -370092 744408 -237611 -608329 78687 111232 1001070 -171346 -729270 293838 -940915 552164 -625460 -223098 13380 -52018 -648052 -807252 -413505 175075 -999732 897057 -244212 -961803 548186 -273804 -958661 233527 -897774 -249391 11890 -851824 -221966 -920462 9314 -802081 774465 598912 861404 296487 467238 -643311 -1130040 -473742 305125 -963159 585768 -141235 -100484 -855510 76006 890947 -678915 772111 896091 -605514 -55425 299639 379152 -482627 -743538 380308 -612291 914274 384895 448957 -388274 -360164 25100 -482024 -241981 783090 182172 464123 235623 -774867 200323 -807078 680684 506373 -280613 -206237 -416312 -345101 310197 -101545 557627 58720 725403 286506 -1090493 -613093 -751344 -1304 955479 
+335389 -271366 514604 819199 -217357 93047 207155 502456 -604141 -101381 -782662 -805598 -922209 -407740 -387087 -470231 459693 602449 25985 -160050 115821 -10454 253775 -857323 809807 -890316 -666980 403562 267495 -973835 -701494 626508 -819156 -484868 -768354 -506143 421660 -49701 807204 -430644 630387 -103237 904176 -976792 -643597 337461 790671 606594 110883 -57315 87893 -852787 -42408 383270 309025 -20713 680144 289839 -804265 -402829 456495 -772270 595232 796673 -711331 807025 -703636 -420013 255803 -664367 -1031107 -935239 301799 -521570 -820436 724254 -694883 767029 843204 491047 48524 -229807 856611 80501 -595881 -271148 -693066 521738 803067 654915 428656 531589 587717 -968109 -833971 -725632 258805 -486939 895861 711043 277023 -102524 -464297 576435 -478898 497059 -779625 -297841 676947 609368 -294572 990456 676466 -233229 532095 -249102 -525666 830003 349572 -23371 -281577 -246549 -284481 324048 -658037 75120 394870 563540 -730847 -339725 625077 514757 -879314 764363 -715844 -834943 296347 -201243 -218290 -238056 -621014 -249061 -829041 -252924 -609302 -366380 -354027 670858 458952 -348169 603799 -251184 -626224 84669 79716 925476 -216866 -835451 287941 -949629 536639 -764745 -174851 -163225 -125296 -681190 -793049 -444886 183059 -947736 852877 -167956 -961441 473981 -258592 -842878 238435 -900875 -299959 16214 -900229 -273377 -881925 9683 -805434 756566 553133 888271 290995 490746 -595804 -1103762 -461861 274555 -958356 586750 -138664 -63823 -899833 111421 895910 -674467 760094 907047 -643318 -146265 291539 233678 -529175 -671041 393574 -642075 922648 324914 390287 -354987 -290096 -62889 -457287 -269593 687877 162718 477094 344935 -927405 201135 -795051 626809 543781 -262059 -173502 -389763 -221002 237889 -248655 621879 4951 702780 292443 -1078365 -617581 -693926 -8158 968242 
+273316 -310532 490091 705030 -126132 96986 157147 470856 -625490 -48040 -752721 -797929 -937420 -372275 -380700 -518629 628714 582595 24108 -165751 92331 37701 295148 -759440 781486 -891797 -680448 396183 232221 -995858 -762511 676537 -831989 -407588 -787491 -474517 415887 100837 790588 -438591 617373 6781 921961 -1048484 -735457 445418 812569 573801 128170 -38454 -20672 -848702 98604 352288 250371 -29739 640895 275435 -821990 -266274 418317 -786266 629409 799847 -715459 782860 -713795 -519716 238046 -616025 -1016226 -964006 303426 -530469 -818300 730765 -701680 753179 856333 422287 3145 -222502 1001385 21419 -558603 -275197 -624817 519719 857594 770658 451710 541918 584973 -973020 -808491 -714285 325164 -495538 899782 718253 258026 -78193 -425907 565075 -484440 552930 -729815 -268227 678890 659982 -409247 974409 685737 -391066 511547 -243704 -540181 839914 400815 -90440 -305484 -54874 -285869 335115 -655845 101130 486059 568673 -648542 -364690 672203 525824 -811199 753387 -734478 -877524 267980 -193881 -217537 -186516 -627139 -240102 -876435 -190348 -694177 -418079 -346229 612815 404736 -377192 635243 -273242 -640898 75223 131191 789149 -182636 -865478 216997 -947558 490852 -938067 -127879 -168190 -17717 -692234 -750300 -450723 162506 -852954 908881 -262445 -967270 412176 -249913 -680295 243652 -887729 -482055 11697 -936622 -303993 -869096 -6376 -807776 741386 521152 886180 286051 564605 -582740 -1034838 -430779 321080 -967285 581471 -101046 -65437 -972807 117482 888652 -689335 774254 878906 -680382 -220047 292875 276171 -559025 -612092 387446 -659752 924800 215198 491585 -325152 -244752 81004 -395913 -273575 715247 214593 492333 428501 -1134072 196077 -833823 576517 613612 -245657 -198788 -441366 -253076 109585 -393394 647818 -130734 678850 298173 -1081827 -618252 -653171 7865 986388 
+258361 -307558 447678 757745 -38655 106608 136320 448570 -675044 -80502 -702948 -786550 -908775 -340328 -317786 -557722 711684 578234 78566 -171504 42389 78050 310821 -642202 813144 -902201 -745033 382279 236272 -974461 -863149 713973 -774394 -423963 -729658 -394319 483424 275964 777830 -432794 626228 100339 966753 -897674 -722489 522529 798742 435904 140474 3735 -78514 -835565 174138 332975 236841 -32815 552041 236897 -830808 -226177 416302 -769249 692635 762460 -694235 764197 -635263 -512208 204339 -617437 -1015619 -1002972 361548 -528858 -796319 842993 -724539 730784 859552 412263 41372 -310079 1042937 -41469 -556523 -259493 -585869 526041 902950 870034 463068 561748 590049 -1036737 -775201 -690220 426084 -506628 848661 759594 249911 -83983 -420699 603466 -476167 601067 -697596 -168480 679688 691829 -418184 957286 690415 -428538 467761 -249856 -590723 865866 367996 -185599 -407287 13583 -305005 380433 -740976 123457 564933 561308 -587130 -384336 759951 596534 -747001 760938 -757265 -844594 265367 -168879 -233725 -157051 -592196 -240952 -875234 -62462 -749356 -387768 -341434 621619 335188 -383099 803971 -325715 -627008 74067 269728 668432 -85925 -796374 222558 -948710 402299 -1018034 -107828 39248 92402 -692928 -756087 -483877 158459 -751850 995485 -423180 -944102 339821 -246212 -651847 242040 -911616 -542003 -2973 -951059 -308175 -849153 -304 -847678 726756 509135 868369 274149 572612 -613897 -897877 -464297 377184 -960066 593979 -107223 -110347 -1013171 79452 883395 -655484 740778 890917 -735754 -296786 245162 481527 -546217 -517153 377170 -683545 967474 78164 634999 -291887 -226427 281231 -403890 -269880 812741 214145 486570 397229 -1142460 193713 -939315 569664 608746 -219871 -124874 -484739 -318827 54200 -424282 629880 -195498 623043 329648 -1105655 -576912 -634845 31732 991742 
+301820 -363177 377656 920451 -38682 85495 129329 429271 -769614 -177583 -623457 -781165 -939101 -335286 -235631 -513951 609080 564478 121125 -158605 -16675 87434 314947 -546311 860135 -917301 -847251 371616 243665 -994297 -897712 721139 -742859 -416623 -621098 -318820 486028 270704 767812 -448407 611974 102962 995401 -708780 -631971 557612 833552 342095 85723 21969 -51531 -824683 157238 330300 259389 -25949 489649 203546 -842038 -190800 417536 -779607 729373 737533 -715301 737329 -618707 -433705 195611 -676983 -999357 -1051329 454985 -521715 -765757 955323 -689479 723927 866725 458605 11541 -416040 954363 -85664 -529804 -253920 -547226 517381 864189 943051 448204 630661 602218 -1038752 -736084 -713294 518382 -382393 781560 786692 256193 -91948 -448758 638120 -461276 601979 -723677 -40211 646443 708921 -381996 927692 679995 -304258 427912 -251686 -585360 911964 380919 -246466 -512126 -111236 -343317 382120 -858042 112782 614422 563682 -538327 -419911 862751 619822 -711351 781415 -780257 -815454 324311 -183275 -247897 -188493 -620788 -239031 -926019 36586 -780782 -392481 -335825 654383 298730 -423741 964418 -363584 -628669 74516 425734 628772 28365 -691229 240496 -946345 347531 -987513 -124839 185314 112830 -665647 -728760 -508860 135710 -668318 1067019 -485586 -965026 400880 -250932 -719269 253682 -871935 -430996 -886 -956505 -280526 -827113 24672 -801987 717660 525550 833704 268758 574385 -667569 -818832 -467954 417987 -959588 588973 -151461 -87791 -1012622 142196 887957 -689416 754232 903824 -786246 -327487 228445 571583 -541059 -484294 396626 -705032 954332 167243 635023 -262746 -174235 241838 -401463 -279485 930380 272071 482149 285631 -945540 190654 -965798 611017 566803 -209294 -169716 -575968 -477410 151480 -312593 596608 -157992 602749 317103 -1100983 -585556 -641658 27643 988059 
+365692 -415139 295477 1045987 -138219 49532 108610 413198 -870147 -246188 -583283 -772734 -942322 -247503 -179146 -466002 446515 559950 130840 -161940 -65649 80909 272870 -544226 866569 -891570 -877399 363796 260771 -984936 -806947 738389 -753361 -463568 -504939 -287041 531243 126049 764949 -440087 614722 64906 983523 -777639 -510103 480026 769851 405713 15406 -2660 44709 -816477 36748 303510 234344 -17991 491192 172325 -844636 -210372 452613 -774752 766412 700111 -703506 715153 -554010 -391166 127666 -699627 -1011981 -1023275 578065 -546626 -768493 936894 -653775 713432 863800 545204 5702 -375979 795475 -88825 -526117 -245291 -558318 522401 808855 929606 488147 649349 621675 -1038782 -699567 -817136 493072 -324280 721278 847274 272275 -105625 -514661 589607 -459196 520575 -681629 -8636 658545 708596 -245755 904026 618638 -156508 382121 -238450 -573022 924626 390314 -298988 -558723 -283250 -382116 405393 -940036 112776 606183 562201 -612340 -449007 945855 635741 -718084 813521 -802065 -838348 325440 -200855 -245286 -199420 -609001 -236854 -923979 21141 -771219 -418343 -355313 740618 250493 -438543 958018 -376208 -632622 69291 429847 696344 107872 -640902 276031 -947601 285326 -847555 -169990 158197 19736 -637914 -713674 -551066 129241 -621229 1074156 -384828 -942263 419398 -259066 -894025 249882 -893560 -274298 2187 -926241 -234120 -811539 27136 -771246 701307 561020 800227 261559 542069 -672944 -860947 -448729 375438 -942706 571431 -206171 -132343 -983326 139802 879686 -690410 740861 888373 -800405 -298128 234992 495814 -515778 -502968 391594 -662276 995758 354268 485415 -234208 -179113 55490 -410966 -247149 906925 245055 490790 218761 -781580 185549 -1025258 644438 497036 -183021 -184954 -564325 -521534 242275 -154400 504462 -13373 583957 300928 -1105886 -590569 -672768 -9000 979550 
+390825 -410934 251818 1022347 -225869 48801 68642 406548 -916667 -224368 -604777 -766008 -915665 -185091 -175857 -426418 418116 560446 143384 -136460 -85469 47503 240297 -624243 822501 -890252 -783849 366665 259580 -994536 -733334 777891 -841764 -473851 -438490 -267514 464511 -38594 771046 -420247 623909 -78520 934061 -974727 -480013 367999 817108 554773 -34469 -43717 153302 -804331 -85988 292364 232257 -19411 539737 142755 -828193 -290293 480589 -802447 774538 704485 -672315 696135 -525332 -392480 121529 -621185 -1011763 -998154 633090 -549554 -720326 810346 -693229 694358 862905 648914 -28737 -320849 724442 -43828 -526895 -235431 -582565 524629 779929 844158 480228 732175 638547 -969245 -658387 -879962 351227 -352217 686286 852771 298560 -120638 -550674 584664 -462412 386154 -726553 -64352 638504 731983 -163075 888496 565606 -95223 389140 -226216 -619542 913949 396040 -308356 -550565 -293227 -411763 360776 -942651 -5554 565204 546174 -717154 -382785 990999 629174 -763791 850533 -820344 -867036 309878 -188180 -213034 -176798 -595460 -235518 -1013799 -95236 -728394 -391083 -330516 755171 248066 -434674 808318 -403333 -661466 72063 323265 826135 115927 -696129 279766 -944614 261331 -716247 -219229 -31949 -80754 -600914 -695967 -543252 102568 -699524 1002505 -206352 -935985 501431 -274214 -1022918 251241 -912568 -284649 11995 -888723 -182678 -802795 10706 -829533 702296 608226 797819 261118 498012 -608410 -971197 -456381 347357 -950019 571137 -232107 -165312 -926919 117495 876115 -675966 707375 880340 -807217 -314772 256512 339314 -496729 -611670 362304 -629669 968555 354978 387018 -205198 -228598 -82352 -428602 -214560 802276 198313 489231 283324 -863095 177417 -1090836 638806 476025 -173918 -147828 -617948 -475359 324093 -62994 451007 49905 577891 323928 -1097857 -587055 -723485 18615 976285 
+355941 -388733 233847 870318 -221101 7615 79321 407996 -925012 -136260 -673878 -759279 -914796 -155675 -234691 -459508 563107 562782 153460 -132659 -92666 -2276 253702 -741197 815001 -917762 -711089 358022 248972 -989639 -731071 744374 -865873 -428156 -441907 -338990 446705 -536 780699 -409095 633771 -148633 901409 -1029930 -508023 305980 752300 612473 -93843 -54515 210173 -792435 -136170 312728 236083 -28277 635350 89608 -811359 -395219 508981 -788448 705579 693543 -698042 672377 -506498 -385010 119145 -657218 -1008967 -954575 707442 -528885 -656797 709051 -695845 677811 846964 732941 7601 -222887 846223 16424 -563572 -235883 -621268 520639 805464 737401 436121 745753 658086 -907696 -623930 -966628 257119 -366099 705336 820842 329137 -102239 -540627 589783 -469281 324593 -743714 -173595 630272 694488 -152359 870039 563260 -182408 364763 -220562 -645361 895254 369773 -293647 -501263 -112869 -431794 360372 -829783 -69688 465840 573818 -757927 -382292 985996 572634 -832442 874398 -831909 -856940 271778 -229757 -230326 -219683 -586409 -232378 -1029933 -219209 -659781 -380606 -335403 678893 213083 -429692 644336 -327127 -630010 67661 170037 952211 48099 -800370 248890 -946557 232866 -638877 -245916 -186263 -61179 -563540 -711550 -540521 109594 -805231 911121 -179552 -936529 545544 -293955 -984094 244291 -892807 -456876 23162 -836153 -141286 -808497 6111 -812096 705836 654492 809263 264129 476820 -572658 -1037863 -461724 283509 -935761 565793 -259582 -155528 -873958 123072 890201 -671736 715559 899789 -786251 -242461 218666 246141 -410529 -656801 365673 -601520 1020649 192454 472569 -179846 -262369 41922 -451947 -243020 692754 164144 499905 395998 -1078599 171423 -1154320 572485 530860 -155734 -179323 -553763 -357147 304688 -128339 416338 26039 584859 318025 -1057198 -555198 -786509 2084 960174 
+291909 -389925 235220 718050 -129198 -2747 62325 419025 -861303 -54062 -736896 -756612 -903726 -115490 -315974 -531543 701159 565711 107081 -111962 -66966 -54939 294665 -847978 795529 -896830 -644772 361566 218715 -1013301 -789904 796195 -775699 -482640 -526648 -384392 423183 184959 796946 -405175 634565 -196772 923808 -907857 -604958 355054 778614 522630 -123681 -25729 181616 -784834 -74459 288794 298549 -33075 711420 86008 -792343 -473568 513496 -789712 656363 658995 -718844 650905 -511105 -472040 158127 -643635 -977546 -939385 702443 -529193 -625434 748262 -721491 673619 839031 768608 -51650 -227028 964875 71384 -583664 -225067 -661119 524501 871623 655134 447879 761440 672738 -856542 -595999 -1029649 282797 -415024 758401 772308 363736 -64773 -532662 561481 -459861 299741 -766646 -267175 637671 694886 -309639 861544 604929 -358950 383287 -212490 -602387 853627 360490 -235237 -403492 17297 -433913 338352 -685059 -84879 391813 567095 -776484 -345850 927344 546823 -896932 890669 -836757 -880836 267256 -233326 -226544 -251356 -572377 -245311 -1037597 -237013 -574691 -382058 -332150 628358 244049 -376704 602906 -241350 -643773 71440 64292 1002407 -62886 -868044 202539 -948098 281780 -689678 -235540 -139704 -5346 -522902 -717865 -502091 93256 -945624 859712 -313141 -913389 567690 -318646 -846249 253799 -892893 -536825 11837 -776690 -126405 -818359 32855 -801627 714337 683983 841033 270147 484241 -607943 -1131988 -442440 281836 -900809 563453 -308503 -175401 -834863 96065 827818 -656536 747932 852386 -732297 -150898 232632 350996 -393867 -706684 356200 -558124 1000956 90017 623462 -154992 -298424 275654 -470549 -272230 670489 155784 490254 432461 -1178890 165493 -1177440 541323 600464 -137327 -173587 -563233 -285622 193819 -291586 374911 -128723 593569 399630 -1049301 -569591 -852629 -19808 957280 
+256040 -316499 258855 729032 -53782 -20141 52010 435884 -760943 -58524 -768019 -749103 -909913 -88767 -379405 -540857 665909 574899 115552 -92249 -22198 -102603 322958 -896561 849389 -918033 -634112 372170 222353 -1001899 -870500 803943 -768112 -514287 -639838 -458641 335913 330277 813593 -421003 618720 -198226 970575 -724579 -715358 448824 759189 376685 -47330 12731 84826 -768755 68889 341429 297943 -27560 721972 60013 -767184 -517538 498111 -770632 611270 662199 -704855 628909 -521256 -502773 191429 -660130 -971001 -966881 638543 -515197 -559357 868418 -702578 661904 841219 749251 -37064 -334054 1016469 101837 -600150 -227865 -741544 525981 883536 610814 441166 717057 682124 -864069 -574866 -1036043 405069 -527198 828099 718707 389773 -106501 -477829 504192 -465099 291485 -809780 -308468 640471 681811 -425640 859670 615727 -423454 398257 -229290 -596822 837163 351224 -169898 -300134 -56822 -411187 338359 -646503 -112349 354858 589042 -731462 -333703 839720 498574 -932789 886010 -833418 -860563 277277 -228426 -224388 -290386 -555248 -231910 -1046859 -146231 -499492 -374297 -349349 592317 309611 -345194 734318 -261883 -651008 72461 92841 946862 -166918 -829045 220489 -950237 348593 -856945 -193715 75939 103861 -499680 -742148 -470785 140869 -980778 896970 -439240 -916415 505300 -344857 -704649 271606 -925348 -438027 2370 -715529 -138615 -831703 32626 -791363 718829 695282 881358 278988 522399 -648160 -1111490 -459506 278911 -902641 543643 -300790 -161563 -842588 80448 868316 -701117 680363 858084 -680575 -101629 222889 529765 -329516 -666452 371804 -527159 1002484 97545 643176 -134842 -370770 279812 -503227 -286294 811739 218279 512157 343625 -1031827 156025 -1153489 593897 624659 -138410 -196299 -443091 -250969 123067 -417518 383921 -219380 637088 313432 -1034886 -554822 -912268 -6786 960067 
+282226 -330680 308549 858275 -50859 -5322 104445 459039 -667527 -143832 -757545 -747773 -925436 -75805 -391461 -524280 500542 588878 52997 -83600 32639 -143650 301355 -855549 859641 -920554 -679638 385752 239442 -1020816 -888958 765051 -749304 -510598 -747921 -518483 431184 227742 828334 -405704 624184 -119784 1001144 -754187 -735808 542207 707073 349399 11136 19312 -22932 -760502 167786 358325 301167 -18935 686531 36720 -744223 -490404 478062 -746092 536141 642057 -718692 615779 -521537 -489509 213857 -630843 -978615 -1004703 563768 -513503 -561059 962173 -650293 653742 845240 672034 -49677 -412246 969344 94163 -645192 -217016 -795640 516451 812333 668328 397429 703917 680767 -908154 -563099 -958832 497006 -542797 885229 717945 409619 -86556 -427734 527302 -471189 381504 -822324 -275851 624909 669106 -433985 876716 649751 -353779 451173 -211144 -600218 800802 360485 -90624 -233214 -239033 -375810 297492 -682492 -100716 392230 590781 -635961 -286883 738597 503962 -927228 864453 -822199 -855005 306873 -242566 -213134 -264972 -554744 -237005 -1096233 -8915 -448673 -352683 -349970 659908 345986 -324942 895519 -250163 -657738 72663 205808 820554 -215511 -725676 265264 -940459 415326 -976739 -143266 182744 110879 -475521 -735204 -412390 157619 -1013311 992159 -478132 -915078 473974 -374456 -648593 275413 -955689 -279011 -6571 -666089 -180730 -839934 40218 -797758 733944 680192 894643 290553 558993 -667591 -1001883 -459412 347472 -876932 559275 -307386 -210078 -870632 86912 858125 -668030 720666 830272 -634393 -38116 211838 578622 -331682 -558292 356722 -486043 1030517 329993 505536 -125998 -419424 81977 -553301 -256020 945041 263594 499793 242112 -840754 150393 -1143234 643988 613865 -136339 -162933 -382142 -300248 13950 -404127 424145 -142095 664066 385832 -1017353 -605048 -956977 -20260 958985 
+345022 -240695 382628 1025245 -132355 -11255 113408 490534 -597079 -226672 -689204 -753879 -928210 -82692 -340276 -463954 405272 600640 29901 -59015 70356 -158327 278680 -737874 846157 -924915 -780037 404705 293823 -991326 -797211 757377 -811748 -550434 -786407 -517730 472179 36207 838047 -404483 613749 28797 980463 -979217 -650827 528429 726350 468825 117525 -14345 -79066 -750521 162883 391064 265007 -18400 581832 36135 -714828 -378273 439961 -777601 495386 672090 -724015 610651 -540912 -411452 251964 -643429 -1006293 -1049664 453180 -525640 -548840 911299 -653123 643204 848176 573480 -78249 -365420 824398 40965 -678325 -224874 -845751 524522 791719 759685 381339 639529 673078 -898119 -562076 -905015 525261 -457777 899730 726416 418964 -129901 -413635 472318 -473340 440222 -778577 -180343 622595 662416 -360092 897357 686565 -199809 492579 -217453 -569686 860261 411251 -17849 -257689 -317228 -335474 270128 -798170 -82063 451869 578128 -558976 -256317 657947 523649 -881595 837177 -805306 -904638 301130 -246227 -207445 -255731 -583379 -245608 -1102185 51565 -428102 -371414 -344358 735369 400013 -340638 984432 -231331 -646463 74150 351151 688512 -186539 -649957 289528 -952485 481428 -964280 -111074 100420 -10611 -479455 -718018 -401346 186551 -948627 1072565 -329013 -902225 354921 -401255 -742968 283082 -927579 -249795 5788 -627322 -233934 -857150 53953 -813103 740663 645213 876397 292575 568771 -645917 -893371 -429457 376210 -890754 552435 -296498 -194897 -931896 91715 851281 -708014 698210 907942 -606876 -30789 204100 468890 -311888 -469538 342752 -506629 1036398 376375 387817 -110007 -468633 -61921 -568530 -296342 950479 270952 506399 231668 -807494 140642 -1110209 636829 536300 -131833 -158784 -404035 -439392 109993 -273754 531767 -12788 697364 366450 -981208 -600550 -980047 15933 945330 
+389777 -233625 448845 1044527 -212637 -613 159100 513416 -609003 -241754 -611235 -752973 -925764 -87125 -258906 -441532 495976 615963 18899 -58239 101953 -148176 239684 -623294 787151 -910513 -852804 420233 258402 -1003672 -742326 759482 -845871 -553646 -754512 -500634 513040 -77149 842230 -408736 616450 93176 931933 -1066493 -550597 441717 701804 594513 161564 -52638 -50151 -740950 67380 402143 272661 -26607 523204 53611 -686042 -294067 422335 -803766 472980 677914 -750628 599586 -563203 -395782 242143 -659689 -962617 -1038447 376138 -512996 -527596 783834 -697623 642293 862538 478375 -99897 -288530 727158 -20066 -733480 -225524 -859776 516094 826049 847849 357219 571985 659621 -967234 -574747 -808899 429026 -403482 874987 730946 411683 -72633 -424390 472291 -465963 551299 -794800 -65516 617431 641549 -262000 918591 679155 -100159 535220 -228472 -545111 869813 396945 46951 -329888 -171841 -301575 236038 -918527 -21550 548507 569247 -569872 -274945 618872 581614 -813509 802953 -784119 -893663 254539 -218657 -226849 -236019 -553089 -252604 -1071159 -16298 -447222 -375349 -330514 750970 475359 -398043 886703 -272298 -676004 75821 438431 628897 -92897 -669245 219374 -956821 526671 -897222 -114393 -121447 -118282 -493156 -745918 -421181 213435 -800589 1072699 -185754 -909552 378147 -430570 -892490 266304 -919912 -443921 5581 -605521 -278186 -885060 47051 -817749 769159 597207 843007 296380 548835 -595319 -844386 -449050 411447 -897499 572269 -205446 -184972 -991334 127023 879795 -699452 696667 860762 -624572 -24016 218587 283939 -346692 -472489 311940 -502289 1037790 241831 432284 -109673 -530253 36692 -592002 -258903 781496 223666 519869 338587 -1014740 133793 -1079354 605462 503259 -134116 -197963 -427616 -515565 222776 -114217 561470 79321 722894 366230 -972871 -580437 -978062 -1053 942534 
+374205 -194120 503129 916008 -219399 15312 192005 539014 -668645 -168396 -595810 -755835 -908269 -145213 -190407 -478348 662734 627354 17055 -37873 119028 -121234 272503 -544396 806011 -933866 -855715 435024 245922 -1009667 -707473 703518 -793362 -574665 -656694 -420993 463074 89527 840121 -417707 607568 119488 902081 -908825 -469983 343186 672647 583272 147431 -50194 47297 -737457 -67884 419867 221919 -33020 472869 60514 -654588 -152096 414460 -792673 468729 721908 -724982 593729 -573916 -355469 266673 -710084 -976408 -987649 317831 -511099 -536542 705222 -733632 644909 872478 415244 -70013 -200393 789604 -71024 -780772 -210648 -861048 523051 878258 929972 358280 544230 638054 -1023026 -596296 -749727 331089 -333945 808175 792093 395681 -136387 -485377 492148 -493773 604401 -799842 -28693 656368 584069 -179399 943797 652800 -132488 559371 -223510 -533895 908076 383867 62425 -398143 -3450 -284705 256082 -935504 33404 606891 601213 -612821 -311298 632387 620058 -748909 774457 -761309 -954898 272192 -204035 -231884 -186266 -540319 -244774 -1054340 -159085 -502721 -414257 -338107 683437 530164 -390640 700633 -308511 -663522 82965 393943 670469 21981 -765208 195829 -938723 562987 -739653 -151450 -182283 -107796 -526749 -771727 -448481 180132 -678617 1014521 -202335 -891815 399685 -456551 -998724 257584 -941682 -557252 20139 -608691 -307215 -908511 79829 -763337 782233 549914 811277 289950 517708 -571217 -873200 -446404 432982 -882300 574172 -182685 -139190 -1010648 109840 839739 -682020 672058 869321 -641659 -112630 213584 227763 -425527 -548936 334639 -530630 1034486 99882 585086 -116055 -537271 254528 -551752 -218135 674409 185180 528495 433836 -1170474 129522 -1005540 554760 504202 -149181 -170708 -485164 -494403 314389 -77256 605984 54083 755684 382964 -942791 -584314 -951585 -11825 937897 
+312922 -301169 521325 753407 -128382 38470 208284 563135 -754810 -77008 -569968 -760882 -921559 -171741 -170871 -527270 703472 655382 6222 -36148 102738 -74458 312396 -550409 854855 -916379 -800008 446504 226467 -1004298 -784581 650960 -762702 -566457 -536148 -333027 457516 244323 832556 -416387 608755 56561 922966 -699907 -500062 319941 705424 448040 90603 -13756 155155 -733899 -132586 444185 238251 -28974 497377 67158 -636625 -174061 434295 -762287 531462 710860 -713934 594893 -586719 -457102 261519 -682351 -938884 -937505 285601 -514906 -534805 771891 -697483 643132 863383 416085 -80222 -280278 932871 -87030 -781038 -211181 -826878 517287 888257 934077 304170 544735 620843 -1059799 -623405 -722653 262519 -336785 740603 827964 368917 -132675 -562778 464588 -492017 567077 -693472 -57305 650300 557069 -204682 968721 636527 -300107 547790 -238089 -538873 940454 395392 53723 -521182 3331 -284469 200856 -873346 67797 620931 571399 -711562 -326250 698756 641630 -712494 756029 -737904 -944335 268507 -196650 -225988 -191373 -559098 -243538 -993479 -242097 -579520 -388412 -334071 592332 566231 -429661 604336 -313880 -670054 85111 275778 795655 104219 -853088 255440 -945375 549885 -654253 -202506 -80188 61578 -564440 -807646 -481940 144835 -648366 927884 -358032 -879043 446786 -478542 -1016734 265727 -930722 -444364 14959 -628514 -305622 -934263 71923 -805100 806725 519407 798772 282800 482263 -629828 -981679 -436953 354299 -890966 583110 -113361 -91710 -1015696 131489 875589 -667241 660969 849046 -699040 -145516 225451 391754 -410471 -639225 327759 -550462 1028585 75945 659852 -118193 -579034 297868 -554750 -239730 710155 176969 532717 389386 -1089116 119566 -945144 559900 580390 -157651 -187847 -530543 -427770 293551 -170644 643047 -88003 759361 355913 -939576 -576924 -904224 10141 931489 
+262525 -361277 529734 704581 -52700 63257 224142 581068 -836772 -46090 -647572 -769305 -941743 -221333 -211116 -552480 568467 670496 -17419 -41217 67946 -17258 323950 -634908 885217 -939732 -743751 473444 231264 -1006596 -884000 618358 -737283 -532296 -451807 -290736 419723 291467 816613 -404306 623232 -76284 962534 -761783 -590131 361465 690492 342034 73632 20643 209722 -727545 -87074 485033 229032 -20101 595916 97331 -615194 -203039 464073 -765786 595277 742684 -713390 595862 -666463 -494593 214041 -664511 -978650 -932658 315421 -544541 -542269 893423 -713217 636410 858510 464758 -91361 -349483 1091714 -58989 -837164 -212240 -799852 524206 835276 846672 292019 544668 601137 -1028445 -657548 -695602 340199 -416000 695824 845832 337109 -99121 -533845 510928 -519427 536394 -699705 -126986 644891 529922 -302757 992091 575050 -434273 519260 -233755 -512475 890096 377236 12684 -556042 -181518 -309085 249162 -749243 120421 574210 584813 -774477 -353765 787568 646934 -717121 758866 -718574 -947515 308635 -196971 -223036 -193527 -563569 -237345 -994559 -219317 -659279 -375670 -333862 596552 546547 -432522 677985 -339042 -664187 88405 119009 929071 117151 -853555 277806 -938550 509334 -688007 -239948 159372 133544 -595407 -803190 -526900 138832 -669357 858762 -474413 -899004 540987 -494702 -863349 261996 -954821 -275080 4092 -669934 -274430 -950389 101762 -791981 833935 510688 803131 273742 488652 -678152 -1080428 -455882 236059 -910794 597835 -126814 -154005 -963640 122926 851373 -671877 669792 862624 -742362 -253610 202720 564554 -487758 -705987 324268 -625366 1036972 255239 544038 -131688 -554426 126310 -544134 -238081 801679 177536 529809 281480 -869695 114591 -859562 619224 632429 -169158 -165453 -597145 -308487 203764 -334944 584447 -195920 746309 381132 -918017 -572658 -843077 8246 937122 
+266633 -387618 522394 812646 -43683 85361 247408 588482 -913924 -108093 -724768 -774562 -908098 -266708 -291642 -497392 421386 681102 -15034 -47238 25733 31583 297795 -751611 840746 -926071 -649616 483885 253622 -1000312 -897736 601416 -791514 -562227 -435026 -266800 374971 146379 799982 -436889 628066 -139835 999142 -989263 -695635 488657 714769 378049 17769 12131 179771 -724150 40107 457442 270312 -17609 664122 125382 -600129 -315045 497039 -778031 668834 760909 -703213 604549 -698746 -535099 185547 -660989 -950543 -971366 403910 -581450 -602325 965417 -685932 653471 850058 557091 -100412 -439588 998290 -5370 -861476 -209742 -747805 523471 799830 721029 281463 591710 590851 -976226 -697859 -764165 482278 -484013 698431 833164 304501 -114267 -513834 514961 -506736 449306 -700074 -248436 670276 486405 -417509 1002403 539484 -403259 507826 -210808 -483813 878295 385908 -63131 -544808 -309770 -340865 232022 -647961 148569 486331 568038 -777711 -387552 885364 609204 -762006 774188 -704490 -964345 321171 -185118 -203500 -182382 -529162 -246870 -1007821 -95650 -730324 -370353 -342370 694728 552308 -462911 850577 -378510 -683482 89938 59024 998787 53823 -767117 296200 -951353 451924 -825144 -243772 171219 88423 -640232 -830285 -561953 117819 -755157 890759 -439319 -874932 578283 -507841 -731976 267797 -951820 -233492 -4065 -721077 -225793 -974912 87953 -814404 852583 526410 831837 265211 517600 -657420 -1122746 -459887 262751 -929707 569411 -116924 -117900 -906524 126341 893543 -662502 686908 889748 -804034 -333085 187301 567938 -512625 -705007 274770 -620868 977067 354274 400003 -148797 -515454 -53340 -526931 -259224 928261 244546 517142 226175 -768845 108416 -815345 668728 618677 -189546 -151938 -602973 -247841 51187 -432069 535419 -173719 726158 361274 -903364 -578717 -776657 12382 902482 
+323027 -416649 455588 984766 -135425 102699 243070 584767 -909352 -207171 -751954 -783528 -906484 -321308 -366263 -448808 442005 692944 62221 -68399 -47860 69164 251616 -864350 806664 -932242 -636056 493496 257258 -986985 -830080 632552 -862506 -520500 -497264 -296882 447706 5375 784572 -423948 633734 -221072 981631 -1045669 -732573 552890 738273 534189 -35033 -27180 82255 -729020 155314 439611 310149 -25089 710925 162770 -590667 -362058 521156 -819311 708120 798566 -691844 619925 -715544 -445474 149576 -622195 -952940 -1019011 492366 -546938 -613594 885739 -658478 660120 842176 664419 -98959 -341250 826034 58387 -885756 -218942 -676790 518191 782088 649132 274608 608544 586242 -935769 -737334 -785280 529420 -488023 730998 803289 276231 -107235 -446527 505899 -525873 347094 -680349 -302457 694212 468727 -427374 999277 556927 -249844 440349 -225879 -491305 856759 362113 -142312 -477562 -241451 -378190 266491 -643326 111627 411479 571686 -747358 -405174 965070 556681 -830000 802840 -696889 -929321 338699 -174219 -223183 -192620 -550004 -243093 -925490 21578 -771414 -350597 -336929 737346 499069 -450834 975804 -313271 -662287 95315 124759 966489 -56422 -666012 223950 -944256 367253 -983834 -211799 -19767 -58758 -666211 -842498 -562376 88717 -863216 983116 -278684 -871437 567334 -514368 -663964 274543 -921678 -392041 -1173 -782895 -173124 -990641 137401 -802419 873412 563392 865860 260674 551799 -599967 -1091114 -457904 302832 -943634 584203 -130661 -99428 -851140 110229 878367 -659344 644566 848491 -825727 -341122 224275 405355 -564607 -613296 266827 -662504 988661 320842 416735 -168835 -465513 -2346 -491565 -289166 918749 253477 530946 281811 -940438 106462 -813041 612256 576094 -206014 -156381 -564694 -275613 44875 -372912 477956 4334 704310 344464 -873720 -599611 -715045 37822 903555 
+379849 -422228 395062 1056647 -219947 98219 244390 576992 -868257 -251757 -725984 -794645 -944820 -348519 -394613 -446352 603893 706397 24537 -87320 -75483 87612 228782 -893651 802293 -926472 -700262 507767 262272 -987901 -724622 640779 -852416 -538631 -607448 -375007 468187 -5814 772436 -432090 636818 -191024 931443 -891241 -687677 516350 746864 603778 -88400 -56539 -25241 -727983 173056 417862 275238 -32432 694220 178371 -592786 -481904 509041 -806535 761196 807329 -692593 631354 -761305 -446739 144594 -632900 -952455 -1055399 585186 -542545 -692051 757082 -678175 668871 836108 739903 -111389 -268844 757187 98319 -866377 -206272 -618292 519021 850108 606089 208741 660149 588784 -870622 -775636 -893309 499548 -456124 800456 756113 257720 -73819 -416831 551237 -540737 324268 -751273 -278827 716833 433040 -364507 996043 636878 -105686 411825 -224407 -510208 850682 380394 -215287 -376105 -51722 -410816 286522 -740767 42949 366571 573514 -661214 -410008 996325 500267 -894739 838566 -697039 -991515 302998 -178246 -235288 -214649 -549923 -234823 -894811 39696 -780285 -368037 -339604 759297 451884 -415233 930365 -301264 -657935 86451 253566 850746 -162039 -644811 209162 -944471 314601 -978750 -161064 -183977 -116926 -696703 -875529 -531766 101450 -994484 1056926 -169740 -887209 472257 -514407 -705859 265475 -902258 -579196 14866 -846435 -137445 -998742 122079 -832239 895415 613789 885487 261364 552077 -583690 -1013461 -458316 317385 -939047 589447 -130410 -109917 -839832 97935 869918 -700239 662263 843646 -800928 -306155 211004 282197 -576181 -538205 273152 -697783 984672 127268 563918 -191873 -406860 205056 -491450 -276998 800923 240464 524978 397734 -1154201 103198 -798195 600261 500432 -228254 -205622 -506713 -390142 141579 -218883 402367 74300 669506 313974 -856262 -590061 -666730 73370 873015 
+386727 -455829 333332 966057 -219783 91213 229877 564729 -778425 -197203 -675288 -805082 -942251 -413307 -360733 -460309 710978 714592 109885 -89964 -98113 82284 262315 -844290 846973 -937262 -769378 493378 243122 -980944 -711874 644539 -800229 -516974 -720523 -431682 484390 177960 766034 -443953 627545 -88142 901082 -702692 -591970 417068 735235 530682 -57414 -40940 -79510 -735894 82509 387784 265313 -30454 635552 194626 -600705 -514631 488198 -781091 756145 827379 -695993 654093 -806109 -435996 121901 -613071 -952864 -1026226 678927 -500390 -703720 712124 -740954 683727 842184 767042 -117328 -203851 780062 97508 -875326 -215856 -566148 521096 891651 647409 207753 721794 600819 -863540 -807168 -957637 365644 -410120 867636 711237 250150 -110893 -427971 564463 -551226 300296 -754017 -183773 704642 427150 -237999 984918 673238 -107709 378884 -222618 -484079 819348 394497 -278346 -272456 17385 -430606 291152 -863242 -2248 372486 572901 -568576 -410192 974946 516416 -932441 870000 -704827 -981764 303250 -213988 -248279 -245924 -530756 -251521 -884930 -66320 -748815 -364401 -348071 672775 394154 -379273 768341 -212138 -669292 82337 397916 712838 -215348 -723844 250954 -948762 255138 -884461 -119360 -155604 -15161 -695065 -858551 -488741 149787 -1031167 1081755 -242740 -891424 417910 -509123 -847147 254713 -901847 -514109 17584 -893908 -129090 -1007802 140797 -792246 916677 657216 884169 266869 563066 -635863 -874411 -484259 382944 -956142 579010 -152841 -86210 -850077 96173 876508 -683917 640847 836246 -768914 -295823 196799 296595 -543239 -479793 276989 -712054 981595 42429 647091 -222491 -318731 288200 -442799 -271094 699416 219958 544635 429863 -1123038 100787 -780431 531857 474470 -257449 -152692 -445144 -481465 251229 -93639 386871 62548 632943 293226 -839696 -571968 -639148 -2123 872846 
+336829 -409390 272592 794492 -123857 63732 190633 546526 -684455 -102466 -634856 -813580 -912952 -429703 -286382 -553197 633875 718123 65451 -132384 -88482 51695 327325 -730011 882870 -930464 -834806 499124 256124 -971281 -805879 697413 -741440 -476294 -785878 -514394 501882 316349 767059 -442970 625188 35524 914904 -753678 -485612 329924 776399 384763 -8394 -626 -47589 -735537 -47325 384119 274709 -21381 540811 244805 -611404 -507953 451638 -749183 735088 825199 -701628 676092 -829992 -402708 123560 -657178 -965753 -993461 701589 -493123 -752601 798552 -726691 691386 857972 738593 -144614 -257814 912562 60204 -840138 -215537 -557003 519843 845589 752458 146155 740720 614011 -863289 -835559 -1015054 262074 -360903 898175 691325 256149 -108398 -443000 541582 -564839 349001 -782324 -78395 710174 410418 -151963 963993 684855 -253526 373265 -226608 -466223 869349 385751 -296003 -265000 -110529 -428491 311294 -952797 -71312 432937 570267 -557894 -403658 907747 520909 -928048 888299 -719700 -997351 261337 -238944 -234962 -260349 -542371 -233164 -853144 -188185 -687767 -409288 -346821 611793 287448 -348649 618527 -216176 -683823 79035 432139 635018 -189543 -828243 294531 -941893 244170 -767577 -108500 24951 68564 -674382 -894716 -449120 139365 -968098 1018240 -394891 -892472 364275 -496151 -1005751 266481 -878531 -301795 15576 -929405 -147036 -1001628 173021 -820371 932224 686567 857846 273801 527090 -657338 -819807 -463152 426927 -970607 565331 -194012 -59733 -885909 83096 864430 -697707 633966 877584 -708623 -191105 214624 450993 -554493 -486372 298771 -677317 954995 189391 576514 -252418 -276316 171867 -418041 -245038 675938 169645 528889 344930 -930172 102228 -849271 646906 515863 -270621 -212553 -423614 -527796 331184 -80876 401261 -72233 598843 290374 -818173 -561853 -636013 20363 868209 
+274988 -343071 224018 698680 -39306 45686 160780 519679 -634251 -45905 -582897 -825363 -922726 -418453 -208117 -552415 464983 714142 87189 -125094 -47228 9404 324029 -609113 843577 -954456 -845903 496493 246157 -988616 -889178 719763 -795587 -458590 -774618 -511931 454691 232729 774543 -429447 615421 113266 965666 -969498 -476729 321877 777608 341478 94122 21653 50164 -746561 -124850 357087 254422 -17284 504150 290817 -622551 -390938 422788 -801635 673056 808125 -696613 697005 -817038 -462574 164777 -666588 -988689 -933430 683810 -519598 -770484 921310 -659731 701839 867129 663499 -174114 -363365 1018399 -1528 -810291 -226549 -553791 517870 789636 865119 113641 765776 636141 -882239 -852315 -1025822 284357 -298772 886822 735320 272397 -120842 -489911 579611 -589069 437173 -812275 -28062 726416 380864 -187609 942429 709400 -396857 379056 -239848 -492555 878838 354663 -303880 -259142 -288446 -406772 329045 -945959 -95740 516360 555898 -637163 -374644 810222 559965 -883286 887003 -739798 -993832 287906 -240817 -211976 -248859 -522340 -240680 -828286 -242387 -612850 -387491 -342585 594306 265358 -336611 616513 -217592 -688169 83763 337999 653608 -97948 -865673 293710 -950161 257950 -662653 -134638 211401 110414 -650664 -917010 -438624 180119 -882859 937422 -495990 -888798 382997 -479439 -1038772 264676 -892364 -257415 7348 -955609 -189365 -1001903 197208 -777697 943454 699230 818929 283625 486555 -660056 -830252 -458322 412416 -954240 541258 -283384 -82074 -957394 89631 857495 -696409 618026 831187 -659608 -72275 218730 573346 -514180 -570226 262748 -644661 964611 357499 419214 -282789 -230477 -20851 -407956 -238093 784975 168644 533237 241030 -775342 102654 -908685 650097 587626 -286373 -164843 -411438 -447020 292378 -211802 420466 -204277 575260 288605 -824994 -585422 -657926 20598 823306 
+258185 -275033 232193 770387 -55679 35946 122355 491716 -594264 -82711 -600666 -832415 -932103 -420151 -169934 -505003 410448 719440 98201 -146014 377 -49569 296476 -537059 806342 -964712 -789087 492267 268529 -951908 -879751 776500 -817393 -469731 -687047 -518906 433029 37524 786936 -438055 613090 126738 996443 -1036846 -555834 384511 780910 454622 137139 2097 157433 -751609 -106618 337039 204673 -23414 492997 304199 -650711 -275163 408466 -803870 645769 810362 -700870 716355 -821134 -495537 202313 -675955 -989013 -921569 634115 -532971 -794650 958725 -682628 719142 866585 558511 -157751 -391706 990663 -58960 -813391 -238182 -576741 521123 790645 932030 139166 719871 654324 -956712 -855125 -965543 397265 -362583 838353 761484 300460 -134740 -557901 580265 -597713 528472 -849603 -66176 732005 354003 -316365 915500 671690 -435447 386316 -229034 -594089 935347 345350 -275534 -356761 -284170 -375404 374541 -809733 -131735 595100 541790 -722349 -331166 713418 630448 -815690 874733 -762778 -987145 323183 -254557 -203244 -243238 -589610 -239775 -841436 -180578 -529216 -370770 -339676 677316 239252 -362692 773273 -266739 -680639 77882 177115 764361 16975 -801049 234638 -947261 316315 -711504 -183865 95463 36427 -620938 -888811 -421904 208620 -716636 864159 -410888 -880897 408267 -457081 -922688 248033 -889157 -359227 -9610 -952926 -241495 -995439 199363 -837399 970451 676295 802659 289479 489896 -626976 -979486 -485206 301232 -978411 546340 -279412 -121778 -995429 129493 888505 -660369 608388 881591 -621703 -47535 196223 517206 -414559 -667722 257572 -642273 953026 344283 405284 -309525 -196984 -14167 -449345 -244514 982250 203523 538260 230149 -886082 104657 -920921 625734 629096 -302895 -168022 -466470 -326492 171127 -371878 482031 -184235 577105 278446 -788211 -571179 -701548 -16 831445 
+300869 -265793 249293 941262 -144438 10305 88446 463307 -647064 -179277 -666615 -843162 -900051 -386496 -194338 -440480 535306 714427 173748 -163819 51051 -97536 228663 -559873 811153 -954302 -724164 474573 283225 -973938 -794629 788985 -862971 -407261 -572800 -466489 370175 -11264 800899 -442550 616053 54983 979599 -903037 -655288 479135 788872 595592 174489 -40011 210813 -764304 20528 316874 236078 -31777 535816 336109 -675719 -196423 420180 -800573 557119 772408 -715703 746109 -832824 -462852 216848 -667905 -972914 -964685 552828 -522353 -810015 857009 -681805 733632 864465 465340 -164946 -352781 860274 -89381 -760467 -229650 -634807 518844 834533 925723 123440 696902 671631 -1007372 -847475 -942850 530280 -423725 767540 776712 331079 -99150 -554586 584366 -616802 569519 -858609 -127269 738722 378556 -418421 887085 612944 -309812 421882 -227134 -588608 942951 347981 -209215 -468810 -115848 -336315 371728 -696469 -119272 620387 579009 -765759 -285649 643767 649704 -750169 848097 -785617 -1019953 312798 -236919 -238682 -237549 -529962 -240169 -822552 -44606 -466578 -417059 -332183 734395 190302 -385221 939092 -302886 -682473 77361 72650 900176 101279 -697770 196124 -944279 381770 -803725 -229448 -116858 -102890 -579233 -916203 -413714 194818 -680146 877517 -267896 -898078 510329 -431446 -755465 260510 -874576 -533616 940 -927178 -287944 -963227 208537 -790395 973646 636737 800685 294269 511203 -579709 -1069979 -453640 287973 -944871 546918 -318664 -121234 -1021777 145770 890089 -666552 613111 865790 -612046 -36608 236715 362233 -376541 -708847 219193 -606697 946975 179620 523251 -342151 -173927 185166 -460865 -246174 939979 236460 520297 341509 -1101102 109454 -987821 573117 604213 -309531 -144358 -512551 -271346 43243 -424552 584889 -64677 583393 276137 -790476 -594710 -760833 -12122 828333 
+364108 -262918 292810 1051299 -207977 -7355 92866 442921 -728261 -245710 -736560 -851371 -926117 -370592 -263771 -454075 687646 706789 116844 -162212 100098 -139266 253925 -646917 855299 -954576 -610212 459990 266148 -984767 -714596 801265 -790373 -427223 -473986 -389744 378762 80343 819146 -456277 615287 -64927 933502 -690456 -733807 567489 824217 588224 149190 -54390 177567 -773661 128632 309330 233690 -31626 624715 347257 -696177 -154199 452796 -780672 500833 716903 -751472 768603 -830651 -433040 253646 -667562 -996111 -1004474 460049 -537072 -811124 739397 -729395 749978 851622 411269 -167608 -231197 766179 -75785 -698125 -237295 -689172 522558 881861 871839 89008 665718 681149 -1006478 -832318 -816966 517378 -503144 708413 848615 365636 -141132 -510913 612226 -629054 583348 -787982 -241628 766861 386403 -447090 872523 567768 -126856 465722 -248527 -616128 904063 382003 -135369 -534244 25447 -301320 386006 -638058 -72353 591430 551680 -780984 -258753 616567 637646 -712521 813430 -806712 -1020466 301455 -223071 -216506 -182242 -512334 -235966 -849335 43548 -434219 -372345 -330732 738965 219863 -418763 961966 -322259 -698024 68154 68397 993701 118748 -641891 215045 -939897 452860 -924626 -246760 -193871 -66887 -541684 -923346 -433793 168245 -651759 960269 -157549 -893138 528870 -403915 -641661 258360 -873332 -523468 5194 -891113 -309415 -953755 211859 -767676 977960 594158 820965 293913 540471 -604260 -1119906 -488838 240665 -947075 565414 -327650 -159588 -1001578 124665 889187 -672347 611042 874470 -615671 -25122 220144 245497 -354537 -651935 229489 -542449 941006 68344 653593 -379185 -181623 286603 -482393 -271126 811914 253216 520687 426105 -1165792 112009 -1073934 575681 537388 -319890 -192042 -562610 -295436 28906 -339945 618727 66186 597839 282549 -770490 -601209 -826986 -29106 811349 
diff --git a/sim/corrout.txt b/sim/corrout.txt
index 55d31b2..d41c2f9 100644
--- a/sim/corrout.txt
+++ b/sim/corrout.txt
@@ -1,200 +1,200 @@
--2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -2 -1 3 0 0 2 0 1 2 -1 3 4 -2 -1 1 2 1 1 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 2 -1 0 1 2 1 1 1 0 1 2 -1 0 1 -1 -2 1 1 -1 2 1 -1 -1 2 2 0 0 3 1 2 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 3 1 0 0 -2 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 -1 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 -1 1 0 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 3 1 0 0 -2 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 -1 0 -1 1 0 0 0 -1 -1 1 0 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 0 1 0 1 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 2 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 -1 0 -1 1 -1 0 0 -2 0 1 -1 0 1 1 1 1 1 0 1 1 0 1 1 -1 -2 0 1 0 1 1 0 -1 2 2 0 0 2 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 -1 0 -2 0 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 -1 0 1 1 0 1 1 0 1 2 0 1 1 -1 -2 0 1 0 1 1 0 -1 2 2 0 0 2 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -3 0 0 2 1 0 0 -1 1 3 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 -1 0 1 1 0 1 1 0 1 2 0 0 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 2 1 2 1 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -3 0 0 2 1 1 0 -1 1 3 0 -2 -1 0 -1 2 -1 0 0 -2 0 2 -1 0 1 2 1 1 1 0 1 2 0 0 1 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 2 1 2 1 1 -1 0 1 0 0 1 2 -1 -2 -1 1 0 -1 2 1 0 1 -1 -1 1 -1 -3 1
--2 0 -2 1 0 1 1 -2 -1 -1 0 0 -1 0 3 0 0 2 0 1 2 -1 4 3 -2 0 0 2 1 1 0 -1 1 3 0 -2 -1 0 -1 1 0 0 0 -2 0 2 0 0 1 2 1 1 0 0 1 2 0 1 1 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 2 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 -1 -3 0
--2 0 -2 1 0 0 1 -2 -1 -1 -1 0 -1 -1 3 0 0 2 0 1 2 -1 4 3 -2 0 0 2 1 0 0 -1 1 2 0 -2 -1 0 -1 1 0 0 0 -2 0 1 0 0 1 2 1 1 0 0 1 2 0 1 1 0 -3 1 1 -1 2 1 0 -1 2 2 0 0 2 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 -1 0 -1 1 0 0 0 -2 0 1 -1 0 1 2 0 1 0 0 1 2 0 0 1 0 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 2 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 0 -1 -4 0
--2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -1 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -2 0 1 -1 0 1 2 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 1 1 0 -1 2 2 0 0 2 1 3 1 2 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 0 -1 -4 0
--2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -2 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 1 2 1 1 1 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -2 0 2 -1 0 1 2 0 1 1 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 1 -1 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 1 2 1 1 0 -1 0 2 0 -2 -1 0 -1 2 0 0 -1 -2 0 1 -1 0 1 2 1 0 1 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 1 -1 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 1 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 1 -1 0 1 1 1 1 1 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 3 0 0 3 1 2 2 1 -1 0 1 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 1 -1 -1 0 -1 -3 1
--2 -1 -2 0 -1 0 1 -2 -1 -1 0 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 3 0 -2 -1 0 -1 1 -1 0 -1 -2 0 1 -1 0 2 1 0 1 0 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 0 0 0 0 1 2 -1 -1 -1 0 -1 -1 3 1 0 1 -1 -1 0 -1 -3 1
--2 -1 -2 0 -1 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 5 -2 0 0 2 1 0 0 -1 0 3 0 -2 0 0 -1 1 0 0 -1 -2 0 2 -1 0 2 1 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 2 1 0 -1 1 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 3 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 -1 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 -1 1 2 -1 4 4 -2 -1 0 2 1 0 0 -1 0 2 0 -2 0 0 -1 2 0 0 -1 -1 0 2 -1 0 2 2 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 2 1 0 -1 1 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -2 -1 0 -1 -3 0
--2 -1 -2 1 -1 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 3 4 -2 -1 0 2 1 1 0 -1 0 2 0 -2 0 0 -1 2 0 0 0 -2 0 2 -1 0 1 2 1 1 0 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 -1 -4 0
--2 -1 -2 1 0 0 1 -2 -1 -1 -1 1 -2 0 3 0 0 3 0 1 2 -1 3 4 -2 -1 0 2 1 1 0 -1 0 2 0 -2 0 0 0 1 0 0 0 -2 -1 1 -1 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 0 -4 0
--2 -1 -2 1 0 0 1 -2 -1 -1 -1 1 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 0 1 1 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 -1 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 -1 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 0 1 1 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 0 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 1 1 1 0 1 2 0 0 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 0 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -3 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 1 1 1 0 1 2 0 0 0 -1 -3 1 1 0 1 1 0 -1 2 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -2 -1 1 0 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 -1 1 -1 0 0 -2 0 1 -1 0 1 2 1 1 1 0 1 2 0 1 0 -1 -3 1 1 0 1 1 0 -1 2 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 1 0 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 1 -1 0 1 1 0 1 1 0 1 1 0 1 0 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 1 0 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 -1 1 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 3 0 -2 -1 0 -1 1 -1 0 -1 -2 0 1 0 0 1 1 0 1 1 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 3 0 -2 -1 0 -1 1 -1 0 -1 -1 0 1 0 0 1 2 0 0 1 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -2 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 0 1 -1 0 3 0 -2 -1 0 -1 2 -1 0 0 -1 0 2 0 0 1 2 1 0 0 0 1 1 0 1 1 -1 -2 0 1 -1 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 0 0 0 1 2 -1 -1 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 1 1 1 1 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -1 0 2 0 0 1 2 1 0 0 0 1 2 0 1 1 -1 -3 0 1 -1 1 1 0 -1 2 2 0 0 3 1 3 1 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 0 -3 0
--2 -1 -2 1 0 0 1 -1 -1 -2 0 0 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 1 2 1 1 1 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -1 -1 2 0 0 1 2 0 0 0 0 1 2 0 1 1 -1 -3 0 1 0 1 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 -1 -1 2 1 0 1 -1 -1 1 0 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -2 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 5 -2 -1 1 2 1 0 1 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -1 -1 2 0 0 1 2 0 0 1 0 1 2 0 1 1 -1 -2 0 1 0 1 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 2 1 0 1 -1 -1 0 -1 -3 1
--2 -1 -2 0 -1 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 1 0 0 -1 0 2 1 -2 -1 0 -1 1 0 0 -1 -1 0 2 0 0 2 1 0 0 1 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 0 0 -1 -2 2 1 0 0 -1 -1 0 -1 -3 1
--2 -1 -2 0 -1 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -1 0 2 0 0 2 1 0 0 1 0 1 1 0 1 1 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 0 0 -1 -1 2 1 0 0 -1 -1 0 -1 -3 1
--2 -1 -2 0 -1 0 0 -2 -1 -1 -1 1 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 3 0 -2 -1 0 0 2 -1 0 0 -2 0 2 0 0 2 2 0 0 0 0 0 1 0 1 1 -1 -3 1 1 -1 2 2 0 0 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 1 -1 0 0 -2 -1 -1 -1 1 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 1 2 1 0 0 -1 1 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 2 2 0 0 0 0 1 1 0 1 1 -1 -3 1 1 -1 2 2 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 1 -1 0 0 -2 -1 -1 0 1 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 1 2 1 0 0 -1 0 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 0 1 0 0 1 2 0 1 1 0 -3 1 1 -1 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 -1 1 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 1 0 0 0 -2 -1 -1 0 1 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 -1 1 2 0 0 0 -1 0 2 0 -2 0 0 0 1 -1 0 0 -2 0 1 -1 0 1 2 0 0 0 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 1 2 0 0 3 1 2 1 1 -1 -1 0 1 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 1 -1 -3 0
--2 0 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 -1 1 2 0 0 1 -1 0 2 0 -2 0 0 0 1 0 0 0 -2 -1 1 -1 0 1 2 0 0 0 0 1 2 0 1 0 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -2 3 1 0 1 -1 -1 1 -1 -3 0
--2 0 -2 0 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 3 -2 -1 0 1 1 0 0 -1 0 2 0 -2 -1 0 0 1 0 0 -1 -1 -1 2 0 0 1 2 1 0 1 0 1 1 0 1 0 -1 -3 1 1 0 2 1 0 -1 2 3 0 0 2 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 2 1 0 1 -1 -1 1 -1 -3 0
--1 0 -3 0 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 3 -2 0 0 1 1 0 0 -1 0 2 0 -2 -1 0 0 1 -1 0 -1 -1 -1 2 -1 0 1 2 1 0 1 0 1 1 0 1 0 -1 -3 1 1 -1 2 1 0 -1 2 3 0 0 2 1 3 2 2 -1 -1 0 0 0 1 2 -1 -2 0 0 -1 -1 2 1 0 1 -1 -1 1 -1 -3 0
--2 0 -2 0 0 0 0 -2 -1 -1 0 1 -2 0 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 0 2 0 -2 0 0 0 2 -1 0 -1 -2 0 1 -1 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 2 1 2 2 1 -1 -1 0 0 0 1 1 -1 -2 0 0 -1 -1 2 1 0 1 -1 -1 0 -1 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -1 0 3 0 0 2 -1 1 2 -1 3 4 -2 0 0 1 1 0 0 -1 0 2 0 -2 0 0 -1 2 -1 0 -1 -2 0 1 -1 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 1 -1 -2 0 1 -1 -2 2 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 1 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 1 1 0 0 -1 1 2 0 -2 -1 0 -1 2 0 0 -1 -2 0 1 -1 0 1 1 1 1 1 0 1 1 0 1 1 0 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 1 0 0 1 1 -1 -1 0 0 -1 -2 3 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -1 0 3 0 1 2 0 1 2 -1 3 4 -3 0 0 1 1 0 0 -1 1 2 0 -2 -1 0 -1 2 0 0 -1 -2 0 1 0 0 1 1 1 0 1 0 1 1 0 1 1 -1 -2 1 1 0 1 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 0 0 -1 -1 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -1 0 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 1 0 0 1 2 0 1 1 0 1 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -2 -1 0 -2 0 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 1 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -2 -1 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 0 0 -1 1 -1 0 -1 -2 -1 1 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 -1 1 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 2 -1 0 2 2 1 1 1 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 1 2 1 1 1 -1 1 2 1 -2 -1 0 0 1 0 0 0 -2 0 2 -1 0 2 2 1 1 1 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 1 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -1 0 3 0 0 3 0 1 2 -1 4 4 -3 0 1 2 1 1 1 -1 1 3 1 -2 -1 0 0 1 0 0 0 -2 0 2 -1 0 2 2 1 1 0 0 1 1 0 1 1 -1 -2 1 1 0 1 1 0 0 2 2 0 0 3 1 3 2 1 -1 0 1 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 0 -3 0
--2 -1 -2 1 -1 0 1 -2 -1 -1 -1 0 -1 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 0 3 0 -2 -1 0 0 1 -1 0 0 -1 0 2 -1 0 2 2 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 1 1 0 -1 2 2 0 0 3 1 3 2 1 -1 0 0 0 0 1 2 0 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 0 -3 0
--2 -1 -2 1 -1 0 1 -2 -1 -2 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 3 0 -2 0 0 -1 1 -1 0 0 -1 -1 1 -1 0 1 2 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 1 1 0 -1 2 2 0 0 2 1 3 2 1 -1 -1 0 0 0 1 2 0 -2 -1 0 0 -1 3 1 0 0 -2 -1 1 -1 -3 0
--2 -1 -2 1 -1 0 1 -2 -1 -2 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 -1 1 -1 0 0 -1 -1 1 -1 0 1 2 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 2 1 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -1 3 1 0 0 -2 -1 0 -1 -3 0
--2 -1 -2 1 -1 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 1 1 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 -1 0 1 2 0 0 0 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 2 1 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 1 1 0 -1 0 2 1 -2 -1 0 -1 1 -1 0 -1 -2 0 2 -1 0 1 1 0 0 0 0 1 2 0 1 1 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 3 2 2 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 0 -1 -3 0
--2 0 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 5 -2 0 0 2 1 1 1 -1 0 2 1 -2 -1 0 -1 1 -1 0 -1 -2 0 2 -1 0 1 2 0 1 0 0 1 2 0 1 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 0 -1 -3 0
--2 0 -2 0 0 0 0 -2 -1 -1 -1 1 -1 -1 3 0 0 2 -1 1 2 -1 4 5 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 -1 1 -1 0 -1 -2 0 1 -1 0 1 2 0 1 0 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 1 0 0 1 1 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 0 -1 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 1 1 0 0 -1 0 2 0 -2 0 0 -1 2 -1 0 0 -2 0 1 -1 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 1 2 0 0 2 1 2 1 1 -1 0 1 0 0 1 1 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 1 -1 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 -1 2 0 0 0 -1 0 1 -1 0 1 2 1 1 1 0 0 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 2 1 2 1 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 1 -1 -4 0
--2 -1 -2 0 0 1 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 -1 2 0 0 -1 -2 0 1 -1 0 1 1 1 1 0 0 1 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -1 2 1 0 1 -1 -1 1 -1 -4 0
--2 0 -2 0 0 1 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 1 -1 0 1 1 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 2 1 0 -1 2 3 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -1 3 1 0 1 -1 -1 0 -1 -4 0
--2 0 -2 0 0 1 0 -1 -1 -1 0 1 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 2 -1 0 1 1 0 1 0 0 1 2 0 0 1 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -1 3 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 0 1 -1 0 -1 -2 0 1 -1 0 1 2 0 1 0 0 1 2 0 1 1 0 -2 1 1 -1 2 1 0 -1 1 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 1 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 1 -1 1 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 0 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 0 1 0 1 1 0 -1 1 2 0 0 3 1 3 2 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 1 2 0 1 2 -1 4 4 -3 0 0 1 1 1 1 -1 1 2 0 -2 0 0 0 1 -1 0 0 -2 0 1 0 0 2 2 1 1 1 1 1 1 0 1 0 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 0 -1 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 1 -1 1 2 1 -2 -1 0 0 1 0 0 0 -2 0 2 -1 0 2 2 1 1 1 0 1 1 0 1 0 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 0 -1 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 1 -1 1 2 1 -2 -1 0 0 1 0 0 -1 -2 0 2 -1 0 2 2 1 1 1 0 1 2 0 0 0 -1 -3 0 1 0 2 1 -1 -1 2 2 0 0 3 1 2 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 -1 0 0 -1 -1 -2 0 1 -2 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 1 -2 -1 0 -1 1 0 0 0 -2 0 2 -1 0 2 2 1 1 1 0 1 2 0 0 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 2 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 1 -1 -1 -1 0 0 -2 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 2 1 -2 -1 0 -1 2 -1 0 0 -1 -1 1 -1 0 1 2 1 1 0 0 1 2 0 0 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 2 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 2 0 -2 -1 0 -1 2 -1 0 0 -1 -1 1 -1 0 1 2 1 1 0 0 1 1 0 1 1 0 -2 0 1 0 1 1 0 -1 2 2 0 0 2 1 2 1 1 -1 -1 0 0 0 1 2 -1 -2 0 0 -1 -2 2 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 -1 0 -1 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 2 0 -2 -1 0 -1 2 -1 0 0 -1 -1 1 -1 0 1 1 0 1 0 0 1 1 0 1 1 -1 -2 1 1 0 1 1 0 -1 2 2 0 0 2 1 2 2 1 -1 0 0 0 0 1 2 -1 -2 0 0 -1 -2 2 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 -1 0 -1 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 0 1 0 -1 1 2 1 -2 -1 0 -1 1 -1 0 -1 -1 0 2 -1 0 1 2 0 1 1 0 1 2 0 1 1 -1 -2 1 1 0 1 1 0 -1 2 2 0 0 3 1 2 2 1 -1 0 1 0 0 1 2 -2 -2 -1 0 -1 -1 2 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 1 -1 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 0 1 0 -1 0 2 1 -2 -1 0 -1 1 0 0 -1 -1 0 2 -1 0 1 2 0 1 1 0 1 2 0 1 1 -1 -2 0 1 0 2 1 -1 -1 2 2 0 0 3 1 2 1 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 0 1 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 0 0 0 -1 0 2 1 -2 -1 0 -1 1 0 0 -1 -1 0 1 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 2 -1 -1 0 1 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 1 2 0 -2 0 0 -1 1 0 0 0 -1 0 1 0 0 1 2 1 1 1 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 0 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 3 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 1 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 0 0 1 2 1 1 0 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 3 1 0 1 -1 -1 0 -1 -3 1
--2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 2 0 -2 0 0 0 2 -1 0 -1 -2 0 1 0 0 1 2 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 1 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 2 1 0 0 -1 -1 0 -1 -3 0
--2 0 -2 1 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 1 -2 -1 0 0 2 -1 0 -1 -2 0 1 0 0 1 2 0 1 0 0 1 2 0 0 1 -1 -3 1 1 0 1 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -2 2 1 0 0 -1 -1 0 -1 -3 0
--2 0 -2 1 0 0 1 -2 -1 -1 0 1 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 1 -2 -1 0 0 1 0 0 -1 -2 0 2 -1 0 1 2 0 0 0 0 1 2 0 1 1 -1 -3 1 1 0 1 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 1 -1 -1 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0
--2 0 -2 1 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 0 2 0 -2 0 0 0 1 0 0 -1 -2 0 1 -1 0 1 2 0 0 1 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 1 2 0 -2 0 0 0 2 0 0 0 -2 0 1 0 0 1 2 0 0 1 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 0 -1 -1 0 0 -2 2 1 0 0 -1 0 1 -1 -3 0
--2 -1 -2 1 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 1 2 0 -2 0 0 0 1 0 0 0 -1 -1 1 0 0 2 2 0 1 0 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 1 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 0 0 0 -1 1 2 0 -2 0 0 0 1 0 0 -1 -2 -1 1 -1 0 2 2 0 1 1 0 1 2 0 0 1 -1 -3 0 1 -1 2 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 1 0 -3 0
--2 -1 -2 1 0 0 0 -2 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 0 0 0 -1 1 2 1 -2 0 0 0 1 -1 0 -1 -2 0 2 0 0 2 2 1 1 1 0 1 2 0 0 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 0 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 0 0 0 -1 1 2 1 -2 -1 0 0 2 -1 0 -1 -2 0 2 -1 0 2 2 1 1 1 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 -1 -2 3 1 0 0 -1 -1 1 0 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -1 -1 3 0 0 2 0 1 2 -1 4 5 -2 0 0 1 1 0 0 -1 1 2 1 -2 -1 0 -1 2 -1 0 0 -1 0 1 -1 0 1 2 1 1 1 0 0 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 2 -1 -1 0 0 0 1 1 -1 -1 -1 0 -1 -2 3 1 0 0 -1 -1 1 -1 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 5 -2 0 0 1 1 0 0 -1 1 2 0 -2 -1 0 -1 2 -1 0 0 -1 0 1 -1 0 1 1 0 0 1 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 0 0 0 0 1 2 -1 -1 -1 0 -1 -1 3 1 0 0 -1 -1 1 -1 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -2 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 5 -2 0 0 2 1 0 0 -2 0 2 0 -2 -1 0 -1 1 -1 0 0 -1 0 1 -1 0 2 1 0 0 1 0 1 2 0 1 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 2 1 3 1 1 -1 0 0 0 0 1 2 -1 -1 0 0 -1 -1 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 -1 0 0 -2 -1 -2 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 1 0 0 -1 0 2 1 -2 -1 0 -1 1 0 0 -1 -1 -1 2 -1 0 1 1 0 0 1 0 1 2 0 0 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -2 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 1 0 0 -1 0 2 1 -2 -1 0 -1 1 0 0 -1 -2 0 2 -1 0 1 1 1 0 0 0 1 2 0 0 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 1 2 1 -2 -1 0 -1 1 0 0 0 -2 0 1 -1 0 1 2 1 1 0 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 2 1 0 1 -1 0 1 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -2 0 3 0 1 3 0 1 2 -1 4 4 -2 0 1 2 1 0 1 -1 1 2 1 -2 0 0 -1 2 -1 0 0 -2 0 1 -1 0 1 1 1 1 0 0 0 1 0 1 0 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 0 1 -1 -3 0
--2 -1 -2 1 0 1 1 -2 -1 -1 0 0 -1 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 1 1 -1 1 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 0 1 0 0 0 1 0 1 0 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 0 1 0 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 0 2 0 -2 0 0 0 1 -1 0 0 -2 0 1 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 0 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -2 0 3 0 0 2 0 1 2 -1 4 4 -3 0 0 1 1 1 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 2 0 0 1 2 1 0 0 0 1 2 0 1 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 0 -1 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 1 -2 0 3 0 0 2 0 1 2 -1 4 4 -3 0 0 1 1 1 0 -1 0 2 1 -2 -1 0 -1 1 0 0 -1 -2 0 2 0 0 1 2 0 0 0 0 1 1 0 1 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 1 0 -1 3 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 2 0 0 1 2 0 0 0 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 0 0 0 -1 3 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 1 0 1 1 -2 -1 -1 0 0 -1 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 0 2 0 -2 0 0 -1 1 0 0 0 -2 0 1 -1 0 1 1 0 0 0 0 1 2 0 0 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -1 0 0 0 -1 3 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 1 2 0 1 2 -1 4 4 -2 0 0 2 1 1 1 -1 0 2 0 -2 0 0 -1 2 -1 0 0 -2 0 1 -1 0 1 1 0 0 0 0 1 2 0 0 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -1 0 0 -1 -1 2 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 1 2 0 1 2 -1 3 4 -2 0 0 2 1 1 1 -1 0 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 1 0 0 0 0 0 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 1 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 -1 -2 0 2 -1 0 2 2 1 0 1 0 0 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 0 1 0 0 1 1 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 -1 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 -1 2 0 0 0 -1 -1 2 -1 0 1 2 1 1 1 0 0 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 0 1 0 0 1 1 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 1 -1 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 0 0 -1 1 0 0 0 -1 -1 2 -1 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 1 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 0 -1 0 0 -2 -1 -1 -1 1 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 0 0 -1 1 0 0 0 -1 0 2 -1 0 2 2 1 1 1 0 1 2 0 1 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 2 1 2 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 -1 0 0 -2 -1 -1 0 1 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -2 0 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 2 2 1 0 1 0 1 2 0 0 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 -1 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -2 0 2 0 -2 -1 0 0 2 -1 0 0 -2 0 2 -1 0 2 2 1 0 0 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 2 2 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -2 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 -1 0 0 2 -1 0 0 -2 0 2 0 0 1 2 0 0 1 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 2 3 2 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -2 -1 3 0 0 3 0 1 2 -1 4 4 -2 -1 0 2 1 0 1 -1 1 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 0 0 0 0 1 1 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -2 -1 3 0 0 3 0 1 2 -1 4 4 -3 -1 1 2 1 1 1 -1 1 2 1 -2 -1 0 -1 1 0 0 0 -2 0 1 -1 0 1 1 0 1 1 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 -1 1 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 1 -1 1 2 1 -2 -1 0 -1 1 0 0 0 -2 0 1 -1 0 1 1 1 1 1 0 1 1 0 1 1 -1 -2 0 1 -1 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 0 0 0 0 1 2 0 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 -1 1 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 1 0 0 -1 -2 0 1 -1 0 1 1 1 1 1 0 1 1 0 1 1 -1 -2 1 1 -1 1 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 0 -2 -1 0 0 -1 3 1 0 1 -2 -1 1 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 1 -1 0 -1 -2 0 2 0 0 1 2 1 1 1 0 1 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 2 0 -2 -1 0 0 -2 3 1 0 0 -2 -1 1 -1 -3 1
--2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 1 -2 -1 0 -1 1 -1 0 -1 -2 0 2 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 0 0 -1 0 3 0 -2 -1 0 -1 1 0 0 -1 -2 0 2 0 0 1 2 0 1 0 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 1 -1 -1 1 -1 -3 0
--2 0 -2 1 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -2 0 1 -1 0 1 2 0 1 0 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 0 0 -1 -2 2 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 3 4 -3 0 0 1 1 1 0 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -1 0 1 -1 0 1 1 0 0 0 0 1 2 0 0 1 -1 -2 1 1 -1 2 1 0 0 2 2 0 0 2 1 3 1 1 -1 0 0 0 0 1 2 -1 -1 0 0 -1 -2 2 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 3 4 -3 0 0 1 0 0 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 -1 -1 0 1 -1 0 2 1 0 0 0 0 1 2 0 1 1 -1 -2 0 1 -1 2 1 0 0 2 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -2 2 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 -1 -1 0 1 -1 0 2 1 0 1 0 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -1 3 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 3 -2 0 0 1 1 0 0 -1 0 2 0 -2 0 0 -1 2 -1 0 -1 -2 0 2 0 0 2 2 0 1 0 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 -1 0 0 -2 -1 -1 0 1 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 2 0 -2 0 0 0 1 -1 0 0 -2 0 2 0 0 2 2 0 1 1 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 2 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -2 3 1 0 1 -1 -1 0 -1 -3 1
--2 -1 -2 0 -1 0 0 -1 -1 -1 0 0 -1 -1 3 0 1 2 0 1 2 -1 4 4 -2 -1 1 2 1 1 0 -1 1 2 0 -2 0 0 0 1 -1 0 0 -2 0 1 -1 0 2 2 1 1 0 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 2 1 3 1 2 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -2 3 1 0 1 -1 -1 0 -1 -4 1
--2 -1 -2 0 -1 0 0 -1 -1 -1 0 0 -1 0 3 0 1 2 0 1 2 -1 4 4 -2 -1 1 2 1 1 0 -1 1 3 0 -2 0 0 0 2 -1 0 0 -2 0 2 -1 0 2 2 1 0 0 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 2 1 2 1 2 -1 -1 0 0 0 1 1 -1 -2 -1 0 -1 -2 2 1 0 1 -1 -1 0 -1 -3 1
--2 -1 -2 0 -1 0 0 -1 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 1 2 1 0 0 -1 0 3 0 -2 0 0 0 2 -1 0 0 -2 0 2 -1 0 2 2 0 0 0 0 1 2 0 0 1 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 -1 -2 2 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 2 0 0 -1 -2 -1 2 -1 0 1 2 0 1 0 0 1 2 0 1 1 0 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 1 1 1 -1 -2 -1 0 -1 -1 2 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -2 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 -1 1 0 0 1 2 0 1 1 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 2 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 1 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 0 0 0 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -2 -1 1 0 0 1 2 0 1 1 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 3 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -1 0 0 -1 -1 2 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 5 -2 -1 0 2 0 0 0 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 1 -1 0 1 1 1 1 1 0 1 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 3 0 0 2 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 2 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 -1 3 0 0 2 0 1 2 -1 4 5 -2 -1 0 1 0 0 1 -1 1 2 0 -2 -1 0 -1 2 -1 0 -1 -2 0 1 -1 0 1 1 1 1 1 0 1 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -2 2 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -2 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 1 -1 0 2 0 -2 -1 0 -1 2 -1 0 -1 -2 0 1 -1 0 1 2 1 1 1 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 0 -3 0
--2 -1 -2 0 0 0 1 -2 -2 -2 0 0 -2 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -2 0 1 0 0 1 2 1 1 1 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 2 1 0 0 -1 -1 1 0 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -2 0 0 -2 -1 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 -1 1 0 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 1 0 0 1 2 -1 -1 -1 0 -1 -1 2 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -2 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 -1 1 0 0 1 2 1 1 1 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 1 0 0 1 2 -1 -2 -1 0 -1 -1 3 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 1 1 -2 -1 -1 -1 0 -1 0 3 0 1 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 0 3 0 -2 -1 0 -1 1 0 0 0 -1 0 1 -1 0 1 2 0 1 0 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 1 0 1 0 -2 -1 -1 -1 0 -1 0 3 0 1 2 0 1 2 -1 4 4 -2 0 1 2 1 0 1 -1 0 3 0 -2 -1 0 -1 2 -1 0 0 -1 0 2 -1 0 1 1 0 1 0 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 0 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 1 2 1 0 1 -1 0 2 0 -2 -1 0 -1 2 -1 -1 0 -1 0 2 -1 0 2 1 0 1 1 0 1 2 0 1 0 -1 -2 0 1 0 1 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 1 1 2 -1 -1 -1 0 0 -1 2 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 0 0 0 2 -1 0 0 -2 0 2 -1 0 2 2 0 1 1 0 1 2 0 1 0 -1 -2 0 1 0 1 1 0 -1 2 2 0 0 3 2 2 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -1 2 1 0 0 -1 -1 1 -1 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 0 0 -1 1 -1 0 0 -2 0 2 -1 0 2 2 1 1 1 0 1 1 0 0 0 -1 -2 0 1 0 1 1 0 -1 2 3 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 0 -1 -3 1
--2 -1 -2 0 0 0 1 -1 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -3 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 -1 1 -1 0 0 -2 0 2 -1 0 2 2 1 1 0 0 1 2 0 0 0 -1 -2 0 1 -1 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 1 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 0 -1 -3 1
--2 -1 -2 0 0 0 1 -1 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -3 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 0 1 -1 0 0 -2 0 2 -1 0 1 2 0 1 0 0 1 2 0 0 1 -1 -2 0 1 0 2 1 0 -1 1 2 0 0 3 1 2 1 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 0 0 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 0 1 -2 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 3 0 -2 -1 0 0 1 0 0 0 -2 0 2 -1 0 1 2 0 1 0 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 1 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -1 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 3 0 -2 -1 0 0 1 -1 0 0 -2 0 2 -1 0 1 2 0 1 0 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 1 0 0 0 -2 -1 -1 -1 1 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 3 0 -2 0 0 0 1 -1 0 0 -2 0 1 -1 0 1 2 0 0 0 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 1 -1 0 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 1 0 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -2 -1 1 -1 0 1 2 0 0 0 0 1 2 0 0 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 0 2 0 -2 -1 0 -1 2 0 0 -1 -2 -1 2 -1 0 1 1 0 0 0 0 1 2 0 0 1 -1 -2 0 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 -1 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 -1 0 -1 2 0 0 -1 -2 0 2 -1 0 1 1 0 1 0 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 0 3 2 1 -1 0 1 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 0 -1 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 1 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -2 0 1 -1 0 1 1 1 1 1 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 0 1 0 0 1 2 -1 -1 -1 0 -1 -1 3 1 0 0 -1 -1 1 -1 -3 1
--2 0 -2 0 0 0 0 -2 -2 -2 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 0 2 0 -2 0 0 -1 1 -1 0 -1 -2 0 1 -1 0 0 1 1 1 1 0 0 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 3 1 0 0 -1 -1 1 -1 -3 1
--2 -1 -2 0 0 0 0 -2 -2 -2 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 -1 1 -1 0 0 -2 0 1 -1 0 0 2 1 0 1 0 0 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 2 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -1 3 2 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -2 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 -1 0 1 2 0 0 1 0 1 2 0 0 1 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 3 2 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 1 0 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 0 0 1 2 0 0 0 0 1 2 0 0 0 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 3 1 0 0 -1 -1 1 0 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 1 -1 0 0 -2 0 2 -1 0 1 2 0 0 0 0 1 2 0 0 0 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 -1 0 -2 0 3 0 0 3 0 1 2 -1 4 4 -3 0 0 1 1 0 1 -1 0 2 0 -2 -1 0 0 1 0 0 0 -1 0 2 -1 0 1 2 1 0 0 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 0 1 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -2 0 3 0 0 3 0 1 2 -1 4 4 -3 0 1 1 1 1 1 -1 0 2 0 -2 0 0 0 1 0 0 0 -1 0 2 -1 0 2 1 1 0 0 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 0 -1 0 0 -1 -1 2 1 0 0 -1 0 1 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -2 0 0 -2 0 3 0 0 2 0 1 2 -1 3 4 -2 0 0 2 1 1 1 -1 1 2 0 -2 0 0 -1 1 0 0 0 -1 -1 2 -1 0 2 1 1 1 0 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 2 1 0 1 -1 -1 1 0 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 -1 1 2 -1 3 4 -2 0 0 2 1 0 0 -1 1 2 1 -2 -1 0 -1 1 -1 0 0 -2 -1 2 -1 0 2 2 0 1 1 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 0 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 1 -2 -1 0 -1 1 -1 0 -1 -2 -1 2 -1 0 2 2 1 1 1 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 0 2 2 0 0 2 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 1 0 -1 2 1 0 1 -2 -1 1 -1 -3 1
--2 -1 -2 0 -1 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -2 0 2 -1 0 2 2 1 1 1 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 0 2 2 0 0 2 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 1 0 -1 3 1 0 0 -2 -1 0 -1 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 0 3 0 0 2 0 1 2 -1 3 5 -2 0 0 2 1 0 0 -1 0 3 0 -2 -1 0 -1 1 -1 0 0 -1 0 2 0 0 1 2 1 0 0 0 1 2 0 1 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 3 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 5 -3 -1 0 2 1 0 0 -1 0 2 0 -2 0 0 -1 1 -1 0 -1 -1 0 1 0 0 1 2 0 0 0 0 1 2 0 0 1 -1 -2 1 1 -1 2 1 0 -1 2 2 0 -1 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 3 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 0 -1 0 0 -1 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -1 0 1 0 0 1 2 0 1 0 0 1 2 0 0 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 -1 1 0 0 1 2 -1 -2 -1 0 -1 -1 2 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 0 -1 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 2 0 0 1 2 0 1 0 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 1 2 0 0 3 1 2 1 1 -1 0 0 0 0 1 1 -1 -2 0 0 -1 -1 2 1 0 0 -2 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 0 0 -1 -2 0 2 0 0 1 2 0 1 0 0 1 1 0 1 1 -1 -2 0 1 0 1 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 1 -1 -2 0 0 0 -1 2 1 0 0 -2 -1 1 -1 -4 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 1 1 0 1 -1 0 2 0 -2 0 0 -1 2 0 0 -1 -2 0 1 0 0 1 2 1 0 0 0 1 1 0 1 1 -1 -2 0 1 0 2 1 0 0 2 2 0 -1 2 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 0 0 0 -1 2 1 0 0 -1 -1 1 -1 -4 0
--2 -1 -2 0 0 0 1 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 1 1 0 0 1 -1 1 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 1 0 0 0 1 1 0 1 0 -1 -2 0 1 -1 2 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 1 -1 -1 1 -1 -3 0
--2 0 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 1 2 0 0 0 -1 1 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 1 1 1 0 0 1 2 0 0 0 -1 -3 1 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 0 -2 2 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 3 -1 1 2 -1 4 4 -2 0 1 2 1 0 0 -1 1 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 1 1 0 0 1 2 0 0 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 -1 -2 2 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 -1 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 1 -1 0 -1 -2 0 2 0 0 1 2 1 0 0 0 1 2 0 0 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 1 0 0 1 1 -1 -2 -1 0 -1 -2 3 1 0 0 -2 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 1 0 0 -1 -2 0 2 0 0 1 2 1 0 1 0 1 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 1 0 0 1 1 -1 -2 -1 0 -1 -2 3 1 0 0 -2 -1 0 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 0 1 0 0 0 -2 0 2 0 0 1 2 0 0 1 0 1 1 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -2 3 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -2 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 2 0 1 1 0 1 1 0 1 1 -1 -2 0 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 0 -1 -1 0 -1 -2 3 2 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -2 -1 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 1 -1 0 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 -1 0 1 1 0 1 1 0 1 1 0 1 1 -1 -2 0 1 -1 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 0 -2 -1 0 0 -2 3 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 -1 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 0 2 1 -2 -1 0 -1 2 -1 0 -1 -2 0 1 -1 0 2 1 1 1 1 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 1 -1 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 3 4 -2 0 0 1 1 0 0 -1 0 2 1 -2 -1 0 -1 1 0 0 -1 -2 0 2 -1 0 2 1 1 1 1 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 2 1 2 1 1 -1 -1 0 0 0 1 1 -1 -2 -1 0 0 -2 2 1 0 1 -1 -1 1 0 -3 1
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 3 3 -2 0 0 1 1 0 0 -1 1 2 1 -2 -1 0 -1 1 0 0 -1 -1 -1 2 0 0 2 2 1 1 1 0 1 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 2 0 2 1 1 -1 0 0 0 0 1 1 -1 -2 -1 0 -1 -2 2 1 0 1 -1 -1 1 0 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 3 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 1 2 0 -2 -1 0 -1 1 0 0 -1 -1 -1 2 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 2 1 2 2 1 -1 0 0 0 0 1 1 -1 -2 -1 0 -1 -2 2 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -1 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 1 2 1 0 0 -1 1 2 0 -2 -1 0 -1 1 -1 0 0 -1 -1 2 -1 0 1 2 0 1 1 0 1 2 0 0 1 -1 -2 1 1 0 1 1 0 -1 2 2 0 -1 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -2 3 2 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 1 -1 -1 -1 0 1 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 1 2 1 0 0 -1 0 2 0 -2 0 0 -1 1 -1 0 0 -2 0 2 -1 0 1 2 0 1 1 0 1 2 0 0 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 1 1 2 -1 -2 -1 0 0 -2 3 2 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 -1 1 2 1 0 0 -1 0 2 0 -2 -1 0 -1 1 -1 0 -1 -2 0 2 -1 0 1 2 1 1 0 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 0 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 1 2 1 0 0 -1 0 2 1 -2 -1 0 -1 1 -1 0 -1 -2 0 2 0 0 1 2 1 1 0 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 1 0 0 -1 0 2 1 -2 -1 0 -1 1 -1 0 -1 -2 0 1 0 0 1 2 0 1 0 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 -1 1 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 0 0 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 1 -2 0 3 0 0 3 -1 1 2 -1 4 4 -2 0 0 1 1 1 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 0 0 1 2 0 1 0 0 1 2 0 0 0 -1 -3 0 1 0 1 1 0 -1 2 2 0 0 3 1 2 2 1 -1 0 1 0 0 1 2 -1 -1 -1 0 0 -2 2 1 0 0 -1 -1 0 -1 -3 0
--2 0 -2 0 0 0 0 -2 -1 -1 0 1 -2 -1 3 0 0 3 0 1 2 -1 4 4 -2 0 0 1 1 1 0 -1 0 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 -1 0 1 1 0 1 1 0 1 2 0 0 0 -1 -2 1 1 0 1 1 0 -1 2 2 0 0 3 1 2 2 1 -1 0 1 0 0 1 2 -1 -1 -1 0 -1 -1 2 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 1 2 0 -2 0 0 0 2 -1 0 0 -2 0 1 -1 0 1 1 0 1 1 0 1 2 0 0 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 1 0 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 1 2 0 -2 0 0 0 1 -1 0 0 -2 0 2 -1 0 1 1 1 1 0 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 1 1 1 2 -1 -2 -1 0 0 -1 2 1 0 1 -1 -1 1 0 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 0 0 0 1 -1 0 -1 -2 0 2 -1 0 1 2 0 1 0 0 1 2 0 1 1 0 -2 0 1 0 2 1 0 -1 2 2 0 0 2 1 3 1 2 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 1 0 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 -1 0 0 1 -1 0 0 -2 -1 2 -1 0 1 2 0 1 0 0 1 2 0 1 1 -1 -2 0 1 0 2 1 0 -1 1 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -2 -1 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 -1 2 -1 0 0 -2 -1 1 -1 0 1 2 0 1 0 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 1 2 0 0 2 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 0 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 1 -2 -1 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 0 0 -1 0 2 0 -2 0 0 -1 2 -1 0 0 -1 -1 1 -1 0 1 2 1 1 0 0 1 1 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 2 1 2 2 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -2 2 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 1 1 1 0 1 -1 1 2 0 -2 0 0 -1 1 0 0 -1 -1 0 2 -1 0 2 1 1 1 1 0 1 1 0 1 0 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 1 0 0 1 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 1 1 1 1 1 -1 1 2 1 -2 -1 0 -1 1 0 0 -1 -2 0 2 -1 0 2 1 1 1 1 0 1 1 0 1 1 -1 -3 1 0 0 2 1 0 -1 2 2 0 0 3 1 2 1 1 -1 0 0 0 0 1 2 -1 -2 -1 0 0 -1 2 1 0 0 -1 -1 1 0 -3 0
--2 -1 -2 0 0 0 1 -1 -1 -2 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 1 -2 -1 0 -1 2 0 0 -1 -2 0 2 -1 0 2 2 0 1 1 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 -1 0 0 0 1 2 -1 -1 -1 0 -1 -1 2 1 0 0 -1 -1 1 0 -3 1
--2 -1 -2 0 0 0 0 -1 -1 -2 0 0 -1 -1 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 1 -2 -1 0 -1 2 0 0 -1 -2 0 2 -1 0 2 2 1 1 1 0 1 2 0 1 1 0 -3 1 1 0 2 1 0 -1 2 2 0 0 2 1 3 1 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 -1 -1 3 1 0 0 -1 -1 0 -1 -3 1
--2 -1 -2 0 0 0 0 -1 -1 -2 0 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 -1 0 -1 2 -1 0 0 -2 0 1 -1 0 1 1 1 1 1 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -1 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 1 2 0 -2 0 0 0 1 -1 0 0 -2 0 1 -1 0 1 1 1 0 1 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 0 0 1 1 0 0 -1 1 2 0 -2 0 0 0 1 0 0 0 -2 0 2 -1 0 1 2 0 0 0 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 2 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 0 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 -1 0 -1 0 3 0 0 2 0 1 2 -1 4 4 -2 0 1 2 1 1 0 -1 0 2 1 -2 -1 0 0 1 0 0 0 -2 0 2 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -3 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 0 1 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 0 -1 0 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -1 -1 3 0 0 3 -1 1 2 -1 4 4 -2 0 1 2 1 1 0 -1 0 2 1 -2 -1 0 -1 1 0 0 0 -2 -1 2 0 0 1 2 0 1 1 0 1 2 0 1 1 -1 -2 1 1 0 2 1 0 -1 2 2 0 0 3 1 3 1 1 -1 0 0 0 0 1 2 -1 -1 -1 0 -1 -2 3 1 0 1 -1 0 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 1 -1 -1 3 0 0 2 -1 1 2 -1 4 4 -2 0 0 2 1 1 0 -1 0 2 1 -2 -1 0 -1 1 -1 0 0 -2 -1 1 0 0 1 2 1 1 1 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 1 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -2 3 1 0 1 -1 -1 1 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -1 -1 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 2 1 0 0 -1 0 2 1 -2 0 0 -1 2 -1 -1 0 -2 0 1 -1 0 1 2 1 1 1 0 1 1 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 2 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 1 -1 -1 0 -1 -3 0
--2 -1 -2 0 0 0 0 -2 -1 -1 0 0 -2 0 3 0 0 2 0 1 2 -1 4 4 -2 -1 0 1 1 0 0 -1 0 2 0 -2 0 0 -1 2 -1 0 0 -1 0 1 -1 0 1 1 0 1 1 0 1 2 0 1 1 -1 -3 0 1 0 2 1 0 -1 2 2 0 0 3 2 3 2 1 -1 -1 0 0 0 1 2 -1 -2 -1 0 0 -1 3 1 0 0 -1 -1 0 -1 -3 0
+0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+-7636 12995 -4177 -5669 -1803 1302 2048 -365 1032 -9477 3035 -1007 -469 8650 -4129 4105 1165 -1303 -2767 -5794 905 754 -3901 2880 -571 -9498 2310 1680 -3120 2369 1813 -5079 -890 -1825 -4362 -4057 -5857 -5388 -1759 2728 -2232 -5533 -4117 -275 -4825 2885 -264 3086 -5923 2253 4059 -250 7937 4054 168 -2871 2995 3777 -1248 3744 8010 1123 6426 -1312 -1993 -2221 -616 5760 4998 -1283 2851 2080 -10832 -10367 -1565 -47 -1851 1865 4389 522 -8816 -4187 -4397 3594 12092 9041 -2053 -6143 -4155 -3436 -592 2282 2930 809 -5459 -352 4115 1590 -6290 -375
+5227 -180 3240 852 2046 -167 -1156 1964 -1002 -2586 982 1630 -1542 2089 325 -1010 -393 -2419 -1133 10 582 280 2897 243 1052 -1512 -619 -1153 -1029 -431 -726 3543 137 -593 891 3176 1276 410 -461 1103 538 -112 -769 -1538 808 -414 -1491 564 4808 -6134 -1044 -2070 -528 53 -532 825 1042 -2312 -2563 -4064 -1611 -1279 314 4377 825 -102 -1216 -1768 -442 957 130 -634 1628 2696 -1535 2616 5157 1020 -2577 -424 7958 3979 1004 -796 1503 -3946 -270 2502 3323 -1548 343 976 790 -792 3377 -754 -3045 -983 2022 727
+-9415 12149 -5097 -7388 -2090 2158 3859 -1939 -3037 -9008 4338 -7278 2074 10488 -4304 3376 291 -2211 -2330 -4810 1482 -154 -2666 6444 -38 -9926 3757 3002 -3502 4921 -1388 -2843 1572 -2612 2292 -1330 -5113 -5600 -3734 1392 -898 -5240 -5737 1960 -2679 3823 1240 820 -3023 2368 3940 -4033 7131 3981 79 347 8038 4900 933 4250 3262 -1224 9234 -2843 -2202 -3458 -2284 2836 4036 -1133 1032 -799 -8924 -5719 -4187 4607 -4903 -1722 652 1326 -3925 -2446 -1560 9146 14667 8003 -1337 -4725 -4009 -5043 2518 3452 2576 -576 -3580 313 2304 -303 -5259 -1305
+6176 -5558 1313 -1555 2398 4080 -1822 2682 -610 -1755 -2650 756 -191 1885 2251 -3395 957 1279 -2072 2199 1351 -165 5918 -3291 4614 1535 -881 -2223 1628 323 -2804 4893 1405 304 6426 4306 584 -775 -2001 2508 1964 1459 9 -1140 4228 -3999 -1047 -2274 5959 -9879 -2538 -1671 -3992 -733 -321 2868 1020 -3274 -1436 -5122 -2011 -996 -590 2485 2185 -3243 -4058 -3272 -912 2222 911 -1116 6309 2116 -3171 3745 3731 23 -1478 2706 11973 3962 1341 -266 -114 -3286 -408 1420 1591 -2587 167 1121 1114 7637 4164 -847 -1782 82 2498 1084
+-8895 8653 -4545 -8138 -4081 -285 4882 -665 -1454 -8415 3537 -7558 1425 9816 -4186 2906 -21 17 -1914 -5461 3119 451 -4112 5586 1534 -7031 2118 3809 -2323 4863 -1587 -3707 260 -549 -2331 -954 -4370 -4796 -2239 418 -1664 -4415 -4343 1821 -4677 2401 4583 4175 -7828 5397 3676 133 7790 3747 -472 791 4868 5640 4255 8148 6010 3804 9282 -5788 -681 -3029 -2789 344 1716 -2535 430 -1747 -10819 -9725 -1349 1671 -7908 -1357 3990 3590 -10541 -7549 -3386 5163 8154 8044 -107 -4077 -3761 -4072 1948 2604 1950 -1225 -7770 795 5501 1702 -6511 2464
+8303 -4479 2192 402 2642 1189 -1641 1945 365 -1198 -1611 2805 -1327 -20 1967 -1950 -739 4731 -2697 -2 -428 -695 5040 -10317 6514 2664 -654 -2026 1550 -799 -3094 3082 626 1262 -686 3915 847 -38 -739 2777 1482 2650 -822 -3196 2494 -2642 -1274 238 1974 -7057 -2950 -545 -3082 880 686 1157 -1382 -1960 -830 -5648 -4103 3410 -3855 2728 1294 -2145 -5112 -4526 -473 2563 2269 5474 9012 -3307 -303 263 1865 1275 350 1988 6477 3097 1414 -1764 -2250 -3379 -636 563 625 -4703 -1108 -411 -138 6086 4496 -783 -2138 -2292 1802 2877
+-11124 9365 459 -5441 -5575 -3151 6916 -2097 1172 -8259 1412 -5763 2478 8818 -4456 3585 -482 -3745 599 -7050 3042 -1258 -7109 6155 -1181 -5118 -125 1947 -2972 2789 -58 -5704 -1747 -2146 -3855 -2193 -4811 -4654 -1272 -481 -5681 -6164 -4397 2302 -8215 3398 -760 4040 -8502 6577 4254 -86 6629 3250 241 1513 5214 3489 843 5100 -27 2752 9652 -6768 -3039 305 -1333 -1479 -288 -3555 -922 -5985 -12717 -7673 -2922 104 -7866 -1915 3360 706 -11389 -5836 -4438 1054 11751 5232 -293 -3150 -1298 -4655 4528 6882 3980 -6806 -4671 1834 2551 -2241 -6218 422
+8665 1027 117 716 2190 1078 -963 2863 403 -2575 823 8272 -5545 885 2738 -1380 -714 4603 -953 -160 -1488 326 3966 -10468 6086 3568 2521 -713 208 -929 -405 4798 1749 -972 5505 4507 -32 -954 -1215 695 3786 1842 -1698 -1009 2186 -1541 -1272 -1118 3732 -5978 -2470 -4232 -3909 269 938 1337 1141 -2259 -2769 -7949 -8399 -2076 -1626 4021 -28 -2573 -4513 -1855 4496 1949 468 4107 7901 -1065 -5173 5205 3516 -432 -3688 -484 9813 7209 2985 207 2393 -3600 -1962 -691 -1157 -4293 -1101 -665 495 5237 6375 -698 -3961 -3259 1393 712
+-16242 8204 -3351 -7375 -3719 1689 4525 -5141 -341 -7484 406 -8615 3945 11410 -6819 1682 2487 -7235 1549 -7666 2314 -38 -5129 10128 -5878 -6267 44 -901 -3453 3858 101 -5567 -1036 -5287 1337 -712 -5256 -5504 -2494 -545 -6061 -8458 -6176 3933 -7203 2744 1781 -457 -2018 8352 4123 -985 6254 1863 -1963 -2250 6828 4288 2005 7084 2805 171 9821 -7654 -1163 -143 -515 194 -1108 -4917 -2282 -9939 -16476 -480 -5200 2928 -5502 463 4743 3030 -7610 -5109 -5043 2563 14351 7252 119 -3816 -100 -3093 5372 7070 2757 -4136 -2401 2631 4588 181 -7358 -2465
+8795 -1442 -2144 -984 1170 2153 -104 4677 -614 -1988 1324 8167 -4542 -266 4490 -1859 -444 7269 -1942 2460 -2498 1365 5408 -8572 4611 2547 4075 -187 -30 1281 -27 5259 2633 -627 4708 5142 -1 -801 -774 482 5773 4137 -675 -3467 4979 -4974 1401 1937 3237 -9352 -4173 -5794 -3071 1836 1410 -377 -1726 -1316 1583 -2286 -1691 538 -4032 1113 3036 -3202 -3430 753 7668 4717 2939 8643 7558 -1726 -4082 3330 6431 78 -4000 -738 8496 4957 4166 6724 3532 -1238 -3542 -2695 -2701 -1791 -5149 -3783 -2331 8323 2599 -193 134 286 -1560 -2813
+-13091 7694 -4890 -7686 -2665 1959 2906 -4261 -4028 -11014 3239 -9916 4969 11040 -9307 120 3121 -7476 -1813 -7952 2338 152 -1319 13992 -8646 -9790 -2445 -1752 -4196 2325 -2385 -7350 -3119 -3263 -3994 -1514 -5782 -5723 -2629 865 -7934 -9149 -4589 3037 -11153 2299 1285 2878 -4897 7823 2218 77 7735 2894 -1803 -3161 5046 6245 1517 7642 13074 3912 7807 -5899 458 -835 -1051 -1019 -3965 -6097 -1622 -8699 -18433 -2522 -2689 -4641 -5982 1936 6899 4803 -6849 -6264 -5068 2612 8702 8041 148 -3628 907 -1511 3809 6112 3617 -3808 -5545 1561 5875 1619 -4513 2192
+12498 123 1673 1519 2092 -830 625 5465 -117 -941 355 8372 -5386 -1489 5715 932 -1434 10477 -2930 2792 -590 1038 1949 -8761 7560 3556 3359 1726 2394 1923 212 3414 1864 1119 412 4696 293 -57 2416 997 6952 5695 525 -6156 7318 -2594 -238 4994 3324 -12793 -1939 -4881 -1138 3342 2701 1260 -4246 -3841 -2252 -5276 -2829 -1869 -934 2230 659 -1844 -1636 2829 5006 5470 4760 11707 7830 -3396 147 4760 5065 -132 -3071 -2425 4307 2704 4661 5613 469 -755 -1804 -1090 -3938 -7497 -3385 -925 782 3866 766 -1201 -1771 337 1101 4142
+-9247 10307 -5080 -6204 -4362 -591 2525 -3723 -3555 -10634 3392 -9003 5978 9092 -9049 1359 895 -8973 -938 -8501 3332 -213 1131 11980 -7028 -12722 -1383 373 -4107 957 -4041 -8182 -2255 -1339 -1874 -3976 -7022 -6236 -1882 663 -7648 -9955 -6141 6640 -13751 6241 2118 -247 -3462 9949 2801 848 9695 2574 -2037 1236 8027 3458 -2144 3352 5125 1078 9535 -1821 -1557 -1018 -1334 -843 -3297 -5937 -1489 -8944 -17444 -3429 -4014 1473 -7465 -342 5058 3171 -5943 -3447 -3564 -442 7873 4070 -916 -1918 3135 -1327 6200 8455 4737 -5784 -4323 549 2769 272 -3756 4527
+8428 1380 1858 -193 1732 1045 -2098 4547 3663 235 -2586 9399 -4928 1032 5637 759 -171 7602 -1466 1377 -779 1297 -4342 -10226 14184 5350 3339 1213 2803 4015 1422 5707 4436 -1087 5256 7923 1881 612 585 1956 9449 3953 -218 -5117 7470 -1296 -1098 231 2891 -10413 -1007 -3667 -3228 391 857 1868 745 -4387 -3050 -6014 -5353 -5206 2460 4247 -1224 -3159 -3364 1412 4704 4668 3075 7155 8266 892 -3919 8982 4093 -3827 -6561 -3429 8599 5410 4090 4999 5279 -199 -687 -378 -3138 -9456 -1434 -1394 183 7929 7781 876 -3308 -2085 3326 2108
+-13165 9705 -7393 -7565 -4234 2002 2610 -5305 -2573 -8157 3382 -11977 8312 8392 -7265 2478 1568 -9400 1302 -7410 3720 -999 3512 10192 -6889 -13161 -957 1834 -4753 2005 -2455 -5382 -1023 -1234 502 -4317 -7847 -7771 -4126 2354 -8235 -11500 -6748 6783 -13056 4749 5276 -1148 -3459 11249 1800 -379 10198 2788 -1789 2465 9676 6544 2663 6140 3991 1593 5808 -4583 -2520 -4068 -2732 5 -1254 -4253 334 -7808 -16871 -7558 -4589 -1256 -8128 1206 6946 6155 -9024 -7108 -7245 -5250 7200 5947 852 -2134 -754 1855 6316 5723 2625 -722 -6584 -100 6100 2562 -8345 -3228
+3740 1471 1891 -3601 797 -200 352 4728 3084 -2646 -4185 8434 -5162 5776 1042 -4317 683 3988 -3643 838 -3919 -683 -1741 -11452 13414 5365 1651 -700 2802 2751 551 7163 2006 -2930 -712 8632 3561 2596 1920 2072 6725 4808 1618 -9288 9368 -4377 -1254 3065 394 -10624 -174 -3912 -5114 741 2029 -522 -2548 -4864 -1311 -4158 -2247 1402 215 835 485 -3756 -5261 -728 7276 5811 2976 6839 9327 -1389 -3004 4072 9798 846 -5093 -396 11163 5624 2838 6890 6448 304 -2410 -2170 -1756 -6421 -3092 -3140 -2392 6072 5650 1060 -1629 -3003 1915 -1723
+-9978 9199 -4260 -4187 -3190 38 5210 -3385 -3854 -8672 5066 -10729 5681 8054 -5108 2903 -595 -7133 -1814 -5862 2822 -2132 2688 10497 -9210 -11453 -3739 1132 -5262 1178 -3404 -6324 -2523 -800 -2722 -5917 -8494 -8469 -5022 1128 -10059 -9338 -7664 6574 -12347 6406 5077 2575 -2491 9245 680 150 8913 4032 167 1368 3774 4566 3766 6854 2510 4800 3965 -8541 -425 -1220 -404 514 -3024 -5040 113 -5965 -16131 -9782 -1017 -3817 -10815 1094 7796 5652 -12571 -9037 -8123 -3016 6346 4384 2624 -538 -789 -688 7500 6447 3185 -5848 -8988 -1254 3420 -616 -5406 -1720
+8507 2548 3231 -1286 3096 -406 3447 1970 287 -1306 -3984 4147 -4996 3646 1818 -3910 -1592 4381 -4698 1464 -2832 42 -1717 -11742 12459 7500 2097 -97 2887 836 -672 5341 -601 -3537 1374 7442 3181 2149 1316 974 7685 3959 336 -9042 11661 -4165 -4025 1110 847 -13015 425 -1082 -5271 175 1847 -598 -1118 -2955 -3172 -6444 -2715 2112 2839 3208 1038 -4 -4605 -3938 5861 5404 1888 7836 11237 4152 -868 6172 9741 -186 -5897 -3880 11425 7451 4492 7863 4368 -2491 -4641 -2961 -1256 -4642 -6288 -2646 584 944 4887 444 -3330 -2283 5179 3701
+-7720 4810 -1696 -2862 -3037 2799 1409 -1009 -2409 -7139 5736 -8944 5007 8086 -932 7313 50 -4570 -1052 -3485 5871 874 3275 14838 -12598 -10579 -677 2189 -3822 2391 -3366 -6622 355 1452 205 -2813 -7287 -8490 -8569 -23 -8261 -10299 -8082 10903 -11868 7137 4687 1484 1943 7587 2478 1838 7395 1405 -2063 243 8414 6020 4247 6561 -12 -1131 3229 -9378 -260 1666 2002 1233 -5419 -7132 -2782 -10177 -14176 -1842 -687 372 -15149 -3573 4477 2011 -10708 -5138 -4262 -2545 4963 1444 612 -699 2162 -1794 4651 5252 2976 -2610 -5444 -300 1769 -1111 -4553 1711
+6105 367 802 -3400 4228 2819 1860 -236 1995 615 -4385 4840 -8053 4519 1558 -3438 -191 4657 5 -159 -1944 3537 -5561 -11968 12400 7404 5469 -1679 2666 3133 1083 6817 3109 -1386 3330 7840 4319 3414 3679 527 11116 5116 704 -7033 10745 -4892 -4129 -1003 151 -11171 3366 -3046 -573 1410 -1218 -3144 2405 -2159 -5987 -4676 5782 -2692 5833 7717 -267 -2443 -5742 -3255 7395 5523 914 6227 10134 2629 -3535 8882 9964 342 -4216 -2369 11741 7593 5254 8786 7281 46 -3383 -3463 -3338 -4258 -5844 -1056 1770 8498 6472 244 607 4486 2123 2104
+-10566 2933 -5153 -5470 -5325 3199 -716 1056 -3047 -10355 7323 -5215 7268 6313 -1265 5569 1694 -5151 348 -5411 4634 1682 2704 13437 -12246 -11769 803 581 -6065 1738 -1845 -6741 1342 1564 -498 -4769 -7014 -7550 -6635 -88 -9855 -8851 -7306 10224 -11524 6696 5745 317 -1460 11636 1929 -1495 7757 2910 -910 1375 8212 7754 6019 7364 1584 877 -341 -10258 -579 -1921 363 2479 -3995 -7616 -2101 -8574 -16417 -8311 -872 -6903 -13196 1495 9701 8623 -12956 -9009 -4880 -3315 1816 7445 2323 -1926 -2512 -1014 9884 6182 -780 -1130 -6608 71 2983 -1696 -4366 -446
+6267 417 3392 -3848 2204 -606 2819 2309 3100 -2695 -3514 7891 -9397 6996 -1855 -7384 37 2140 -1073 -1018 -3877 -55 -4566 -9387 11456 7800 2726 -1866 1263 2114 2784 6263 1449 -778 -2128 7024 4806 4436 5223 3753 8844 5866 2598 -11906 10095 -6155 -4190 4189 -5246 -11057 3083 -5023 -2109 3123 2521 2332 -4066 -7178 -9453 -6412 4940 2636 9535 7774 7 -5422 -7023 -2707 7956 5798 1788 6524 8337 -2183 -6220 6614 11340 1229 -3486 -633 9511 2550 2794 9546 7473 4756 -43 -2877 -7115 -5853 -5567 -849 842 3814 3986 -1067 97 3051 2826 -901
+-12937 6442 -5184 -2847 -6191 -1936 796 -108 -4563 -11428 9489 -5423 9799 3300 -2459 6481 -591 -4407 -2291 -6468 2365 -1633 6184 12500 -13093 -16678 -1506 -2144 -6119 -524 -1591 -7316 -1514 -3196 1009 -7472 -8976 -9141 -7984 -1327 -10580 -7921 -7444 8570 -12973 7891 5655 -1257 1344 15289 -1519 -3038 9227 5603 1535 2861 5887 5438 3845 4208 -381 2567 -544 -8353 616 -1721 517 2998 -5127 -8277 208 -2857 -15124 -8285 -1338 -7670 -12094 1457 8109 8814 -10327 -8022 -5810 -1898 6168 4465 1533 36 1984 -2349 10165 7800 1243 -9019 -6222 606 497 -6501 -5971 -1187
+10200 2380 5646 -3408 1449 -902 2284 1825 3534 -1432 -5982 8571 -10153 8585 -1119 -7431 -1085 4456 -3430 -395 -3759 -2212 -5112 -8906 15916 10346 2377 842 2545 632 746 4644 235 -4138 3524 8651 4006 3142 2649 1332 9671 2788 297 -8814 9871 -5578 -3600 2484 -2720 -14186 2443 -1215 -4385 156 996 2754 -4021 -6274 -7150 -7510 3547 -3134 10655 9309 -2053 -3869 -5273 -741 7061 5705 2341 5566 7767 -1216 -6545 10756 10078 -2355 -8175 -6185 11075 5664 2957 8013 10211 -944 -2286 -1268 -2965 -6194 -7643 -2327 3135 5679 4834 -868 -609 5125 4037 3565
+-11839 6868 -8678 -654 -2697 3665 1240 -4272 -4146 -4953 5787 -9773 12490 -423 124 11169 526 -665 -1153 -5102 4705 -426 4084 11786 -9619 -16376 -995 842 -3220 2169 -4009 -6811 -729 -795 2725 -7322 -9307 -9302 -6721 312 -7628 -6803 -5497 9409 -11429 5734 2904 -3837 4707 8555 -1095 -1693 8526 3655 -398 -1649 11126 9450 9446 6455 -2813 1826 -2068 -5923 441 298 282 1140 -3830 -6449 1575 -1857 -12641 -832 -2155 323 -13717 -2181 4978 3469 -8154 -2324 -4419 -4483 5679 -665 -1792 101 6410 -1865 5279 6516 2269 -4528 -3235 2232 2022 -3765 -5929 -308
+7963 2148 5592 -6528 1464 2371 3228 2410 3223 -1838 -5062 3877 -9446 10248 -1508 -6912 2937 3636 -536 -1124 -1452 1724 -2620 -11082 17083 10126 3373 1688 3972 2507 -246 6000 2112 -1875 197 11346 5428 4486 3620 2499 9458 2231 1132 -7885 6284 -7691 -3324 5724 -5742 -15519 2352 2515 -4602 -2824 -1812 1387 -1015 -5172 -6368 -4925 5900 -4470 10763 7412 -2107 -3642 -3732 -128 5635 7412 3759 5625 6549 -1506 -5348 6816 11452 87 -6254 -8061 7869 5439 3173 7535 9157 -461 -4669 -4007 -2419 -2256 -4631 -4145 579 11386 3650 -2036 422 6012 3029 3247
+-11789 9114 -12410 -192 -880 2959 4662 -4016 -5547 -4762 5432 -10480 12291 708 -3153 10343 621 -1747 557 -4883 6404 950 -1006 12420 -9038 -15651 -2779 150 -3535 3953 -3076 -5318 -1848 1786 -2922 -7857 -9230 -8482 -3614 -8 -9963 -5949 -4020 10103 -11932 6693 3560 405 3543 9251 310 -4439 9636 5860 3084 176 7830 9336 9767 7988 -9165 8848 -5205 -12676 2881 199 -1016 -540 -1646 -5176 1537 -985 -14336 -3638 1627 -6010 -10721 2442 8674 6405 -11012 -4333 -3612 -6557 -2609 2879 2480 1224 -255 -1946 5378 5305 -1701 -6518 -5802 820 1682 -6368 -6802 -1793
+11643 1001 10149 -5660 433 -3284 3624 7056 4492 -8649 -1678 4836 -12078 9982 -1529 -8890 4291 1081 -2781 -1250 -3651 1838 -1605 -13003 16576 11086 4407 2006 2528 1306 1438 5451 1690 -4025 529 10103 4818 4304 3762 4052 8253 2016 526 -11912 7124 -4668 -1659 5742 -8333 -13796 579 -37 -4522 -1721 -1133 1462 -4370 -9835 -11856 -4678 7646 -2813 12111 3809 -1532 -3418 -2920 1058 6214 8475 4385 2487 3787 -2122 -6535 3555 11724 1904 -3405 -964 6460 1852 3883 11279 7345 6476 -573 -5390 -8747 -4048 227 -1132 2138 7165 4422 -3175 -2547 1495 3272 913
+-14749 9639 -13334 794 -1512 1565 978 -4889 -6275 -5355 6783 -8132 10606 3263 -4593 8754 -2177 -4062 -1306 -4655 5185 -424 -1047 13097 -12395 -14354 -6212 -1746 -4343 3006 -1972 -6654 -1506 -321 149 -7347 -10636 -10392 -6163 -1735 -11625 -7078 -5652 10012 -12226 7443 5231 -3205 5114 17263 -2045 -3764 8793 6818 3999 1193 7415 10979 10196 6315 -8976 7022 -6906 -11614 3110 1414 -1804 -2758 -1602 -7021 -2621 -5115 -13127 -2306 964 -780 -10966 629 7124 7607 -6448 -5266 -4150 -5129 2131 -7 2096 2282 1458 -5312 4992 8287 2416 -9587 -2005 3243 659 -8777 -7379 -2914
+13147 -2556 11913 -7082 -187 -1872 364 7325 7310 -5783 -3131 4099 -11266 9446 -94 -5718 3572 3508 -4390 20 -4466 1582 739 -10983 12105 8267 7750 3144 2975 1601 3072 4586 3294 -1693 1817 8301 3858 3701 3067 4740 10074 1087 -912 -10620 6925 -5707 -1293 2553 -5330 -15030 -1802 2488 -4957 -4211 -5047 293 630 -6855 -9799 -4144 12700 -6905 14551 11240 -4748 -4091 -3497 2032 6334 7382 3006 878 3313 1434 -6165 9459 8139 -2360 -6522 -1432 9792 3975 4995 14796 13436 5846 -2043 -6399 -7390 -726 -1158 -2991 1786 9798 4839 -2400 -1392 5267 2983 22
+-19895 10420 -15073 -3307 -3533 5404 -3342 -5317 -5405 -3838 5015 -4050 11125 3151 -4298 9708 -1734 -4018 335 -5307 4672 -1627 -991 15622 -15933 -13767 -6518 -358 -4950 1656 -2132 -7519 55 3470 -2980 -4434 -9527 -9789 -5705 -3528 -10894 -8460 -5748 10592 -11355 5244 5111 -2469 5020 16349 -427 -3171 9787 6369 1935 -711 9143 11173 11807 5291 -4660 1558 -7320 -11302 3880 2561 -758 -3160 -4850 -10831 -5335 -5271 -12204 -4288 1392 707 -12836 -853 5961 4302 -6358 -3252 -5196 -6838 4544 -4385 -702 2488 5598 -3996 4181 6552 1276 -5412 -5341 2575 2471 -4310 -5009 1562
+13875 -2794 11075 -6983 960 -1049 2726 5657 6030 -4524 -4575 2525 -14658 6766 2931 -7011 1533 6424 -3913 688 -3460 995 664 -12975 12114 7593 10503 1454 2332 3088 1115 4535 2886 -851 1326 7176 4840 5220 6038 5722 10792 4003 127 -9982 5290 -6213 -4613 7476 -4777 -18806 1623 1893 -5743 -4110 -3317 3623 -683 -10015 -12913 -6843 8499 -3292 15809 11876 -5873 -6955 -5348 1606 5341 7208 3432 3852 6583 -4469 -5241 6220 9408 -210 -5638 -6033 5655 2808 3044 14984 12201 4433 -4743 -7011 -4022 424 -2228 -4444 -2601 6570 408 -3885 -238 6807 3040 4045
+-17242 14366 -12590 -2553 -3590 3541 813 -4333 -8489 -6758 3580 -2061 12695 5087 -7698 9005 -1658 -6816 3256 -9322 5840 210 -2353 14414 -15079 -13036 -6376 -729 -5910 1942 -4722 -5847 -3165 1145 -2303 -3201 -9011 -9853 -6848 -2802 -11576 -8530 -5940 9412 -9863 6704 2519 1134 3429 11434 3266 -9951 10380 8985 4797 630 4072 8439 8095 3217 -5653 6737 -5097 -12909 5525 152 -925 -1735 -4518 -10092 -4145 -3974 -12468 -8403 296 -5830 -12062 2145 11045 8178 -10734 -4562 -6599 -11172 -3013 1610 2892 2557 451 -4876 6467 8953 3314 -7490 -7240 867 1815 -4414 -2746 1869
+15039 -2225 12542 -779 3841 -1716 5777 4065 3472 -4216 -4258 -496 -13528 7898 5226 -9122 680 3012 -3272 1925 -3602 531 129 -14809 15577 7817 8913 -1217 1581 3804 21 6729 60 -5643 5137 6657 4346 4328 4905 4550 13318 3930 -334 -9709 9695 -2126 -685 4406 -1718 -14818 2162 2476 -5627 -2034 -1605 727 -4228 -11481 -12982 -5221 6181 -2044 13218 9569 -2400 -5487 -5095 41 5088 7636 3574 2342 8261 -1332 -8026 8256 10825 18 -6221 -5768 6844 1391 1783 12618 10630 4423 -5333 -6824 -3499 -3470 -5728 -3933 -171 3893 1865 -3179 -461 5944 1774 4420
+-15191 11424 -14883 -2182 -4204 382 2151 -4784 -7349 -7524 5741 -1423 13273 1352 -8295 7246 -1975 -7506 179 -9365 5625 -555 -1664 16282 -12927 -13734 -8048 -2255 -5242 359 -3629 -5282 -4980 -2138 2167 -5340 -9775 -10616 -8297 -3667 -13601 -9758 -6685 9142 -10981 4242 4219 -3857 1222 14494 3339 -8551 9854 8372 3041 -2782 5825 11297 10983 5599 -5126 3700 -8119 -9036 4967 367 -125 -660 -2363 -9188 -2967 -3817 -11570 -1818 -1575 -1577 -13817 -164 7611 8662 -7233 -3902 -5028 -12454 -2473 -225 3108 4076 2537 -6105 5136 8998 5846 -2137 986 4156 2289 -5599 -6237 -8179
+13739 -4308 8070 -3202 4176 1201 1865 3938 5731 -3142 -2529 672 -14402 7314 5685 -6219 288 5990 -4059 3645 -4556 122 1696 -16531 18725 8568 9031 2320 2374 2541 532 5372 3147 -3967 2566 7287 4586 4132 3743 4958 13906 3012 -1025 -7511 7403 -1892 1867 95 -447 -10861 -5 6735 -9873 -6774 -4405 1091 2849 -6396 -8894 -3646 5008 -6269 13394 12099 -5948 -3465 -3400 1900 7792 9274 4520 3646 7379 -2467 -6097 11157 8678 -3675 -7209 -786 8413 2039 3056 14154 13592 2396 -5695 -6245 -3358 -2648 -5573 -4424 -1764 6605 778 -3512 -722 7244 3283 4079
+-17672 5789 -14301 -6159 -6480 950 -95 -4593 -4061 -5969 8394 -4264 14679 229 -6126 7830 -1654 -6142 -2252 -7375 5777 -1050 -329 17598 -12613 -14841 -9151 -1118 -4407 -1221 -2644 -7271 -1336 2950 -5726 -4281 -8450 -9624 -6808 -2309 -14622 -10131 -4796 6629 -10373 2547 3076 -1746 -2182 14613 983 -7739 11023 7833 1843 -3059 7261 11219 12528 7695 -6667 2344 -9209 -12397 6518 2737 611 -1238 -2780 -9411 -1126 -2782 -12226 -3290 1637 -3575 -12179 1455 6773 5313 -5424 -2639 -3518 -7836 1282 -1173 3280 4265 2552 -4193 5589 8242 2450 -2438 -1534 3716 2167 -7137 -5646 -6412
+14139 -506 9872 -4000 1797 -423 3177 4243 5421 -2875 -3706 -312 -14985 9760 2051 -4763 1421 7610 -1936 3065 -3562 694 -334 -15853 18788 8880 10400 3786 3194 1646 1902 2710 7612 -3851 2408 7628 4402 3610 2399 4582 14865 3466 -1139 -2637 3940 672 -1154 5580 -326 -15073 430 7532 -8533 -6928 -3145 3659 679 -7793 -10595 -6573 3992 2233 14468 9271 -5812 -4620 -4207 2691 9529 10183 6474 7388 5403 -6888 -2806 6400 10130 -554 -3411 594 3668 180 2559 16279 12528 6750 -1323 -5877 -8798 -2796 2222 -2493 -2150 4671 -787 -6170 -5577 -1030 6352 9886
+-18592 7684 -7313 -3639 -6489 1538 1925 -3414 -5903 -7712 7025 -4811 16987 944 -6236 7285 -348 -8815 810 -8025 4658 -524 311 16474 -15419 -17856 -9193 -1302 -4235 1086 -2224 -5446 -1506 1379 -3359 -4519 -8874 -10155 -6982 -1261 -15802 -8721 -2528 3973 -5224 3049 164 617 -3173 11819 2521 -11531 14965 11476 4066 -1148 3335 10853 11587 7987 -4843 4799 -7721 -16531 7620 1953 -626 -2499 -3505 -9316 -3383 -8820 -16268 -3265 1736 -5554 -11901 3228 8102 1747 -6369 -998 -2552 -6557 -2161 -865 1994 3032 3572 -6184 5798 10338 4130 -6194 1906 7096 4972 -5778 -7589 -4143
+17350 3659 10406 -1354 3874 -549 3402 4939 2712 -2650 -4881 3541 -12447 10320 3153 -4600 2909 6512 494 1293 -4313 2204 -2710 -15800 18511 8781 13208 3425 2138 3928 2874 4924 5167 -5275 7861 6309 3453 2876 2189 1609 17785 4846 -4396 -2433 5215 822 -535 2845 2823 -19076 859 8027 -4744 -5344 -4440 1887 1570 -7041 -10285 -5394 8836 1640 14175 12290 -6596 -7890 -7065 1471 9507 11394 5480 6193 3856 -3310 -8705 9135 10541 -2456 -5122 -985 5354 1154 1205 14154 14309 6382 -3454 -7964 -9585 721 -2149 -4616 2140 6619 -3366 -6429 -2880 4958 3138 9211
+-23593 6132 -9715 -4897 -5739 3966 -1786 -2624 -5597 -9057 4585 -2187 17109 2832 -7287 6656 800 -8631 411 -8740 4518 -732 -107 17707 -17078 -18122 -9587 -3745 -5759 2493 -3571 -2234 -6314 644 -3937 -4601 -7965 -8892 -5712 402 -16450 -6379 -1602 3674 -2691 -965 2666 -3474 -1617 15405 -100 -11117 13987 11260 3734 -1815 4175 8369 8263 6329 -3765 -3224 -6904 -14362 4582 2536 7 -3345 -6639 -11126 -5467 -10644 -14541 1398 -1276 -949 -10414 2399 5054 -445 -2929 699 -2247 -9644 -253 -3507 -2085 961 9193 -6534 294 7376 3248 -4273 4798 9073 7735 -1512 -10764 -10225
+17128 2455 3956 -2525 6924 2020 2521 3332 3778 -1388 -5042 3638 -13185 12519 3184 -3443 2654 6482 -1288 192 -6258 1769 116 -18591 18505 12982 13279 3374 2079 5236 -818 3760 4507 -3699 4429 7735 5475 5451 5324 2475 15507 3148 -2753 -5201 824 -1028 -635 1655 799 -15522 1248 7490 -8321 -7446 -3436 5419 3710 -6653 -11131 -9290 4704 1260 16747 16868 -8085 -6916 -7164 -1082 4929 10300 5978 9615 4281 -4635 -8455 6514 10558 -2373 -6218 -937 1532 -2256 653 12610 14680 5992 -3267 -7513 -10784 4312 -1271 -6389 80 9452 -5697 -8371 -3009 6136 3313 5644
+-22889 3920 -10235 -6243 -5544 1362 -1017 -3902 -4584 -8155 4710 -3857 13388 1884 -7930 4375 -1206 -4093 -4708 -7368 5668 -1981 -160 21529 -17273 -17652 -11114 -4305 -6096 1627 -4211 -4790 -6460 1602 -5972 -5451 -8805 -9558 -6060 767 -18044 -6183 -2417 3647 -6408 -9 1748 215 -3655 15901 -2956 -10074 12235 10594 5602 868 3443 5025 6073 4981 -6501 -1914 -5171 -15713 5907 3609 2571 -878 -7198 -10776 -3753 -6087 -11066 -1496 1854 -5792 -10378 3524 6659 2753 -664 1788 -2426 -11893 -2288 -4457 482 3883 7779 -12867 2110 8184 -1399 -7775 3174 7621 4444 -7080 -5846 -4544
+21395 4371 5021 -217 4047 -925 4968 3971 5243 -2824 -2291 4926 -15971 8972 4170 -3929 480 5344 -2751 903 -4419 1386 1231 -19275 18304 15960 12337 3876 4285 3139 -2031 1948 5733 -3873 7649 7645 4940 5279 5602 1114 16791 1844 -3811 -6159 -425 3382 -2628 3031 -456 -14277 2065 5584 -7063 -6665 -3344 1438 -428 -6136 -9442 -7688 9263 6560 13498 14135 -5743 -7377 -6914 -1264 6043 9050 5429 9393 6389 -6282 -5737 3623 9908 -133 -2430 1650 -1774 -5833 -1083 12083 12206 11309 862 -6503 -13899 3042 6607 -1050 3155 10100 -4692 -8467 -4716 1263 3713 9201
+-21321 6778 -5379 -4017 -4673 167 1576 -4762 -4374 -5906 4245 -8587 13413 2395 -8510 4742 -551 -3387 -2693 -6471 6583 -1181 -2670 20024 -17257 -16982 -11623 -2741 -4932 762 309 -3728 -3911 2054 -4265 -5000 -10311 -11039 -8310 -1209 -17556 -6463 -1697 6382 -5585 -294 1767 986 -2343 12387 -619 -11230 14990 11945 4131 -4939 1294 8803 11235 11593 -2089 -417 -8519 -17802 9941 2796 2989 1730 -3001 -10233 -3695 -7669 -10363 1310 100 -97 -13582 551 7074 4216 -219 3617 -347 -6346 -1039 -3815 865 4776 7620 -14622 -1112 10371 1799 -7941 7325 10455 5676 -6866 -7781 -4765
+22004 4740 5743 -1899 1123 300 5662 5667 4044 -2066 -1853 3253 -11376 11724 5927 -3541 2093 -269 2332 732 -5342 3115 3000 -18081 17776 14205 11650 5317 5613 1817 1933 3211 5631 -2238 3928 9280 5096 5095 4771 1816 18386 1040 -3925 -6146 1548 -153 -2146 306 1450 -20537 3867 6895 -6637 -6155 -4247 802 2714 -3157 -7083 -7055 8592 2318 12224 13426 -7076 -6684 -6952 -548 11163 9983 3791 4095 6557 -5688 -6243 10326 8469 -3595 -4537 -351 1288 -4024 -930 13306 13912 8852 -3309 -8135 -8827 7053 3039 -4153 5258 12229 -7567 -7394 -1186 8310 465 6553
+-27567 4539 -8626 -6759 -4641 998 224 -4565 -5531 -7783 5331 -8983 9351 1637 -6295 4533 -713 -1946 -2798 -6235 6421 -263 -5492 17868 -15815 -18819 -9733 -4422 -6006 2456 1171 -2200 -2645 1400 -5349 -5474 -10401 -11031 -7942 -3226 -17994 -5163 -56 7292 -3344 -2624 5753 1899 -3240 12949 456 -11697 13625 12004 4309 -1596 5183 7519 9895 10941 -9310 -4796 -7494 -14809 8249 3258 2867 2208 -3927 -10496 -2185 -8706 -12560 -1861 -1835 476 -12774 435 4663 2141 1816 5585 1410 -2965 3495 -7455 -2887 3575 12456 -13242 -7802 5639 -3098 -12496 3708 9697 9215 1377 -7909 -8770
+20682 3793 1836 -3368 1276 1231 1864 5592 3327 -2599 -2777 5181 -7377 11000 3302 -2742 2762 -2794 2113 651 -7287 1347 5186 -15879 17615 11787 10507 3963 3999 1014 -1066 2400 5137 -2238 2030 10594 6236 6422 5364 5671 17769 1466 -3692 -8502 -1495 117 -2669 1518 457 -17549 27 6600 -8986 -6792 -4188 5467 6008 -6092 -10324 -9298 2886 2121 14391 14298 -9429 -4872 -7366 -2422 10401 10118 4320 5922 4852 -10883 -3182 4848 9457 -2706 -5854 -22 464 -3548 -616 10530 9665 6764 -2783 -7133 -8966 10397 7849 -4103 2758 10285 -10045 -10003 -4916 3245 4637 5364
+-28386 4735 -8100 -4344 -3628 2239 -354 -5213 -6635 -8685 5364 -4506 5571 -902 -7069 4169 -5152 1837 -6009 -6062 4723 -1335 -6330 16107 -11195 -19173 -8471 -5867 -6855 765 -1517 -4689 -4199 -1004 -1018 -5775 -10302 -10822 -7180 -207 -20155 -7793 1117 7998 -4357 749 3649 4947 -224 19823 14 -10442 11272 9660 4229 -802 1918 6058 8455 10586 -5133 -1200 -5974 -16813 7116 2183 2208 1818 -7874 -11222 -2570 -6269 -11892 -1483 44 -2358 -9714 3959 7859 4017 -2951 679 -1168 -5871 471 -3777 -1490 2235 8414 -15065 -4327 9224 -2137 -11961 6700 8662 5321 -6645 -6649 -5827
+25274 4064 6978 928 2773 -1153 3043 5337 3016 -2557 -1597 4817 -7262 8958 4216 -3748 2898 -1454 1469 1718 -4510 2084 6949 -15204 17568 10797 11520 5837 5117 1550 -2856 2092 4199 -3109 4133 8886 4368 4501 4280 1840 20958 1846 -7442 -7585 -1380 5934 434 -177 1366 -14246 -268 6616 -7089 -5858 -4748 3955 3374 -4628 -8859 -9484 7299 6789 14442 11230 -9932 -6855 -7501 -932 9621 9694 4573 8889 4568 -4694 -2160 3721 7929 -2553 -4574 1030 -2613 -6126 -3491 6534 7081 12775 2199 -7354 -15558 5736 9740 -2182 5839 13699 -5880 -8438 -5325 -511 2914 10167
+-22465 3977 -6639 -3055 -2043 3186 -132 -4812 -4145 -7809 2995 -590 7179 -1543 -6858 6424 -4387 1155 -4797 -5181 6887 -2805 -3555 17892 -13542 -19370 -8880 -4452 -5763 -153 -397 -3655 -6142 -742 -2071 -5234 -10182 -11014 -9485 1510 -19589 -7698 594 12189 -4826 -2803 1081 2615 -733 16777 -721 -8233 11573 9277 3802 -4065 -532 7101 9893 10219 981 -386 -5641 -16282 10128 357 -637 -1390 -8231 -11822 -5502 -9245 -10409 4435 -4105 -1524 -12196 2525 8367 4641 -1059 2109 -1628 -5906 4467 -1909 -680 1631 5248 -15505 -4685 10277 -47 -7121 11448 11512 6049 -6711 -8503 -6662
+23158 3344 4772 -3273 1173 -986 4683 5168 3505 -1911 -728 -359 -4321 10330 4683 -5477 4469 -2783 3423 512 -3450 3270 5933 -16808 18780 12919 10659 6449 5074 2244 -516 2275 4051 -2257 1173 6732 4647 5334 7276 1934 20587 3218 -6698 -9271 437 3016 4051 -1155 -1932 -14263 3122 7691 -7614 -6038 -3535 5212 5913 -5531 -11115 -12396 4669 -792 15151 9990 -15232 -7234 -5368 1288 9883 9250 5090 9505 3399 -3343 -1851 7407 8539 -3779 -6498 -3849 1731 -2581 -2119 8283 10028 9723 -629 -8259 -11238 7042 4506 -7285 4048 11904 -10949 -9304 -3448 6769 4307 8563
+-26015 994 -8804 -7240 -2882 3174 -1285 -3526 -2328 -9837 2882 1216 6341 -512 -8826 6603 -3964 11 -2368 -7562 6342 -2902 -4992 15783 -15909 -19348 -8683 -6836 -8883 2537 -722 -2524 -2812 -2283 -1939 -3550 -8055 -9334 -9270 1347 -21610 -6571 1342 9181 -1035 -5405 -85 3983 -1695 11029 1202 -9577 11468 10489 4820 -3810 969 6095 8550 8113 -4109 -2068 -2774 -10301 10883 2108 393 -1778 -8233 -10027 -2976 -8090 -15106 -1816 -3351 -1103 -11728 3572 7768 2749 1537 4621 1050 -3097 4130 -10315 -2280 5231 10595 -13069 -1440 10861 -1749 -9314 6117 8211 5248 -2658 -5305 -6192
+21644 3203 4662 -3304 -1278 -2269 5407 4921 4307 -1565 -1673 -7059 -2765 13051 3598 -6203 5871 -2788 832 816 -4689 1483 6115 -16936 18132 15060 10813 5831 4543 958 -98 2671 4887 -2494 2829 4874 3173 3827 6087 2369 18914 3241 -7859 -9522 284 7606 2648 372 -1722 -16841 1375 4696 -7038 -5746 -3684 3586 4730 -5706 -11828 -9358 4092 -1895 12075 9117 -13532 -4316 -3844 -184 9333 10222 5878 9975 4236 -7065 -2983 2082 10229 -4458 -8336 -3139 2559 -3438 -550 11677 8147 7238 -1166 -6363 -7747 7798 5868 -6818 3365 8860 -10480 -9318 -3016 5003 3356 9186
+-29105 2859 -6328 -4302 -3384 659 -1845 -5628 -4983 -10104 4005 -466 3248 -3777 -9485 6663 -7278 2061 -4689 -7429 4068 -5137 -6786 14892 -17899 -18009 -9996 -7713 -9308 3786 -3778 -2939 -1766 -3026 3149 -1864 -7024 -9048 -10590 -1601 -21838 -7933 949 8599 -2666 -3767 -2593 3318 3714 13084 -1759 -9000 11588 9173 3809 -4783 277 7785 11406 14018 2031 2277 -4118 -11202 14932 3219 84 -2506 -5018 -9064 -3669 -10464 -11589 -1912 -1996 1981 -9680 4219 8721 6760 1713 3203 496 -4862 -287 -8839 -206 6710 9693 -14126 -671 11778 -506 -7113 9932 8181 3101 -9146 -5695 -7296
+23411 8667 6418 -269 3204 -332 5747 4342 2344 -25 -2265 -6218 -4069 13811 5209 -3601 7007 -21 625 1965 -3038 4193 5547 -15090 16511 13882 11856 8036 5444 1621 141 1899 4605 2133 1377 3015 2560 3439 6830 1731 20685 1667 -8339 -6977 -67 8842 3465 -3395 -607 -13210 1949 6816 -7499 -7054 -4284 4677 1737 -4392 -10086 -8217 3533 2115 6922 6151 -11419 -5795 -2886 3052 7997 9274 6041 7951 4525 -1405 -3842 2488 8480 -3973 -6996 -1417 -3059 -6968 -2285 9122 6213 13119 -165 -8036 -10099 6817 3318 -5459 6177 12841 -5156 -6260 -897 5668 1469 9715
+-25620 2208 -4658 -5557 -2452 1784 -1315 -3568 -4178 -9649 5032 2862 327 -3419 -7561 6253 -5615 4399 -2648 -6039 3403 -4018 -6398 15672 -17828 -18984 -7257 -5085 -6429 4419 -2901 -3874 -3361 -391 -4498 -1101 -5861 -7960 -9084 -1139 -19997 -7551 2654 11514 -3826 -7681 -1505 3891 1175 12206 -1457 -9470 12830 10247 4148 -2468 3838 8664 10893 11082 -769 2241 -3051 -10090 15845 1075 -1865 -2119 -3847 -7781 -4312 -9937 -7307 4101 -4438 5476 -8643 3682 9450 7544 532 4599 -27 -6863 4645 -916 -1172 1375 3567 -13759 -3853 8358 -1948 -8004 6389 7547 2867 -7638 -8870 -11109
+21975 10133 548 -2620 1618 3337 3364 5535 2347 -611 -1211 -5911 -2617 20021 3243 -3534 9462 -2225 1764 1395 -1480 6729 5955 -12214 15490 12208 9507 6674 4498 1664 1060 2774 3859 1752 -1044 3174 2748 3634 7151 2142 21337 2694 -7301 -7588 1900 8589 4845 -2954 -4578 -13329 228 9582 -6257 -7281 -5599 5699 4012 -7840 -11015 -11304 -366 -1752 6478 8720 -14928 -6329 -3360 2341 5782 7682 7300 9629 2765 -4944 -5073 -2138 7809 -1210 -5688 -6575 -4899 -5259 -1430 12009 14497 12571 -550 -8074 -8323 10060 5426 -5329 2005 6432 -8060 -6561 -1099 8431 4295 9959
+-24963 1915 -8120 -7409 -1640 1635 -1374 -4358 -4223 -10954 4246 6579 3221 -4152 -7132 6517 -6060 -258 -1156 -8796 2525 -5401 -7198 15840 -15477 -19724 -7529 -5486 -6680 2904 -3087 -4611 -5312 -4468 -2206 -2200 -6472 -8435 -8529 -246 -22140 -7012 2615 5442 -4265 -8526 -3081 5158 -3298 10393 1790 -13823 15096 13882 6271 -1445 4518 9128 11730 9937 -4098 -572 3206 -8890 13706 2820 -2602 -3881 -4283 -7688 -1940 -5314 -11087 -3297 -1418 3703 -8187 2153 7801 5508 147 5614 440 -7192 3346 -5691 -246 3986 4660 -17885 -4487 10684 -887 -10884 1936 5550 2674 -6469 -8240 -10232
+22065 8820 385 -20 -1251 807 2267 4695 2255 -565 -2295 -6178 -4719 20152 -105 -8149 6386 -2041 -2443 240 -1080 6222 6572 -14620 18833 11224 7597 3123 2924 427 -339 5039 5905 -2711 6206 5668 2316 2563 3634 1310 18695 2786 -7182 -8349 2196 11280 2193 -2119 -4447 -12728 -522 8063 -7048 -7076 -4750 2976 925 -7041 -8096 -7994 3687 -927 7304 8009 -16291 -5259 -3070 1814 4697 6038 6263 12437 2518 -8112 -3594 -3502 5466 -2009 -5305 -5134 -3078 -4815 804 15055 8160 10293 -632 -7549 -8720 7892 7904 -4292 3334 11915 -2893 -5807 -1069 5681 3868 12139
+-23221 -916 -3031 -4461 -2067 -2323 858 -7266 -4991 -8332 3229 2672 4651 -8171 -4370 7245 -8823 2049 -3371 -7407 2598 -7031 -6952 16741 -12800 -20349 -7038 -4111 -5552 2028 -1342 -4320 -5877 -2929 -1708 -3644 -7591 -9129 -7785 2024 -20463 -8452 1941 6790 -5049 -8933 -4468 1261 6021 8913 -279 -15733 11948 12376 6303 -2542 556 7436 7258 9784 733 4841 1237 -9940 11683 90 -2555 -2431 -3684 -8626 -3688 -8860 -9367 852 -505 8910 -9785 87 5782 8423 5640 2886 -3054 -8827 1695 -9840 72 6042 6600 -16382 -5705 11416 1630 -6844 3820 5688 3804 -6329 -9948 -8544
+19623 6702 3175 495 -877 284 2190 6664 3681 530 -2931 -4502 -4384 18597 2308 -4711 7972 545 -941 1820 -1039 7809 5503 -16807 21942 13576 8881 5450 4742 1455 770 5091 6679 1606 5220 6330 2579 3044 5865 1520 21285 3740 -6221 -7476 653 8528 3805 -930 -1145 -9987 1030 12283 -8633 -8882 -4904 2026 1171 -6949 -9910 -9722 4939 1154 10613 6259 -14900 -7037 -3984 2267 9466 5714 2939 9640 3813 -4858 -5048 -2463 2178 -4081 -5579 -1742 -1449 -4746 1790 15084 6520 11623 -744 -8510 -7262 10212 5739 -7531 2071 11255 -2500 -4078 -459 6007 2397 12140
+-26496 -1193 -3962 -8228 -2745 652 6231 -5813 -4407 -9144 4646 87 4830 -11062 -2002 8925 -8193 3346 -2785 -5792 3443 -6772 -4367 20191 -15546 -20559 -6046 -2378 -4418 2983 -344 -7614 -6156 69 -8516 -3835 -7231 -8455 -6861 4159 -19649 -7909 1694 11006 -6257 -10649 -4045 3242 3435 8092 -2037 -14722 10666 11116 5131 -1631 4853 6881 3249 6919 -1969 2132 1913 -9676 12347 -57 -2393 -2902 -3603 -7439 -5678 -12832 -7394 1006 2064 9777 -4863 2721 5872 7261 7531 4542 -2838 -9931 5626 -11580 -3066 4717 8723 -10577 -5230 7481 -2547 -11616 930 4042 2234 -5514 -9103 -11975
+19486 5810 739 -1494 -1178 1991 1479 9125 4801 -2307 -2682 -572 -3014 20128 1523 -5038 9966 -3464 1783 1591 -2744 7257 3928 -18445 20444 16189 9319 3830 4579 1524 -2418 3552 7095 534 6425 6643 2796 3608 6768 910 20684 3890 -4821 -7780 1725 9641 5396 3257 -4804 -8782 2823 17038 -6296 -8761 -7167 -321 2859 -5976 -6431 -8307 3910 -4312 5969 7069 -14490 -4342 -1572 2811 8667 6036 4089 10570 2944 -9365 -3722 -8119 2413 -1734 -3755 -4985 -6703 -4889 1375 12119 6986 13779 595 -8568 -10071 8566 5662 -7367 1263 7464 -4983 -5937 -2585 5269 7012 11670
+-23716 1668 -4390 -7260 -577 2783 2677 -6012 -5080 -12083 7681 -299 4507 -10321 -4607 7383 -9306 -2841 -2822 -7161 2741 -6817 -4675 18582 -18887 -21773 -7900 -5949 -6536 3357 -1186 -6574 -6349 -3967 -3253 -5171 -9070 -10062 -7786 3151 -22698 -6950 -310 5071 -3284 -9306 -5127 3109 -4238 5621 -1920 -18133 13940 13606 5338 -3290 6194 11473 8936 11183 311 -233 -1807 -7523 14736 2827 -1668 -4244 -6276 -5911 -2875 -13516 -10760 -946 699 7998 -901 4776 6739 4052 4269 4869 -3070 -8899 7862 -9852 -1276 5853 7592 -14610 -2198 10153 -767 -13321 -693 4743 2321 -7416 -9313 -10913
+21986 8638 676 2567 80 -1264 -7 6227 4026 -513 -5693 616 -3843 22956 -977 -7079 8785 -4371 422 1173 -2688 6268 4296 -17295 18208 17765 8579 3673 3265 -603 -2772 5244 6718 -1902 9674 8865 2445 2451 2684 -1783 17645 1169 -6158 -5341 -2435 11239 7948 925 -1234 -4352 4243 12007 -3671 -5716 -6103 365 -1626 -8095 -5901 -6234 7013 1060 4352 7221 -12378 -2561 -1464 2300 8119 7047 5027 10078 1773 -7725 -5916 -6060 -763 -3720 -3462 -4388 -11111 -7619 90 9283 -1122 17989 3308 -8290 -13350 6738 7159 -3040 6787 19014 1990 -5332 -863 5315 5550 9961
+-20014 1932 -3797 -6737 29 -331 98 -9594 -6296 -9104 9294 -1688 5890 -13592 -3212 5727 -10620 -390 -4861 -6657 2224 -6837 -4417 15587 -18074 -20224 -7369 -4473 -7739 3138 1264 -6394 -7273 -4092 -4907 -7322 -9068 -9013 -5417 3494 -21636 -6998 43 4725 -3579 -11542 -7315 -2014 -1156 4835 -305 -20289 10564 11162 6563 717 3061 10646 8158 10029 -5254 5952 -1344 -7642 17824 977 -2956 -3829 -7224 -6014 -2319 -12129 -9578 5538 -2614 13367 -2795 2622 5354 5942 6542 5025 -2801 -3139 11554 -8179 -2466 4520 7373 -18605 -732 11446 410 -9679 73 5408 2987 -5770 -9461 -6347
+21024 5698 1287 899 1204 1659 541 6601 4730 1941 -10843 -557 -2715 26919 -1119 -5060 9276 86 -1443 3131 777 7801 3620 -11847 17995 18721 9013 5551 3970 -508 773 5606 8127 75 2980 10980 4282 3911 4374 -3850 20558 2255 -5323 -2330 -2105 9316 9786 2201 3040 -7074 3841 12874 -7077 -7175 -4354 5702 516 -13492 -11185 -10828 417 479 7332 4084 -13390 -5355 -2614 3987 8138 5910 6130 13887 3947 -5596 -5978 -4933 1782 -3582 -4972 -3070 -6972 -7241 495 12126 288 17784 3076 -8355 -12740 10848 1463 -6686 2294 16042 22 -6894 -2046 8403 9869 9840
+-24385 -1015 -4391 -10391 -2536 807 1621 -7674 -6759 -9516 10958 -4543 4688 -14049 418 7492 -10176 3134 -3154 -7618 1623 -5214 -4663 12606 -15238 -22960 -4970 -3401 -6202 6235 -1035 -7454 -6524 -2207 -8280 -5618 -8573 -9158 -6775 5416 -20546 -7256 -1349 6280 -3058 -9399 -7405 1445 1462 3784 -2660 -14809 9323 9522 5513 1017 7510 12884 8823 8585 -7278 126 29 -6403 13357 -2112 -3085 -1648 -7178 -7592 -3023 -10447 -10587 2915 -1544 12471 -2178 3870 6844 10327 11119 8431 -645 -3136 13243 -11260 -7352 2226 11207 -12364 1087 8558 -2873 -18407 -5143 3626 814 -7104 -8167 -9275
+18415 6272 3904 -749 -2169 3293 3625 11402 5337 -1898 -10168 215 -3718 27602 -1324 -6633 10339 -1234 -376 3243 302 5577 5878 -9639 16537 16378 8044 4562 4301 -1253 -1189 6608 8220 1137 7263 8353 3901 4267 5810 -4508 21029 3864 -2685 -3154 -1076 12345 10560 8086 -965 -6586 5277 13962 -5262 -7043 -5241 1238 3248 -9594 -8109 -9955 3418 -4549 10530 7111 -15297 -3199 -2022 2335 9039 7055 7211 13483 346 -13016 -5073 -12065 2309 -2615 -6421 -7641 -7096 -7228 1094 11364 333 11706 2580 -5756 -8629 8043 -824 -6509 3073 12444 1718 -4196 -103 7906 8633 10816
+-24546 2341 -4302 -7365 -2354 -1217 331 -7478 -7360 -12516 14208 -2112 2632 -14064 -2243 5590 -9547 -1149 -1763 -10472 905 -6633 -6144 13889 -14527 -24505 -6649 -4262 -6831 6282 -5121 -6904 -8143 -2002 -3197 -6796 -9307 -10072 -8196 5373 -21224 -6200 -2215 2858 1000 -8460 -7962 -1817 -2452 2712 -1900 -14666 10917 10494 5055 -1219 5034 13907 10679 10660 -1088 2216 -3774 -6083 10973 -584 -3570 -4924 -7526 -8003 -5671 -12315 -9317 2248 -886 14761 -4153 3243 9847 12334 6335 6956 -701 -7490 7088 -11597 -4991 3777 10816 -14292 2255 12049 1341 -12730 -432 5158 1958 -10126 -11977 -9558
+21069 10050 2183 3252 311 509 3409 7306 5643 938 -9714 242 -7022 24199 -2452 -7543 10583 -2054 394 2506 70 3263 5868 -11400 17498 15487 9790 3666 3837 -2138 -1842 9295 9021 430 7932 11016 5253 4677 4989 -3336 20069 1231 -4046 -3246 -2302 11245 10438 2795 -190 -4752 5421 9937 -5498 -6200 -4179 -1325 -2479 -10580 -7942 -8405 6080 -72 13633 5069 -14039 -1669 -506 3683 12255 7567 6203 9771 -2223 -10087 -5218 -6581 -1823 -5278 -7090 -9560 -10167 -11279 -2410 8825 -12 12884 6215 -4105 -13048 5770 971 -4699 4660 17639 3680 -2359 1710 7111 4364 8677
+-26253 5195 -6587 -9049 893 -1379 -1029 -8334 -5170 -11153 11745 1977 1720 -11662 -3677 8058 -8832 -302 -3080 -10534 341 -6423 -1813 16304 -16293 -26103 -7532 -3145 -7509 4379 -2427 -8663 -9751 -2368 -6716 -7880 -8273 -8695 -8255 6068 -20877 -7126 -2141 3717 -1046 -11203 -6116 -5744 -3255 6139 -3893 -17453 9551 11015 6422 3168 3824 12476 5897 8753 -1611 5633 -4826 -10180 12150 -1998 -4813 -5113 -4718 -7082 -7074 -14008 -7909 5425 -2484 16792 -3727 3131 10027 11800 7121 6791 -1633 -7627 10397 -8113 -4379 1939 8348 -15801 3332 11974 -2663 -14388 -3468 3086 987 -7476 -8812 -6971
+22138 5124 913 997 -1358 4123 4138 7817 6787 2447 -9839 775 -4305 22386 -530 -7407 10702 1867 -69 4232 1220 6052 2955 -11937 19186 17250 9372 3167 5448 -1486 1608 8860 10219 -849 6276 10820 5467 4859 5738 -3109 20893 1288 -2716 -2124 -4443 11933 8273 4860 153 -3026 1839 11654 -5404 -7361 -5898 -1862 1364 -10473 -8973 -9228 5506 -3398 12102 3229 -10481 -1691 -482 2733 10338 5907 5575 8379 -492 -9358 -4677 -11477 1003 -3137 -8040 -10480 -7280 -9243 -2085 11231 6612 14609 4248 -6758 -15415 8894 502 -8416 1812 13072 -820 -4861 -430 9506 9039 8868
+-25664 3543 -7989 -9338 -503 74 878 -7506 -6616 -10565 9090 -824 4193 -9529 -2219 10054 -9369 1965 -4678 -9588 1265 -3973 -5916 13645 -14148 -23486 -7603 -1903 -5153 3196 754 -10744 -8542 -2402 -6146 -11762 -11548 -11993 -11598 7246 -21589 -7899 -3043 2844 1319 -7708 -6824 -1615 -816 2550 -4234 -12442 8894 8984 3127 3116 9769 15520 8080 9690 -4984 1264 -6032 -6813 11878 -2878 -4897 -2538 -3852 -6020 -4573 -8522 -5698 1596 150 13662 635 5487 8562 10044 9961 10869 724 -4686 12328 -10348 -7346 1108 12960 -16525 2509 12319 -2275 -15707 -1859 3130 -1607 -11855 -7623 -3587
+24902 1441 5932 2958 -2613 3139 6895 8591 4756 461 -10137 -1583 444 19718 329 -8131 11197 -64 -408 4571 371 6928 6673 -13172 17832 18516 7633 4227 5479 -3575 2093 7788 9675 -737 6308 10746 5689 5331 7703 -5609 19299 1402 -2753 -2663 -3239 13452 6761 6466 3590 -5307 576 12214 -4223 -5663 -5952 -3892 765 -11240 -8383 -9098 6546 -4046 10075 7242 -9726 196 377 1835 5034 3063 7942 13309 3403 -8100 -2877 -15231 2283 -2028 -8516 -8886 -6396 -7535 -1184 11248 5633 13864 2647 -6900 -13936 9819 -2859 -8696 7110 17102 5227 -2013 2568 8477 5805 5819
+-25764 7230 -4944 -6393 164 -1910 -1882 -7737 -9096 -10506 11580 -3132 5452 -9130 -4454 8845 -9204 -1438 -1609 -11182 2447 -1185 -10443 11006 -11931 -19753 -7697 -4443 -4517 5478 -1684 -10909 -7415 -931 -4211 -10140 -11270 -12321 -14087 11200 -20400 -5946 -2626 2984 3695 -10215 -7034 -3104 -1456 -1196 1711 -14238 8920 10526 6233 6655 5334 13755 8590 9029 -7704 6683 -4675 -6130 12005 -1358 -5086 -3228 -6588 -5942 -5286 -11379 -7502 2993 -1407 17916 -3463 2716 9210 10039 6849 9172 729 -7119 4011 -10641 -7197 2080 14187 -16030 1032 12378 -1428 -11007 -4710 3019 -110 -12407 -11535 -4346
+25693 1851 5614 2843 -2 2105 4583 7763 5159 2030 -8150 -1073 -2912 19668 1630 -7001 11150 -1733 2349 4427 -322 4675 7511 -13914 14205 16848 9361 3430 2538 -20 -469 10039 10335 -1473 4495 13702 8799 8581 11798 -9493 20291 3579 -2990 -3680 -3066 8714 8739 3757 805 -4871 668 8467 -4143 -3833 -2822 -3301 -5101 -14890 -8940 -9098 5018 243 10920 6368 -11805 -1579 72 3422 7801 5431 7363 11899 6906 -8937 -4347 -8094 -1750 -5756 -7308 -5308 -9322 -11429 -1639 11088 2412 15668 5540 -4906 -15300 12457 2931 -7489 5196 16711 5802 -1287 3456 9764 5081 2633
+-31144 6530 -8142 -10686 -1541 -1146 -4237 -6588 -5936 -11742 13123 -2013 4020 -11479 -5784 9976 -9368 58 -2656 -11213 3777 -2200 -9953 15574 -12812 -19311 -6516 -5384 -4615 7545 -3299 -9625 -10245 -1731 -2884 -7217 -9924 -11396 -14779 13512 -20821 -8643 -2326 4470 1733 -9608 -7500 -6313 -2826 4140 1584 -17512 6952 9275 5580 4637 6706 14215 9296 8838 -6216 6771 -4400 -7313 13763 -3853 -6412 -4507 -6158 -5966 -7255 -16444 -12615 2002 -1812 18581 -6452 1734 10571 11276 4423 7720 2521 -3193 3956 -8428 -4651 3040 11205 -16415 2661 10265 -5405 -16403 -10825 652 -1665 -8806 -8560 -2300
+23524 -1316 3655 -1360 -2629 4342 5366 9957 6896 399 -4713 525 -5396 18344 3031 -6458 10253 -497 1289 4863 -957 2683 8951 -14212 12812 15878 10721 4211 1650 403 -1479 11352 9627 -2768 7404 12794 7422 7491 10970 -10435 20662 4629 -3846 -3895 -5852 8603 8429 9954 -2615 -2555 2045 9243 -3633 -5620 -5211 -4909 377 -11384 -6693 -8810 7027 -6186 10607 5776 -13735 -3770 438 4264 8592 7201 8399 14023 7277 -12664 -3330 -15736 1663 -2174 -6017 -5633 -7608 -12148 -2264 12207 9631 17301 5236 -6369 -16740 12090 3262 -6887 5248 12363 4056 -2164 4305 14970 8106 3283
+-30924 4645 -7221 -8137 809 -147 -1477 -8967 -5867 -12542 9805 -5357 5007 -9079 -4629 10939 -10091 -433 -5315 -10196 1755 -4945 -8997 17697 -13655 -21729 -6872 -5095 -3825 6039 -3400 -10862 -10515 -2099 -1336 -8678 -11901 -12837 -13660 11741 -21622 -7875 -1911 3760 275 -4415 -10752 -6443 -1371 7543 492 -11807 6694 7626 3297 2445 10169 15415 8018 11156 -3339 2651 -1800 -7114 12267 -2073 -5260 -4601 -5351 -6635 -7248 -15055 -15564 1008 358 16707 -2586 4897 9144 9286 9364 11127 1000 -4656 9545 -11616 -6745 2046 11280 -19247 -987 11760 -862 -12253 -9498 844 -1441 -9237 -7387 567
+26002 1354 6941 1482 -3983 2197 7578 9213 5700 -438 -7144 3653 -6777 18520 1474 -9585 6470 -251 -1090 4875 53 2874 8678 -12486 12589 14136 7228 5455 676 -1906 -1077 9337 11503 -1591 3885 12460 6044 6117 9698 -10585 20609 3135 -4340 -4648 -5340 6931 10037 9818 1472 -6137 3068 11712 -2838 -5136 -4766 -3582 -317 -12730 -10159 -9583 9254 -6692 13311 6610 -15467 -1203 1604 4715 11494 8198 8869 15450 6214 -8661 -6596 -12111 5577 -2632 -8167 -7520 -6133 -10638 -4674 9018 7524 13262 3434 -6154 -10709 6459 -605 -5379 8101 17868 12524 1224 5998 10654 3149 3259
+-24742 7555 -8472 -3967 4360 -2777 -501 -11257 -8886 -10214 6955 -6691 7800 -8219 -3520 8955 -7827 -2019 -3838 -9610 1971 -4060 -9463 15716 -11638 -22069 -5967 -3920 -2813 4967 -697 -12877 -9124 1442 -6526 -9520 -12153 -12877 -13367 9899 -21738 -8172 -1638 3922 -849 -9594 -8919 -8549 -2019 3126 366 -12216 9589 9980 5838 4328 4160 11630 4877 11430 -4432 9797 -1389 -9306 11657 49 -4275 -3612 -5971 -8082 -6992 -15600 -17589 6699 -988 17913 -4928 2559 7033 8073 8596 10591 -2243 -12612 1029 -13678 -8360 1242 9104 -17306 2078 12243 -814 -8329 -9965 -35 -3402 -13639 -8961 47
+26087 1522 4548 787 -3186 3181 6186 8687 6978 1789 -9722 5238 -7292 23716 -666 -8151 8252 139 1629 3052 432 6439 7973 -12870 14307 13206 6478 6615 -510 -1172 1810 8141 12645 -1142 1239 10682 5863 6782 11916 -7774 20849 3950 -3658 -4312 -3039 5670 12718 8891 3206 -9564 3530 9099 -1348 -4142 -4186 -859 -3176 -12741 -8983 -9897 10655 -4124 10881 3833 -14287 -1814 -72 3463 10733 7563 8989 15036 6305 -6309 -8134 -9384 3771 -3632 -6728 -8531 -10668 -11841 -2935 12528 5779 16098 5957 -5779 -12445 7634 -1285 -7267 2149 12560 9336 219 3533 9339 5904 -1214
+-29401 8734 -9824 -9420 751 -1778 -3876 -9135 -7352 -10825 7385 -7719 6799 -8938 -3166 7727 -6693 -2298 -3236 -8677 2459 -4541 -9727 12715 -8604 -20932 -3506 -3094 -2514 4603 -975 -9622 -10467 -2351 -3293 -8397 -11722 -12724 -13058 10187 -20804 -7378 -480 4214 82 -8519 -10141 -5554 -6016 1663 -1582 -13803 11506 9857 4120 1167 7198 14992 8024 11980 -3052 7256 -6449 -9555 13172 -2845 -6111 -3584 -5293 -8478 -6058 -13306 -18458 3306 958 11629 -6208 3424 8384 7821 8209 11155 1737 -7599 3962 -13264 -7860 1924 9512 -12200 6904 11915 -3972 -15325 -12084 -829 -5641 -14100 -7070 -3056
+24905 1635 4766 -483 -3389 3961 4555 11721 8059 698 -8368 8570 -8388 23538 1213 -3650 8639 3154 1260 2831 706 7323 8732 -13480 14091 12805 6659 6274 2082 -218 1620 11790 8990 768 4094 8023 4177 5511 12613 -9589 20864 3037 -4672 -3057 -4304 13405 11863 9817 3110 -5361 2460 11372 -4970 -8106 -6431 -1420 -1118 -12209 -5936 -11788 8456 -7433 11502 5676 -10876 -3175 -1587 2222 8031 7698 10429 15351 7221 -11298 -6700 -10742 3738 -802 -2888 -2757 -11339 -14167 -1368 17175 9976 18346 6603 -5932 -13362 7331 -4466 -7798 4889 11438 9716 1864 5985 12535 5050 -948
+-30065 9747 -6881 -8545 -114 -665 -3337 -8374 -9954 -13683 14343 -12721 7224 -12016 -2801 8509 -8298 -1626 -5940 -7941 -41 -7901 -8243 13349 -7795 -19093 -4611 -3505 -4604 2909 -5033 -9321 -11669 -3611 599 -6684 -11270 -12817 -14755 12968 -23560 -8844 -975 4111 -2666 -8568 -8392 -2102 -7853 6932 650 -11206 8973 10475 6134 3277 8647 13621 6344 10543 -8443 5339 -6786 -7485 12582 -2214 -5408 -3850 -8096 -10448 -8339 -14999 -13480 1844 1278 11109 -6614 2523 7878 8632 13175 12273 2555 -7774 4840 -14767 -8837 2814 13456 -14350 4304 12844 1930 -9939 -8252 902 -2400 -12527 -9544 2136
+26908 3009 7578 2272 -3202 346 4736 11047 8041 1496 -7381 9539 -9796 22110 -559 -5978 9134 3840 1453 2607 110 6442 9911 -13941 12334 13305 5906 3026 2784 711 -1057 10079 11674 1942 1844 11195 7059 7714 13719 -9412 23281 4719 -4626 -2195 -1785 10708 11270 4595 4576 -5720 3386 12337 -7858 -8188 -3560 2403 -4187 -14400 -8111 -12382 3217 -3538 14306 7690 -12275 -1055 219 2460 6493 7747 8405 13014 10356 -7154 -6224 -7330 2449 -3472 -6238 -2494 -11038 -14283 -3416 14406 7617 19484 6824 -6003 -15141 7664 -2525 -6431 5879 16949 10321 499 6676 12490 3153 1106
+-28404 9364 -8854 -7540 3296 1868 -337 -9944 -10559 -11581 15068 -13948 11654 -10696 -3176 7200 -7366 -3285 -5947 -9453 -1893 -6734 -8473 13608 -8568 -18517 -4754 -4662 -5832 4464 -6203 -11839 -8982 -1981 -2076 -4863 -10410 -12563 -15565 14382 -24617 -10453 -1095 2445 -1596 -12696 -5451 -2398 -5332 5141 2308 -12228 10139 12136 7298 290 4992 13867 7680 13607 -9141 8397 -3940 -8523 12357 -567 -4378 -4161 -5470 -9089 -7790 -13148 -12457 3969 -1165 16832 -7512 -115 6520 9647 12571 13953 734 -10230 1762 -14534 -9108 2686 12586 -14719 8071 12505 -2718 -12087 -13008 -1772 -5081 -13598 -7704 5290
+24578 -353 2887 743 -2849 1329 3108 8665 9755 2625 -10646 9681 -8955 20418 -2921 -7419 10959 2698 3660 770 1731 6182 9746 -13129 9337 13580 6960 3561 2879 -671 1009 7558 11251 -514 3867 9303 7124 7885 13030 -11095 23062 5162 -6005 -4390 -763 11592 9065 2896 6490 -7416 705 9298 -4893 -7215 -5805 -1071 -1395 -11036 -7230 -9488 12027 -4616 12653 6911 -9892 -1952 317 1967 8137 8132 6755 9275 9995 -9281 -4379 -10975 4894 -2009 -7618 -7923 -13895 -13384 -3404 12416 6992 21044 5836 -8119 -16848 8168 -1948 -7918 3126 15790 8949 -1374 3752 12384 6207 -1979
+-29150 5939 -9629 -9397 3071 1823 2458 -10981 -10161 -10504 9303 -13239 13487 -8767 -623 7888 -8006 -4391 -7029 -10031 -900 -5288 -10444 14656 -9091 -16909 -4100 -4649 -5725 1731 -532 -8784 -10031 -5191 -736 -6527 -11714 -13827 -16194 11778 -24837 -9524 -712 1932 -284 -8358 -7357 362 -5351 5276 1142 -16092 12346 12525 4688 -1747 9849 17342 10699 14071 -5070 1934 -6781 -10837 6648 -4113 -4033 -540 -3009 -8513 -5098 -7497 -16302 2226 -240 15014 -5056 1949 7705 4743 10718 13177 774 -6183 11024 -13660 -7675 3038 12453 -15408 6507 12177 -2467 -14512 -12186 582 -3512 -11386 -8888 -90
+25911 -988 5933 1888 -4774 -993 3501 9845 9252 715 -12274 10708 -9871 18844 -3393 -6508 9501 2807 3823 2565 2967 5896 11113 -12924 6399 12882 5215 4166 2388 -95 4033 8238 9428 -215 1813 6491 6175 7266 12915 -9447 22886 4167 -6576 -4277 -859 13351 4858 3102 7086 -9142 515 10152 -6713 -9196 -7222 962 1319 -12193 -9176 -12984 10697 -8045 13898 6121 -7504 -1977 26 3018 8323 9530 7112 7194 6937 -10477 -3977 -13718 6801 238 -5914 -7508 -12800 -13600 -1973 11276 5846 16209 3192 -7908 -14280 9229 -5035 -8342 8132 17297 12421 1999 7724 13847 2421 -2527
+-25320 6863 -5048 -7210 2158 -856 2287 -9487 -11328 -12767 9661 -8835 12329 -11106 1612 9597 -9771 -3004 -8278 -8549 -1200 -5004 -10264 14386 -8092 -17279 -2152 -4751 -5181 3223 -2376 -10197 -10514 -5799 -649 -6737 -10569 -12528 -15903 11805 -24221 -11192 -918 4798 -2645 -10598 -5697 -1019 -6580 7836 1178 -14696 9452 12227 6303 1919 8489 15582 7241 11285 -6303 5156 -5555 -11791 4537 -1566 -3930 -2636 -3811 -10141 -5964 -5797 -13239 7041 346 14687 -9257 890 9457 9438 13212 12675 1515 -6802 8710 -13301 -5952 3668 12701 -17995 3169 12748 -234 -13793 -12815 191 -3015 -13660 -10812 3414
+23294 -507 8767 3022 -5876 944 2381 11083 10279 2166 -11983 10186 -12743 21775 -3827 -8146 10334 5519 3600 5384 3175 7275 11291 -10603 7696 12335 4161 4999 3284 2077 2027 7198 12064 4492 -1595 9889 7744 7864 12923 -8918 25850 3610 -4754 -2878 -2054 11782 7097 2429 7212 -6612 -1787 10924 -4794 -6300 -4900 2137 -4863 -15038 -9490 -11598 8160 -1619 16948 8667 -6668 68 -353 4009 7778 9903 7222 5668 5723 -6375 -3697 -4861 5017 -3473 -7808 -4429 -10543 -15106 -3647 8102 1368 12763 4993 -4759 -14643 10827 -1728 -8672 3817 14735 10139 -219 6509 13138 3538 -490
+-27312 5306 -8201 -10375 2073 1754 -1144 -8538 -10923 -13953 15613 -9088 11750 -10928 1014 8191 -9500 -5738 -6397 -8572 -3311 -5662 -11410 12128 -5383 -17371 -3878 -4394 -4249 5647 -7911 -10220 -9984 -5259 4424 -5516 -10366 -12343 -17061 9384 -23539 -9050 -1760 4609 997 -11219 -2789 -3125 -9084 9175 1699 -13862 10255 11912 6780 -405 5374 13909 7336 12561 -4006 6580 -8348 -14133 5220 -371 -3520 -3591 -8091 -11899 -6811 -6301 -11864 6846 58 11640 -8811 1658 9519 11406 12726 12047 877 -5852 7223 -10210 -4931 2488 11138 -13437 6102 12164 -5586 -15200 -15205 -3023 -6479 -14062 -6149 3998
+19704 961 2637 535 -4531 4150 741 12266 13049 3651 -9237 11096 -14044 24452 -3663 -8330 11759 3275 5419 4654 2672 7524 10830 -11529 10779 10770 5919 6203 5481 541 3651 8800 10521 5811 -694 7580 5524 5958 12131 -7539 24431 3994 -2919 -3376 -3615 14785 7000 4923 7033 -5758 -3113 11064 -5028 -7232 -5973 879 -2286 -13465 -7837 -11136 3534 -1208 15492 9736 -4325 -2308 -3313 663 8201 11414 8674 6200 6186 -14501 -4926 -7701 5505 -1078 -6574 -4642 -14341 -14664 -2696 12098 3075 14633 4652 -5943 -16294 8675 -1091 -8174 3358 13956 13344 1493 5006 11491 3944 -2576
+-25995 7578 -9929 -10351 3951 1228 1174 -11035 -13361 -11965 15336 -14198 10466 -9847 1094 6807 -11475 -7382 -5090 -9662 -2086 -7135 -12502 10854 -3914 -19476 -4676 -3505 -5369 4135 -7422 -7296 -11001 -6732 6047 -6673 -11915 -13530 -17577 8624 -25082 -7432 -1141 2931 3960 -9502 -6193 -3048 -11374 4409 3008 -14859 9136 9449 5333 -1426 9107 14885 7195 10220 -5010 3678 -9103 -11244 5403 -4847 -5001 -2522 -5373 -11277 -4850 -5094 -14998 1766 -2756 8305 -5668 1968 8282 7499 13405 16215 3768 -610 11979 -9503 -7152 888 12924 -14447 928 12060 -1139 -12064 -9123 1434 -4574 -15233 -7287 483
+22356 4992 5365 4187 -4122 -947 3405 8967 10645 2891 -10942 10003 -14375 20962 -1813 -6369 9205 4106 4152 4697 4431 6132 9993 -11135 12734 12810 5967 7284 4136 -1472 6739 8816 10863 4803 -5616 8956 7066 7775 13747 -4612 24421 3462 -4042 -3449 -7550 11848 6674 7207 11491 -8177 -858 11356 -6270 -7742 -5278 2091 -912 -12147 -6304 -11920 -756 -4814 13915 10290 -5367 -2906 -2167 2147 11204 11758 8325 5200 7788 -11150 -4429 -5565 3985 -2227 -8460 -9053 -12054 -13044 -2850 11457 4046 13915 3615 -5663 -15238 3981 -3557 -6116 7631 15685 14675 2626 7067 11056 -659 -2856
+-20881 6508 -5837 -7027 3511 397 4072 -11411 -15218 -11585 12395 -17343 13453 -11524 1737 7913 -11054 -4607 -6035 -10143 -383 -6721 -8716 10561 -7164 -21081 -5872 -7056 -5177 5831 -6298 -8553 -10468 -6907 4408 -6852 -11813 -12961 -15936 9461 -25094 -7357 -1078 4519 3186 -13300 -10081 -7701 -12062 305 2336 -15163 8010 9806 6270 -136 6296 12015 5916 11717 -1782 6348 -9640 -11241 5402 -1284 -2087 -2376 -6549 -11392 -4416 -3073 -15618 6422 -5876 10675 -5138 2381 9729 8113 12375 13057 1196 -5595 9358 -8991 -6627 1436 12731 -10827 4454 11516 -1648 -11809 -16603 -3078 -5593 -13538 -3448 5987
+21329 4925 4879 3913 -5180 1317 3882 9843 12383 2118 -14587 13369 -16117 21518 -2054 -8069 9192 6984 1731 6294 4910 6082 9298 -7291 11755 14669 7999 8359 3013 -1957 7114 6967 12563 5674 -5717 8927 7477 8639 14722 -5985 23729 2421 -4942 -2822 -9040 9736 9829 8600 10969 -6979 -2074 14138 -3339 -5803 -5899 -992 -3867 -9266 -3323 -7532 8313 -5457 14976 11240 -5204 -259 -486 2215 11096 10426 7932 6089 10197 -7009 -2030 -3327 1065 -4005 -7746 -5842 -13948 -15196 -4717 4765 -4493 14275 7092 -2998 -16193 2711 -1172 -6951 2766 11466 11422 -278 4302 9686 2455 -2077
+-23247 2692 -9546 -11393 1920 573 1627 -9225 -13593 -13908 13923 -15708 18852 -11960 -1330 7309 -8640 -6934 -6902 -11115 -3292 -7100 -6186 9780 -11113 -19758 -7940 -9834 -5377 3913 -10076 -10050 -10772 -6503 4072 -6693 -12498 -13514 -15846 9091 -24842 -4802 -590 1921 6230 -11566 -8674 -6316 -15465 2507 1472 -15449 7975 8858 4703 1216 9312 13313 6045 14582 233 8635 -8499 -12308 7404 481 -1350 -4022 -9223 -11383 -5500 -3834 -19138 5161 -3059 5179 -1497 5340 11012 10038 9183 9761 -70 -5420 10511 -7144 -6350 695 11603 -9381 5421 10986 -3340 -11602 -16935 -3837 -3907 -6436 -75 8952
+17005 3550 4632 1966 -4543 1432 2613 11046 15429 3832 -14858 16110 -18214 25432 -1457 -7574 10048 6131 4878 6491 5143 6712 6441 -5936 9014 16593 10500 11041 4008 -1761 7301 8598 10943 3966 -2067 9393 5668 6392 11439 -8367 22648 2174 -5341 -2448 -9117 15034 12828 11918 12109 -425 1961 11215 -2309 -4783 -5409 626 823 -8714 -6729 -13296 4255 -6607 15478 10928 -6036 -3864 -2606 3697 11952 10453 7763 6257 10987 -12656 -422 -6207 2471 -2111 -6929 -4421 -12667 -13040 -2391 10033 1441 11875 7216 -1613 -14580 2936 -451 -6540 4846 13086 18710 4381 6185 11056 1763 -3990
+-24877 6114 -7246 -11371 3221 484 -2771 -8836 -13492 -13734 17902 -13909 20034 -14762 -1404 8426 -8653 -9552 -5050 -13520 -5851 -6335 -8572 5953 -11009 -19441 -8362 -12889 -7292 4047 -11088 -11669 -12410 -7187 4310 -7885 -12031 -12416 -13277 10276 -24411 -5128 947 108 6016 -12673 -8500 -6327 -17221 3464 2589 -15770 7625 7469 3202 3051 10877 8787 814 10595 -2772 4528 -4583 -12594 5814 -2580 -3519 -3370 -9777 -10366 -7552 -11005 -21541 3980 -4737 7650 -1850 2987 6881 5645 12967 12539 1574 -236 17039 -9171 -9606 -1640 13118 -7034 3164 11054 1974 -8935 -16564 -1972 -2043 -8127 -5945 2631
+18287 5344 8260 4560 -4763 -2199 3495 10027 14116 4276 -14218 14721 -17834 24786 -1970 -5416 11548 7741 6513 5355 5371 9085 3087 -7782 11085 16860 13212 11780 2591 129 9329 11783 13119 2112 -3046 13686 7776 7469 11160 -8948 23021 1581 -5337 -19 -9863 13351 10580 8988 13676 -1086 507 9159 -1637 -2579 -3082 -530 -2855 -7736 -4058 -13972 -903 -3649 14390 10367 -5744 -3471 -4551 2017 12993 9416 5261 4375 12084 -12669 -1617 -1475 -233 -4120 -6599 -3340 -8425 -11198 -1081 9990 -2665 10432 6669 -1836 -13323 2415 -967 -7293 2013 10133 17331 3634 4911 5994 -207 -4604
+-21275 4719 -6748 -10389 3913 5098 -1385 -8447 -14843 -15106 17968 -16475 19962 -15551 -2100 11495 -9073 -7669 -5860 -12855 -4937 -7395 -6257 6786 -10411 -20002 -8360 -12675 -6566 3903 -10297 -12581 -11846 -5061 4539 -10782 -11631 -10889 -10749 10280 -22610 -7784 -328 -1323 3853 -15722 -8505 -6462 -13500 -3560 2298 -16302 7218 8741 4603 332 4040 8966 3283 12423 2995 5400 -6835 -13330 3352 -561 -1819 -2592 -11319 -11490 -8477 -12428 -19440 7096 -5962 10611 -7080 -169 6330 5137 14567 12154 -345 -5491 11275 -9655 -8963 -1651 11732 -6674 3124 11380 698 -10615 -22465 -5889 -5452 -11679 -3499 1552
+19061 1443 5556 3600 -4367 826 4342 9204 10741 4995 -13258 10622 -20009 22030 146 -7420 10834 11987 3546 6912 7047 9257 3109 -6948 14005 15164 11557 12558 2877 1734 9386 13001 15435 3519 -918 15348 7853 6373 8517 -9765 24539 1049 -5331 4284 -9309 15524 9521 10342 16273 -1861 -5231 11499 -2569 -2459 -2557 -3138 -6132 -7013 -40 -9842 -343 1467 9940 11515 -5113 -1295 -3509 1110 11524 8082 6886 8377 13742 -16607 2398 -2606 368 -2231 -2905 -654 -13697 -13452 -1116 10335 -5303 13155 7103 -2941 -16514 382 1041 -7525 -3128 9449 19954 3290 3299 5656 1993 -2318
+-17490 3410 -11550 -12833 3652 4670 1220 -7468 -14058 -18220 18151 -15480 20189 -12779 -5023 9034 -8577 -10182 -8587 -11980 -6543 -10609 -2843 9301 -9679 -21188 -11182 -11392 -5218 1959 -11995 -14428 -13255 -6528 5559 -14097 -13364 -12215 -11013 11569 -24725 -6744 -715 -3188 6786 -12725 -8737 -4249 -17747 -5048 4169 -13120 7458 7324 3770 -324 6827 9531 2806 11569 1687 2994 -2890 -13978 3530 -637 -1063 -2051 -9591 -9960 -4325 -5573 -19634 7935 -5557 6139 -3941 2877 6943 3434 10810 10677 -1741 -8152 15295 -6968 -8639 -2647 11478 -5169 3561 11339 2687 -7831 -20051 -3525 -3531 -7669 -3783 3239
+15182 2786 4714 4484 -4704 -5329 2913 7860 11195 5097 -16357 13336 -19834 26430 1123 -9898 9221 8737 1510 6995 7008 8767 7259 -7262 15554 11619 9476 13228 4484 910 7220 11161 12864 2660 -5027 14653 7307 5264 6352 -9330 23022 -177 -5489 -184 -10195 16844 11685 8284 17425 4642 -4220 11685 -4475 -4410 -3614 -105 -1350 -8412 -2851 -11884 -7266 -272 8083 11131 -4156 -4041 -3599 1601 13918 11036 9181 10929 17265 -16847 2845 -5385 2137 -1022 -3922 -245 -17811 -12709 321 13478 1299 15255 8001 -2573 -17058 -2943 -2073 -6700 740 10988 25233 6835 8381 11572 -884 -1524
+-14816 5236 -8286 -8735 3001 740 743 -10368 -13189 -14192 19063 -17491 21028 -12609 -4996 9715 -8994 -11360 -5568 -13168 -6446 -10557 -5064 8836 -8129 -20667 -11112 -9672 -5933 1307 -12124 -15832 -16307 -6942 6285 -16471 -13510 -11745 -8963 12271 -24505 -5158 -132 -1484 5811 -14246 -7436 -6933 -18693 -2159 7525 -14625 9874 6273 1222 -200 11412 11772 2778 12489 1627 -2962 1051 -12355 7289 -1378 -534 -1934 -8501 -10470 -5746 -8973 -22966 13094 -8957 8868 -1512 2198 4202 1317 14139 12339 -1653 -7058 20861 -7388 -9127 -1939 13549 -3769 2908 12809 4823 -11699 -25971 -4862 -2652 -8065 -7237 3179
+11227 5825 6102 5141 -5641 -3041 1584 7486 11882 6149 -18030 16726 -21283 25636 2776 -9969 9408 9124 1784 7482 7093 7848 6871 -5410 12992 12306 9814 12328 4739 1958 8622 13194 14039 3087 -10881 20080 10018 6721 5924 -7949 23606 -757 -6464 858 -11236 14681 10493 4184 18628 6730 -6847 9834 -1152 -1488 -2111 -2 -2346 -7204 -3667 -10477 868 359 6109 11569 -7221 -3941 -3242 4112 16914 12230 8293 6673 16367 -14007 -929 -1107 -324 -3327 -4560 1673 -12092 -11665 57 11018 -5119 12320 7293 -1082 -14683 -4301 -2223 -8353 -2632 6366 21766 5068 7314 9704 1026 -1069
+-17545 2785 -7766 -11608 -331 3322 933 -9180 -11095 -15872 17702 -20223 19490 -13473 -5101 12322 -10410 -9049 -3919 -13853 -5049 -7858 -10123 6402 -9162 -21819 -5948 -10780 -7160 3892 -12152 -12835 -12621 -6651 10630 -15727 -13640 -12544 -10992 13111 -23603 -5956 -906 -43 5448 -12155 -9178 -5700 -18660 -3942 5656 -14517 10615 7539 1431 -146 7996 11677 5332 15702 5301 -12 57 -10455 9808 1988 486 -3363 -12648 -10507 -6160 -8943 -25494 10151 -6556 11420 -1345 1681 4888 1007 16572 11967 -1619 -6605 14638 -11091 -9557 -391 17056 -129 4587 11426 1603 -11130 -31069 -8449 -7024 -12393 -5727 130
+10415 3503 4184 948 -5396 2526 4963 12570 11184 3831 -17345 18635 -22723 22642 3218 -10612 9148 12333 948 9820 6730 8039 8442 -3165 7880 14432 7520 11285 4609 2698 12193 14600 15068 6860 -7744 18726 9393 6239 6704 -10624 24704 2265 -4962 2228 -8302 15643 8853 8610 17669 2850 -8141 13567 -4006 -2947 -963 2654 -5385 -11222 -4993 -12577 1622 2453 6466 11027 -10800 -3624 -4035 3554 14245 9830 8425 8399 16503 -18607 1690 -5682 -1053 -2153 -2714 1480 -12755 -13591 72 10540 -11602 10101 7406 175 -14658 -7687 -1592 -7648 -2081 12345 30709 8221 6853 10395 5407 2241
+-15478 3684 -8169 -12218 2276 2968 1443 -8785 -11370 -16149 21530 -23199 20812 -11802 -5377 14147 -8820 -11543 -3756 -13250 -5911 -5821 -7699 3989 -10851 -20358 -3602 -11213 -6682 3745 -12643 -13840 -10979 -6793 10981 -15500 -14426 -13560 -12350 12918 -25546 -6219 -1275 586 10191 -11245 -10752 -1482 -17030 -11787 7714 -14870 7049 5718 2496 3822 12053 10913 4051 10921 1739 -3377 1148 -12278 8331 -300 -788 -2855 -13833 -13201 -7733 -6899 -24287 8840 -4430 7568 174 2438 4396 -479 14887 13537 697 -1696 21080 -8593 -9156 -1890 14275 440 5619 13235 6176 -3707 -24646 -5842 -5681 -10345 -5822 833
+14662 5964 3789 6506 -57 3 3011 9220 9521 5792 -18966 21230 -22698 22483 3903 -12817 9764 9172 -132 11456 6149 5832 6433 406 7260 18340 5544 11566 5011 1826 12898 10475 13453 8435 -14155 16506 10237 8212 10551 -12115 24323 2800 -4602 690 -10976 13158 13048 7720 13390 7636 -6285 15019 -3646 -3962 -2201 -1077 -5164 -12278 -7419 -14057 -2092 1584 10427 9961 -8512 -5730 -6403 2784 14374 10106 8315 5945 14507 -17475 1512 -3962 -1115 -2093 -3160 1243 -17015 -14570 -997 9156 -7908 13534 8089 -1897 -17225 -8443 -2816 -6701 228 10870 30515 8238 7360 9963 2864 2990
+-14773 5859 -6283 -8147 3591 871 -2019 -10140 -12031 -15116 22231 -22213 23520 -10000 -6347 14572 -7149 -12785 -2442 -14693 -5281 -4117 -5693 1194 -8277 -19106 -3536 -11932 -6499 2032 -14488 -14145 -12616 -8178 9917 -13877 -13789 -12948 -12420 13082 -24246 -6047 -1508 -251 9603 -14010 -9222 -7555 -15281 -9763 11678 -17407 8934 6254 1523 902 11784 13221 6285 11614 2724 -2999 -1545 -11922 7787 -2241 -2159 -4629 -11701 -12444 -8234 -7285 -21734 14115 -11754 8113 -1088 2283 4496 839 13362 13392 -943 -3004 25834 -1691 -8961 -6159 8155 1749 3909 11650 5429 -8557 -33467 -10076 -5050 -8572 -6458 -424
+11107 4208 5797 5269 -2940 -265 3241 11952 11485 4696 -18677 23282 -21804 20454 3179 -12559 8774 9920 -346 10021 6809 5254 4504 -1517 10997 19216 5581 11352 5781 1104 10842 12948 11542 6034 -9971 15973 9292 7620 11110 -12586 23797 696 -4304 1972 -13594 15174 13485 4247 16065 12039 -7250 9692 -3573 -1883 -1184 -4394 -8814 -11155 -6352 -10173 -2012 6134 9156 8949 -7301 -2299 -5706 -231 14131 11613 8444 5128 14129 -15062 615 -1028 1725 -705 -1187 3217 -16965 -17231 -4968 3350 -11198 11855 10067 436 -16474 -7929 -394 -8268 -2777 5384 24853 6921 7045 10240 3411 3079
+-14841 4412 -8154 -12501 433 3521 -1996 -10259 -11011 -14320 19584 -25350 24946 -10909 -7739 13773 -7180 -9308 -1764 -16148 -2136 -4456 -6473 1480 -6221 -20452 -4082 -12127 -6540 1400 -15358 -11980 -12090 -10525 17497 -11303 -13955 -13799 -15465 12697 -22682 -4917 -1183 84 8253 -12199 -13111 -6120 -19452 -11495 9631 -14603 9512 7906 3309 2311 9086 12792 6326 13495 3616 743 -1521 -12116 8427 2010 672 -6089 -13033 -10744 -6138 -5733 -22418 9056 -9587 1482 -5544 2609 6083 3638 14598 15000 693 -1969 20669 -5331 -11676 -6513 11837 3120 4893 12271 3670 -5835 -29936 -8602 -5393 -10323 -7522 -6716
+8417 3876 4654 490 -4697 736 6210 12680 10790 3598 -19716 22390 -20840 17444 5204 -12185 7182 11719 -2843 8677 6228 4598 3878 -3033 13788 15028 4649 10753 3563 1879 11964 14342 10710 4091 -8925 13962 8718 8080 14652 -13383 22337 1341 -4022 -502 -12627 16737 12057 10080 14198 11026 -8867 11558 -6700 -2680 -1292 -777 -7379 -12709 -7426 -10624 -4802 4981 7988 9152 -8532 -1925 -4255 687 13984 11654 9272 7077 14018 -17595 4036 -1356 5041 -118 -1663 844 -13768 -17386 -4231 5345 -13293 7408 10744 3792 -13719 -10782 -1201 -7157 -383 11249 31634 10857 7267 10552 4769 5072
+-12655 4508 -4547 -10814 1105 635 387 -11984 -10557 -12757 19219 -26511 26399 -8327 -8021 13560 -7688 -10775 -297 -14961 -4456 -5766 -4439 5018 -6540 -22056 -2745 -10034 -5505 1542 -13909 -16318 -9776 -9390 12051 -10011 -14302 -14559 -17698 15664 -21353 -5122 -985 38 10128 -15204 -12136 -3873 -19345 -18119 8731 -12068 8913 5662 685 2965 15944 15170 8108 12269 7296 -4674 -3359 -12946 6862 -422 1929 -1777 -11623 -10591 -5919 -6024 -19220 9303 -6125 5435 -9207 -692 3653 274 17071 18866 5725 5734 25001 -9786 -13788 -4861 17419 3032 3796 13171 4735 -5011 -24420 -7191 -7275 -14965 -7672 -5229
+10926 3847 5315 4739 -2561 -1509 5896 10860 9362 4310 -18338 23103 -23962 18007 6567 -13547 9906 11026 -3761 8482 3646 3102 4105 -1036 11687 11125 4035 11690 3039 -69 13877 11594 10840 7597 -17541 11308 9178 9935 18084 -13113 21313 1813 -2242 -2138 -11542 10668 13956 8216 15763 11020 -9408 12234 -7037 -5148 -4419 -1771 -4803 -10458 -7481 -11106 -2196 884 8720 10461 -9609 -6772 -5524 6135 18434 10997 7997 5690 15111 -17214 4570 2676 3215 -2845 -4741 450 -15011 -17803 -4003 5895 -11977 10699 11385 3009 -15651 -9734 -2616 -8174 -699 7984 24699 6857 6086 9971 4200 8852
+-13333 5199 -6638 -7633 3040 732 -1078 -13894 -11234 -11248 20691 -26166 23969 -5384 -10131 13802 -7350 -12863 1682 -15682 -4281 -2392 -4434 6928 -8324 -23205 -206 -8210 -5336 1301 -12632 -15940 -8632 -7348 10306 -8936 -15459 -16499 -20486 17912 -21145 -5935 -2753 1991 6825 -14728 -10654 -5389 -14965 -15865 11177 -14867 12061 7775 1963 2354 15950 17146 10624 12709 8642 -3921 -1021 -11834 8847 -231 1322 -1157 -12594 -11821 -7685 -8607 -18772 12357 -9146 8299 -7474 -905 3659 3929 16679 18166 3671 699 25695 -7270 -13179 -5200 14984 1795 3006 12139 2765 -9587 -29704 -8726 -5123 -11489 -7499 -1633
+8992 638 2898 1999 -3127 2269 5074 14173 9679 701 -16976 24941 -26687 17448 5775 -12986 11059 11517 -2788 8186 3258 3455 6327 -3733 7387 11612 2617 10866 3068 1486 12547 12429 11482 8473 -11858 10514 8808 9804 17414 -13506 21664 504 -1435 -2084 -10220 14483 13382 7029 16663 15809 -6873 8978 -6546 -2432 -1092 267 -9990 -14530 -12591 -13380 -3590 5623 13529 13296 -9344 -6067 -5979 6643 17895 10082 8754 7413 12214 -19352 4223 -897 5123 -112 -2574 968 -17455 -21021 -8659 -2858 -16338 14037 11945 1456 -17820 -10982 -5657 -8623 -870 8720 22577 6894 8305 13512 2712 5151
+-14451 2229 -9871 -10411 996 1905 -1321 -11573 -11042 -14597 21552 -24593 20587 -5202 -10221 13081 -9244 -12377 1361 -15621 -1615 -1165 -9832 6534 -5913 -18047 383 -9652 -6688 1917 -15613 -11966 -8933 -10560 16412 -5298 -14409 -16489 -22998 15670 -23560 -6833 -4440 2899 4430 -10137 -11190 -4278 -15889 -10993 11292 -13631 10649 8415 4208 2914 10918 12254 8683 12499 3065 624 -649 -10684 8414 2088 895 -3595 -15675 -13107 -6835 -6785 -21507 9888 -8841 264 -5231 3342 6541 4074 15535 16778 2541 -1764 24909 -5802 -13610 -6462 15194 5471 9702 13597 3637 -4521 -24943 -6110 -2859 -7106 -7710 -3351
+11269 3871 3924 1480 -2911 1457 3773 13943 8266 1071 -18170 25094 -25239 16916 6398 -10685 9183 12952 -3548 8456 5005 4770 5632 -7844 7578 14085 1443 7905 2837 4719 10159 13532 10592 6498 -12603 10234 10176 11547 20279 -10794 21586 1798 -479 -2961 -10746 15476 11582 10271 14026 15513 -8904 9753 -9053 -4210 -170 2405 -6784 -13867 -11699 -13782 -4516 1954 14076 11073 -10734 -5034 -5993 3002 14027 10193 8910 8537 8933 -19190 5432 638 6093 101 -2941 -2605 -18312 -18562 -5177 5179 -11260 13410 11762 897 -19400 -17056 -10976 -8633 1170 10399 28085 9406 6320 8689 1497 231
+-10594 6379 -5068 -7672 20 148 -2515 -13248 -10419 -10705 19259 -24920 25826 -3531 -9188 12784 -9312 -12981 626 -14491 -1980 -2259 -9366 5465 -4836 -13869 -661 -8717 -5920 2027 -14846 -11908 -12595 -10007 14988 -6583 -14213 -16397 -23185 14950 -23535 -7220 -3881 3332 6160 -16546 -10324 -4984 -19657 -16863 9441 -12134 12399 7517 987 -2825 12734 15887 12229 14069 3402 -2303 -5043 -14433 8530 792 933 -3088 -12215 -10437 -5190 -4536 -18881 14612 -10990 3851 -7863 -369 4489 1420 17054 18353 5437 5590 29080 -7708 -15001 -6919 17511 10423 14694 15112 4102 -7454 -25935 -7605 -4870 -9687 -6463 364
+13068 4174 6588 3856 -2273 -861 3419 11942 9130 3267 -18646 23180 -24975 19459 7252 -12320 10116 12211 -4378 10245 4564 2860 8410 -5420 6783 13994 2100 8999 5535 2840 9001 12119 9685 8510 -17613 8876 10209 12007 21236 -12957 22437 2819 -2155 -882 -10504 14841 14818 7488 17340 14349 -9643 10430 -6915 -5185 -2572 -253 -3437 -9842 -8838 -11715 2421 -309 7295 7561 -10056 -6138 -6305 -295 11027 7422 4754 5009 13461 -10519 5715 2420 3181 -2442 -3948 -2701 -16246 -16161 -2740 8208 -10989 11169 10376 782 -18000 -14421 -11464 -12019 -3371 4203 21103 6656 4420 4095 1643 610
+-15969 6138 -6568 -9530 -1001 647 134 -12775 -8623 -10394 17209 -25269 29777 -4397 -9660 12263 -8183 -16253 2576 -16098 -4126 -2954 -8197 5738 -4988 -15813 -1572 -6969 -4684 -44 -11470 -14694 -11938 -9862 17296 -7969 -14937 -17315 -24251 13867 -22406 -6507 -4609 413 9666 -15881 -10900 -5783 -15711 -19256 9842 -14947 14182 8809 1570 -2422 7909 14402 10168 12931 1584 3240 -6682 -15654 10160 1838 537 -5408 -9013 -6800 -3960 -6337 -19920 12784 -14301 5346 -5104 865 4202 4160 17856 16586 2436 1995 27287 -7384 -13212 -6237 16569 13038 15801 14558 4401 -4517 -28662 -9603 -3760 -7356 -7108 -7
+7767 3874 1947 -923 -2955 1409 4819 12676 9278 -61 -18006 22343 -26721 15612 6486 -11799 8417 13377 -5481 10835 3561 -23 11680 -4464 5004 12280 967 8097 4777 1757 10461 10852 12855 8308 -15067 7863 9791 11445 20093 -14653 21231 1002 -1884 2229 -12639 19187 13983 9266 16617 15960 -6208 6865 -2086 -452 443 2143 -7599 -13806 -11738 -10999 931 2348 9257 7708 -10205 -3034 -5358 -917 11692 8626 6684 6059 12495 -17438 6778 -2702 5660 883 -2167 310 -16366 -16746 -4292 882 -19872 7068 10970 3663 -17843 -20339 -12887 -10062 -1050 8838 27046 10563 8862 10826 1282 965
+-16128 5216 -10545 -9678 1127 1094 954 -12685 -11503 -13160 20693 -29222 26158 -8010 -10221 13582 -9425 -16919 2972 -17422 -2413 -2042 -12595 7039 -3967 -18954 562 -7117 -6442 -752 -9306 -15106 -10014 -9573 15496 -7883 -13882 -16046 -22667 16367 -21348 -5916 -3811 -677 7916 -12303 -14818 -5547 -13735 -17981 9622 -12942 11213 7498 1550 367 9865 12480 9791 13910 -3338 3504 -1939 -11590 12606 4085 1398 -4571 -8544 -8031 -3906 -6183 -20904 7319 -13003 1826 -4033 2473 5709 6616 16439 14240 1488 -1383 24649 -4740 -10594 -5190 15090 13887 17293 16607 7277 715 -22069 -7262 -3938 -6538 -6137 288
+9745 4882 4768 1445 -1023 1006 4264 13124 9398 -1612 -16687 26167 -27359 12562 6462 -10978 6085 12478 -5003 10457 4593 1324 10732 -3218 5686 9904 1550 4871 2429 2660 10101 12357 13764 5501 -15731 9468 11280 12785 21868 -14138 19867 2097 -1934 591 -14314 15265 15158 5973 13464 16524 -7451 8103 -3762 -1516 -1388 2065 -1954 -12498 -10883 -11116 4885 -4942 13197 10703 -11404 -5718 -7145 1177 13218 7923 7113 5768 13207 -17119 4946 -1166 2144 -1086 -2777 -1152 -15229 -15242 -3462 2409 -15510 7411 12157 5059 -18863 -25832 -12595 -9877 -2969 4554 25832 9372 6297 8350 3162 1733
+-8111 6488 -7682 -5899 2203 -456 -165 -14177 -12739 -10067 22850 -30126 25940 -7411 -7503 14554 -7280 -12743 1436 -17300 -2687 712 -10689 3431 -2539 -20031 -132 -6559 -6227 1877 -12220 -12842 -12336 -9058 15087 -6439 -14144 -17064 -24755 17107 -20876 -7660 -2573 1154 10412 -15181 -14873 -8535 -15420 -20101 7685 -8934 8911 4446 -97 -660 13053 16923 12379 12391 -3289 1674 -5333 -9958 11742 -314 -301 -1567 -8625 -8208 -4576 -6413 -16735 12834 -13529 10283 -6027 -1811 2541 2199 15776 14462 1716 2672 32878 -1331 -11920 -7854 14301 18239 14790 15021 6465 -5416 -29715 -11147 -7235 -11728 -5381 -720
+10071 3057 7661 2268 -1472 2695 2203 14888 11627 930 -17802 30085 -25204 19574 5640 -11485 8430 10615 -4502 11552 3219 2808 12373 -1426 6368 10392 3285 6900 4638 2083 8945 13249 11728 5108 -13511 10301 10502 11873 20834 -16304 22072 3498 -1828 1 -10712 14540 18817 7864 10877 18711 -6040 9361 -7967 -4569 -2150 932 -4503 -16058 -13768 -14463 6955 -5645 12863 8785 -13438 -8110 -4547 7641 10369 6186 5761 6394 12967 -13584 8086 -2035 -1491 -2364 -2793 -2849 -16765 -15802 -4225 3820 -16695 8231 10059 3618 -17054 -26459 -15899 -12565 -4389 3855 24129 9061 7040 6697 1744 4369
+-11509 3797 -8201 -9128 -667 -27 683 -13410 -11525 -7135 22584 -31791 29766 -7679 -8046 13168 -6497 -9814 1492 -16336 -2239 1731 -12743 2603 -624 -18131 -967 -6489 -5206 4412 -14645 -12488 -11839 -8687 18631 -6526 -15419 -18752 -27090 16150 -20703 -8568 -3375 2141 11735 -11642 -15332 -6166 -14840 -19676 9597 -11491 9218 6292 3684 936 8476 16924 12151 10784 -7450 8537 -5650 -11826 12268 1350 473 -1179 -9269 -9284 -3604 -3674 -19489 9560 -12064 8598 -3122 207 3328 2346 13799 13783 571 3133 30349 -2693 -14776 -9272 17568 20845 14482 14800 6322 -3981 -28053 -8763 -4342 -8740 -4938 -2139
+5649 2080 5799 166 -3631 3932 639 17055 12378 -759 -21481 34339 -27268 21447 6154 -12121 9190 9348 -3778 11794 3630 239 13356 -1785 5978 14149 3384 10556 5261 1650 8648 10406 12196 6869 -16949 8768 9818 11716 23091 -11973 20530 4521 -506 933 -12595 17134 18632 12438 12296 20446 -5019 8875 -6004 -2257 -2358 -1385 -7883 -17447 -12862 -9529 10149 -5515 13946 8451 -14263 -4340 -3245 3687 7704 7373 6356 7417 10429 -17126 7804 -10814 1998 1322 -1113 -257 -14622 -16012 -5755 -203 -20977 6607 11050 5122 -17944 -25965 -13988 -9775 -2112 9000 30742 11302 8012 9215 1581 1702
+-15739 3466 -6332 -9073 -1619 -1986 1107 -14770 -13247 -9695 20348 -34432 25201 -9281 -9391 12830 -9017 -6525 -1306 -17124 -2380 -771 -10805 350 -2610 -19326 -1274 -9633 -8470 3342 -12943 -12486 -10474 -10088 17017 -6642 -14032 -16427 -23715 18401 -21801 -9114 -3064 -1173 11245 -15100 -20515 -8855 -11063 -22405 10609 -12634 9232 6376 3143 -864 10416 19596 14557 11772 -8502 7173 -6342 -9246 11968 2462 1015 -2276 -6232 -8424 -4565 -6813 -19591 6954 -11376 13143 -1193 1592 4682 6187 14565 14825 3880 5633 28718 -3215 -12939 -7804 16093 18842 16745 16716 4807 -5963 -25591 -9235 -6955 -9304 -2618 551
+9910 5258 4419 4173 -1061 3217 4795 15190 10405 -3007 -21870 35425 -30129 20623 6597 -10492 8714 8770 -2736 9305 4614 -1083 11137 -2095 3497 13573 2387 10986 5877 1990 11882 10565 12035 8097 -20957 8465 8608 10470 20944 -12983 19226 2733 -2503 1096 -16765 12480 19857 10329 11865 19891 -7509 8469 -1906 -247 -4085 -1794 -1081 -14674 -11083 -6409 9558 -8160 14170 7653 -13973 -4685 -4796 1044 11731 8775 5813 4941 8088 -14650 5716 -9737 -946 -2187 -2820 -348 -11571 -14245 -2682 3300 -17115 8254 13394 5297 -20778 -24436 -9391 -9312 -2585 7394 27294 9169 6613 8124 2980 1322
+-12618 3029 -5663 -8241 29 -4841 828 -16132 -13240 -9703 22367 -37412 26608 -8608 -11865 12514 -7145 -7216 134 -18391 -2940 -370 -15313 1582 -2142 -19789 -215 -10431 -10034 2038 -10705 -10136 -10203 -10104 19326 -6600 -14624 -16981 -23405 17601 -21522 -7831 -3267 -1344 12349 -16084 -20833 -11704 -11512 -22091 9879 -12169 6702 3481 1791 247 8159 18435 10623 8995 -10003 8317 -6980 -11343 14196 842 -164 -2666 -2757 -6906 -6174 -10739 -16772 9502 -9262 22008 -2090 -382 3827 4647 12576 12525 4058 7403 32117 -2808 -12672 -8395 14579 18530 12470 12713 2184 -9691 -33637 -12293 -6813 -9794 -3989 549
+13024 5229 401 1419 970 5329 5273 15685 13040 -1583 -19678 34291 -29649 21459 7522 -10134 9038 8428 -4798 9918 3270 -903 15412 -2702 1607 13821 1856 11931 6635 1518 10464 9591 11173 7760 -17796 8764 7589 9400 19331 -14675 20182 3432 -2923 -332 -14113 14665 20852 11757 7801 19678 -10327 7930 -4046 -1040 -2011 4022 -2662 -16894 -13237 -10626 8359 -3745 12823 5683 -12883 -5842 -6616 -1139 9477 6655 5433 6706 11928 -15717 7335 -16221 -3164 -1718 -774 -554 -12611 -13638 -3556 -535 -19729 8775 13601 4612 -21574 -24773 -12061 -10195 -306 10090 26532 10066 9076 9195 1483 2124
+-15127 -1350 -7034 -10449 -1271 -2636 -2227 -15815 -11659 -8834 24825 -38111 28675 -7787 -13158 8414 -7199 -7778 -1320 -16562 -3255 2014 -16251 6395 -388 -18920 306 -12078 -10636 1857 -13335 -11998 -10062 -8530 20966 -6046 -13839 -16105 -22221 15172 -21766 -7360 -3001 -1324 11690 -13622 -17991 -7619 -14148 -18331 9002 -11284 8040 4000 3011 467 5897 18636 11103 9641 -8103 9961 -8248 -12579 13150 2242 502 -2235 -6997 -6642 -3830 -7616 -17121 6396 -9418 19042 1975 1761 3466 1047 8993 11248 1414 4301 28674 -5246 -15024 -8832 17526 18625 7711 11128 4383 -8153 -27018 -8306 -5217 -9992 -5330 -2062
+12810 6526 1028 1435 -546 6508 4516 15837 11727 -604 -18914 31812 -27024 19598 8099 -9474 8275 8094 -4271 10976 4375 -228 15632 -2776 1952 14980 2361 11837 7971 1628 7112 8367 11454 8625 -21144 12573 9559 10727 20075 -12719 21030 3526 -1618 234 -15584 15081 19176 13674 9712 17923 -10925 7339 -3196 94 -2368 -139 -4476 -18464 -9804 -8064 12052 -4420 12501 9253 -10878 -2937 -6355 -3092 6416 5098 5359 6441 11868 -12964 4848 -19704 -374 739 -1926 -1191 -7951 -9545 -5494 -5626 -21139 4011 11194 5752 -17782 -26392 -7542 -8410 -358 12149 30543 10343 7206 9275 1505 -256
+-16052 2248 -603 -7964 -2307 -4492 -2897 -15566 -13825 -8942 23018 -38772 30491 -6622 -12100 10513 -7415 -9406 956 -15311 -3150 2294 -13051 6026 -1223 -20502 1121 -11069 -9814 2086 -12568 -10621 -10812 -7396 18141 -7774 -13645 -15322 -19562 15416 -21743 -7849 -1712 270 8143 -15759 -17756 -9528 -10983 -20093 11315 -11371 9042 4893 3533 1365 10547 22309 15157 11322 -8710 2955 -6216 -8948 9895 770 758 1145 -8556 -7543 -3285 -6676 -19023 7167 -14359 20701 1558 1561 1762 1042 10641 12084 1337 5079 34103 -3848 -14977 -8715 18729 19904 13591 13911 2845 -10712 -30727 -11891 -7482 -9136 -4121 563
+13090 11152 1923 3021 764 4428 5253 14910 9551 -2050 -22147 34254 -27497 17168 7341 -7641 7572 9037 -3047 10600 5757 -466 11690 -2770 2626 14207 185 9687 7651 1758 8800 9335 8860 5177 -18063 11127 9329 10705 19268 -13998 21694 1731 -4562 1580 -16904 14628 20685 10750 14016 18569 -10664 6961 -4082 -614 -2381 -240 -1358 -20341 -15176 -12335 10985 -7059 16998 12918 -10587 -6154 -7435 -1876 8616 5896 4290 4003 11552 -8845 839 -14324 -2036 -2693 -4286 -1492 -9095 -10242 -2213 917 -16940 5234 10826 5728 -17923 -25695 -5858 -9051 -3505 8106 28907 10890 8089 8163 244 -570
+-15619 779 -531 -8676 -4333 -5063 -310 -12462 -11801 -9702 21909 -37558 30113 -7254 -10537 13193 -6549 -9115 2965 -15678 -2758 2327 -14958 3909 1059 -20132 2413 -9174 -8033 1716 -9114 -8186 -11380 -9672 20569 -8918 -14811 -16966 -21323 14609 -20757 -8036 -562 1275 12478 -13867 -19601 -10077 -9280 -25704 12038 -9315 5631 3137 3838 3107 9285 20482 11023 9572 -13170 7357 -6731 -9260 11259 -1960 70 2215 -6280 -6912 -2357 -4944 -20357 7287 -13921 21043 -1092 1036 4284 4675 10411 9346 2331 9382 36125 1701 -12331 -9435 14141 23932 10594 12756 4139 -7726 -34962 -13342 -5890 -8095 -4454 1091
+8969 9302 -2807 -1549 2344 6955 2689 15105 10885 -2418 -21848 37986 -29690 16891 6833 -10665 6832 7424 -3746 11527 4689 -2187 15637 -4180 3795 13796 -1935 9429 6713 238 10813 8398 10295 3166 -17823 11061 9747 11051 18493 -15047 21540 3496 -4789 817 -15478 16928 22180 15558 9079 22433 -12572 7047 -5112 -1923 -2358 524 -3808 -19307 -14718 -11054 11907 -4585 18167 8070 -8384 -3781 -4049 543 10653 5180 4329 6763 8313 -15183 9515 -18351 -5468 -2343 -387 355 -14951 -14827 -1837 1782 -23203 6362 13295 7306 -18568 -30265 -7106 -7950 -1221 10207 35623 14745 8928 5615 -1597 879
+-14860 -3056 -3963 -8659 -4617 -2720 -1735 -13808 -9319 -8056 22943 -35398 31144 -8751 -9089 10577 -7040 -10841 1480 -16598 -3973 1175 -17886 4234 4618 -19182 3962 -8512 -6933 1558 -10361 -11118 -8473 -7887 17957 -9329 -15034 -17580 -21369 17737 -23209 -7129 271 14 14517 -13376 -18359 -9263 -15081 -23728 11413 -8404 8982 4187 1410 143 7589 22127 13764 13638 -8280 9240 -11792 -9907 8180 -264 2197 3581 -4689 -5772 -489 -3099 -19188 4105 -12426 19799 142 2609 6333 6452 10751 9136 1707 7110 31605 2100 -12767 -10567 12582 22805 9233 14375 6457 -8709 -30920 -11546 -7880 -8987 449 3041
+11602 11454 -3192 2247 4710 6855 5260 12825 10246 -694 -22063 36131 -32187 19054 6807 -12548 4787 7169 -4823 12416 4365 -2818 19303 -4571 4183 15137 -4061 9040 7569 1015 7353 6475 11820 7292 -20516 11959 11573 13070 19339 -13709 20980 2761 -4493 572 -20240 14730 22808 13582 9246 26066 -12341 5498 -1535 450 -3443 -3734 -2361 -18123 -11530 -8327 18207 -8481 18125 9493 -9619 -1766 -4365 -2554 9497 5149 2189 4043 9723 -14963 9545 -16351 -4001 -1497 -1759 -2825 -12596 -12106 -3921 -3787 -24924 1702 12414 8345 -16536 -33205 -6630 -6676 -3077 8036 35026 12833 6064 4685 -1607 -1997
+-13534 -743 727 -6551 -5370 -4993 -1535 -13895 -10718 -8699 25006 -35812 33733 -9246 -8665 12224 -5634 -9713 2275 -18545 -2590 3434 -17247 3386 2167 -20586 4204 -8584 -7488 1892 -11034 -10316 -9276 -6648 18343 -10456 -15442 -17729 -21250 19016 -22989 -7908 -1120 401 13238 -13785 -19215 -12775 -9903 -22759 13752 -8043 9870 5302 1525 2388 9890 21613 15213 12812 -16600 7358 -13889 -11976 4906 34 2617 5863 -1587 -3998 -1475 -6129 -17702 9672 -14326 26286 2973 -681 1322 3240 17091 15386 2117 7290 37231 984 -15905 -13274 14172 25988 9007 10486 3015 -8249 -35729 -15580 -9062 -8262 524 1785
+16557 13105 -2917 2668 4941 4940 9407 12719 7899 -511 -22076 32504 -34725 21114 6851 -11331 4037 9179 -4288 12070 6099 -2901 17957 -288 -85 14604 -2175 9616 6590 1767 6853 8230 9577 4861 -13965 11700 11567 12752 18182 -16424 23028 3240 -6655 1736 -16383 16231 21105 10579 12423 20765 -9938 4172 -3981 545 -1389 238 -1637 -20360 -16235 -14266 15635 -8107 20275 10667 -7617 -4290 -8805 -7373 6895 4715 1852 1700 14392 -13061 6404 -17042 -2951 -29 -2058 -1216 -12712 -11226 -4534 -3934 -21297 1041 9250 5687 -15616 -31030 -9673 -7201 -1914 8582 27573 11794 11359 11235 -4941 -2542
+-17033 -1928 -352 -10278 -8923 -4618 -1789 -11713 -11238 -9809 25782 -38507 34929 -8613 -8765 13278 -4107 -8850 3104 -18512 -3055 4150 -17308 1399 -707 -21014 4231 -9953 -9548 4324 -9104 -8853 -10280 -9048 17990 -12277 -16682 -18673 -22363 21886 -23385 -9022 -753 -486 15392 -12537 -21286 -8855 -11715 -25467 16032 -10215 10080 5980 2995 -146 3318 18539 13056 11738 -18074 10311 -13609 -17900 8099 628 2193 5645 -4458 -3980 -865 -5171 -20699 8953 -11821 24861 4264 -132 1293 924 13616 11979 1629 11094 38069 -154 -13116 -10172 15048 24214 7473 9075 4616 -5905 -30874 -11760 -4890 -5077 -62 3884
+12213 6987 -4279 1191 4692 4532 6559 12182 8275 263 -23316 32479 -35922 20494 6668 -10449 2442 8807 -4508 12261 5401 -3254 17437 911 -2756 15798 -2697 7934 5466 1837 7645 9319 9129 5223 -16568 14815 13737 14347 18791 -20278 21584 5340 -6475 -486 -14003 14953 20658 13517 13504 18729 -11519 4476 -5228 -1559 -1478 1184 -250 -18029 -17335 -14241 18662 -5093 23220 13991 -3370 -5258 -10298 -9719 4186 4471 3476 5725 18384 -16858 8700 -26411 -6113 1785 1508 3435 -16080 -14945 -4884 -6359 -27287 1799 12066 7739 -17093 -31789 -4212 -2182 1124 7704 30756 13742 9450 8186 -3944 927
+-20071 -1964 9 -8479 -7752 -1962 -5871 -12383 -9343 -9739 23242 -32350 35450 -7572 -11480 11191 -1069 -11612 2770 -18991 -5383 4033 -19350 1354 -564 -21037 6501 -8136 -10311 4814 -9501 -10101 -7667 -7754 12975 -12419 -16900 -18555 -21960 24545 -23098 -8634 1580 -2171 15197 -14088 -21183 -8756 -17050 -21785 13952 -6199 7827 3809 1491 -2180 4207 20163 17609 15893 -13798 9290 -13774 -15511 5722 2231 3624 7176 -6110 -6721 -440 -4196 -22888 11342 -8700 28898 2611 -1535 2882 1490 11256 8328 389 8943 35755 -175 -9927 -6871 13732 23652 12716 10044 3690 -6991 -27949 -12799 -10532 -10964 3138 2057
+13790 6262 1944 3673 5241 2725 5569 13151 9393 110 -23257 32905 -36074 24608 6229 -11752 3889 9018 -4889 14048 4004 -3812 20118 1462 -631 15845 -2113 9344 7991 -922 7458 8956 8748 8231 -15844 14429 13107 14219 19854 -22581 22342 4019 -6273 -92 -15668 13572 23636 9211 14002 24554 -12197 2571 -3415 -360 -665 2833 2889 -18799 -17870 -13986 16891 -11870 24044 16519 -6683 -5371 -9463 -8719 5825 4133 1989 5820 20052 -15142 5504 -22278 -8159 546 102 2166 -11731 -10229 -1240 -4447 -27961 4441 11968 6471 -18135 -32532 -4971 -3811 -3104 6025 27183 11734 7477 6754 -4707 -1879
+-16283 1082 -85 -8395 -6040 -1401 -2875 -11922 -10966 -11487 25630 -31780 36832 -8072 -11196 13104 -310 -9273 2078 -20288 -4661 4630 -21949 1531 1393 -20157 4913 -7242 -9092 5221 -11495 -10544 -6428 -8562 16097 -10889 -18326 -20497 -25056 22798 -23063 -11381 724 1608 11449 -13257 -21225 -11845 -15721 -20616 13737 -3005 8987 4390 1555 -508 7412 21739 18069 13546 -14587 8249 -13836 -14015 5033 2259 5204 8119 -2284 -5655 -2345 -10296 -25785 12418 -14264 32028 5174 -2432 993 495 14416 9111 -817 8948 43337 1887 -12990 -10055 13522 27967 11051 6720 2059 -3734 -30473 -14267 -8813 -10663 124 -2718
+15200 6485 -433 295 6192 5545 8290 13543 10436 419 -22475 30772 -32276 19618 8606 -9147 3641 10538 -4252 15143 5744 -5772 22083 509 203 13651 -4394 7695 8185 -2234 7844 10726 8262 6127 -12944 15576 12944 13871 19246 -22702 24363 4369 -7180 -152 -15245 16375 23249 11589 13759 23552 -9647 4391 -3716 -1475 -1374 4088 1836 -18697 -18333 -14314 17162 -13830 20623 13363 -7551 -8085 -8631 -6178 8073 2910 744 5562 16391 -19686 5743 -26061 -7267 1543 -1398 1367 -11411 -7057 1129 -1575 -28759 6159 8349 2776 -17302 -32637 -15355 -6234 58 9618 27703 13074 11998 9770 -8408 -2278
+-17474 865 -4296 -11646 -5557 -140 -4420 -12464 -10509 -10650 25088 -32793 34357 -7326 -12072 12748 -2852 -8845 1758 -21428 -1732 6273 -23292 909 6023 -19188 5266 -6024 -9768 5744 -11555 -10732 -8233 -8993 14058 -10870 -17605 -19418 -23538 24687 -24779 -10481 2098 1298 11432 -13000 -23771 -6387 -16753 -26923 9812 -8680 12407 6599 492 -4933 2005 21176 17637 16944 -9568 14984 -15350 -17305 8971 3459 5770 7807 -3140 -3436 -198 -7737 -30171 8816 -13847 27390 6642 -810 1122 -188 11895 6391 -3169 10953 46021 413 -13480 -9595 14851 25844 12856 8060 6063 -6229 -28861 -12022 -7614 -9431 2101 -670
+12878 3081 -1502 2522 4466 3590 6617 11888 11321 250 -25482 30014 -33380 17090 8180 -10631 2252 9198 -5887 16471 6487 -7835 23648 1701 796 11890 -4893 5616 7998 -2687 8278 11145 9518 5597 -15511 14868 13129 14601 21611 -20126 24016 7165 -6316 -3753 -15523 13768 22884 11506 14677 20162 -11779 6299 -5763 -3772 -1775 5665 2195 -16710 -16298 -12195 15187 -9570 18972 14045 -5714 -7633 -9364 -8112 8323 3467 2973 9982 19431 -18798 8119 -26342 -5407 4631 1607 2698 -15035 -9304 844 -3786 -36536 6402 9800 3463 -16547 -31673 -13057 -2735 146 6004 29132 13077 8793 8920 -2940 4540
+-17971 4861 -1043 -7832 -5434 -3393 -3103 -12975 -11356 -9769 27494 -32005 35238 -10678 -11557 11237 -2891 -10229 2859 -21888 -4025 7585 -22345 -1342 7732 -20035 7049 -4645 -9293 5156 -9904 -11978 -8083 -6027 13793 -12762 -18366 -19555 -21516 24963 -25103 -9076 2375 1504 12924 -16053 -21954 -8808 -15468 -26806 11462 -11001 12481 8144 2646 -1852 3247 18546 15361 13297 -14750 15851 -11820 -16867 6939 6012 6132 8477 -7142 -4361 -529 -5982 -29516 16039 -12521 28898 4637 -4197 1122 -815 14174 8489 -2677 7881 46117 -4716 -12570 -6434 15392 23585 17488 8280 1389 -7790 -29801 -13556 -10090 -10267 2196 2371
+13270 5837 2296 5057 2133 625 7545 13423 9396 -1887 -22611 30319 -34239 19315 7121 -12126 3594 8609 -6025 16193 3476 -6345 22713 2536 217 12940 -4708 6750 7050 -3092 8915 8564 10251 3674 -13387 14626 12111 13275 18909 -20744 22123 4292 -7211 -2079 -16409 12620 25146 9594 13421 24364 -11925 9840 -8866 -5554 -1975 5106 1465 -19206 -17563 -14139 9495 -12704 19556 14410 -8414 -7437 -10330 -8062 8688 3187 3579 8734 22120 -16097 5226 -21336 -9964 2641 1539 3173 -15387 -8773 1173 -5566 -34973 5537 10821 4187 -17328 -31891 -11078 -1917 -1580 8544 28199 13299 9155 8050 -3675 4792
+-17132 8165 -1774 -9034 -4918 -2138 226 -12084 -11517 -9261 26481 -31635 34218 -9524 -8298 12275 -3970 -8449 3038 -20291 -5103 8280 -22129 151 3159 -22398 8301 -5541 -8890 7000 -9085 -12556 -8356 -6295 17896 -14275 -19424 -20301 -20227 23931 -21486 -7647 766 4052 10746 -10893 -23473 -8417 -10608 -24677 14179 -12414 11283 9279 3632 -2449 3526 18806 15752 10935 -14447 12198 -8830 -16497 6387 4936 4010 7373 -7267 -3791 582 -6111 -28180 14433 -14252 26037 6431 -2935 1848 -1201 16165 9552 -1925 8548 52435 -3772 -12458 -7194 16378 24796 15185 7070 1430 -2987 -28475 -11630 -4931 -7486 -3534 -3268
+13276 6842 -3304 665 2749 4586 5356 15710 10443 -2079 -22166 31469 -34526 20425 7359 -9835 4567 8180 -5228 15422 4594 -5261 18835 2383 -1537 16389 -4748 6652 6757 -2557 8614 9527 8505 1407 -16368 16778 13342 13814 17515 -21013 20632 3495 -6573 -1832 -18054 15330 24616 12848 8013 25545 -11662 7607 -7472 -4477 -2750 763 -389 -17830 -14905 -11102 15191 -11859 16086 11269 -8766 -9929 -10950 -6768 9752 3097 3892 7879 18335 -21515 8346 -21990 -7894 4726 2579 6709 -16361 -9781 930 -3092 -36483 6568 11859 4217 -19631 -33026 -13968 -1348 4023 7179 28795 14527 11222 8662 -4034 2454
+-16770 4286 -3330 -11049 -4446 1229 -2939 -13914 -11033 -8523 24939 -33069 32482 -12629 -9516 12571 -7519 -7891 3720 -20333 -3651 7076 -23254 -1799 -196 -21428 8330 -7188 -8961 9211 -10576 -11658 -9519 -4375 14095 -13711 -18085 -19387 -19758 24494 -20878 -7249 986 3259 12286 -11668 -20617 -3630 -14784 -25689 14571 -14522 13598 9905 2655 -5229 3314 22345 17352 12412 -6447 16330 -10133 -15345 7313 2660 2739 5205 -7591 -2224 -18 -9748 -27730 11597 -14298 22590 7629 -3266 -480 -1760 14978 6666 -2669 10259 48786 -1341 -13104 -8953 15240 23744 14994 7378 1931 -6758 -28435 -12348 -6763 -7682 -1953 -2180
+16898 5230 -5098 3175 4303 4305 2953 12137 9152 1308 -23569 31354 -35513 22631 7764 -10934 4639 8737 -7825 15741 6279 -6651 20744 4863 -3044 18814 -5976 5985 5264 -2392 6897 11957 7566 1704 -13550 15581 13602 14374 19624 -21158 16242 2878 -5592 -2843 -17624 10836 22875 9105 9951 21996 -13620 6660 -9778 -5735 -3050 3575 1759 -19436 -16364 -9412 13149 -14163 17308 11706 -8727 -8950 -8522 -5395 11063 2201 1870 7507 21809 -21646 11114 -18051 -9553 3165 1507 6809 -14693 -9129 1130 -2677 -41631 3715 10572 5350 -16111 -34398 -14926 -2591 2071 3213 27500 13264 8979 9432 -1030 2752
+-18175 1638 1554 -8144 -4584 -2541 -4379 -15006 -12295 -8489 25094 -35590 33857 -9669 -12610 10959 -5554 -11018 4144 -20998 -2837 5380 -20460 -3236 -751 -20767 8386 -7452 -7761 9533 -13317 -10190 -6965 -5038 17464 -10628 -16752 -19037 -22699 22114 -18416 -7956 -796 1331 14199 -12672 -20227 -8494 -8697 -24056 13666 -10606 11228 7808 2081 -1561 6938 21030 13758 10292 -12085 15181 -7874 -12788 8642 6145 4690 3762 -8123 -2617 -2157 -10149 -24146 17161 -15912 25386 6480 -5971 -3670 -5161 18683 7869 -4016 8086 51855 445 -14134 -10639 14378 27729 15842 5924 331 -1005 -30887 -15363 -9614 -9619 412 29
+18086 3509 -3633 4421 3349 1436 9191 13289 9008 -1536 -23036 31088 -35263 24400 7123 -12598 6091 9862 -9384 15410 5267 -6181 18782 4742 2124 19830 -9391 6472 5464 -2709 6634 9837 9297 1971 -11880 14492 12963 13523 19394 -22336 17888 2573 -6115 -1819 -16266 13266 22267 7100 13941 23265 -12205 9689 -9935 -6339 -3858 5873 6120 -18366 -14803 -8370 9112 -17670 18238 12747 -9672 -7040 -5797 -4088 8706 976 539 6323 19479 -17131 15551 -16057 -9223 3446 2887 5038 -15284 -6289 2422 -3091 -37746 3174 10382 6433 -14621 -31894 -12699 -2672 1085 8266 27899 15048 11210 8391 -4366 1070
+-22676 436 3714 -10539 -7070 -1427 -2485 -9998 -9615 -10776 25209 -33904 34261 -8997 -11064 13029 -3502 -11307 5243 -20894 -3863 5923 -17775 -5022 3250 -22076 10750 -2938 -5063 6278 -9200 -12583 -6197 -1774 11716 -11324 -16781 -19032 -22586 22962 -17754 -8572 351 2448 15254 -9586 -21785 -4107 -10422 -23855 13819 -10481 13962 9587 1490 -4006 2731 20168 14523 10293 -8618 15031 -11071 -13585 10520 5580 3692 3154 -6699 -2701 -1823 -7587 -23726 16036 -17978 21026 8024 -4012 -2329 -7636 14263 6545 -4526 8084 53762 2342 -13134 -10175 13566 27346 16932 7072 1891 -1190 -27870 -13717 -8033 -10908 -924 -28
+15706 4891 -6053 1038 3147 2556 11458 11718 8630 -2045 -24619 27799 -33447 21142 7898 -10681 4623 12771 -11708 15181 5959 -6326 17649 6871 3638 17777 -11833 6692 6058 -4611 10293 9030 7904 3181 -17069 13281 12141 12540 18355 -21426 19209 1755 -7022 -1184 -19373 15156 24387 10858 8179 26638 -11623 6046 -7166 -4667 -2740 2189 2219 -15209 -13057 -8401 14393 -12122 13529 10414 -8507 -9375 -7229 -2967 9025 1631 2413 9407 17766 -22365 17844 -20918 -8848 7425 7331 8194 -21725 -9374 1703 -3675 -39793 6498 13710 7107 -16707 -34724 -11293 -338 2544 1700 30067 16983 9957 5042 -2160 -889
+-19831 1953 4185 -10408 -6327 -910 -8863 -8768 -6924 -11795 26712 -28716 32951 -12238 -11835 15050 -3134 -12307 9140 -21978 -4430 5158 -18567 -6560 2668 -28071 13282 -3967 -6082 4929 -8818 -11795 -7382 -3087 11348 -12713 -16381 -17712 -19936 24883 -19230 -6250 2020 434 16187 -12828 -23326 -4478 -12650 -28591 13991 -11133 15614 11045 3718 -4331 -2751 16589 14773 9611 -12018 17869 -12406 -16559 9846 3733 1768 4794 -5756 -4206 -421 -6361 -28417 15008 -23508 20024 8199 -4402 -2383 -3992 16945 5747 -5377 7105 50043 1493 -12411 -9669 11930 27568 18096 6611 1561 -4083 -28394 -15515 -9425 -8440 -25 1135
+17947 8696 -5738 3947 4337 812 11146 7906 7860 1968 -26894 27069 -34647 22554 6286 -11304 3940 13624 -10738 13139 5438 -5669 16075 6274 2370 15688 -11698 4472 2961 -3460 8967 11783 7307 -693 -9324 13050 11203 11337 16742 -21257 19009 921 -7870 -1074 -19677 12648 25807 4737 8767 26486 -11028 6470 -10877 -6890 -1954 4330 3241 -17662 -14576 -9992 8925 -12619 17701 11911 -8768 -8559 -8702 -4618 9254 2493 2677 7697 20925 -21350 15300 -14538 -14589 2096 4124 11048 -17872 -9109 2318 -2816 -43075 3603 12136 6631 -15492 -33670 -13847 -2249 339 3391 26712 14683 9470 8596 -18 186
+-18582 1220 5028 -8932 -4567 -1525 -10954 -9701 -8974 -10211 31273 -29700 37730 -12417 -14180 13741 -1596 -14691 10828 -21837 -6158 5108 -16392 -2181 138 -29049 14599 -5188 -7289 5005 -11714 -11120 -8503 -5468 17259 -11490 -16550 -18128 -20863 24003 -20903 -5929 1117 -1252 20284 -12681 -22595 -9335 -11862 -27821 14877 -9191 12125 7685 3327 -945 1477 16502 14248 8722 -15794 13887 -9713 -15684 6943 5622 2089 4417 -6010 -4492 -241 -7600 -27767 17997 -25685 23887 10891 -5850 -4946 -4372 26198 10098 -3483 8074 52950 -3459 -15790 -10834 15455 26554 10590 3692 748 1675 -31279 -16567 -6366 -3155 -2155 4377
+14659 7181 -6684 2165 4854 5669 11936 10049 7325 894 -28844 29473 -32574 25820 8682 -11595 5668 11637 -12052 14137 5794 -3729 17600 5820 753 19917 -11179 3369 4778 -1773 7004 11675 6505 -160 -9030 14279 11578 11509 15110 -19477 20341 -116 -8435 -38 -18758 15975 23976 6878 12793 26697 -12583 8480 -10227 -6007 -2493 3289 5749 -15033 -15469 -9741 15328 -16456 19095 15217 -10706 -8984 -9031 -6423 9474 3623 2753 6585 21318 -24453 15958 -14958 -14850 2773 4261 8411 -16386 -6967 3224 -769 -38008 1954 11823 6960 -13522 -34907 -15598 -2587 1353 6582 25462 15105 11854 9105 -2184 1047
+-17232 -1820 1583 -10926 -5073 332 -7587 -9536 -10829 -10050 33114 -33396 37660 -12251 -10131 13685 -3676 -13795 11533 -19814 -6324 6079 -16899 -2686 161 -25221 13677 -4292 -7692 5484 -12394 -13144 -7972 -1402 11132 -11327 -15810 -16681 -17464 23217 -21631 -4349 2991 22 17465 -11824 -23981 -2721 -13846 -28971 15389 -9037 16300 10015 2531 -3888 826 19649 15310 11982 -11561 14511 -9277 -17912 9499 6213 2785 2501 -7139 -2443 1870 -6238 -28788 15375 -23531 16339 11820 -2922 -2285 -6448 19084 9034 -2457 9993 52701 203 -14178 -11217 10301 26031 14141 7535 4385 -2799 -26938 -14117 -7905 -8979 -935 3618
+9869 8651 -5685 1398 3896 5999 11923 13013 8922 -1460 -30522 32585 -35141 25152 9271 -11411 6078 13614 -12962 15928 8200 -2057 18166 3935 69 21494 -10458 3363 6071 -39 9489 11394 9596 2442 -16257 13889 11705 12313 16711 -18182 21581 1156 -6930 1919 -21030 15310 23709 9520 15395 25567 -12806 4253 -5596 -2616 -2021 3038 3622 -16661 -15895 -8646 18960 -12869 17463 12338 -9346 -10804 -8566 -5460 11007 4415 1599 4200 18796 -25456 16346 -16216 -10510 6958 7478 6486 -25394 -12385 243 -3687 -40111 6612 15682 8298 -16125 -33820 -12024 -891 -429 -2124 26225 15859 9540 6423 -2510 -3102
+-12977 4108 3788 -7969 -4867 -3611 -5777 -12903 -11541 -7300 32740 -36263 33102 -15343 -8038 14864 -6662 -10496 10624 -20285 -4302 5715 -19918 -5721 1455 -20156 12563 -2298 -6257 4091 -10381 -13498 -6579 -976 14574 -11185 -17457 -18674 -18627 20052 -22244 -4479 2000 1019 17808 -16203 -22304 -4382 -20039 -31229 14049 -9550 13397 8464 2982 -442 1059 19448 14778 10422 -15238 16120 -9657 -17904 10087 5884 4220 3352 -6884 -2070 1470 -6832 -28770 19084 -24075 19304 9363 -5817 -4254 -6059 14568 7532 -3366 7266 51123 1838 -13666 -11008 11567 28008 15846 6513 2069 -3361 -25943 -14314 -9106 -9436 -1386 130
+13325 8350 -2863 5330 2452 1991 11406 13305 12346 -2703 -30821 36456 -35463 22842 5638 -11580 5682 11488 -11021 13733 7730 -3975 16314 1720 -184 19916 -10407 2039 4279 1177 11237 12198 12384 -2232 -11793 13958 11239 12304 15944 -18360 21876 655 -7801 585 -20942 14648 23675 4615 19544 23835 -12578 2146 -4979 -2567 -2070 4638 7521 -18362 -15318 -9466 17360 -15186 17711 13598 -10990 -9659 -6940 -3186 10644 2136 -1862 2706 19185 -18863 17462 -12818 -8893 4881 3457 5855 -18730 -9923 838 -4824 -41250 4831 12376 7296 -14052 -30199 -10918 -3315 -2587 5076 26866 15183 10448 8285 -6121 -4820
+-17139 4364 3409 -9249 -7389 -5493 -7086 -13661 -10759 -6896 33582 -39728 30440 -10689 -9278 11435 -5474 -14260 9034 -21410 -5544 3586 -18195 -4331 915 -20487 11572 -2305 -7158 1407 -10829 -13701 -8743 -3748 15809 -9623 -16850 -18477 -19927 19438 -23706 -6216 221 -520 16435 -14447 -20989 -5889 -24492 -22403 12117 -4127 9885 5234 -77 -2814 1643 21519 17830 11925 -15235 12162 -11423 -12339 8963 6601 4607 4801 -7384 -3064 3389 -1364 -25802 16203 -23772 20542 8206 -6176 -4563 -4744 21217 10567 -1663 8070 54389 -2126 -15570 -10410 15137 25425 13025 6951 2943 3474 -25049 -15089 -8607 -10082 -1233 2453
+9995 3443 -8253 2248 4835 7550 11050 13967 11716 -3166 -33372 43398 -30949 24297 6389 -10871 4364 8825 -9475 13835 4372 -5097 15910 3653 1096 14745 -10207 2054 3926 3543 10468 12702 9901 -857 -14734 13169 11750 13197 16477 -19502 22629 2384 -6309 -862 -21333 16034 22607 7980 18700 27363 -13264 3057 -5691 -1249 184 3578 6597 -17370 -15728 -11348 17762 -13335 18710 14178 -10042 -8781 -6685 -1939 7042 -113 -3469 2388 16276 -22281 21991 -17408 -8208 5369 3167 7231 -13843 -7938 2353 605 -38493 3971 12527 8048 -14092 -33060 -12122 -3894 111 2880 27143 15498 11007 7558 -3817 382
+-18399 831 2769 -11875 -6672 -3855 -6986 -12362 -10694 -6504 34287 -43756 32246 -11322 -8708 10723 -3955 -14425 6729 -18405 -4326 3795 -14614 -78 42 -23150 12902 954 -5710 1329 -11764 -13858 -11859 -383 10436 -10731 -16553 -17814 -17351 21292 -23280 -4747 1902 191 15286 -14280 -22502 -1993 -20205 -25174 12125 -5548 11165 7339 1881 -5136 -5106 16894 13815 11357 -18302 17588 -13406 -14614 12771 5626 1743 2945 -7818 -2964 4428 -1248 -24176 11387 -22019 17968 6501 -3677 -1499 -4205 20562 10205 -1977 8015 51163 88 -14032 -10977 12478 23991 14239 9070 5175 -2067 -30313 -16896 -10267 -9302 2335 7326
+13489 4581 -7194 3687 6971 9041 10251 13716 9036 -2447 -34849 43566 -30507 25683 7304 -8837 4138 12520 -9369 14551 4939 -1555 13119 5359 5158 13471 -9836 2633 5675 4329 8162 11755 9262 1710 -13215 12465 11689 13195 17036 -20357 25516 2263 -5830 1657 -21866 16360 26575 8192 21816 26825 -9981 403 -6498 -604 1806 5820 4117 -19358 -17847 -13040 16404 -11295 21456 11979 -11212 -11358 -7521 -550 8676 -3 -4729 -921 15469 -22406 21663 -16735 -13879 1688 4653 8502 -20449 -11131 605 -791 -40504 6721 15176 8357 -17772 -33854 -12299 -3053 909 -2353 26212 15427 11043 10339 -4408 -3160
+-11215 4520 5786 -9013 -4891 -5151 -5664 -13385 -12505 -5793 33607 -46390 32765 -14338 -9630 10589 -3144 -12230 5497 -17901 -4093 4105 -11322 -2231 -731 -26539 13075 -548 -6265 3045 -14773 -12157 -9851 -1884 15728 -10200 -17413 -19261 -20067 23686 -24262 -6886 769 1126 15822 -17047 -25004 -5451 -17874 -33979 13270 -5758 11911 6057 563 -5578 -1078 18637 14309 11946 -18184 17635 -13847 -15153 13656 5366 -129 -418 -6305 -1812 2453 -6489 -23236 15994 -27115 24073 8533 -4252 -1641 -4511 19760 10398 -2775 4123 48124 -937 -15277 -12009 12295 26798 12294 5078 1412 2671 -29347 -15877 -9481 -8321 -109 4990
+16966 5873 -6350 4461 5168 6809 6947 12913 7776 -4582 -32282 41216 -32877 25720 4974 -8221 3959 13011 -11094 12330 6570 -1889 10047 6069 6465 17930 -12478 1791 5579 1652 10021 11772 10424 411 -10595 13052 12404 13288 15641 -20492 24736 -12 -7996 324 -20246 17315 28435 6518 18852 26210 -10728 3249 -8531 -3974 -1399 5665 8364 -15874 -13079 -10274 21941 -16950 22497 13538 -15651 -10035 -6425 -965 11388 2386 -896 1993 17606 -21160 19485 -14786 -12826 2162 3415 8188 -22402 -12245 -161 -1815 -36185 4392 14391 8825 -14431 -29481 -9495 -2823 -1635 737 26678 14929 11342 10728 -4249 -5095
+-17014 2146 3186 -11793 -9541 -7424 -5617 -11767 -9059 -8679 35676 -44545 31424 -15082 -9638 9643 -3548 -15196 6800 -20535 -5488 2750 -12933 -7361 -678 -25193 12548 -1688 -10369 1263 -12963 -11383 -8612 -4288 14812 -9641 -16729 -18951 -21281 23142 -26480 -7885 1421 -686 16432 -15616 -25408 -3747 -21318 -30030 10976 -6708 13920 6399 -1680 -7322 2291 20960 14945 13489 -10840 12442 -16031 -13193 12133 6850 1015 -3045 -6691 -3142 2662 -3855 -20896 15527 -28475 19716 10014 -2679 -2793 -5867 21369 12031 -1085 5644 48651 -2452 -15478 -11578 13387 25322 15004 7742 3380 5626 -25080 -15129 -9907 -11922 1449 7552
+9127 3681 -8336 1668 4961 8192 7859 12300 7672 -3042 -28721 38775 -29601 24969 4006 -9258 4668 12071 -9473 12513 5856 -3062 10614 6719 5886 21199 -11765 2606 8715 274 13303 10426 10207 2728 -17344 12066 12300 13088 16143 -19501 23535 2061 -7852 -2058 -20537 17868 29313 9731 16317 32327 -11655 5966 -8350 -3138 579 10280 6704 -17433 -15904 -13049 20222 -14301 25571 11936 -16254 -8687 -4379 357 9992 2725 1538 7568 15146 -22433 23331 -20069 -6380 6445 4980 7661 -22431 -13936 -63 1034 -36202 5041 13069 7612 -14414 -31451 -11022 -1868 -1273 -4580 28745 15066 8989 6117 -1427 -3697
+-18638 1247 4470 -10452 -8045 -7364 -4639 -13350 -8087 -6596 33694 -43887 31804 -15688 -6254 9963 -2478 -14256 5663 -19218 -5247 2012 -9158 -7084 -4676 -23631 12902 -1585 -10686 1070 -10860 -11601 -8378 -4979 15832 -11699 -16959 -18620 -19045 24581 -25834 -4804 3429 38 17459 -16379 -26720 -4264 -20470 -27031 11619 -6923 12308 7175 703 -5600 -741 17821 11902 12851 -15084 18092 -15405 -14291 14135 4591 5 -2777 -5997 -3372 2419 -3410 -20818 16165 -28298 21262 7349 -4193 -2651 -5390 19817 9994 -2492 7520 48619 -617 -15749 -12440 11764 25343 15633 8616 4457 1356 -30452 -17154 -10096 -7963 3226 10764
+12016 6845 -5410 5300 5858 6975 14014 13983 9174 -2277 -30843 43161 -31258 26163 3261 -9840 4763 16690 -9165 12867 4911 -2845 10247 7168 4734 22449 -10950 3065 9675 1825 11406 9398 9887 1690 -16183 12289 11661 12038 15108 -21585 26156 -933 -9081 1055 -20816 20614 30027 2660 23551 33182 -10082 3122 -8730 -3388 930 11824 6363 -18203 -16062 -13242 15276 -14124 25709 11584 -13964 -10625 -5077 3020 12903 4213 1326 7475 15603 -20162 22683 -15877 -10135 3127 5070 9129 -20154 -11907 -880 -598 -37428 6222 13653 7611 -14322 -31732 -14991 -3863 -800 -3768 26818 16189 10302 7833 -6092 -12238
+-11488 5094 8361 -8513 -6568 -4555 -7038 -13538 -10046 -6485 33763 -42919 31767 -17266 -5158 10722 -2968 -11352 6667 -19226 -5004 3130 -9976 -7664 -5686 -24806 14249 -2679 -11798 3826 -13598 -11681 -8192 -5046 18510 -10950 -16037 -17540 -17669 24043 -25155 -3263 1748 2179 19876 -17408 -27708 -2171 -22235 -34814 13391 -6597 11514 6058 402 -7171 1566 19349 15311 13743 -16699 15834 -16979 -13173 14535 4295 -33 -1677 -10400 -6040 -1373 -10138 -26071 17782 -29831 25923 3085 -7633 -4307 -7019 19751 10123 -2760 6192 50231 -661 -16536 -12638 12340 23872 12089 5249 1739 4293 -34685 -17678 -7186 -2583 1013 10062
+13462 7035 -9447 4537 6890 8041 12656 14859 10153 -3303 -34833 47582 -34408 25883 4210 -8611 3626 16590 -9866 12866 5813 -2577 10303 8338 4679 20325 -9891 1873 8820 1820 8007 9739 9725 1713 -15621 15102 13206 12982 14736 -22049 26969 -1326 -8866 -635 -19630 19900 29191 4458 25176 25939 -10716 -52 -7285 -3106 -1018 6600 5779 -15995 -11300 -12357 14691 -17234 22995 15098 -12567 -8347 -6178 857 12029 6593 2358 7535 15865 -24480 19630 -16524 -6750 5594 5213 9044 -18637 -10839 697 -998 -36392 4390 14928 10152 -13798 -32400 -13540 -1869 16 -1403 33958 18236 10580 3889 -8461 -17164
+-14413 2555 2725 -12736 -7410 -2046 -10970 -14337 -11231 -7657 35284 -46924 34040 -17953 -6551 12043 -3681 -15284 7737 -20047 -4788 3579 -11662 -9100 -3226 -25859 14129 -3318 -14093 2618 -13068 -8874 -9551 -5047 15847 -9934 -14987 -16397 -16117 23007 -28362 -2252 3160 -344 21042 -19224 -27602 2233 -28826 -36688 11248 -4645 11256 6234 838 -8477 921 19285 14276 12913 -14674 16197 -17561 -11600 12979 5551 2267 1290 -12996 -7676 -1439 -10364 -30275 14602 -25797 18631 5475 -5494 -4433 -6338 23327 12741 -1137 5124 50240 -1952 -14548 -10338 12236 22060 17298 7751 2856 3776 -32732 -18811 -10982 -9417 5218 16424
+9273 5875 -10543 2743 7108 5318 12068 13888 10043 -1425 -34760 47615 -35600 28623 4578 -9544 3686 14758 -8671 13956 7552 46 9335 10681 5844 18780 -11167 2526 9841 3686 9927 10154 10366 1820 -13781 13037 11553 11928 15060 -21980 29105 1024 -8019 -803 -22910 19970 29428 3178 22491 32518 -12424 -1024 -6142 54 1699 7991 -491 -17379 -13748 -14462 11696 -13161 22045 10776 -11662 -7114 -6433 -1365 10462 6687 3992 11778 19043 -24572 20170 -19699 -4499 9844 7310 11666 -22314 -14026 105 -2108 -38677 6960 16446 10071 -17477 -30481 -10134 -565 -83 -4468 34712 18654 9516 1811 -7071 -14683
+-15159 1051 7084 -11765 -8536 -4182 -10207 -12262 -12174 -9343 38425 -50182 35518 -16222 -8261 9425 -2117 -17035 7568 -17663 -4032 2808 -10138 -6821 -2085 -28034 13855 -1322 -12476 415 -10610 -8988 -9808 -6629 19277 -11386 -16960 -18073 -16658 20811 -29166 -5407 3417 678 20809 -17370 -27792 -4686 -23227 -30700 10691 -373 8399 3772 -379 -7058 1804 18341 10830 12879 -10824 17955 -15647 -13493 13205 2856 1765 2137 -9113 -7313 -1510 -11559 -25247 21995 -26078 22085 4818 -7581 -4057 -7609 22108 12088 -2173 5870 49645 -695 -16461 -12846 14281 25685 14385 4639 2275 3846 -32573 -17976 -9601 -4814 4837 19760
+8698 9129 -6371 5261 6081 2882 12809 13283 9468 -2906 -32988 44801 -35929 31586 3358 -9221 4625 14245 -10243 13490 3752 -3341 11538 8573 5198 18300 -14573 3302 10813 2960 8677 7852 12000 2889 -16262 13513 10040 10146 12151 -20543 29229 374 -10154 1538 -25922 19924 28576 -2221 23180 35223 -10329 -2938 -2643 1333 -469 6253 2972 -14709 -11242 -10686 14477 -15093 21709 7962 -12144 -6874 -5658 -2267 12132 5822 2361 9694 19486 -21603 21421 -15643 -8479 6857 6542 10524 -25166 -14231 -1016 -2864 -40873 4325 11952 7267 -14965 -28115 -9564 -1421 -175 -1298 30810 18484 13240 9662 -9685 -14864
+-12440 1307 8869 -9846 -10451 -3985 -8346 -11751 -10561 -8598 37365 -52988 37096 -19687 -7568 11361 -4149 -14219 4956 -16347 -3034 1232 -9411 -6262 -1804 -27135 13352 4 -12636 230 -10274 -11354 -9973 -3773 17066 -12121 -16851 -17587 -15391 21485 -30480 -8013 4665 3098 19980 -17030 -28181 -1180 -23209 -33919 13917 -2770 8874 2528 -2277 -6719 7108 20093 11313 14024 -9141 14373 -11395 -11454 12316 1923 496 -53 -5264 -5534 196 -9415 -23738 21943 -26464 24565 5390 -8883 -6329 -12894 23796 16638 698 9682 53020 -928 -16447 -13663 13808 22820 9807 3409 2749 6423 -33791 -18088 -8744 -3987 4766 17968
+10537 7436 -10333 3151 6793 6673 11976 13236 9946 -1742 -32831 45549 -37679 29158 4341 -8486 3089 15359 -9474 12575 5094 -3579 7816 7527 6391 20164 -13563 1525 13009 2137 7710 7518 11015 6738 -20984 13898 10496 10829 12901 -18851 30985 2393 -9643 -1524 -25014 18147 28922 6421 19692 28694 -11786 -3382 60 2413 -725 5842 3286 -16463 -12194 -12271 12756 -17641 19115 8410 -16805 -4855 -5225 -3696 9721 6573 3084 9634 18980 -28894 22236 -20434 -6498 7498 4961 7675 -23128 -13695 -210 -593 -36693 2333 11030 7171 -16693 -29639 -7445 921 353 -2940 32735 18054 11541 4709 -8248 -16833
+-15194 -1801 5399 -11729 -7452 -2831 -9317 -14035 -9489 -7049 34171 -52135 38024 -18680 -6109 11951 -4282 -17472 7236 -17551 -3130 2044 -8479 -8739 -1200 -25182 15234 -503 -14294 1380 -9622 -9947 -11435 -6761 16828 -11121 -16000 -16597 -14274 22285 -32913 -5328 4870 512 22008 -20071 -28394 2421 -23252 -37299 14764 -1179 5601 1957 552 -3334 4824 18314 10559 11137 -11549 16290 -5988 -9341 13663 2834 1154 357 -6843 -7728 -620 -8893 -27416 16938 -24753 23778 6041 -5955 -3219 -6530 22546 14027 1943 11432 52477 1791 -12624 -11832 12698 20451 12569 7095 4582 2797 -32552 -18234 -11549 -10207 5740 18246
+12670 7475 -11428 3910 7767 5857 14525 13969 9604 -1305 -34720 49579 -36218 28771 5121 -6914 5021 14438 -7147 11340 7038 -971 7775 8398 3538 20980 -12781 849 12954 3888 8936 11238 10326 3700 -17141 14723 9636 9411 11273 -17066 31968 2097 -8607 -247 -25706 17258 30048 2708 20055 33149 -14067 -1339 -757 2560 1054 5651 -1876 -18276 -12756 -13806 12423 -13683 14528 5393 -17036 -6097 -6661 -2285 10124 6063 862 8552 24017 -27943 20188 -19261 -7643 6175 5960 13808 -21229 -18777 -4306 -4279 -37168 6268 13192 7168 -20897 -30607 -9843 -1341 -806 -3395 33453 19375 12356 5767 -7603 -16972
+-15329 -3203 9444 -10401 -7226 -5803 -9311 -13887 -12056 -10258 33131 -48852 37751 -16634 -8883 7737 -3490 -18545 7564 -18464 -5356 1477 -8143 -7298 -1781 -24207 15090 -1679 -14711 -660 -9203 -10701 -13002 -9064 24289 -12332 -16620 -17038 -15600 20865 -32757 -6831 1969 506 21641 -18345 -28893 -2416 -16115 -33244 15021 2905 4555 1138 803 -5163 3215 18019 14329 14812 -11135 17013 -8084 -9697 17100 813 322 2561 -7964 -8506 -1194 -9479 -28876 22992 -25701 26627 3959 -6416 -2355 -2590 22191 12938 277 8325 49634 1515 -12767 -10922 14320 23389 8521 4881 2657 3522 -36238 -19266 -10016 -5532 604 15460
+13197 7949 -10554 6471 5175 5963 16193 15533 9832 -3209 -33157 51625 -37697 26937 4624 -9668 3978 16309 -10508 11849 6838 -437 6878 9145 2735 22511 -15082 -464 11735 3061 7482 9973 12514 5259 -21912 16282 10731 10290 11971 -14790 31523 931 -8216 701 -28149 20120 29913 -404 18299 40651 -12266 -4654 -814 1816 -446 4205 2083 -15573 -11150 -12592 12115 -12397 10964 7572 -15024 -7302 -6660 -430 8530 4539 -644 7069 23272 -24143 20727 -18946 -9811 4359 5191 10328 -23560 -18201 -5158 -6788 -39474 4050 11502 7831 -17202 -28453 -7582 -1555 -1436 -1177 34628 20753 13805 9116 -4163 -16577
+-17557 -896 7940 -12619 -9124 -5435 -14198 -14840 -11262 -8918 34003 -50838 39110 -15193 -7721 10617 -4255 -16759 4729 -17850 -5539 1813 -8405 -4816 -2558 -26706 16260 -2080 -13864 -452 -11114 -12809 -10454 -6492 20798 -10816 -14638 -15460 -15474 18156 -33652 -7376 2076 -1265 20628 -17328 -30512 1613 -19665 -36251 15416 -148 8051 1615 -460 -5085 5441 17212 13413 15573 -14784 11884 -5366 -8545 16350 2038 1798 3916 -7769 -6824 2685 -4291 -33021 18553 -27278 23083 5791 -5497 -3981 -9907 22509 19022 2654 9348 51389 -7109 -15304 -7662 22325 19148 11889 7206 2348 2004 -33510 -17928 -9851 -7446 82 17880
+13103 10618 -14906 3910 3878 7794 15333 14955 11492 -865 -31869 49994 -37030 24344 5355 -9043 3975 17964 -10462 13266 5601 -2048 10024 9131 2980 23101 -15269 1227 12032 2448 8342 8509 12226 6960 -20909 14313 11354 11488 13195 -13787 31113 2971 -5750 -199 -25878 17549 32576 7047 12859 35351 -12276 -7006 1219 3786 980 4968 542 -16933 -16260 -15366 12685 -12219 11041 9183 -15621 -4627 -4690 -1505 8217 4778 1044 7447 20513 -28523 20371 -22376 -6361 7209 4988 6399 -23286 -15529 -3702 -5684 -40330 5313 10404 5980 -19162 -28021 -7207 -405 857 -745 33639 18599 10994 4321 -1316 -14235
+-17324 1723 10339 -14528 -7468 -6881 -17120 -15137 -11020 -7211 37079 -51220 36715 -14161 -5766 12142 -3267 -17464 7971 -17807 -4179 1949 -11811 -5168 -1355 -27115 17163 -583 -14527 1070 -9450 -10736 -11536 -9176 22793 -13140 -15912 -16646 -15165 16681 -31797 -6878 1174 31 22616 -18918 -27650 2280 -18104 -41541 15687 1832 7535 2451 443 -2803 3550 16745 12152 16095 -14203 14808 -4186 -10535 16956 4570 1915 -301 -8687 -5642 2947 -4723 -32441 21309 -25233 21535 5775 -5063 -3612 -10281 22908 18207 2034 10217 52016 -6133 -15682 -8953 22085 19887 10395 6761 2723 1640 -35319 -18255 -8844 -6212 -1756 15892
diff --git a/sim/generate_datasim.py b/sim/generate_datasim.py
index 204f537..171e0c5 100644
--- a/sim/generate_datasim.py
+++ b/sim/generate_datasim.py
@@ -66,8 +66,11 @@ trespmat = np.zeros((N_PSC, N_BPM), dtype="int64")
 trespmat[:50,:122] = respmat[:50]
 trespmat[50:,122:] = respmat[50:]
 
-KP=2**16
-KI=0
+K_A = 218
+K_B = -186
+K_iC = 325
+K_D = -3225
+C_N_RND = 20
 
 ## -----------------------
 # Model computation
@@ -83,13 +86,13 @@ for i in range(size):
     mm[:,i] = _mm>>N_MM_RND
 
 # Step 3: correction computation
-mp=np.empty((N_PSC, size), dtype="int64")
-mi=np.empty((N_PSC, size), dtype="int64")
-mc=np.empty((N_PSC, size), dtype="int16")
+corr = np.zeros((N_PSC, size), dtype="int64")
+
+for i in range(1,size):
+    _corr = ((mm[:,i]*K_A + mm[:,i-1]*K_B + corr[:,i-1]*K_D)*K_iC)
+    corr[:,i] = np.clip(np.round(_corr/2**C_N_RND), -2**16, 2**16-1)
+
 
-mp = KP*mm.astype("int64")
-mi = (KI*np.cumsum(mm.astype("int64"), axis=1))>>8
-mc=np.clip(np.round((mp+mi)/2**34), -2**16, 2**16-1).astype("int16")
 
 #############################################################################
 # Write partial and results to files
@@ -102,13 +105,9 @@ with open("matmult.txt", "w") as fp:
     for i in range(size):
         fp.write(" ".join(mm[:,i].astype("str"))+"\n")
 
-with open("propcorr.txt", "w") as fp:
-    for i in range(size):
-        fp.write(" ".join(mp[:,i].astype("str"))+"\n")
-
 with open("corrout.txt", "w") as fp:
     for i in range(size):
-        fp.write(" ".join(mc[:,i].astype("str"))+"\n")
+        fp.write(" ".join(corr[:,i].astype("str"))+"\n")
 
 
 
diff --git a/sim/tb_corr_matrixpi.vhd b/sim/tb_corr_matrix.vhd
similarity index 96%
rename from sim/tb_corr_matrixpi.vhd
rename to sim/tb_corr_matrix.vhd
index a82d6fb..ed5dd10 100644
--- a/sim/tb_corr_matrixpi.vhd
+++ b/sim/tb_corr_matrix.vhd
@@ -11,14 +11,14 @@ library osvvm_AXI4 ;
 
 library desyrdl;
     use desyrdl.common.all;
-    use desyrdl.pkg_corr_matrixpi.all;
+    use desyrdl.pkg_corr_matrix.all;
 
-use work.pkg_corr_matrixpi.all;
+use work.pkg_corr_matrix.all;
 
-entity tb_corr_matrixpi is
-end entity tb_corr_matrixpi;
+entity tb_corr_matrix is
+end entity tb_corr_matrix;
 
-architecture TestHarness of tb_corr_matrixpi is
+architecture TestHarness of tb_corr_matrix is
 
     constant TPERIOD_CLK : time := 10 ns ;
     constant TPD         : time := 1 ns ;
@@ -31,8 +31,8 @@ architecture TestHarness of tb_corr_matrixpi is
     signal tb_rst_n     : std_logic;
 
     -- AXI-MM desyrdl
-    signal tb_s_axi_m2s       : t_corr_matrixpi_m2s;
-    signal tb_s_axi_s2m       : t_corr_matrixpi_s2m;
+    signal tb_s_axi_m2s       : t_corr_matrix_m2s;
+    signal tb_s_axi_s2m       : t_corr_matrix_s2m;
 
     -- Address Bus Transaction Interface
     signal ManagerRec: AddressBusRecType(
@@ -118,7 +118,7 @@ begin
     -----------------------
     -- DUT INSTANCIATION --
     -----------------------
-    dut: entity work.top_corr_matrixpi
+    dut: entity work.top_corr_matrix
     port map(
         clk            => tb_clk,
         rst_n          => tb_rst_n,
diff --git a/sim/tc_basic.vhd b/sim/tc_basic.vhd
index 30f8914..31ad508 100644
--- a/sim/tc_basic.vhd
+++ b/sim/tc_basic.vhd
@@ -1,4 +1,4 @@
-use work.pkg_corr_matrixpi_version.all;
+use work.pkg_corr_matrix_version.all;
 
 architecture basic of TestCtrl is
 
@@ -89,8 +89,10 @@ begin
         log("==--- Configure the DUT ---==", INFO);
         log("+-- Global Config", INFO);
         -- Correction coefficients
-        Write(ManagerRec, f_addr(12), f_data(16#00010000#));
-        Write(ManagerRec, f_addr(16), f_data(16#00000000#));
+        Write(ManagerRec, f_addr(16#0C#), f_sdata(218));
+        Write(ManagerRec, f_addr(16#10#), f_sdata(-186));
+        Write(ManagerRec, f_addr(16#14#), f_sdata(325));
+        Write(ManagerRec, f_addr(16#18#), f_sdata(-3225));
 
         -- Enable
         Write(ManagerRec, f_addr(8), f_sdata(5));
@@ -221,7 +223,7 @@ begin
 
 end basic;
 
-Configuration tc_basic of tb_corr_matrixpi is
+Configuration tc_basic of tb_corr_matrix is
   for TestHarness
     for TestCtrl_1 : TestCtrl
       use entity work.TestCtrl(basic);
diff --git a/tcl/main.tcl b/tcl/main.tcl
index c2ee3f5..15b89fb 100644
--- a/tcl/main.tcl
+++ b/tcl/main.tcl
@@ -7,7 +7,7 @@ proc init {} {
     variable Config
 
     # Parse configuration from VHDL package
-    parseVhdlConfigFile Config "../hdl/pkg_corrmatrixpi.vhd"
+    parseVhdlConfigFile Config "../hdl/pkg_corrmatrix.vhd"
 }
 
 # ==============================================================================
@@ -15,22 +15,22 @@ proc setSources {} {
   variable Sources
 
   # Generate VHDL package with modversion
-  genModVerFile VHDL ../hdl/pkg_corr_matrixpi_version.vhd
+  genModVerFile VHDL ../hdl/pkg_corr_matrix_version.vhd
 
-  lappend Sources {"../hdl/pkg_corr_matrixpi_version.vhd" "VHDL"}
-  lappend Sources {"../hdl/pkg_corrmatrixpi.vhd" "VHDL 2008"}
-  lappend Sources {"../hdl/corr_pi.vhd" "VHDL 2008"}
+  lappend Sources {"../hdl/pkg_corr_matrix_version.vhd" "VHDL"}
+  lappend Sources {"../hdl/pkg_corrmatrix.vhd" "VHDL 2008"}
+  lappend Sources {"../hdl/corr_ll.vhd" "VHDL 2008"}
   lappend Sources {"../hdl/matrix_mul.vhd" "VHDL 2008"}
   lappend Sources {"../hdl/orbit_error.vhd" "VHDL 2008"}
   lappend Sources {"../hdl/data_serializer.vhd" "VHDL 2008"}
-  lappend Sources {"../hdl/top_corr_matrixpi.vhd" "VHDL 2008"}
+  lappend Sources {"../hdl/top_corr_matrix.vhd" "VHDL 2008"}
   lappend Sources [list "${::fwfwk::LibPath}/desy_vhdl/hdl/memory/ram/ram_tdp.vhd" "VHDL 2008" "desy"]
   lappend Sources [list "${::fwfwk::LibPath}/desy_vhdl/hdl/math/pkg_math_utils.vhd" "VHDL 2008" "desy"]
   lappend Sources [list "${::fwfwk::LibPath}/desy_vhdl/hdl/math/pkg_math_signed.vhd" "VHDL 2008" "desy"]
   lappend Sources [list "${::fwfwk::LibPath}/desy_vhdl/hdl/common/pkg_common_logic_utils.vhd" "VHDL 2008" "desy"]
 
   # Simulation sources
-  lappend Sources {"../sim/tb_corr_matrixpi.vhd"  "VHDL 2008" "" "simulation"}
+  lappend Sources {"../sim/tb_corr_matrix.vhd"  "VHDL 2008" "" "simulation"}
   lappend Sources {"../sim/TestCtrl_e.vhd"  "VHDL 2008" "" "simulation"}
   lappend Sources {"../sim/tc_basic.vhd"  "VHDL 2008" "" "simulation"}
 }
@@ -38,7 +38,7 @@ proc setSources {} {
 # ==============================================================================
 proc setAddressSpace {} {
     variable AddressSpace
-    addAddressSpace AddressSpace "corr_matrixpi" RDL {} ../rdl/corr_matrixpi.rdl
+    addAddressSpace AddressSpace "corr_matrix" RDL {} ../rdl/corr_matrix.rdl
 }
 
 # ==============================================================================
-- 
GitLab