diff --git a/sim/gen_testfile.py b/sim/gen_testfile.py index 5a24bfcdb06fd074a5a8caed9cb818b9a32402ce..c4e1d28d812c7b50adcca29537741e7197d5a378 100644 --- a/sim/gen_testfile.py +++ b/sim/gen_testfile.py @@ -74,11 +74,11 @@ cseq = cseq[cseq['seqnum']!=1] #%% # Print to file -with open("testinput_002.txt", 'w') as fp: +with open("testinput.dat", 'w') as fp: for d in sequences: fp.write("{:04X} {:04X}{:08X}{:08X}\n".format(*d)) -with open("testoutput_002.txt", 'w') as fp: +with open("testoutput.dat", 'w') as fp: for d in cseq: fp.write("{:02X} {:04X}{:08X}{:08X}\n".format(*d)) diff --git a/sim/tb_ccn.vhd b/sim/tb_ccn.vhd index f1524fd8dfa4c29898ca307f136dabd95f2982e0..78b98485eca18657646abebb0b04b30d5e2cc775 100644 --- a/sim/tb_ccn.vhd +++ b/sim/tb_ccn.vhd @@ -66,7 +66,7 @@ architecture testbench of tb_ccn is signal tb_pack_mac_length : std_logic_vector(15 downto 0); signal tb_pack_expect_pkt : std_logic_vector(7 downto 0); signal tb_pack_timeout : std_logic_vector(15 downto 0); - signal tb_pkt_rate_cnt : std_logic_vector(18 downto 0); + signal tb_pkt_rate_cnt : std_logic_vector(23 downto 0); -- Packeter status @@ -224,8 +224,7 @@ begin tb_unpack_mac_src <= (others => '0'); tb_unpack_mac_length <= (others => '0'); tb_unpack_enable <= '0'; - --tb_pkt_rate_cnt <= (others => '0'); - + wait for 4*PERIOD; wait until rising_edge(tb_clk); @@ -252,7 +251,6 @@ begin tb_pack_expect_pkt <= std_logic_vector(to_unsigned(10-1, tb_pack_expect_pkt'length)); tb_pack_mac_length <= std_logic_vector(to_unsigned(10*10+10, tb_pack_mac_length'length)); tb_unpack_mac_length<= std_logic_vector(to_unsigned(10*10+10, tb_pack_mac_length'length)); - --tb_pkt_rate_cnt <= (others => '0'); wait until rising_edge(tb_clk); @@ -275,7 +273,7 @@ begin --------------- p_tx_send:process - file testinput : TEXT open READ_MODE is "testinput.txt"; + file testinput : TEXT open READ_MODE is "testinput.dat"; variable linenum : natural :=0; variable text_line : line; variable readok : boolean; @@ -328,7 +326,7 @@ begin --------------- p_rx_recv:process - file testoutput : TEXT open READ_MODE is "testoutput.txt"; + file testoutput : TEXT open READ_MODE is "testoutput.dat"; variable linenum : natural :=0; variable text_line : line; variable readok : boolean; diff --git a/sim/testinput.dat b/sim/testinput.dat new file mode 100644 index 0000000000000000000000000000000000000000..094d05e8eeb0f83a8e4f7da1f7ce5ba18062aa0d --- /dev/null +++ b/sim/testinput.dat @@ -0,0 +1,8440 @@ +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 000D09E27CAAF590FC71 +0000 000EA445659D97AE25D3 +0000 000FDD540CB1DF6C7EBC +0000 00104D5E0458EE677BF0 +0000 0011EE167148C049F183 +0000 00127FAC7600C5D1ED0B +0000 001315DDB078DEF46B3C +0000 0014A97432D2725C33B4 +0000 00154B4C048AB2BADA60 +0000 00168F3D4D3F1BC26E77 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0001 000DACF4AA3CC3B39EC0 +0001 000E4C7151A3B5629391 +0001 000FF9108ED004EF7695 +0001 00104AF09F073B03C0D4 +0001 0011E321F4C724B24B05 +0001 0012EF92CE1B632B7085 +0001 00132806D447FAB65963 +0001 001466807F3C13F6A294 +0001 001538CC72C8EAF16B3D +0001 0016236A3F0F2D7C5FD1 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0002 000DD3257C0CD2EAB242 +0002 000EE1539FD4EBF7F073 +0002 000F650AB0C6E1E463FF +0002 0010A046E3C9BE897767 +0002 00116FD504DB15863D05 +0002 001229CAF7A45C20F46A +0002 0013510E66118E7FAEE2 +0002 0014C06F16642358D0CC +0002 0015C676DC8F4B149926 +0002 0016C1439185CDC81791 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0003 000D70D133708C2D116A +0003 000EFC9D90AF3DB26E36 +0003 000FDB6F9C645F1A465E +0003 001077602178C26B05F7 +0003 00118966137175AA0F99 +0003 0012F50E08A1869B4D19 +0003 0013554F2F87AC114788 +0003 00142C9ED6F8FE6B98AE +0003 0015A0D18FEE8103ED4C +0003 001660049CDC7F9A6FD9 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0004 000D31F2EEC4CAD05444 +0004 000EAB29923385328EFE +0004 000FCFEF2DB8777DF26D +0004 001080D8761EBC703069 +0004 001190218CA255DB0CF0 +0004 00128A5A1D0A0E9332A6 +0004 0013E282C9CB3D09080C +0004 00147CFAA798A5C5B5A3 +0004 0015ECBCAB311BD07F51 +0004 001683E371EF85B0E608 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0005 000D2D8BF9DDBCC61A71 +0005 000E223BE3DE9690D996 +0005 000FC32F4FFE037380C0 +0005 0010E8F9069569F9A0EF +0005 0011C48CDEB497AFB609 +0005 0012BF34206D199DADCA +0005 00138FE3ED666096FF54 +0005 001489A44F8674AC1555 +0005 00155F37D508678259F3 +0005 00162DA68BC1FBE5EDBA +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0006 000DC1456A7B573093D1 +0006 000EA6FB0DE2EB3F982D +0006 000F04BD44F91635AB40 +0006 00109B709938F401E538 +0006 0011B02F087E5B40DA1D +0006 00123F31322952672F09 +0006 00131CA2351E6D12C172 +0006 0014A0D6CCBAC88DE9B1 +0006 0015828EC7DFF91D1470 +0006 0016E6B8E1D20777CF4C +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0007 000DDE1AC569DFBC1DF8 +0007 000E01A3BC4C6464A53A +0007 000FAA350D996B9B603C +0007 0010630578FEEE122ABD +0007 00110079D3E26826512A +0007 00129A43995741C874FC +0007 0013A886B00D9291FFC5 +0007 00145228A4E58637BD5C +0007 001593B6312D4F38CD9B +0007 001629D8A132B53ED66C +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0008 000D5265DC6AA4EA2ECA +0008 000E9FD5B616827B05DD +0008 000F9925F5F55C0303AA +0008 0010183838103BD281DB +0008 001179D47A0EE1B9BC85 +0008 0012BEC2A5BD6B0FD386 +0008 0013877A43F84A030FA9 +0008 00148BF48FD9215F58E2 +0008 0015C473C75A09605201 +0008 001640539E604D942A6A +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0009 000DB82A9145F51665A4 +0009 000E92FB75555DDC189B +0009 000FAC5EF334A0C15A27 +0009 001058399F87E031E477 +0009 0011A39FF73CAADA41EE +0009 0012076628F4F4F5E543 +0009 0013D2AD73224BB4E045 +0009 00143F503CDB51C7C4BB +0009 0015AC2A97367B423EFA +0009 0016817FA45E33C2275E +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +000A 000D2BB83D6C6CE31CCE +000A 000EF8F61E46D705814C +000A 000FC9D43A43CC5C5261 +000A 0010F49A6356E8337D6E +000A 0011E253DC9889DA9B06 +000A 0012E748E08090B1D8C7 +000A 00138F2BFC56F47BE5B5 +000A 001493A45AA60CFFE3E4 +000A 00158FFA5AD18FBD0031 +000A 0016B9E6F9B503A9C807 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +000B 000DCAEDFF0F02E971A7 +000B 000E77B0EF97EBB2352C +000B 000FE483C37BB722405D +000B 0010F5142A7EA5AA8D26 +000B 00114A1C3FACDAF26898 +000B 001235AD84940526C890 +000B 0013A20390E60E9E2E91 +000B 00145A0C3BFDB8C62D04 +000B 00153650589B9EC0470D +000B 001602DA2E8FFF9EDFB4 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +000C 000D3F105C1A2A724E80 +000C 000E796EB977FA914C39 +000C 000F448C8FC2C0ED1D71 +000C 00107C65F4A97BD3E397 +000C 0011093D543511205AD3 +000C 00128130945275D0C21F +000C 00133B82900DB6F4DBDC +000C 00141505BE790CDC3FEC +000C 0015156062EBC3B69857 +000C 00166F2AFB92A4D2E225 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +000D 000D5D9B353DEB9449EE +000D 000E2A28B5A74DC6FE6E +000D 000F3EB4AD1FC85187F0 +000D 0010FC68BA0C48F6B61A +000D 00117A72F5C479AA8FAB +000D 0012683F0E9A5110A1A0 +000D 001329ED848C4679EDA6 +000D 001401828A6689C4BBF9 +000D 00156CEFF345C9011F6C +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +000E 000DEFD88C12CD500AF6 +000E 000E6A47D037D569534D +000E 000F36747B5DAD104ADD +000E 001022FE10B747DF52CB +000E 0011D0ADD06C9986BD24 +000E 0012BE62221E102801F7 +000E 00132C4CE93E620BC8C0 +000E 0014BE014D641B749CAD +000E 001555F8D386AB696870 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +000F 000DACB7347841204352 +000F 000E9077DBDE99B8C690 +000F 000F4B304137F4020580 +000F 0010C65D85079E8C56E3 +000F 0011FBE0A075F140C649 +000F 001227DC07A98BD5521B +000F 0013AE2D72ACAF4AAC74 +000F 00145464B02937F0E6F8 +000F 00158486245BB35199D0 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0010 000DB0EDA0E0539E391F +0010 000EBA08370EBDE5879C +0010 000F4E27D0BE0974E1E6 +0010 00103ED42BDB16A1F87E +0010 0011112FE722225F167A +0010 0012D7AC35A58307B995 +0010 0013EE31DDD57BBA4818 +0010 0014942B2A05152AA451 +0010 001530DE5774F1DD53D0 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0011 000DC1AC117D18E10B43 +0011 000E0E89A40FAAD398D4 +0011 000F345381495B4E71D6 +0011 0010693A4E2CEEF3326A +0011 0011BE32A01D840F536A +0011 00128F9DE667D8DC5C45 +0011 0013EDF5376B674B0799 +0011 0014A0D62A26EF245FE3 +0011 0015F98C1C50A3D2BC59 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0012 000D2DD1A13855463F8A +0012 000E2C0B449F25B0B714 +0012 000F5FBC22886C7C41FD +0012 00103B23AF2C2CC410E8 +0012 00119B36C0EA96496319 +0012 00126E18D6CE68DFF09E +0012 001387584FF762E3EA6E +0012 00146558DF15B828E765 +0012 0015E4D648F8E6946FA2 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0013 000DD932DA1DB26BEC0D +0013 000E9FF9E8935E333915 +0013 000FEA1FE682C7002FAD +0013 0010F697FED190A09341 +0013 001128F1B8DC684131C2 +0013 00124466A34AABF0DF23 +0013 0013F2CCD074D4260ED7 +0013 00146B47492ECAD9DCCD +0013 0015C618399471FFE91E +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0014 000D6E6E79A7687FD03F +0014 000E9E02739C472BD0C2 +0014 000FA9768FA4C6BCB012 +0014 0010FEDB96A21F025934 +0014 001189EFCE550BF2FB07 +0014 0012679FAE3F91D07A13 +0014 0013A559391728F5E6E2 +0014 0014F59D40F334600D32 +0014 0015CFDEED9CEFED35DB +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0015 000D3A7636361E3AC0EE +0015 000E6252A1AC15827C3B +0015 000F9400819853E5BD8B +0015 0010CD7BF5C7901DAA20 +0015 001158CC76FB4D05B12D +0015 00125CE589D6EA0019B8 +0015 00130CE27D847EFD02BF +0015 00149489D105464A9A47 +0015 001591307AB0B9639443 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0016 000D04765867FC4DB924 +0016 000E847F3DD2FA227559 +0016 000F41892E98F63B8A50 +0016 00104B330DC84DF15C8F +0016 00119DD4B362C9204B99 +0016 00128B67C0CDC0C0BE21 +0016 0013AD0335C5D7F62645 +0016 0014C6240C94935B2769 +0016 001539676A1037802A4B +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0017 000DE2515EED422FF1A2 +0017 000EF9E3084E24C3E759 +0017 000F87345263E846A421 +0017 001003A86A922D69D598 +0017 00115BA045AA99C6C7AD +0017 00126C3248E0E73D5FCA +0017 0013B490D41B696B78CA +0017 001479984E4A073867A7 +0017 0015A4620C30FCD35B68 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0018 000D83150BAA79B731B6 +0018 000E920159F93966030A +0018 000FB27F23E2988B1256 +0018 0010A348AD901F278ED1 +0018 00117333092D8E70508B +0018 0012BBB00AD404E0180F +0018 0013261F09AE67D327B9 +0018 0014EF9212D3FBB7C142 +0018 00155140DD17FB094FB5 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0019 000D5DEA60E56035126B +0019 000E787292FF3041DEDA +0019 000F5EBA3DD47B514F86 +0019 00106FA790A56675F594 +0019 001187E88583150AE674 +0019 0012E5D759AFF509E1BD +0019 0013F1366E6F00907E96 +0019 001432BECEC22C88B608 +0019 001517FF3C32712E3623 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +001A 000DCC608EB217E656A4 +001A 000EA1DD8195A45D61EA +001A 000F831741A3F46E4C3C +001A 001038705349FDC37F23 +001A 0011728D6E3743E5DB06 +001A 00122DFD5491514B1D2C +001A 0013F3BF8DAC78A39D69 +001A 00140A6A709AA5F5FA27 +001A 001547B0C13015F85646 +001A 00164D32A5F822A04E14 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +001B 000D857622953C9E3504 +001B 000EEC798E3B4ACB536C +001B 000F1FEABD60D49E8A3A +001B 00102B82BBBAAA169678 +001B 0011360BE54B6EE13FF5 +001B 0012644C4B0AA9646D81 +001B 0013450DC3F18A73249E +001B 0014799811C8FADA07B1 +001B 00152894AD777F911412 +001B 0016E1404C7274CB6363 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +001C 000D71889DEA0C21F637 +001C 000E048E328C1334E5EF +001C 000F5BA0E8E0AB70B644 +001C 0010A36DBB113B603B5B +001C 00113A30D45F916601E8 +001C 0012D53ED26510150596 +001C 00139DB341C7E753DCCF +001C 00141B28E82F14AA7CC4 +001C 00150F5F9CA4846DA763 +001C 00162E9E82A030D8EB47 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +001D 000D61398A8F4745BB1C +001D 000E2FE19E0DE6C983A8 +001D 000FB9D6F2EB51766EA6 +001D 0010465B5BAEEA10CA6A +001D 00113A7F065DA3E4DAF8 +001D 001236FA5539F5AE616E +001D 001346D4504511A282C8 +001D 00144D6395095D65E977 +001D 0015653AF08208717A0E +001D 00169A33507466F9A8F2 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +001E 000D4724BC8E79A1CB0F +001E 000E39434AB456571F25 +001E 000FFB91B50420B659A8 +001E 00103CDE9B2AE2DB5D00 +001E 00116D169A088D4B8D62 +001E 00122DE98107FB7AA505 +001E 001318E5212C76E49C5B +001E 001473289BE8B8FAA818 +001E 00150E288C723A545B39 +001E 001611FA3759C70B1E5E +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +001F 000DE54E72428C541E3D +001F 000E166417B04FBB54CE +001F 000F89457F750DC5DA93 +001F 0010DBC1C0975CCC283C +001F 001134F7D469FA4E56E1 +001F 0012227918968F0D7AF6 +001F 0013AE5C482E87FDA51B +001F 00149682D5D19FF0754C +001F 0015507732BB21E560DC +001F 0016BE2050E326E9E63C +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0020 000DB4B687E83B2ADD78 +0020 000E7116BD552F0CE48C +0020 000FEEA679199D8CB4DF +0020 001054EB3962D1FF8B77 +0020 00111157708E48C64792 +0020 0012FBF2D43E06F7BAD0 +0020 001386BEA71238340DAA +0020 00146F35B7DD41CC17B4 +0020 00151D4E6E0423E23AB8 +0020 0016ECA32480E6724D87 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0021 000D8028EA8F00DFDB8D +0021 000EE5AED199F002B73B +0021 000F65561FCE3BCB19EF +0021 0010E64D36EEA7B965FC +0021 00110691F335A977B779 +0021 0012A8705AE07019EE96 +0021 0013A5D9440E868DFE69 +0021 0014B789CB17C8912E63 +0021 0015C3F7AC0C86BCA548 +0021 0016F75793320C319C44 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0022 000D935C89E78BCF828B +0022 000E519DD8B5DA4500EC +0022 000F728D16FD22B2EBA2 +0022 00100621B9DC64F0B952 +0022 00111F130414A456C101 +0022 00121907DABE7C6F411C +0022 00136D70C7F6B542E9D7 +0022 001430267E33966D44D0 +0022 0015CFFF41E9D481362F +0022 00165FF6F987527192DF +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0023 000D273F486D7987CDF9 +0023 000ED8648A3B2565FAA9 +0023 000FEEC7B2F35E1489E5 +0023 0010941C1B15B4D3A794 +0023 0011B02E9B0A10A03652 +0023 0012EF7E8BAAA5BC41AD +0023 00130E148AD4BCB7E573 +0023 0014C66A9FE7D123930C +0023 00153570B03485955F7C +0023 00164A53B25FAED996C8 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0024 000D90BA6FD8077F9849 +0024 000ECBD8A6201F084710 +0024 000FCE27E40B52446980 +0024 0010BD1EB3E6D1A26CC4 +0024 0011795D039634D2C269 +0024 00125ECB99C30B0885DC +0024 001398DC53A9FD8F5D94 +0024 0014B512A024A3C45CB3 +0024 0015E79E88068509E66F +0024 0016989F0CE4450DCCF1 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0025 000D44167B0EE6441025 +0025 000ED91A945F6B9DBAA9 +0025 000F8AB26629D7EBFC81 +0025 00100488E5D7033956CF +0025 00116D11BDF763C20520 +0025 0012594D795EA819ED5C +0025 001351051189940429CB +0025 0014B8BBE616B1EC8F04 +0025 00159D34B41D9E81D240 +0025 0016DC07A2F82712E70A +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0026 000D136AE35997E5C6C4 +0026 000E2B66D161A3A7C202 +0026 000F2AB1DADA3278AD3C +0026 0010B233CBF56410B185 +0026 0011EEAFA12E69B40738 +0026 0012EE88B22B6808A9CB +0026 00136D2E9101D765E735 +0026 00141EECD5F34F6C0746 +0026 00153AEB22FE9B37C364 +0026 00167A1A018986AC2DB1 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0027 000D74C69D749080B5B1 +0027 000E0A6735BBC4273D50 +0027 000F7FCD6D7E426EBF27 +0027 00103FC07ED4208AFA77 +0027 001141C805F435C26DB6 +0027 0012E4708738A4202724 +0081 001336C3FAA8FA6C0322 +0027 0014C359098500DD5AA1 +0027 001591ABF4CDF4F3D8BC +0027 0016F374A262C8F99E6D +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0028 000D6BBF8CF41A6609D9 +0028 000EE64595CD6E7F42CA +0028 000FFE1F8F818A36B5CC +0028 00106582B12AFA7A7193 +0028 00112F2761E0782E2955 +0028 0012740F0E8B10C10026 +0082 001355D2FD6461E27112 +0028 00149D82EFCB19129363 +0028 0015CF9CB3435F4F77F3 +0028 001648BA360969BF1F6C +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0029 000D59F504F2B0CC9D52 +0029 000E628A9D3F47440104 +0029 000F2023AF138968F9EA +0029 0010F83662185C6B5E6B +0029 0011D6495E5E719733D8 +0029 0012C9E2ACBE054E6D2F +0083 0013DD74F642750B5622 +0029 00144E98A28D5D8C4453 +0029 0015F0DA05954150F8A4 +0029 0016477FB4586C10ABF8 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +002A 000D5F91D9ED7366C643 +002A 000E116E36A08052F0DB +002A 000F15044C67BB35D8B4 +002A 0010471FF1896C535EE2 +002A 00110DF774E40E50CBCC +002A 00122919DBF73565A131 +0084 00133120FB3124B978A2 +002A 00147DB899A3DBF58BCB +002A 00155FE9ACCDEDC166B6 +002A 00165A76E5B19349034B +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +002B 000DAD47CF5842C0AC5C +002B 000E85AAF16AF3F881C8 +002B 000FC5C493759DB37FBA +002B 001032B688F1CAE2CA50 +002B 0011E96D5B17703BFC68 +002B 0012C902BE70733B6563 +0085 00134AD5CCD79C75A6C3 +002B 0014398A9581C343A825 +002B 00158246A9FE0A6003C2 +002B 001683403CF3F7F5738F +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +002C 000D5D94DF8DDCCCF791 +002C 000E867FD2FF404A60FA +002C 000F978BDDA950D32859 +002C 001010DE2182791BC483 +002C 0011165EE7B5FE7C86E5 +002C 0012F4F5812F782A0184 +0086 0013CF842B206864F02B +002C 00146CE239EA0C8C72C9 +002C 001531127516D62BDA9D +002C 0016ED2CB4F6D3F0D1A6 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +002D 000DD3CCC4EFBD0EA1C1 +002D 000E7A4B4593678AB2A2 +002D 000F5285B509ADC925CF +002D 0010B4F40C3372F7E1BB +002D 0011A08CF658D394C9D5 +002D 001298EEE1ACD1469CFC +0087 00138931B7A9A04357A0 +002D 0014AB51FF39FE4F7654 +002D 00155EC50FE2EB5080A5 +002D 00162703733A11292142 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +002E 000D64C11AACD4E1072E +002E 000E5BDC85307586BCCA +002E 000FF83A78A96F2B19B5 +002E 0010574427EDD013E503 +002E 0011FD67AC0E61D4EB33 +002E 001249EEE8F98088C236 +0088 0013E66DB507BF162E16 +002E 0014B6CA4EE21F76428E +002E 0015C51394FC5CD9904A +002E 0016E2DDBA9561F1BFCB +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +002F 000DD2A382EA33BF82E1 +002F 000E679174205808CE90 +002F 000F8841B273734A5972 +002F 00102F2B90ACB65B0635 +002F 001111F72AECA4D6C958 +002F 00127F519B2796900CF3 +0089 0013903036537D2C09C8 +002F 00141A56385EBD29DE7C +002F 0015A3EA42649111E893 +002F 001671D485F147CFED15 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0030 000DE6DE4B48AC4E29A1 +0030 000E4611EA5B67233753 +0030 000F5216DD50C73C343E +0030 00102CBFBB7589FCEA85 +0030 00118EF33A387ECA3FDE +0030 0012A968B465772B05F1 +008A 001336B10EE0828B236D +0030 001402B6361BF5FF5828 +0030 0015FC858C9FE03A5BF2 +0030 0016501142A48A150AFA +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0031 000D43A4B8FBFC82F76D +0031 000EAA59624D15F18B80 +0031 000F12545959E8A6E162 +0031 0010EA0D106E4F37BDA5 +0031 0011DFA9A62434F48B48 +0031 0012C881110110DB01CB +008B 0013FD4B86156FFA6E5D +0031 001433DF68FFBE2FF623 +0031 0015ACD1D54549586EE2 +0031 0016A7BC1109F4BB58AA +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0032 000D6C35844BED038ADC +0032 000E1FA4AC863E8C4389 +0032 000F7D97D01702B3C844 +0032 00103F8852949F362DC1 +0032 0011F8CDC94366EDEC03 +0032 00126F0E347331DCC1A7 +008C 00137A684161A9E06B4D +0032 00148E8B1F08EFB7E814 +0032 0015E32A0E45996F61BD +0032 001651A4F09163CA780C +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0033 000DE27C7670CC4BAF78 +0033 000E21AA89DC711D8C08 +0033 000F17EF663E2BEB711D +0033 001051514E4ECC4FC5B7 +0033 0011311477A582D56AEE +0033 0012E82E0A4BC8A15BDB +008D 0013BB86B270162E0EA2 +0033 00146497C97B94C6F184 +0033 00150DDE995DECB5F3F2 +0033 0016ADE06DC34732791A +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0034 000D81B615C5BF13907E +0034 000E369A829825AEBF7B +0034 000F0428DED6AA163B53 +0034 00103778E608C9ADE7E1 +0034 0011ED974352011FA1F0 +0034 00124F6AD37EDF51AD72 +0034 001338EC06A68EC1B472 +0034 001459D31681CA8A83A7 +0034 001551ADB1CB207DCE7D +0034 0016DB1F5266AF21376F +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0035 000DB6D1625F3DC7C3BB +0035 000E3320310BE01A3FA2 +0035 000FB6E37A74A68D6734 +0035 0010CF0430CCC19264E0 +0035 00118010FB0D8535BC4B +0035 00120D2D05680076D4E1 +0035 00138CBB5BE42EE7D9D6 +0035 0014C79DA27248D393E5 +0035 00155A1AEAFD0A5FA996 +0035 0016DFA66E65E3064CDC +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0036 000D4108BB6F6A15DD0B +0036 000EE26854233809EA50 +0036 000FC332E782A05F5852 +0036 0010BF37A578DD559016 +0036 001164C419E6D8AD2877 +0036 0012A000C3C7626980AA +0036 00139E0665C4289D3712 +0036 0014BD98D23991EE174A +0036 0015A102A35550D0E49F +0036 0016B5E8000233E74E12 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0037 000DA86791E98185C2BC +0037 000E015AEA98D76F0549 +0037 000F095D7E14A0298A04 +0037 00108E7DE54A668C7C7F +0037 00116B521769F6F46634 +0037 0012E263E7FF4E46AAE9 +0037 0013F49601305C8E8EFA +0037 00143F4D2B4C043E668D +0037 0015BD791EC28882D5F2 +0037 00160BBCD513C6795836 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0038 000D166E831554F3B0DF +0038 000EF5CC301B0DC7FC9B +0038 000FA7CF4D52E78F734B +0038 001082FBA9D2276D5198 +0038 0011BBD24F2CAF97B7F2 +0038 0012BDB46B3C59ADD7DD +0038 0013E40382EB0C685080 +0038 001440C03CD83D8182EF +0038 00155EA40D4717FA92A5 +0038 00160245CF45258D2128 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0039 000DF9D9D0A9A47EF76A +0039 000ED1250A25292D113B +0039 000F96C455610F7D5A4E +0039 001094BEB983451BC134 +0039 0011346C3DE47FDBC598 +0039 00125D02440290EDD0D0 +0039 00139A818D0B52F00277 +0039 0014A83D60908844A838 +0039 001588DEB2CE23E4706D +0039 00165E8A2C2D41557683 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +003A 000D1002BD636794ECD4 +003A 000EB0AB6FB9E6D1100C +003A 000FEA6A039979367D66 +003A 0010151D757000A527A7 +003A 0011537BE25FC2DEDB13 +003A 0012E7651221661249D4 +003A 001311D4AFEF89CF2D80 +003A 001475AB9A4591DE091F +003A 001553BB7466F296B3DB +003A 0016511494B61C2C1463 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +003B 000DC872B1B51AA70D88 +003B 000EA261308ECF354C79 +003B 000F15E4720F1CD4BC49 +003B 0010C86FD115B15B6D0C +003B 0011C1879140B05C22B0 +003B 00121C64618353B41856 +003B 0013BE296A099D56FEBD +003B 0014880FFC1C37C68492 +003B 0015147EC5D55C4DFD81 +003B 0016173F778F5B718560 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +003C 000DA542A4DD9608F807 +003C 000E58473C08CBB7A6C5 +003C 000F896B3599B8AB5F3E +003C 0010AA17F06A9FE25A99 +003C 0011B29D0B03DEDD8A32 +003C 0012D789C8985394CB29 +003C 0013EBA6BB8A2D62A700 +003C 0014AEF6E20762508999 +003C 001520D76D6DFBADBF55 +003C 00168C1A22320C78664C +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +003D 000D68F642C266744FFA +003D 000E7D9A219AC5C7FDFD +003D 000FE81163161D2258AB +003D 0010EC1369C4C04629D0 +003D 00117C2F5DD15F81575B +003D 00128B82E3A494C87A3F +003D 0013786114D21E243C2A +003D 0014889059CDB0004684 +003D 00156DF89D266DFF4E78 +003D 00160EF03A049691D53D +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +003E 000DE93DAF99B22BE7A1 +003E 000ECBEE8495D6D6F486 +003E 000F2EEE34A5C37447E8 +003E 0010C556E4E104AECB2E +003E 0011749DEF8AF3D2073A +003E 0012BDD68F0189E9F968 +003E 00138E4B819F444AFCA7 +003E 0014D0B0C369F7B2893F +003E 00155F01CB3B029C5132 +003E 00160462CA08E504B9D4 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +003F 000D519BE79AB45BC181 +003F 000E0C1CF44E3960E24D +003F 000F9E090D7B49813510 +003F 0010A661B3DAFB22E645 +003F 001107E1C1C5BD3E29DD +003F 001224DD19F951E1404F +003F 001379C1F48A8E42D8B5 +003F 0014237E469310170CE7 +003F 0015A036379B69F1DDD3 +003F 001611F295BE016D599E +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0040 000D38B55CCD52DDF980 +0040 000EBCF152E22B6503E8 +0040 000F21AC8C00704DD483 +0040 0010F7591B5F838D0C3D +0040 00113E93F6581B6ABC12 +0040 0012AEA53F0F746A0FAF +0040 0013D98F057323BB26A2 +0040 00147D85AD829DDF6C2F +0040 0015B03514B028850584 +0040 00164619ACF6C881E3D2 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 +0000 00000000000000000000 diff --git a/sim/testinput.txt b/sim/testinput.txt deleted file mode 120000 index 1db72d4a2b76543117f67fa7b0a8a18e5a0c876f..0000000000000000000000000000000000000000 --- a/sim/testinput.txt +++ /dev/null @@ -1 +0,0 @@ -testinput_002.txt \ No newline at end of file diff --git a/sim/testoutput.dat b/sim/testoutput.dat new file mode 100644 index 0000000000000000000000000000000000000000..0949d32fb99aa5653a3cec9811bad9f0506cfa50 --- /dev/null +++ b/sim/testoutput.dat @@ -0,0 +1,350 @@ +02 000DD3257C0CD2EAB242 +02 000EE1539FD4EBF7F073 +02 000F650AB0C6E1E463FF +02 0010A046E3C9BE897767 +02 00116FD504DB15863D05 +02 001229CAF7A45C20F46A +02 0013510E66118E7FAEE2 +02 0014C06F16642358D0CC +02 0015C676DC8F4B149926 +02 0016C1439185CDC81791 +03 000D70D133708C2D116A +03 000EFC9D90AF3DB26E36 +03 000FDB6F9C645F1A465E +03 001077602178C26B05F7 +03 00118966137175AA0F99 +03 0012F50E08A1869B4D19 +03 0013554F2F87AC114788 +03 00142C9ED6F8FE6B98AE +03 0015A0D18FEE8103ED4C +03 001660049CDC7F9A6FD9 +04 000D31F2EEC4CAD05444 +04 000EAB29923385328EFE +04 000FCFEF2DB8777DF26D +04 001080D8761EBC703069 +04 001190218CA255DB0CF0 +04 00128A5A1D0A0E9332A6 +04 0013E282C9CB3D09080C +04 00147CFAA798A5C5B5A3 +04 0015ECBCAB311BD07F51 +04 001683E371EF85B0E608 +05 000D2D8BF9DDBCC61A71 +05 000E223BE3DE9690D996 +05 000FC32F4FFE037380C0 +05 0010E8F9069569F9A0EF +05 0011C48CDEB497AFB609 +05 0012BF34206D199DADCA +05 00138FE3ED666096FF54 +05 001489A44F8674AC1555 +05 00155F37D508678259F3 +05 00162DA68BC1FBE5EDBA +06 000DC1456A7B573093D1 +06 000EA6FB0DE2EB3F982D +06 000F04BD44F91635AB40 +06 00109B709938F401E538 +06 0011B02F087E5B40DA1D +06 00123F31322952672F09 +06 00131CA2351E6D12C172 +06 0014A0D6CCBAC88DE9B1 +06 0015828EC7DFF91D1470 +06 0016E6B8E1D20777CF4C +07 000DDE1AC569DFBC1DF8 +07 000E01A3BC4C6464A53A +07 000FAA350D996B9B603C +07 0010630578FEEE122ABD +07 00110079D3E26826512A +07 00129A43995741C874FC +07 0013A886B00D9291FFC5 +07 00145228A4E58637BD5C +07 001593B6312D4F38CD9B +07 001629D8A132B53ED66C +08 000D5265DC6AA4EA2ECA +08 000E9FD5B616827B05DD +08 000F9925F5F55C0303AA +08 0010183838103BD281DB +08 001179D47A0EE1B9BC85 +08 0012BEC2A5BD6B0FD386 +08 0013877A43F84A030FA9 +08 00148BF48FD9215F58E2 +08 0015C473C75A09605201 +08 001640539E604D942A6A +09 000DB82A9145F51665A4 +09 000E92FB75555DDC189B +09 000FAC5EF334A0C15A27 +09 001058399F87E031E477 +09 0011A39FF73CAADA41EE +09 0012076628F4F4F5E543 +09 0013D2AD73224BB4E045 +09 00143F503CDB51C7C4BB +09 0015AC2A97367B423EFA +09 0016817FA45E33C2275E +0A 000D2BB83D6C6CE31CCE +0A 000EF8F61E46D705814C +0A 000FC9D43A43CC5C5261 +0A 0010F49A6356E8337D6E +0A 0011E253DC9889DA9B06 +0A 0012E748E08090B1D8C7 +0A 00138F2BFC56F47BE5B5 +0A 001493A45AA60CFFE3E4 +0A 00158FFA5AD18FBD0031 +0A 0016B9E6F9B503A9C807 +0B 000DCAEDFF0F02E971A7 +0B 000E77B0EF97EBB2352C +0B 000FE483C37BB722405D +0B 0010F5142A7EA5AA8D26 +0B 00114A1C3FACDAF26898 +0B 001235AD84940526C890 +0B 0013A20390E60E9E2E91 +0B 00145A0C3BFDB8C62D04 +0B 00153650589B9EC0470D +0B 001602DA2E8FFF9EDFB4 +0C 000D3F105C1A2A724E80 +0C 000E796EB977FA914C39 +0C 000F448C8FC2C0ED1D71 +0C 00107C65F4A97BD3E397 +0C 0011093D543511205AD3 +0C 00128130945275D0C21F +0C 00133B82900DB6F4DBDC +0C 00141505BE790CDC3FEC +0C 0015156062EBC3B69857 +0C 00166F2AFB92A4D2E225 +1B 000D857622953C9E3504 +1B 000EEC798E3B4ACB536C +1B 000F1FEABD60D49E8A3A +1B 00102B82BBBAAA169678 +1B 0011360BE54B6EE13FF5 +1B 0012644C4B0AA9646D81 +1B 0013450DC3F18A73249E +1B 0014799811C8FADA07B1 +1B 00152894AD777F911412 +1B 0016E1404C7274CB6363 +1C 000D71889DEA0C21F637 +1C 000E048E328C1334E5EF +1C 000F5BA0E8E0AB70B644 +1C 0010A36DBB113B603B5B +1C 00113A30D45F916601E8 +1C 0012D53ED26510150596 +1C 00139DB341C7E753DCCF +1C 00141B28E82F14AA7CC4 +1C 00150F5F9CA4846DA763 +1C 00162E9E82A030D8EB47 +1D 000D61398A8F4745BB1C +1D 000E2FE19E0DE6C983A8 +1D 000FB9D6F2EB51766EA6 +1D 0010465B5BAEEA10CA6A +1D 00113A7F065DA3E4DAF8 +1D 001236FA5539F5AE616E +1D 001346D4504511A282C8 +1D 00144D6395095D65E977 +1D 0015653AF08208717A0E +1D 00169A33507466F9A8F2 +1E 000D4724BC8E79A1CB0F +1E 000E39434AB456571F25 +1E 000FFB91B50420B659A8 +1E 00103CDE9B2AE2DB5D00 +1E 00116D169A088D4B8D62 +1E 00122DE98107FB7AA505 +1E 001318E5212C76E49C5B +1E 001473289BE8B8FAA818 +1E 00150E288C723A545B39 +1E 001611FA3759C70B1E5E +1F 000DE54E72428C541E3D +1F 000E166417B04FBB54CE +1F 000F89457F750DC5DA93 +1F 0010DBC1C0975CCC283C +1F 001134F7D469FA4E56E1 +1F 0012227918968F0D7AF6 +1F 0013AE5C482E87FDA51B +1F 00149682D5D19FF0754C +1F 0015507732BB21E560DC +1F 0016BE2050E326E9E63C +20 000DB4B687E83B2ADD78 +20 000E7116BD552F0CE48C +20 000FEEA679199D8CB4DF +20 001054EB3962D1FF8B77 +20 00111157708E48C64792 +20 0012FBF2D43E06F7BAD0 +20 001386BEA71238340DAA +20 00146F35B7DD41CC17B4 +20 00151D4E6E0423E23AB8 +20 0016ECA32480E6724D87 +21 000D8028EA8F00DFDB8D +21 000EE5AED199F002B73B +21 000F65561FCE3BCB19EF +21 0010E64D36EEA7B965FC +21 00110691F335A977B779 +21 0012A8705AE07019EE96 +21 0013A5D9440E868DFE69 +21 0014B789CB17C8912E63 +21 0015C3F7AC0C86BCA548 +21 0016F75793320C319C44 +22 000D935C89E78BCF828B +22 000E519DD8B5DA4500EC +22 000F728D16FD22B2EBA2 +22 00100621B9DC64F0B952 +22 00111F130414A456C101 +22 00121907DABE7C6F411C +22 00136D70C7F6B542E9D7 +22 001430267E33966D44D0 +22 0015CFFF41E9D481362F +22 00165FF6F987527192DF +23 000D273F486D7987CDF9 +23 000ED8648A3B2565FAA9 +23 000FEEC7B2F35E1489E5 +23 0010941C1B15B4D3A794 +23 0011B02E9B0A10A03652 +23 0012EF7E8BAAA5BC41AD +23 00130E148AD4BCB7E573 +23 0014C66A9FE7D123930C +23 00153570B03485955F7C +23 00164A53B25FAED996C8 +24 000D90BA6FD8077F9849 +24 000ECBD8A6201F084710 +24 000FCE27E40B52446980 +24 0010BD1EB3E6D1A26CC4 +24 0011795D039634D2C269 +24 00125ECB99C30B0885DC +24 001398DC53A9FD8F5D94 +24 0014B512A024A3C45CB3 +24 0015E79E88068509E66F +24 0016989F0CE4450DCCF1 +25 000D44167B0EE6441025 +25 000ED91A945F6B9DBAA9 +25 000F8AB26629D7EBFC81 +25 00100488E5D7033956CF +25 00116D11BDF763C20520 +25 0012594D795EA819ED5C +25 001351051189940429CB +25 0014B8BBE616B1EC8F04 +25 00159D34B41D9E81D240 +25 0016DC07A2F82712E70A +26 000D136AE35997E5C6C4 +26 000E2B66D161A3A7C202 +26 000F2AB1DADA3278AD3C +26 0010B233CBF56410B185 +26 0011EEAFA12E69B40738 +26 0012EE88B22B6808A9CB +26 00136D2E9101D765E735 +26 00141EECD5F34F6C0746 +26 00153AEB22FE9B37C364 +26 00167A1A018986AC2DB1 +35 000DB6D1625F3DC7C3BB +35 000E3320310BE01A3FA2 +35 000FB6E37A74A68D6734 +35 0010CF0430CCC19264E0 +35 00118010FB0D8535BC4B +35 00120D2D05680076D4E1 +35 00138CBB5BE42EE7D9D6 +35 0014C79DA27248D393E5 +35 00155A1AEAFD0A5FA996 +35 0016DFA66E65E3064CDC +36 000D4108BB6F6A15DD0B +36 000EE26854233809EA50 +36 000FC332E782A05F5852 +36 0010BF37A578DD559016 +36 001164C419E6D8AD2877 +36 0012A000C3C7626980AA +36 00139E0665C4289D3712 +36 0014BD98D23991EE174A +36 0015A102A35550D0E49F +36 0016B5E8000233E74E12 +37 000DA86791E98185C2BC +37 000E015AEA98D76F0549 +37 000F095D7E14A0298A04 +37 00108E7DE54A668C7C7F +37 00116B521769F6F46634 +37 0012E263E7FF4E46AAE9 +37 0013F49601305C8E8EFA +37 00143F4D2B4C043E668D +37 0015BD791EC28882D5F2 +37 00160BBCD513C6795836 +38 000D166E831554F3B0DF +38 000EF5CC301B0DC7FC9B +38 000FA7CF4D52E78F734B +38 001082FBA9D2276D5198 +38 0011BBD24F2CAF97B7F2 +38 0012BDB46B3C59ADD7DD +38 0013E40382EB0C685080 +38 001440C03CD83D8182EF +38 00155EA40D4717FA92A5 +38 00160245CF45258D2128 +39 000DF9D9D0A9A47EF76A +39 000ED1250A25292D113B +39 000F96C455610F7D5A4E +39 001094BEB983451BC134 +39 0011346C3DE47FDBC598 +39 00125D02440290EDD0D0 +39 00139A818D0B52F00277 +39 0014A83D60908844A838 +39 001588DEB2CE23E4706D +39 00165E8A2C2D41557683 +3A 000D1002BD636794ECD4 +3A 000EB0AB6FB9E6D1100C +3A 000FEA6A039979367D66 +3A 0010151D757000A527A7 +3A 0011537BE25FC2DEDB13 +3A 0012E7651221661249D4 +3A 001311D4AFEF89CF2D80 +3A 001475AB9A4591DE091F +3A 001553BB7466F296B3DB +3A 0016511494B61C2C1463 +3B 000DC872B1B51AA70D88 +3B 000EA261308ECF354C79 +3B 000F15E4720F1CD4BC49 +3B 0010C86FD115B15B6D0C +3B 0011C1879140B05C22B0 +3B 00121C64618353B41856 +3B 0013BE296A099D56FEBD +3B 0014880FFC1C37C68492 +3B 0015147EC5D55C4DFD81 +3B 0016173F778F5B718560 +3C 000DA542A4DD9608F807 +3C 000E58473C08CBB7A6C5 +3C 000F896B3599B8AB5F3E +3C 0010AA17F06A9FE25A99 +3C 0011B29D0B03DEDD8A32 +3C 0012D789C8985394CB29 +3C 0013EBA6BB8A2D62A700 +3C 0014AEF6E20762508999 +3C 001520D76D6DFBADBF55 +3C 00168C1A22320C78664C +3D 000D68F642C266744FFA +3D 000E7D9A219AC5C7FDFD +3D 000FE81163161D2258AB +3D 0010EC1369C4C04629D0 +3D 00117C2F5DD15F81575B +3D 00128B82E3A494C87A3F +3D 0013786114D21E243C2A +3D 0014889059CDB0004684 +3D 00156DF89D266DFF4E78 +3D 00160EF03A049691D53D +3E 000DE93DAF99B22BE7A1 +3E 000ECBEE8495D6D6F486 +3E 000F2EEE34A5C37447E8 +3E 0010C556E4E104AECB2E +3E 0011749DEF8AF3D2073A +3E 0012BDD68F0189E9F968 +3E 00138E4B819F444AFCA7 +3E 0014D0B0C369F7B2893F +3E 00155F01CB3B029C5132 +3E 00160462CA08E504B9D4 +3F 000D519BE79AB45BC181 +3F 000E0C1CF44E3960E24D +3F 000F9E090D7B49813510 +3F 0010A661B3DAFB22E645 +3F 001107E1C1C5BD3E29DD +3F 001224DD19F951E1404F +3F 001379C1F48A8E42D8B5 +3F 0014237E469310170CE7 +3F 0015A036379B69F1DDD3 +3F 001611F295BE016D599E +40 000D38B55CCD52DDF980 +40 000EBCF152E22B6503E8 +40 000F21AC8C00704DD483 +40 0010F7591B5F838D0C3D +40 00113E93F6581B6ABC12 +40 0012AEA53F0F746A0FAF +40 0013D98F057323BB26A2 +40 00147D85AD829DDF6C2F +40 0015B03514B028850584 +40 00164619ACF6C881E3D2 diff --git a/sim/testoutput.txt b/sim/testoutput.txt deleted file mode 120000 index f179ad8206352ff5dbb6d424b0d44e878fc7970e..0000000000000000000000000000000000000000 --- a/sim/testoutput.txt +++ /dev/null @@ -1 +0,0 @@ -testoutput_002.txt \ No newline at end of file diff --git a/tcl/main.tcl b/tcl/main.tcl index 33a8aaa330b13a54c2b2832fbfd935cce8efc737..bea57faf40a06831aefcb3e0d057b6acd047a154 100644 --- a/tcl/main.tcl +++ b/tcl/main.tcl @@ -11,23 +11,27 @@ proc init {} { variable CCN_UPKT_W variable CCN_UPKT_TU_W - fwfwk::printInfo "Generate simulation file" - } # ============================================================================== proc setSources {} { - variable Vhdl + variable Sources # Generate VHDL package with mode version genModVerFile VHDL ../hdl/pkg_ccn_packet_version.vhd - lappend Vhdl ../hdl/pkg_ccn_packet_version.vhd + lappend Sources {"../hdl/pkg_ccn_packet_version.vhd" "VHDL" } + + lappend Sources {"../hdl/ccn_pack.vhd" "VHDL" } + lappend Sources {"../hdl/ccn_unpack.vhd" "VHDL" } + lappend Sources {"../hdl/top_ccn_packeter.vhd" "VHDL" } + lappend Sources {"../hdl/top_ccn_unpacketer.vhd" "VHDL" } + + lappend Sources {"../sim/tb_ccn.vhd" "VHDL 2008" "" "simulation"} + lappend Sources {"../sim/testinput.dat" "" "" "simulation"} + lappend Sources {"../sim/testoutput.dat" "" "" "simulation"} + lappend Sources {"../sim/tb_ccn_global.wcfg" "" "" "simulation"} - lappend Vhdl ../hdl/ccn_pack.vhd - lappend Vhdl ../hdl/ccn_unpack.vhd - lappend Vhdl ../hdl/top_ccn_packeter.vhd - lappend Vhdl ../hdl/top_ccn_unpacketer.vhd } @@ -49,9 +53,9 @@ proc doOnCreate {} { variable CCN_FRAME_HEADER_W variable CCN_UPKT_W - variable Vhdl + variable Sources - addSources Vhdl + addSources Sources source generate_axis.tcl } @@ -62,19 +66,7 @@ proc doOnBuild {} { # ============================================================================== proc setSim {} { - - variable VhdlSim - variable SimFiles - - fwfwk::printInfo "Generate test input file..." - cd sim - exec python gen_testfile.py - - lappend VhdlSim ../sim/tb_ccn.vhd - lappend SimFiles ../sim/testinput_001.txt - lappend SimFiles ../sim/tb_ccn_global.wcfg - - addSources VhdlSim -fileset sim_1 - addSources SimFiles -fileset sim_1 + variable SimTop + set SimTop tb_ccn }