diff --git a/hdl/pkg_combpm_stream.vhd b/hdl/pkg_combpm_stream.vhd
index ce36f85fa42356211910f686a586f3d50d9f3462..1a923b268f30c89414c4e1ee9360301c827ed796 100644
--- a/hdl/pkg_combpm_stream.vhd
+++ b/hdl/pkg_combpm_stream.vhd
@@ -1,7 +1,7 @@
 library ieee;
 use ieee.std_logic_1164.all;
 
-package pkg_combpm_stream is
+package pkg_bpmframe_stream is
 
     ----------------------
     -- MACRO PARAMETERS --
@@ -12,7 +12,7 @@ package pkg_combpm_stream is
     ---------------------------------
     -- AXIS MASTER/SLAVE INTERFACE --
     ---------------------------------
-    type t_combpm_axis_m2s is record
+    type t_bpmframe_axis_m2s is record
         tdest  : std_logic_vector(C_TDEST_W-1 downto 0);
         tdata  : std_logic_vector(C_TDATA_W-1 downto 0);
         tlast  : std_logic;
@@ -21,29 +21,29 @@ package pkg_combpm_stream is
         --tuser  : std_logic_vector(0 downto 0);
         --tstrb  : std_logic_vector(15 downto 0);
         --tkeep  : std_logic_vector(15 downto 0);
-    end record t_combpm_axis_m2s;
+    end record t_bpmframe_axis_m2s;
 
-    type t_combpm_axis_s2m is record
+    type t_bpmframe_axis_s2m is record
         tready : std_logic;
-    end record t_combpm_axis_s2m;
+    end record t_bpmframe_axis_s2m;
 
-    subtype t_combpm_m_axis_out is t_combpm_axis_m2s;
-    subtype t_combpm_s_axis_in  is t_combpm_axis_m2s;
-    subtype t_combpm_m_axis_in  is t_combpm_axis_s2m;
-    subtype t_combpm_s_axis_out is t_combpm_axis_s2m;
+    subtype t_bpmframe_m_axis_out is t_bpmframe_axis_m2s;
+    subtype t_bpmframe_s_axis_in  is t_bpmframe_axis_m2s;
+    subtype t_bpmframe_m_axis_in  is t_bpmframe_axis_s2m;
+    subtype t_bpmframe_s_axis_out is t_bpmframe_axis_s2m;
 
     ------------------------
     -- AXIS STREAM PACKET --
     ------------------------
-    type t_combpm_axis_packet is record
+    type t_bpmframe is record
         pos_x                        : std_logic_vector(31 downto 0);
         pos_y                        : std_logic_vector(31 downto 0);
         bpm_id                       : std_logic_vector(15 downto 0);
         mc_timestamp                 : std_logic_vector(39 downto 0);
         fa_seq                       : std_logic_vector(7 downto 0);
-    end record t_combpm_axis_packet;
+    end record t_bpmframe;
 
-    constant C_PACKET_ZERO : t_combpm_axis_packet := (
+    constant C_BPMFRAME_ZERO : t_bpmframe := (
         pos_x         => (others => '0'),
         pos_y         => (others => '0'),
         bpm_id        => (others => '0'),
@@ -51,27 +51,27 @@ package pkg_combpm_stream is
         fa_seq        => (others => '0')
         );
 
-    function slv2combpmpacket(
+    function slv2bpmframe(
         signal tdata : std_logic_vector(C_TDATA_W-1 downto 0)
         )
-        return t_combpm_axis_packet;
+        return t_bpmframe;
 
-    function combpmpacket2slv(
-        signal packet : t_combpm_axis_packet
+    function bpmframe2slv(
+        signal packet : t_bpmframe
         )
         return std_logic_vector;
 
 
 end package;
 
-package body pkg_combpm_stream is
+package body pkg_bpmframe_stream is
 
 
-    function slv2combpmpacket(
+    function slv2bpmframe(
         signal tdata : std_logic_vector(C_TDATA_W-1 downto 0)
         )
-        return t_combpm_axis_packet is
-        variable packet : t_combpm_axis_packet;
+        return t_bpmframe is
+        variable packet : t_bpmframe;
     begin
         packet.pos_x        := tdata(31 downto 0);
         packet.pos_y        := tdata(63 downto 32);
@@ -81,8 +81,8 @@ package body pkg_combpm_stream is
         return packet;
     end function;
 
-    function combpmpacket2slv(
-        signal packet : t_combpm_axis_packet
+    function bpmframe2slv(
+        signal packet : t_bpmframe
         )
         return std_logic_vector is
     begin