diff --git a/hdl/combpm_htg2qsfp_fmc1_4L_L.vhd b/hdl/combpm_htg2qsfp_fmc1_4L_L.vhd
index aed0a547ecefdb5db940e02527f66dbd453a1163..2c294da36e5f8240a81376aab170f7758ecdd245 100644
--- a/hdl/combpm_htg2qsfp_fmc1_4L_L.vhd
+++ b/hdl/combpm_htg2qsfp_fmc1_4L_L.vhd
@@ -99,7 +99,27 @@ entity combpm_htg2qsfp_fmc1_4l_l is
         gt03_modabs                            : out std_logic;
         gt03_rstall                            : in std_logic;
         gt03_rxcommadeten                      : in std_logic;
-        gt03_txdisable                         : in std_logic
+        gt03_txdisable                         : in std_logic;
+
+        -- GT04 interface
+        gt04_datarx                            : out std_logic_vector(15 downto 0);
+        gt04_datatx                            : in std_logic_vector(15 downto 0);
+        gt04_powergood                         : out std_logic;
+        gt04_qplllock                          : out std_logic;
+        gt04_txclkactive                       : out std_logic;
+        gt04_rxclkactive                       : out std_logic;
+        gt04_txresetdone                       : out std_logic;
+        gt04_rxresetdone                       : out std_logic;
+        gt04_rxcdrlock                         : out std_logic;
+        gt04_rxbyteisaligned                   : out std_logic;
+        gt04_rxbyterealign                     : out std_logic;
+        gt04_rxcommadet                        : out std_logic;
+        gt04_txfault                           : out std_logic;
+        gt04_rxlos                             : out std_logic;
+        gt04_modabs                            : out std_logic;
+        gt04_rstall                            : in std_logic;
+        gt04_rxcommadeten                      : in std_logic;
+        gt04_txdisable                         : in std_logic
 
     );
 end combpm_htg2qsfp_fmc1_4l_l;
@@ -242,6 +262,7 @@ architecture rtl of combpm_htg2qsfp_fmc1_4l_l is
         gtwiz_userdata_tx_in : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
         gtwiz_userdata_rx_out : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
         gtrefclk01_in : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
+        qpll1lock_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
         qpll1outclk_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
         qpll1outrefclk_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
         gtyrxn_in : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
@@ -261,6 +282,7 @@ architecture rtl of combpm_htg2qsfp_fmc1_4l_l is
         rxbufstatus_out : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
         rxbyteisaligned_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
         rxbyterealign_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
+        rxcdrlock_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
         rxclkcorcnt_out : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
         rxcommadet_out : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
         rxctrl0_out : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
@@ -280,7 +302,7 @@ architecture rtl of combpm_htg2qsfp_fmc1_4l_l is
     signal rstall           : std_logic;
     signal txresetdone      : std_logic;
     signal rxresetdone      : std_logic;
-    signal qplllock         : std_logic_vector(1 downto 0);
+    signal qplllock         : std_logic_vector(0 downto 0);
     signal ref_clk          : std_logic;
 
 
@@ -371,7 +393,6 @@ begin
         gtwiz_userdata_rx_out(47 downto 32)   => gt03_datarx,
         gtwiz_userdata_rx_out(63 downto 48)   => gt04_datarx,
         gtrefclk01_in(0)                      => ref_clk,
-        gtrefclk01_in(1)                      => ref_clk,
         qpll1lock_out                         => qplllock,
         qpll1outclk_out                       => open,
         qpll1outrefclk_out                    => open,