diff --git a/hdl/combpm_protocol_electron.vhd b/hdl/combpm_protocol_electron.vhd
index aed7621d7b5a2206ee6fe764a6c3da96a9ed757e..c36c6ac53805549bfd26ec392b90a5bd415d12ef 100644
--- a/hdl/combpm_protocol_electron.vhd
+++ b/hdl/combpm_protocol_electron.vhd
@@ -18,7 +18,6 @@ entity combpm_protocol_electron is
 
         -- AXIS interface
         m_axis_m2s         : out t_bpmpacket_axis_m2s;
-        m_axis_s2m         : in t_bpmpacket_axis_s2m;
 
         -- Status and control interface
         soft_reset         : in std_logic;                      -- Reset all counters.
@@ -260,14 +259,8 @@ begin
                 -- AXIS TVALID
                 m_axi_tvalid                <= '1';
             else
-
-                -- Acknowledge transfer
-                if m_axis_s2m.tready = '1' then
-                    m_axi_tvalid            <= '0';
-                end if;
+                m_axi_tvalid            <= '0';
             end if;
-
-
         end if;
     end process p_axis;
 
diff --git a/hdl/top_combpm_electron.vhd b/hdl/top_combpm_electron.vhd
index 4fd42cbdfb2e5c6c883c825f1c28b552b006c08c..83b9a7cdf6cabd2ff87402720fe64fef1ef425e1 100644
--- a/hdl/top_combpm_electron.vhd
+++ b/hdl/top_combpm_electron.vhd
@@ -95,7 +95,6 @@ architecture struct of top_combpm_electron is
     signal cdc_control_array_bpm     : std_logic_vector(2 downto 0);  -- CDC, clock bpm side
 
     signal m_axis_decoded_m2s    : t_bpmpacket_axis_m2s;
-    signal m_axis_decoded_s2m    : t_bpmpacket_axis_s2m;            -- warning: TREADY is ignored !
 
 begin
 
@@ -237,7 +236,6 @@ begin
         gt_datarx          => gt_datarx,
 
         m_axis_m2s         => m_axis_decoded_m2s,
-        m_axis_s2m         => m_axis_decoded_s2m,
 
         mc_time            => mc_time,
         soft_reset         => addrmap_w.PROTOCOL.SOFTRESET.data(0),
@@ -350,12 +348,12 @@ begin
         m_axis_tvalid => m_axis_tvalid,
 
         -- Table configuration interface
-        pi_table_en     => addrmap_r.table.en,
-        pi_table_we     => addrmap_r.table.we,
-        pi_table_addr   => addrmap_r.table.addr(C_W_ADDR_TABLE-1 downto 0),
-        pi_table_data   => addrmap_r.table.data,
-        po_table_data   => addrmap_w.table.data
+        pi_table_en     => addrmap_r.filtertable.en,
+        pi_table_we     => addrmap_r.filtertable.we,
+        pi_table_addr   => addrmap_r.filtertable.addr(C_W_ADDR_TABLE-1 downto 0),
+        pi_table_data   => addrmap_r.filtertable.data(7 downto 0),
+        po_table_data   => addrmap_w.filtertable.data(7 downto 0)
    );
-
+   addrmap_w.filtertable.data(31 downto 8) <= (others => '0');
 
 end architecture struct;
diff --git a/rdl/combpm.rdl b/rdl/combpm.rdl
index dfd1052fdc13a5ade159c6cacf7df4d53f75badd..4cd1004716e75cf66ba7c7738aca110489bcc6fc 100644
--- a/rdl/combpm.rdl
+++ b/rdl/combpm.rdl
@@ -116,8 +116,8 @@ addrmap combpm {
 
     external mem {
         desc = "BPM filter table";
-        memwidth = 8;
+        memwidth = 32;
         mementries = 2**`C_W_ADDR_TABLE;
-    } TABLE @0x200;
+    } FILTERTABLE;
 
 };