From 08fa623168875b158208e7422dc958bcfe6b3ab5 Mon Sep 17 00:00:00 2001 From: Romain Broucquart <romain.broucquart@synchrotron-soleil.fr> Date: Wed, 24 Aug 2022 11:54:52 +0200 Subject: [PATCH] Remove TREADY on packet filter * It does not use it --- hdl/combpm_packet_filter.vhd | 1 - 1 file changed, 1 deletion(-) diff --git a/hdl/combpm_packet_filter.vhd b/hdl/combpm_packet_filter.vhd index ee53a76..934cb0e 100644 --- a/hdl/combpm_packet_filter.vhd +++ b/hdl/combpm_packet_filter.vhd @@ -26,7 +26,6 @@ entity combpm_packet_filter is m_axis_tdata : out std_logic_vector(C_TDATA_W-1 downto 0); m_axis_tlast : out std_logic; m_axis_tvalid : out std_logic; - m_axis_tready : in std_logic; -- AXI bus interface s_axi_awaddr : IN STD_LOGIC_VECTOR(G_B_ADDR_W-1 DOWNTO 0); -- GitLab