diff --git a/hdl/combpm_packet_filter.vhd b/hdl/combpm_packet_filter.vhd index ee53a7612f38d0c83f3d7a9137eb5e37f34eba6b..934cb0ec16366bfc5aa95280925a1830fb8184e7 100644 --- a/hdl/combpm_packet_filter.vhd +++ b/hdl/combpm_packet_filter.vhd @@ -26,7 +26,6 @@ entity combpm_packet_filter is m_axis_tdata : out std_logic_vector(C_TDATA_W-1 downto 0); m_axis_tlast : out std_logic; m_axis_tvalid : out std_logic; - m_axis_tready : in std_logic; -- AXI bus interface s_axi_awaddr : IN STD_LOGIC_VECTOR(G_B_ADDR_W-1 DOWNTO 0);